diff --git a/GW_Analog.kicad_sym b/GW_Analog.kicad_sym new file mode 100644 index 0000000..41d50b0 --- /dev/null +++ b/GW_Analog.kicad_sym @@ -0,0 +1,754 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "AZ4580" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -1.27 3.81 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "AZ4580" (id 1) (at -1.27 -3.81 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (property "Footprint" "stdpads:SOIC_8" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AZ4580_0_1" + (polyline + (pts + (xy -5.08 5.08) + (xy 5.08 0) + (xy -5.08 -5.08) + (xy -5.08 5.08) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -2.54 -7.62 90) (length 3.81) + (name "V-" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 7.62 270) (length 3.81) + (name "V+" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "AZ4580_1_1" + (pin output line (at 7.62 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 -2.54 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 2.54 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "AZ4580_2_1" + (pin input line (at -7.62 2.54 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 -2.54 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 7.62 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LMV331SE-7" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -1.27 3.81 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "LMV331SE-7" (id 1) (at -1.27 -3.81 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LMV331SE-7_0_1" + (polyline + (pts + (xy -5.08 5.08) + (xy 5.08 0) + (xy -5.08 -5.08) + (xy -5.08 5.08) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "LMV331SE-7_1_1" + (pin input line (at -7.62 2.54 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -7.62 90) (length 3.81) + (name "V-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 -2.54 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 7.62 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 7.62 270) (length 3.81) + (name "V+" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LMV431IM5" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Value" "LMV431IM5" (id 1) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TI_SOT-23-5_DBV_R-PDSO-G5" (id 2) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LMV431IM5_0_1" + (polyline + (pts + (xy 0.762 0) + (xy -0.762 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.254 1.016) + (xy -0.762 1.016) + (xy -0.762 -1.016) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 1.016) + (xy -0.762 0) + (xy 0.762 -1.016) + (xy 0.762 1.016) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + (symbol "LMV431IM5_1_1" + (pin passive line (at -2.54 0 0) (length 1.778) + (name "K" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -2.54 90) (length 2.54) + (name "ref" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 0 180) (length 1.778) + (name "A" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MAX9867ETJ+" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MAX9867ETJ+" (id 1) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Maxim_QFN-32_5x5mm_Pitch0.5mm" (id 2) (at 0 -29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MAX9867ETJ+_0_1" + (rectangle (start 12.7 -22.86) (end -12.7 22.86) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MAX9867ETJ+_1_1" + (pin power_in line (at -17.78 20.32 0) (length 5.08) + (name "DGND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -5.08 0) (length 5.08) + (name "MICBIAS" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -7.62 0) (length 5.08) + (name "MICLN" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -10.16 0) (length 5.08) + (name "MICLP" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -12.7 0) (length 5.08) + (name "MICRP" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -15.24 0) (length 5.08) + (name "MICRN" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -17.78 0) (length 5.08) + (name "LINL" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -20.32 0) (length 5.08) + (name "LINR" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -20.32 180) (length 5.08) + (name "JACKSNS/AUX" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 -17.78 180) (length 5.08) + (name "PGND" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -15.24 180) (length 5.08) + (name "ROUTP" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 17.78 0) (length 5.08) + (name "SCL" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -12.7 180) (length 5.08) + (name "ROUTN" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -10.16 180) (length 5.08) + (name "LOUTN" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -7.62 180) (length 5.08) + (name "LOUTP" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 -5.08 180) (length 5.08) + (name "PVdd" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 17.78 -2.54 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 17.78 2.54 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 5.08 180) (length 5.08) + (name "DVddIO" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 7.62 180) (length 5.08) + (name "SDOUT" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 10.16 180) (length 5.08) + (name "SDIN" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 12.7 180) (length 5.08) + (name "LRCK" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -17.78 15.24 0) (length 5.08) + (name "SDA" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 15.24 180) (length 5.08) + (name "BCLK" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 17.78 180) (length 5.08) + (name "MCLK" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 20.32 180) (length 5.08) + (name "DVdd" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -27.94 90) (length 5.08) + (name "pad" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 12.7 0) (length 5.08) + (name "~{IRQ}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 10.16 0) (length 5.08) + (name "AVdd" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 7.62 0) (length 5.08) + (name "REF" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 5.08 0) (length 5.08) + (name "PREG" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 2.54 0) (length 5.08) + (name "REG" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -2.54 0) (length 5.08) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MBT3904DW1" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (id 0) (at 5.08 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MBT3904DW1" (id 1) (at 5.08 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:ONSemi_SOT-363_SC-88_419B" (id 2) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_fp_filters" "SC?70* SC?88* SOT?363*" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MBT3904DW1_0_1" + (polyline + (pts + (xy 0.635 0) + (xy -2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.635 0.635) + (xy 2.54 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.635 -0.635) + (xy 2.54 -2.54) + (xy 2.54 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.635 1.905) + (xy 0.635 -1.905) + (xy 0.635 -1.905) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.778) + (xy 1.778 -1.27) + (xy 2.286 -2.286) + (xy 1.27 -1.778) + (xy 1.27 -1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 1.27 0) (radius 2.8194) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "MBT3904DW1_1_1" + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "E1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 0 0) (length 2.54) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "C1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "MBT3904DW1_2_1" + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "C2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "E2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 0 0) (length 2.54) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MBT3906DW1" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (id 0) (at 5.08 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MBT3906DW1" (id 1) (at 5.08 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:ONSemi_SOT-363_SC-88_419B" (id 2) (at 5.08 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_fp_filters" "SC?70* SC?88* SOT?363*" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MBT3906DW1_0_1" + (polyline + (pts + (xy 0.635 0) + (xy -2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.635 0.635) + (xy 2.54 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.635 -0.635) + (xy 2.54 -2.54) + (xy 2.54 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.635 1.905) + (xy 0.635 -1.905) + (xy 0.635 -1.905) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.778) + (xy 1.778 -2.286) + (xy 1.27 -1.27) + (xy 2.286 -1.778) + (xy 2.286 -1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 1.27 0) (radius 2.8194) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "MBT3906DW1_1_1" + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "E1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 0 0) (length 2.54) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "C1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "MBT3906DW1_2_1" + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "C2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "E2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 0 0) (length 2.54) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TC75W57FU" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -1.27 3.81 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TC75W57FU" (id 1) (at -1.27 -3.81 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (property "Footprint" "stdpads:Hybrid_MSOP-8_TI_DGK_PSOP-8_Toshiba_SSOP8-P-0.65" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TC75W57FU_0_1" + (polyline + (pts + (xy -5.08 5.08) + (xy 5.08 0) + (xy -5.08 -5.08) + (xy -5.08 5.08) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at -2.54 -7.62 90) (length 3.81) + (name "V-" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 7.62 270) (length 3.81) + (name "V+" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "TC75W57FU_1_1" + (pin output line (at 7.62 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 -2.54 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 2.54 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "TC75W57FU_2_1" + (pin input line (at -7.62 2.54 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 -2.54 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 7.62 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "ZVP1320FTA" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (id 0) (at -1.27 1.27 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "ZVP1320FTA" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Diodes_SOT-23" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "ZVP1320FTA_0_1" + (polyline + (pts + (xy 0.0508 0) + (xy 0.254 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.778) + (xy 2.54 -1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.27) + (xy 0.762 -2.286) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 0) + (xy 2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 0.508) + (xy 0.762 -0.508) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 1.778) + (xy 2.54 1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 2.286) + (xy 0.762 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -1.778) + (xy 2.54 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -1.778) + (xy 2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 2.54) + (xy 2.54 1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.254 1.905) + (xy 0.254 -1.905) + (xy 0.254 -1.905) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 0) + (xy 1.27 -0.381) + (xy 1.27 0.381) + (xy 2.286 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy 2.54 -1.778) + (xy 3.302 -1.778) + (xy 3.302 1.778) + (xy 2.54 1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.794 -0.508) + (xy 2.921 -0.381) + (xy 3.683 -0.381) + (xy 3.81 -0.254) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.302 -0.381) + (xy 2.921 0.254) + (xy 3.683 0.254) + (xy 3.302 -0.381) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 1.651 0) (radius 2.8194) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.54 -1.778) (radius 0.2794) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 2.54 1.778) (radius 0.2794) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + (symbol "ZVP1320FTA_1_1" + (pin input line (at -5.08 0 0) (length 5.08) + (name "G" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_CPU.kicad_sym b/GW_CPU.kicad_sym new file mode 100644 index 0000000..0110873 --- /dev/null +++ b/GW_CPU.kicad_sym @@ -0,0 +1,2368 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "MC68030FE" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 1.27 66.04 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MC68030FE" (id 1) (at 1.27 63.5 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Motorola_CQFP-132" (id 2) (at 0 12.7 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MC68030FE_0_1" + (rectangle (start 30.48 -60.96) (end -30.48 82.55) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MC68030FE_1_1" + (pin power_in line (at -19.05 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 68.58 0) (length 3.81) + (name "FC1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 19.05 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 13.97 180) (length 3.81) + (name "D20" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 16.51 180) (length 3.81) + (name "D21" (effects (font (size 1.27 1.27)))) + (number "102" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 19.05 180) (length 3.81) + (name "D22" (effects (font (size 1.27 1.27)))) + (number "103" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 21.59 180) (length 3.81) + (name "D23" (effects (font (size 1.27 1.27)))) + (number "104" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 24.13 180) (length 3.81) + (name "D24" (effects (font (size 1.27 1.27)))) + (number "106" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 26.67 180) (length 3.81) + (name "D25" (effects (font (size 1.27 1.27)))) + (number "107" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 29.21 180) (length 3.81) + (name "D26" (effects (font (size 1.27 1.27)))) + (number "108" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 31.75 180) (length 3.81) + (name "D27" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 21.59 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 34.29 180) (length 3.81) + (name "D28" (effects (font (size 1.27 1.27)))) + (number "111" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 36.83 180) (length 3.81) + (name "D29" (effects (font (size 1.27 1.27)))) + (number "112" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 39.37 180) (length 3.81) + (name "D30" (effects (font (size 1.27 1.27)))) + (number "113" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 41.91 180) (length 3.81) + (name "D31" (effects (font (size 1.27 1.27)))) + (number "114" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 46.99 180) (length 3.81) + (name "R~{W}" (effects (font (size 1.27 1.27)))) + (number "117" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 49.53 180) (length 3.81) + (name "~{ECS}" (effects (font (size 1.27 1.27)))) + (number "118" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 52.07 180) (length 3.81) + (name "SIZ1" (effects (font (size 1.27 1.27)))) + (number "119" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 66.04 0) (length 3.81) + (name "FC0" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 54.61 180) (length 3.81) + (name "SIZ0" (effects (font (size 1.27 1.27)))) + (number "120" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 34.29 57.15 180) (length 3.81) + (name "~{DBEN}" (effects (font (size 1.27 1.27)))) + (number "121" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 59.69 180) (length 3.81) + (name "~{CIIN}" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 24.13 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 62.23 180) (length 3.81) + (name "~{DS}" (effects (font (size 1.27 1.27)))) + (number "124" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 64.77 180) (length 3.81) + (name "~{AS}" (effects (font (size 1.27 1.27)))) + (number "125" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 67.31 180) (length 3.81) + (name "~{CBREQ}" (effects (font (size 1.27 1.27)))) + (number "126" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 69.85 180) (length 3.81) + (name "~{CBACK}" (effects (font (size 1.27 1.27)))) + (number "127" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 72.39 180) (length 3.81) + (name "~{HALT}" (effects (font (size 1.27 1.27)))) + (number "129" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 63.5 0) (length 3.81) + (name "~{RMC}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 74.93 180) (length 3.81) + (name "~{BERR}" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 77.47 180) (length 3.81) + (name "~{STERM}" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 80.01 180) (length 3.81) + (name "~{DSACK}1" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 60.96 0) (length 3.81) + (name "~{OCS}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 58.42 0) (length 3.81) + (name "~{CIOUT}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 55.88 0) (length 3.81) + (name "~{BG}" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 53.34 0) (length 3.81) + (name "~{BGACK}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 50.8 0) (length 3.81) + (name "~{BR}" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 45.72 0) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 43.18 0) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 40.64 0) (length 3.81) + (name "A31" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 38.1 0) (length 3.81) + (name "A30" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 35.56 0) (length 3.81) + (name "A29" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 33.02 0) (length 3.81) + (name "A28" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 30.48 0) (length 3.81) + (name "A27" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 27.94 0) (length 3.81) + (name "A26" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 78.74 0) (length 3.81) + (name "~{DSACK}0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 25.4 0) (length 3.81) + (name "A25" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 22.86 0) (length 3.81) + (name "A24" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 20.32 0) (length 3.81) + (name "A23" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 17.78 0) (length 3.81) + (name "A22" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 15.24 0) (length 3.81) + (name "A21" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 12.7 0) (length 3.81) + (name "A20" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 10.16 0) (length 3.81) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 7.62 0) (length 3.81) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 5.08 0) (length 3.81) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 2.54 0) (length 3.81) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 0 0) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -2.54 0) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -5.08 0) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -7.62 0) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -10.16 0) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -12.7 0) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -15.24 0) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -17.78 0) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -20.32 0) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -22.86 0) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -25.4 0) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -27.94 0) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -30.48 0) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -33.02 0) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 76.2 0) (length 3.81) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 -38.1 0) (length 3.81) + (name "~{IPEND}" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -34.29 -40.64 0) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -43.18 0) (length 3.81) + (name "~{MMUDIS}" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -45.72 0) (length 3.81) + (name "~{IPL}2" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -48.26 0) (length 3.81) + (name "~{IPL}1" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 73.66 0) (length 3.81) + (name "~{AVEC}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -50.8 0) (length 3.81) + (name "~{IPL}0" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -53.34 0) (length 3.81) + (name "~{CDIS}" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 -55.88 0) (length 3.81) + (name "~{REFILL}" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 -58.42 0) (length 3.81) + (name "~{STATUS}" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -36.83 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -34.29 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -31.75 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -29.21 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -26.67 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -24.13 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -21.59 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -19.05 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -16.51 180) (length 3.81) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -13.97 180) (length 3.81) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -11.43 180) (length 3.81) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -8.89 180) (length 3.81) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 71.12 0) (length 3.81) + (name "FC2" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -6.35 180) (length 3.81) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -3.81 180) (length 3.81) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -1.27 180) (length 3.81) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 1.27 180) (length 3.81) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 3.81 180) (length 3.81) + (name "D16" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 6.35 180) (length 3.81) + (name "D17" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 8.89 180) (length 3.81) + (name "D18" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 11.43 180) (length 3.81) + (name "D19" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MC68030RC" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 1.27 66.04 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MC68030RC" (id 1) (at 1.27 63.5 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Motorola_CQFP-132" (id 2) (at 0 12.7 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MC68030RC_0_1" + (rectangle (start 30.48 -60.96) (end -30.48 82.55) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MC68030RC_1_1" + (pin input line (at -34.29 50.8 0) (length 3.81) + (name "~{BR}" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 5.08 0) (length 3.81) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 0 0) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -5.08 0) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -12.7 0) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 45.72 0) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 38.1 0) (length 3.81) + (name "A30" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 33.02 0) (length 3.81) + (name "A28" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 27.94 0) (length 3.81) + (name "A26" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 22.86 0) (length 3.81) + (name "A24" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 20.32 0) (length 3.81) + (name "A23" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 15.24 0) (length 3.81) + (name "A21" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 10.16 0) (length 3.81) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 63.5 0) (length 3.81) + (name "~{RMC}" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -2.54 0) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -7.62 0) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -17.78 0) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -20.32 0) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 55.88 0) (length 3.81) + (name "~{BG}" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 40.64 0) (length 3.81) + (name "A31" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 35.56 0) (length 3.81) + (name "A29" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 30.48 0) (length 3.81) + (name "A27" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 25.4 0) (length 3.81) + (name "A25" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 17.78 0) (length 3.81) + (name "A22" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 12.7 0) (length 3.81) + (name "A20" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 2.54 0) (length 3.81) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 68.58 0) (length 3.81) + (name "FC1" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -10.16 0) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -15.24 0) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -25.4 0) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -27.94 0) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 58.42 0) (length 3.81) + (name "~{CIOUT}" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 53.34 0) (length 3.81) + (name "~{BGACK}" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 43.18 0) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 7.62 0) (length 3.81) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 71.12 0) (length 3.81) + (name "FC2" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -22.86 0) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -30.48 0) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -33.02 0) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 66.04 0) (length 3.81) + (name "FC0" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 60.96 0) (length 3.81) + (name "~{OCS}" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 76.2 0) (length 3.81) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "E1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "E11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 -38.1 0) (length 3.81) + (name "~{IPEND}" (effects (font (size 1.27 1.27)))) + (number "E13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 73.66 0) (length 3.81) + (name "~{AVEC}" (effects (font (size 1.27 1.27)))) + (number "E2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "E3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 78.74 0) (length 3.81) + (name "~{DSACK}0" (effects (font (size 1.27 1.27)))) + (number "F1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "F11" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -34.29 -40.64 0) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "F12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -43.18 0) (length 3.81) + (name "~{MMUDIS}" (effects (font (size 1.27 1.27)))) + (number "F13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "F2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "F3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 77.47 180) (length 3.81) + (name "~{STERM}" (effects (font (size 1.27 1.27)))) + (number "G1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -45.72 0) (length 3.81) + (name "~{IPL}2" (effects (font (size 1.27 1.27)))) + (number "G12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -48.26 0) (length 3.81) + (name "~{IPL}1" (effects (font (size 1.27 1.27)))) + (number "G13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 80.01 180) (length 3.81) + (name "~{DSACK}1" (effects (font (size 1.27 1.27)))) + (number "G2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "H1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 74.93 180) (length 3.81) + (name "~{BERR}" (effects (font (size 1.27 1.27)))) + (number "H1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -53.34 0) (length 3.81) + (name "~{CDIS}" (effects (font (size 1.27 1.27)))) + (number "H12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -50.8 0) (length 3.81) + (name "~{IPL}0" (effects (font (size 1.27 1.27)))) + (number "H13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 72.39 180) (length 3.81) + (name "~{HALT}" (effects (font (size 1.27 1.27)))) + (number "H2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "H3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 64.77 180) (length 3.81) + (name "~{AS}" (effects (font (size 1.27 1.27)))) + (number "J1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 69.85 180) (length 3.81) + (name "~{CBACK}" (effects (font (size 1.27 1.27)))) + (number "J1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 -58.42 0) (length 3.81) + (name "~{STATUS}" (effects (font (size 1.27 1.27)))) + (number "J12" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 -55.88 0) (length 3.81) + (name "~{REFILL}" (effects (font (size 1.27 1.27)))) + (number "J13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 67.31 180) (length 3.81) + (name "~{CBREQ}" (effects (font (size 1.27 1.27)))) + (number "K1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 62.23 180) (length 3.81) + (name "~{DS}" (effects (font (size 1.27 1.27)))) + (number "K1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "K10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -24.13 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "K11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -34.29 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "K12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -36.83 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "K13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 52.07 180) (length 3.81) + (name "SIZ1" (effects (font (size 1.27 1.27)))) + (number "K3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "K4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 59.69 180) (length 3.81) + (name "~{CIIN}" (effects (font (size 1.27 1.27)))) + (number "L1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -11.43 180) (length 3.81) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "L10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -19.05 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "L11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -26.67 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "L12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -31.75 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "L13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 54.61 180) (length 3.81) + (name "SIZ0" (effects (font (size 1.27 1.27)))) + (number "L2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 46.99 180) (length 3.81) + (name "R~{W}" (effects (font (size 1.27 1.27)))) + (number "L3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 39.37 180) (length 3.81) + (name "D30" (effects (font (size 1.27 1.27)))) + (number "L4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "L6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L9" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 34.29 57.15 180) (length 3.81) + (name "~{DBEN}" (effects (font (size 1.27 1.27)))) + (number "M1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -6.35 180) (length 3.81) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "M10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -13.97 180) (length 3.81) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "M11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -21.59 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "M12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -29.21 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "M13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 49.53 180) (length 3.81) + (name "~{ECS}" (effects (font (size 1.27 1.27)))) + (number "M2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 36.83 180) (length 3.81) + (name "D29" (effects (font (size 1.27 1.27)))) + (number "M3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 31.75 180) (length 3.81) + (name "D27" (effects (font (size 1.27 1.27)))) + (number "M4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 24.13 180) (length 3.81) + (name "D24" (effects (font (size 1.27 1.27)))) + (number "M5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 19.05 180) (length 3.81) + (name "D22" (effects (font (size 1.27 1.27)))) + (number "M6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 13.97 180) (length 3.81) + (name "D20" (effects (font (size 1.27 1.27)))) + (number "M7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 6.35 180) (length 3.81) + (name "D17" (effects (font (size 1.27 1.27)))) + (number "M8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -1.27 180) (length 3.81) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "M9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 41.91 180) (length 3.81) + (name "D31" (effects (font (size 1.27 1.27)))) + (number "N1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 1.27 180) (length 3.81) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "N10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -3.81 180) (length 3.81) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "N11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -8.89 180) (length 3.81) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "N12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -16.51 180) (length 3.81) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "N13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 34.29 180) (length 3.81) + (name "D28" (effects (font (size 1.27 1.27)))) + (number "N2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 29.21 180) (length 3.81) + (name "D26" (effects (font (size 1.27 1.27)))) + (number "N3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 26.67 180) (length 3.81) + (name "D25" (effects (font (size 1.27 1.27)))) + (number "N4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 21.59 180) (length 3.81) + (name "D23" (effects (font (size 1.27 1.27)))) + (number "N5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 16.51 180) (length 3.81) + (name "D21" (effects (font (size 1.27 1.27)))) + (number "N6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 11.43 180) (length 3.81) + (name "D19" (effects (font (size 1.27 1.27)))) + (number "N7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 8.89 180) (length 3.81) + (name "D18" (effects (font (size 1.27 1.27)))) + (number "N8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 3.81 180) (length 3.81) + (name "D16" (effects (font (size 1.27 1.27)))) + (number "N9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MC68040RC" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 1.27 66.04 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MC68040RC" (id 1) (at 1.27 63.5 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Motorola_CQFP-132" (id 2) (at 0 12.7 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MC68040RC_0_1" + (rectangle (start 30.48 -62.23) (end -30.48 95.25) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MC68040RC_1_1" + (pin power_in line (at -19.05 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 19.05 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 21.59 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 24.13 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 99.06 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -66.04 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 19.05 0) (length 3.81) + (name "A31" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -29.21 180) (length 3.81) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -26.67 180) (length 3.81) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -24.13 180) (length 3.81) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -21.59 180) (length 3.81) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -16.51 180) (length 3.81) + (name "D17" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -11.43 180) (length 3.81) + (name "D19" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -8.89 180) (length 3.81) + (name "D20" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -6.35 180) (length 3.81) + (name "D21" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 1.27 180) (length 3.81) + (name "D24" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -52.07 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -49.53 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -46.99 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -44.45 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -41.91 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -36.83 180) (length 3.81) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -34.29 180) (length 3.81) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -31.75 180) (length 3.81) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 13.97 0) (length 3.81) + (name "A29" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -19.05 180) (length 3.81) + (name "D16" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -13.97 180) (length 3.81) + (name "D18" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -3.81 180) (length 3.81) + (name "D22" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 6.35 180) (length 3.81) + (name "D26" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -57.15 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -39.37 180) (length 3.81) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 8.89 0) (length 3.81) + (name "A27" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -1.27 180) (length 3.81) + (name "D23" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 3.81 180) (length 3.81) + (name "D25" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 11.43 180) (length 3.81) + (name "D28" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -59.69 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -54.61 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 1.27 0) (length 3.81) + (name "A24" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 8.89 180) (length 3.81) + (name "D27" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 19.05 180) (length 3.81) + (name "D31" (effects (font (size 1.27 1.27)))) + (number "D18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 16.51 0) (length 3.81) + (name "A30" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -3.81 0) (length 3.81) + (name "A22" (effects (font (size 1.27 1.27)))) + (number "E1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 13.97 180) (length 3.81) + (name "D29" (effects (font (size 1.27 1.27)))) + (number "E16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 16.51 180) (length 3.81) + (name "D30" (effects (font (size 1.27 1.27)))) + (number "E17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -39.37 0) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "E18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 6.35 0) (length 3.81) + (name "A26" (effects (font (size 1.27 1.27)))) + (number "E2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 11.43 0) (length 3.81) + (name "A28" (effects (font (size 1.27 1.27)))) + (number "E3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -6.35 0) (length 3.81) + (name "A21" (effects (font (size 1.27 1.27)))) + (number "F1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -36.83 0) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "F16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -41.91 0) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "F18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 3.81 0) (length 3.81) + (name "A25" (effects (font (size 1.27 1.27)))) + (number "F3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -8.89 0) (length 3.81) + (name "A20" (effects (font (size 1.27 1.27)))) + (number "G1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -44.45 0) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "G16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -46.99 0) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "G18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -1.27 0) (length 3.81) + (name "A23" (effects (font (size 1.27 1.27)))) + (number "G3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -13.97 0) (length 3.81) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "H1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -49.53 0) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "H18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -16.51 0) (length 3.81) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "J1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -54.61 0) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "J17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -52.07 0) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "J18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -11.43 0) (length 3.81) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "J2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -21.59 0) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "K1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 72.39 0) (length 3.81) + (name "TM2" (effects (font (size 1.27 1.27)))) + (number "K17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -57.15 0) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "K18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -19.05 0) (length 3.81) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "K2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -24.13 0) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "L1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -59.69 0) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "L18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -26.67 0) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "M1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 74.93 0) (length 3.81) + (name "TM1" (effects (font (size 1.27 1.27)))) + (number "M18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -29.21 0) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "N1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 59.69 0) (length 3.81) + (name "R~{W}" (effects (font (size 1.27 1.27)))) + (number "N16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 77.47 0) (length 3.81) + (name "TM0" (effects (font (size 1.27 1.27)))) + (number "N18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -31.75 0) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "N3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -34.29 0) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "P1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 54.61 0) (length 3.81) + (name "SIZ1" (effects (font (size 1.27 1.27)))) + (number "P16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 57.15 0) (length 3.81) + (name "SIZ0" (effects (font (size 1.27 1.27)))) + (number "P17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 67.31 0) (length 3.81) + (name "TLN1" (effects (font (size 1.27 1.27)))) + (number "P18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 80.01 0) (length 3.81) + (name "TT1" (effects (font (size 1.27 1.27)))) + (number "P2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 82.55 0) (length 3.81) + (name "TT0" (effects (font (size 1.27 1.27)))) + (number "P3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 62.23 0) (length 3.81) + (name "UPA1" (effects (font (size 1.27 1.27)))) + (number "Q1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 87.63 180) (length 3.81) + (name "~{MI}" (effects (font (size 1.27 1.27)))) + (number "Q16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 69.85 0) (length 3.81) + (name "TLN0" (effects (font (size 1.27 1.27)))) + (number "Q18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 64.77 0) (length 3.81) + (name "UPA0" (effects (font (size 1.27 1.27)))) + (number "Q3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 46.99 0) (length 3.81) + (name "~{CIOUT}" (effects (font (size 1.27 1.27)))) + (number "R1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 46.99 180) (length 3.81) + (name "PST2" (effects (font (size 1.27 1.27)))) + (number "R14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 39.37 0) (length 3.81) + (name "~{TIP}" (effects (font (size 1.27 1.27)))) + (number "R15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 41.91 0) (length 3.81) + (name "~{TS}" (effects (font (size 1.27 1.27)))) + (number "R16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 49.53 0) (length 3.81) + (name "~{LOCKE}" (effects (font (size 1.27 1.27)))) + (number "R18" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 34.29 74.93 180) (length 3.81) + (name "~{RSTO}" (effects (font (size 1.27 1.27)))) + (number "R3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 41.91 180) (length 3.81) + (name "BCLK" (effects (font (size 1.27 1.27)))) + (number "R7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 39.37 180) (length 3.81) + (name "PCLK" (effects (font (size 1.27 1.27)))) + (number "R9" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 34.29 62.23 180) (length 3.81) + (name "~{IPEND}" (effects (font (size 1.27 1.27)))) + (number "S1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 26.67 0) (length 3.81) + (name "~{TBI}" (effects (font (size 1.27 1.27)))) + (number "S11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 90.17 180) (length 3.81) + (name "SC1" (effects (font (size 1.27 1.27)))) + (number "S12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 49.53 180) (length 3.81) + (name "PST1" (effects (font (size 1.27 1.27)))) + (number "S14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 52.07 0) (length 3.81) + (name "~{LOCK}" (effects (font (size 1.27 1.27)))) + (number "S18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 29.21 180) (length 3.81) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "S3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 34.29 180) (length 3.81) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "S4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 31.75 180) (length 3.81) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "S5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 80.01 180) (length 3.81) + (name "~{MDIS}" (effects (font (size 1.27 1.27)))) + (number "S6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 77.47 180) (length 3.81) + (name "~{RSTI}" (effects (font (size 1.27 1.27)))) + (number "S7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 29.21 0) (length 3.81) + (name "~{TCI}" (effects (font (size 1.27 1.27)))) + (number "T10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 59.69 180) (length 3.81) + (name "~{AVEC}" (effects (font (size 1.27 1.27)))) + (number "T11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 92.71 180) (length 3.81) + (name "SC0" (effects (font (size 1.27 1.27)))) + (number "T12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 90.17 0) (length 3.81) + (name "~{BG}" (effects (font (size 1.27 1.27)))) + (number "T13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 31.75 0) (length 3.81) + (name "~{TEA}" (effects (font (size 1.27 1.27)))) + (number "T13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 34.29 0) (length 3.81) + (name "~{TA}" (effects (font (size 1.27 1.27)))) + (number "T14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 52.07 180) (length 3.81) + (name "PST0" (effects (font (size 1.27 1.27)))) + (number "T15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 44.45 180) (length 3.81) + (name "PST3" (effects (font (size 1.27 1.27)))) + (number "T16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 87.63 0) (length 3.81) + (name "~{BB}" (effects (font (size 1.27 1.27)))) + (number "T17" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 92.71 0) (length 3.81) + (name "~{BR}" (effects (font (size 1.27 1.27)))) + (number "T18" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 34.29 26.67 180) (length 3.81) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "T2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 24.13 180) (length 3.81) + (name "~{TRST}" (effects (font (size 1.27 1.27)))) + (number "T3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 82.55 180) (length 3.81) + (name "~{CDIS}" (effects (font (size 1.27 1.27)))) + (number "T5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 64.77 180) (length 3.81) + (name "~{IPL}2" (effects (font (size 1.27 1.27)))) + (number "T6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 67.31 180) (length 3.81) + (name "~{IPL}1" (effects (font (size 1.27 1.27)))) + (number "T7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 69.85 180) (length 3.81) + (name "~{IPL}0" (effects (font (size 1.27 1.27)))) + (number "T8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 24.13 0) (length 3.81) + (name "DLE" (effects (font (size 1.27 1.27)))) + (number "T9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MC68882FN" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MC68882FN" (id 1) (at 0 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:PLCC-68" (id 2) (at -1.27 -22.86 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at -1.27 -22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MC68882FN_0_1" + (rectangle (start 19.05 -41.91) (end -19.05 41.91) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MC68882FN_1_1" + (pin bidirectional line (at 22.86 -34.29 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 33.02 0) (length 3.81) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -10.16 0) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -22.86 -27.94 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -20.32 0) (length 3.81) + (name "SIZE" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -36.83 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 25.4 0) (length 3.81) + (name "~{DS}" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 27.94 0) (length 3.81) + (name "~{AS}" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 15.24 0) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 12.7 0) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 10.16 0) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 7.62 0) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 2.54 0) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 20.32 0) (length 3.81) + (name "R~{W}" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 22.86 0) (length 3.81) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -39.37 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -5.08 0) (length 3.81) + (name "~{DSACK}0" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -2.54 0) (length 3.81) + (name "~{DSACK}1" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 39.37 180) (length 3.81) + (name "D31" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 36.83 180) (length 3.81) + (name "D30" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 34.29 180) (length 3.81) + (name "D29" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 31.75 180) (length 3.81) + (name "D28" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 29.21 180) (length 3.81) + (name "D27" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 26.67 180) (length 3.81) + (name "D26" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 24.13 180) (length 3.81) + (name "D25" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -22.86 -15.24 0) (length 3.81) + (name "~{SENSE}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 21.59 180) (length 3.81) + (name "D24" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 19.05 180) (length 3.81) + (name "D23" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 16.51 180) (length 3.81) + (name "D22" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 13.97 180) (length 3.81) + (name "D21" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 11.43 180) (length 3.81) + (name "D20" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 8.89 180) (length 3.81) + (name "D19" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 6.35 180) (length 3.81) + (name "D18" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 3.81 180) (length 3.81) + (name "D17" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 1.27 180) (length 3.81) + (name "D16" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -1.27 180) (length 3.81) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -3.81 180) (length 3.81) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -6.35 180) (length 3.81) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -8.89 180) (length 3.81) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -11.43 180) (length 3.81) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -13.97 180) (length 3.81) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -16.51 180) (length 3.81) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -19.05 180) (length 3.81) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -21.59 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -24.13 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -26.67 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -29.21 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -31.75 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Z84C00-PLCC44" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -13.97 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Z84C00-PLCC44" (id 1) (at 6.35 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "www.zilog.com/manage_directlink.php?filepath=docs/z80/um0080" (id 3) (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Z80 CPU uP" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit General Purpose Microprocessor, DIP-40" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP* PDIP*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Z84C00-PLCC44_0_1" + (rectangle (start -13.97 34.29) (end 13.97 -34.29) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Z84C00-PLCC44_1_1" + (pin output line (at 17.78 2.54 180) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -17.78 -22.86 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 38.1 270) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 17.78 0) (length 3.81) + (name "~{INT}" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 20.32 0) (length 3.81) + (name "~{NMI}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 0 180) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -5.08 0) (length 3.81) + (name "~{MREQ}" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -7.62 0) (length 3.81) + (name "~{IORQ}" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 0 0) (length 3.81) + (name "~{RD}" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -17.78 -25.4 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 7.62 0) (length 3.81) + (name "~{WAIT}" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -17.78 -27.94 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -2.54 0) (length 3.81) + (name "~{WR}" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -15.24 0) (length 3.81) + (name "~{BUSACK}" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 5.08 0) (length 3.81) + (name "~{HALT}" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -12.7 0) (length 3.81) + (name "~{BUSRQ}" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -2.54 180) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 30.48 0) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 12.7 0) (length 3.81) + (name "~{M1}" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 10.16 0) (length 3.81) + (name "~{RFSH}" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 30.48 180) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 27.94 180) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 25.4 180) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 22.86 180) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 20.32 180) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 17.78 180) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -5.08 180) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 15.24 180) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 12.7 180) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 10.16 180) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 7.62 180) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 5.08 180) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -7.62 180) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -17.78 -20.32 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -17.78 25.4 0) (length 3.81) + (name "~{CLK}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Z84C00-QFP44" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -13.97 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Z84C00-QFP44" (id 1) (at 6.35 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "www.zilog.com/manage_directlink.php?filepath=docs/z80/um0080" (id 3) (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Z80 CPU uP" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit General Purpose Microprocessor, DIP-40" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP* PDIP*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Z84C00-QFP44_0_1" + (rectangle (start -13.97 34.29) (end 13.97 -34.29) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Z84C00-QFP44_1_1" + (pin input clock (at -17.78 25.4 0) (length 3.81) + (name "~{CLK}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -17.78 -20.32 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 29.21 -82.55 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 31.75 -83.82 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 33.02 -86.36 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 17.78 0) (length 3.81) + (name "~{INT}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 20.32 0) (length 3.81) + (name "~{NMI}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 5.08 0) (length 3.81) + (name "~{HALT}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -5.08 0) (length 3.81) + (name "~{MREQ}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -7.62 0) (length 3.81) + (name "~{IORQ}" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -17.78 -22.86 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 0 0) (length 3.81) + (name "~{RD}" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -2.54 0) (length 3.81) + (name "~{WR}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -15.24 0) (length 3.81) + (name "~{BUSACK}" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 7.62 0) (length 3.81) + (name "~{WAIT}" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -12.7 0) (length 3.81) + (name "~{BUSRQ}" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 30.48 0) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 12.7 0) (length 3.81) + (name "~{M1}" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 10.16 0) (length 3.81) + (name "~{RFSH}" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 30.48 180) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 27.94 180) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 25.4 180) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -74.93 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 22.86 180) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 20.32 180) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 17.78 180) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -17.78 -25.4 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 15.24 180) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 12.7 180) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 10.16 180) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 7.62 180) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 5.08 180) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -17.78 -27.94 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 2.54 180) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 0 180) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -2.54 180) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -5.08 180) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -7.62 180) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 38.1 270) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_CPU.lib b/GW_CPU.lib deleted file mode 100644 index 4b187fc..0000000 --- a/GW_CPU.lib +++ /dev/null @@ -1,503 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# MC68030FE -# -DEF MC68030FE U 0 40 Y Y 1 F N -F0 "U" 50 2600 50 H V C CNN -F1 "MC68030FE" 50 2500 50 H V C CNN -F2 "stdpads:Motorola_CQFP-132" 0 500 40 H I C CNN -F3 "" 0 500 50 H I C CNN -DRAW -S 1200 -2400 -1200 3250 0 1 10 f -X GND 1 -750 -2550 150 U 50 50 1 1 W -X FC1 10 -1350 2700 150 R 50 50 1 1 T -X GND 100 750 -2550 150 U 50 50 1 1 W -X D20 101 1350 550 150 L 50 50 1 1 B -X D21 102 1350 650 150 L 50 50 1 1 B -X D22 103 1350 750 150 L 50 50 1 1 B -X D23 104 1350 850 150 L 50 50 1 1 B -X Vcc 105 250 3400 150 D 50 50 1 1 W -X D24 106 1350 950 150 L 50 50 1 1 B -X D25 107 1350 1050 150 L 50 50 1 1 B -X D26 108 1350 1150 150 L 50 50 1 1 B -X D27 109 1350 1250 150 L 50 50 1 1 B -X GND 11 -450 -2550 150 U 50 50 1 1 W -X GND 110 850 -2550 150 U 50 50 1 1 W -X D28 111 1350 1350 150 L 50 50 1 1 B -X D29 112 1350 1450 150 L 50 50 1 1 B -X D30 113 1350 1550 150 L 50 50 1 1 B -X D31 114 1350 1650 150 L 50 50 1 1 B -X Vcc 115 350 3400 150 D 50 50 1 1 W -X Vcc 116 450 3400 150 D 50 50 1 1 W -X R~W~ 117 1350 1850 150 L 50 50 1 1 T -X ~ECS~ 118 1350 1950 150 L 50 50 1 1 T -X SIZ1 119 1350 2050 150 L 50 50 1 1 T -X FC0 12 -1350 2600 150 R 50 50 1 1 T -X SIZ0 120 1350 2150 150 L 50 50 1 1 T -X ~DBEN~ 121 1350 2250 150 L 50 50 1 1 O -X ~CIIN~ 122 1350 2350 150 L 50 50 1 1 I -X GND 123 950 -2550 150 U 50 50 1 1 W -X ~DS~ 124 1350 2450 150 L 50 50 1 1 T -X ~AS~ 125 1350 2550 150 L 50 50 1 1 T -X ~CBREQ~ 126 1350 2650 150 L 50 50 1 1 T -X ~CBACK~ 127 1350 2750 150 L 50 50 1 1 I -X Vcc 128 550 3400 150 D 50 50 1 1 W -X ~HALT~ 129 1350 2850 150 L 50 50 1 1 I -X ~RMC~ 13 -1350 2500 150 R 50 50 1 1 T -X ~BERR~ 130 1350 2950 150 L 50 50 1 1 I -X ~STERM~ 131 1350 3050 150 L 50 50 1 1 I -X ~DSACK~1 132 1350 3150 150 L 50 50 1 1 I -X ~OCS~ 14 -1350 2400 150 R 50 50 1 1 T -X ~CIOUT~ 15 -1350 2300 150 R 50 50 1 1 T -X ~BG~ 16 -1350 2200 150 R 50 50 1 1 O -X ~BGACK~ 17 -1350 2100 150 R 50 50 1 1 I -X Vcc 18 -350 3400 150 D 50 50 1 1 W -X GND 19 -350 -2550 150 U 50 50 1 1 W -X GND 2 -650 -2550 150 U 50 50 1 1 W -X ~BR~ 20 -1350 2000 150 R 50 50 1 1 I -X A0 21 -1350 1800 150 R 50 50 1 1 T -X A1 22 -1350 1700 150 R 50 50 1 1 T -X A31 23 -1350 1600 150 R 50 50 1 1 T -X A30 24 -1350 1500 150 R 50 50 1 1 T -X GND 25 -250 -2550 150 U 50 50 1 1 W -X A29 26 -1350 1400 150 R 50 50 1 1 T -X A28 27 -1350 1300 150 R 50 50 1 1 T -X A27 28 -1350 1200 150 R 50 50 1 1 T -X A26 29 -1350 1100 150 R 50 50 1 1 T -X ~DSACK~0 3 -1350 3100 150 R 50 50 1 1 I -X Vcc 30 -250 3400 150 D 50 50 1 1 W -X A25 31 -1350 1000 150 R 50 50 1 1 T -X A24 32 -1350 900 150 R 50 50 1 1 T -X A23 33 -1350 800 150 R 50 50 1 1 T -X A22 34 -1350 700 150 R 50 50 1 1 T -X GND 35 -150 -2550 150 U 50 50 1 1 W -X A21 36 -1350 600 150 R 50 50 1 1 T -X A20 37 -1350 500 150 R 50 50 1 1 T -X A19 38 -1350 400 150 R 50 50 1 1 T -X A18 39 -1350 300 150 R 50 50 1 1 T -X Vcc 4 -550 3400 150 D 50 50 1 1 W -X A17 40 -1350 200 150 R 50 50 1 1 T -X A16 41 -1350 100 150 R 50 50 1 1 T -X A15 42 -1350 0 150 R 50 50 1 1 T -X A14 43 -1350 -100 150 R 50 50 1 1 T -X GND 44 -50 -2550 150 U 50 50 1 1 W -X A13 45 -1350 -200 150 R 50 50 1 1 T -X A12 46 -1350 -300 150 R 50 50 1 1 T -X A11 47 -1350 -400 150 R 50 50 1 1 T -X A10 48 -1350 -500 150 R 50 50 1 1 T -X Vcc 49 -150 3400 150 D 50 50 1 1 W -X GND 5 -550 -2550 150 U 50 50 1 1 W -X A9 51 -1350 -600 150 R 50 50 1 1 T -X A8 52 -1350 -700 150 R 50 50 1 1 T -X A7 53 -1350 -800 150 R 50 50 1 1 T -X A6 54 -1350 -900 150 R 50 50 1 1 T -X A5 55 -1350 -1000 150 R 50 50 1 1 T -X A4 56 -1350 -1100 150 R 50 50 1 1 T -X GND 57 50 -2550 150 U 50 50 1 1 W -X A3 58 -1350 -1200 150 R 50 50 1 1 T -X A2 59 -1350 -1300 150 R 50 50 1 1 T -X CLK 6 -1350 3000 150 R 50 50 1 1 I -X GND 60 150 -2550 150 U 50 50 1 1 W -X ~IPEND~ 62 -1350 -1500 150 R 50 50 1 1 O -X Vcc 63 -50 3400 150 D 50 50 1 1 W -X ~RESET~ 64 -1350 -1600 150 R 50 50 1 1 C -X ~MMUDIS~ 65 -1350 -1700 150 R 50 50 1 1 I -X GND 66 250 -2550 150 U 50 50 1 1 W -X GND 67 350 -2550 150 U 50 50 1 1 W -X ~IPL~2 68 -1350 -1800 150 R 50 50 1 1 I -X ~IPL~1 69 -1350 -1900 150 R 50 50 1 1 I -X ~AVEC~ 7 -1350 2900 150 R 50 50 1 1 I -X ~IPL~0 70 -1350 -2000 150 R 50 50 1 1 I -X ~CDIS~ 71 -1350 -2100 150 R 50 50 1 1 I -X Vcc 72 50 3400 150 D 50 50 1 1 W -X ~REFILL~ 73 -1350 -2200 150 R 50 50 1 1 O -X ~STATUS~ 74 -1350 -2300 150 R 50 50 1 1 O -X D0 75 1350 -1450 150 L 50 50 1 1 B -X D1 76 1350 -1350 150 L 50 50 1 1 B -X GND 77 450 -2550 150 U 50 50 1 1 W -X D2 78 1350 -1250 150 L 50 50 1 1 B -X D3 79 1350 -1150 150 L 50 50 1 1 B -X Vcc 8 -450 3400 150 D 50 50 1 1 W -X D4 80 1350 -1050 150 L 50 50 1 1 B -X D5 81 1350 -950 150 L 50 50 1 1 B -X D6 82 1350 -850 150 L 50 50 1 1 B -X D7 83 1350 -750 150 L 50 50 1 1 B -X Vcc 85 150 3400 150 D 50 50 1 1 W -X D8 86 1350 -650 150 L 50 50 1 1 B -X D9 87 1350 -550 150 L 50 50 1 1 B -X D10 88 1350 -450 150 L 50 50 1 1 B -X D11 89 1350 -350 150 L 50 50 1 1 B -X FC2 9 -1350 2800 150 R 50 50 1 1 T -X GND 90 550 -2550 150 U 50 50 1 1 W -X D12 91 1350 -250 150 L 50 50 1 1 B -X D13 92 1350 -150 150 L 50 50 1 1 B -X D14 93 1350 -50 150 L 50 50 1 1 B -X D15 94 1350 50 150 L 50 50 1 1 B -X GND 95 650 -2550 150 U 50 50 1 1 W -X D16 96 1350 150 150 L 50 50 1 1 B -X D17 97 1350 250 150 L 50 50 1 1 B -X D18 98 1350 350 150 L 50 50 1 1 B -X D19 99 1350 450 150 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# MC68040RC -# -DEF MC68040RC U 0 40 Y Y 1 F N -F0 "U" 50 2600 50 H V C CNN -F1 "MC68040RC" 50 2500 50 H V C CNN -F2 "stdpads:Motorola_CQFP-132" 0 500 40 H I C CNN -F3 "" 0 500 50 H I C CNN -DRAW -S 1200 -2450 -1200 3750 0 1 10 f -X GND 1 -750 -2600 150 U 50 50 1 1 W -X GND 100 750 -2600 150 U 50 50 1 1 W -X Vcc 105 250 3900 150 D 50 50 1 1 W -X GND 11 -450 -2600 150 U 50 50 1 1 W -X GND 110 850 -2600 150 U 50 50 1 1 W -X Vcc 115 350 3900 150 D 50 50 1 1 W -X Vcc 116 450 3900 150 D 50 50 1 1 W -X GND 123 950 -2600 150 U 50 50 1 1 W -X Vcc 128 550 3900 150 D 50 50 1 1 W -X Vcc 18 -350 3900 150 D 50 50 1 1 W -X GND 19 -350 -2600 150 U 50 50 1 1 W -X GND 2 -650 -2600 150 U 50 50 1 1 W -X GND 25 -250 -2600 150 U 50 50 1 1 W -X Vcc 30 -250 3900 150 D 50 50 1 1 W -X GND 35 -150 -2600 150 U 50 50 1 1 W -X Vcc 4 -550 3900 150 D 50 50 1 1 W -X GND 44 -50 -2600 150 U 50 50 1 1 W -X Vcc 49 -150 3900 150 D 50 50 1 1 W -X GND 5 -550 -2600 150 U 50 50 1 1 W -X GND 57 50 -2600 150 U 50 50 1 1 W -X GND 60 150 -2600 150 U 50 50 1 1 W -X Vcc 63 -50 3900 150 D 50 50 1 1 W -X GND 66 250 -2600 150 U 50 50 1 1 W -X GND 67 350 -2600 150 U 50 50 1 1 W -X Vcc 72 50 3900 150 D 50 50 1 1 W -X GND 77 450 -2600 150 U 50 50 1 1 W -X Vcc 8 -450 3900 150 D 50 50 1 1 W -X Vcc 85 150 3900 150 D 50 50 1 1 W -X GND 90 550 -2600 150 U 50 50 1 1 W -X GND 95 650 -2600 150 U 50 50 1 1 W -X A31 A1 -1350 750 150 R 50 50 1 1 B -X D12 A10 1350 -1150 150 L 50 50 1 1 B -X D13 A11 1350 -1050 150 L 50 50 1 1 B -X D14 A12 1350 -950 150 L 50 50 1 1 B -X D15 A13 1350 -850 150 L 50 50 1 1 B -X D17 A14 1350 -650 150 L 50 50 1 1 B -X D19 A15 1350 -450 150 L 50 50 1 1 B -X D20 A16 1350 -350 150 L 50 50 1 1 B -X D21 A17 1350 -250 150 L 50 50 1 1 B -X D24 A18 1350 50 150 L 50 50 1 1 B -X D3 A2 1350 -2050 150 L 50 50 1 1 B -X D4 A3 1350 -1950 150 L 50 50 1 1 B -X D5 A4 1350 -1850 150 L 50 50 1 1 B -X D6 A5 1350 -1750 150 L 50 50 1 1 B -X D7 A6 1350 -1650 150 L 50 50 1 1 B -X D9 A7 1350 -1450 150 L 50 50 1 1 B -X D10 A8 1350 -1350 150 L 50 50 1 1 B -X D11 A9 1350 -1250 150 L 50 50 1 1 B -X A29 B1 -1350 550 150 R 50 50 1 1 B -X D16 B11 1350 -750 150 L 50 50 1 1 B -X D18 B12 1350 -550 150 L 50 50 1 1 B -X D22 B16 1350 -150 150 L 50 50 1 1 B -X D26 B18 1350 250 150 L 50 50 1 1 B -X D1 B3 1350 -2250 150 L 50 50 1 1 B -X D8 B7 1350 -1550 150 L 50 50 1 1 B -X A27 C1 -1350 350 150 R 50 50 1 1 T -X D23 C15 1350 -50 150 L 50 50 1 1 B -X D25 C16 1350 150 150 L 50 50 1 1 B -X D28 C18 1350 450 150 L 50 50 1 1 B -X D0 C3 1350 -2350 150 L 50 50 1 1 B -X D2 C4 1350 -2150 150 L 50 50 1 1 B -X A24 D1 -1350 50 150 R 50 50 1 1 T -X D27 D16 1350 350 150 L 50 50 1 1 B -X D31 D18 1350 750 150 L 50 50 1 1 B -X A30 D3 -1350 650 150 R 50 50 1 1 B -X A22 E1 -1350 -150 150 R 50 50 1 1 T -X D29 E16 1350 550 150 L 50 50 1 1 B -X D30 E17 1350 650 150 L 50 50 1 1 B -X A8 E18 -1350 -1550 150 R 50 50 1 1 T -X A26 E2 -1350 250 150 R 50 50 1 1 T -X A28 E3 -1350 450 150 R 50 50 1 1 B -X A21 F1 -1350 -250 150 R 50 50 1 1 T -X A9 F16 -1350 -1450 150 R 50 50 1 1 T -X A7 F18 -1350 -1650 150 R 50 50 1 1 T -X A25 F3 -1350 150 150 R 50 50 1 1 T -X A20 G1 -1350 -350 150 R 50 50 1 1 T -X A6 G16 -1350 -1750 150 R 50 50 1 1 T -X A5 G18 -1350 -1850 150 R 50 50 1 1 T -X A23 G3 -1350 -50 150 R 50 50 1 1 T -X A18 H1 -1350 -550 150 R 50 50 1 1 T -X A4 H18 -1350 -1950 150 R 50 50 1 1 T -X A17 J1 -1350 -650 150 R 50 50 1 1 T -X A2 J17 -1350 -2150 150 R 50 50 1 1 T -X A3 J18 -1350 -2050 150 R 50 50 1 1 T -X A19 J2 -1350 -450 150 R 50 50 1 1 T -X A15 K1 -1350 -850 150 R 50 50 1 1 T -X TM2 K17 -1350 2850 150 R 50 50 1 1 T -X A1 K18 -1350 -2250 150 R 50 50 1 1 T -X A16 K2 -1350 -750 150 R 50 50 1 1 T -X A14 L1 -1350 -950 150 R 50 50 1 1 T -X A0 L18 -1350 -2350 150 R 50 50 1 1 T -X A13 M1 -1350 -1050 150 R 50 50 1 1 T -X TM1 M18 -1350 2950 150 R 50 50 1 1 T -X A12 N1 -1350 -1150 150 R 50 50 1 1 T -X R~W~ N16 -1350 2350 150 R 50 50 1 1 B -X TM0 N18 -1350 3050 150 R 50 50 1 1 T -X A11 N3 -1350 -1250 150 R 50 50 1 1 T -X A10 P1 -1350 -1350 150 R 50 50 1 1 T -X SIZ1 P16 -1350 2150 150 R 50 50 1 1 B -X SIZ0 P17 -1350 2250 150 R 50 50 1 1 B -X TLN1 P18 -1350 2650 150 R 50 50 1 1 T -X TT1 P2 -1350 3150 150 R 50 50 1 1 B -X TT0 P3 -1350 3250 150 R 50 50 1 1 B -X UPA1 Q1 -1350 2450 150 R 50 50 1 1 T -X ~MI~ Q16 1350 3450 150 L 50 50 1 1 T -X TLN0 Q18 -1350 2750 150 R 50 50 1 1 T -X UPA0 Q3 -1350 2550 150 R 50 50 1 1 T -X ~CIOUT~ R1 -1350 1850 150 R 50 50 1 1 T -X PST2 R14 1350 1850 150 L 50 50 1 1 I -X ~TIP~ R15 -1350 1550 150 R 50 50 1 1 T -X ~TS~ R16 -1350 1650 150 R 50 50 1 1 B -X ~LOCKE~ R18 -1350 1950 150 R 50 50 1 1 T -X ~RSTO~ R3 1350 2950 150 L 50 50 1 1 O -X BCLK R7 1350 1650 150 L 50 50 1 1 I -X PCLK R9 1350 1550 150 L 50 50 1 1 I -X ~IPEND~ S1 1350 2450 150 L 50 50 1 1 O -X ~TBI~ S11 -1350 1050 150 R 50 50 1 1 I -X SC1 S12 1350 3550 150 L 50 50 1 1 B -X PST1 S14 1350 1950 150 L 50 50 1 1 I -X ~LOCK~ S18 -1350 2050 150 R 50 50 1 1 T -X TDI S3 1350 1150 150 L 50 50 1 1 I -X TCK S4 1350 1350 150 L 50 50 1 1 I -X TMS S5 1350 1250 150 L 50 50 1 1 I -X ~MDIS S6 1350 3150 150 L 50 50 1 1 I -X ~RSTI~ S7 1350 3050 150 L 50 50 1 1 I -X ~TCI~ T10 -1350 1150 150 R 50 50 1 1 I -X ~AVEC~ T11 1350 2350 150 L 50 50 1 1 I -X SC0 T12 1350 3650 150 L 50 50 1 1 B -X ~BG~ T13 -1350 3550 150 R 50 50 1 1 I -X ~TEA~ T13 -1350 1250 150 R 50 50 1 1 I -X ~TA~ T14 -1350 1350 150 R 50 50 1 1 B -X PST0 T15 1350 2050 150 L 50 50 1 1 I -X PST3 T16 1350 1750 150 L 50 50 1 1 I -X ~BB~ T17 -1350 3450 150 R 50 50 1 1 B -X ~BR~ T18 -1350 3650 150 R 50 50 1 1 O -X TDO T2 1350 1050 150 L 50 50 1 1 O -X ~TRST~ T3 1350 950 150 L 50 50 1 1 I -X ~CDIS~ T5 1350 3250 150 L 50 50 1 1 I -X ~IPL~2 T6 1350 2550 150 L 50 50 1 1 I -X ~IPL~1 T7 1350 2650 150 L 50 50 1 1 I -X ~IPL~0 T8 1350 2750 150 L 50 50 1 1 I -X DLE T9 -1350 950 150 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# MC68882FN -# -DEF MC68882FN U 0 40 Y Y 1 F N -F0 "U" 0 1200 50 H V C CNN -F1 "MC68882FN" 0 1100 50 H V C CNN -F2 "stdpads:PLCC-68" -50 -900 40 H I C CNN -F3 "" -50 -900 50 H I C CNN -DRAW -S 750 -1650 -750 1650 0 1 10 f -X D2 1 900 -1350 150 L 50 50 1 1 B -X Vcc 10 -350 1800 150 D 50 50 1 1 W -X CLK 11 -900 1300 150 R 50 50 1 1 I -X GND 12 -150 -1800 150 U 50 50 1 1 W -X ~RESET~ 13 -900 -400 150 R 50 50 1 1 I -X GND 14 -50 -1800 150 U 50 50 1 1 W -X NC 15 -900 -1100 150 R 50 50 1 1 N -X Vcc 16 -250 1800 150 D 50 50 1 1 W -X Vcc 17 -150 1800 150 D 50 50 1 1 W -X SIZE 18 -900 -800 150 R 50 50 1 1 I -X GND 19 50 -1800 150 U 50 50 1 1 W -X D1 2 900 -1450 150 L 50 50 1 1 B -X ~DS~ 20 -900 1000 150 R 50 50 1 1 T -X ~AS~ 21 -900 1100 150 R 50 50 1 1 T -X A4 22 -900 600 150 R 50 50 1 1 T -X A3 23 -900 500 150 R 50 50 1 1 T -X A2 24 -900 400 150 R 50 50 1 1 T -X A1 25 -900 300 150 R 50 50 1 1 T -X A0 26 -900 100 150 R 50 50 1 1 T -X Vcc 27 -50 1800 150 D 50 50 1 1 W -X R~W~ 28 -900 800 150 R 50 50 1 1 T -X ~CS~ 29 -900 900 150 R 50 50 1 1 I -X D0 3 900 -1550 150 L 50 50 1 1 B -X GND 30 150 -1800 150 U 50 50 1 1 W -X ~DSACK~0 31 -900 -200 150 R 50 50 1 1 I -X ~DSACK~1 32 -900 -100 150 R 50 50 1 1 I -X D31 33 900 1550 150 L 50 50 1 1 B -X D30 34 900 1450 150 L 50 50 1 1 B -X D29 35 900 1350 150 L 50 50 1 1 B -X D28 36 900 1250 150 L 50 50 1 1 B -X D27 37 900 1150 150 L 50 50 1 1 B -X D26 38 900 1050 150 L 50 50 1 1 B -X D25 39 900 950 150 L 50 50 1 1 B -X ~SENSE~ 4 -900 -600 150 R 50 50 1 1 C -X D24 40 900 850 150 L 50 50 1 1 B -X GND 41 250 -1800 150 U 50 50 1 1 W -X D23 42 900 750 150 L 50 50 1 1 B -X Vcc 43 50 1800 150 D 50 50 1 1 W -X D22 44 900 650 150 L 50 50 1 1 B -X D21 45 900 550 150 L 50 50 1 1 B -X D20 46 900 450 150 L 50 50 1 1 B -X D19 47 900 350 150 L 50 50 1 1 B -X D18 48 900 250 150 L 50 50 1 1 B -X D17 49 900 150 150 L 50 50 1 1 B -X GND 5 -650 -1800 150 U 50 50 1 1 W -X D16 50 900 50 150 L 50 50 1 1 B -X GND 51 350 -1800 150 U 50 50 1 1 W -X Vcc 52 150 1800 150 D 50 50 1 1 W -X Vcc 53 250 1800 150 D 50 50 1 1 W -X D15 54 900 -50 150 L 50 50 1 1 B -X D14 55 900 -150 150 L 50 50 1 1 B -X D13 56 900 -250 150 L 50 50 1 1 B -X D12 57 900 -350 150 L 50 50 1 1 B -X D11 58 900 -450 150 L 50 50 1 1 B -X D10 59 900 -550 150 L 50 50 1 1 B -X GND 6 -550 -1800 150 U 50 50 1 1 W -X D9 60 900 -650 150 L 50 50 1 1 B -X Vcc 61 350 1800 150 D 50 50 1 1 W -X D8 62 900 -750 150 L 50 50 1 1 B -X GND 63 450 -1800 150 U 50 50 1 1 W -X D7 64 900 -850 150 L 50 50 1 1 B -X D6 65 900 -950 150 L 50 50 1 1 B -X D5 66 900 -1050 150 L 50 50 1 1 B -X D4 67 900 -1150 150 L 50 50 1 1 B -X D3 68 900 -1250 150 L 50 50 1 1 B -X GND 7 -450 -1800 150 U 50 50 1 1 W -X GND 8 -350 -1800 150 U 50 50 1 1 W -X GND 9 -250 -1800 150 U 50 50 1 1 W -ENDDRAW -ENDDEF -# -# Z84C00-PLCC44 -# -DEF Z84C00-PLCC44 U 0 40 Y Y 1 F N -F0 "U" -550 1400 50 H V L CNN -F1 "Z84C00-PLCC44" 250 1400 50 H V L CNN -F2 "" 0 400 50 H I C CNN -F3 "" 0 400 50 H I C CNN -$FPLIST - DIP* - PDIP* -$ENDFPLIST -DRAW -S -550 1350 550 -1350 0 1 10 f -X A11 1 700 100 150 L 50 50 1 1 O -X D5 10 700 -1000 150 L 50 50 1 1 B -X D6 11 700 -1100 150 L 50 50 1 1 B -X NC 12 -700 -900 150 R 50 50 1 1 N -X VCC 13 0 1500 150 D 50 50 1 1 W -X D2 14 700 -700 150 L 50 50 1 1 B -X D7 15 700 -1200 150 L 50 50 1 1 B -X D0 16 700 -500 150 L 50 50 1 1 B -X D1 17 700 -600 150 L 50 50 1 1 B -X ~INT~ 18 -700 700 150 R 50 50 1 1 I -X ~NMI~ 19 -700 800 150 R 50 50 1 1 I -X A12 2 700 0 150 L 50 50 1 1 O -X ~MREQ~ 21 -700 -200 150 R 50 50 1 1 O -X ~IORQ~ 22 -700 -300 150 R 50 50 1 1 O -X ~RD~ 23 -700 0 150 R 50 50 1 1 O -X NC 24 -700 -1000 150 R 50 50 1 1 N -X ~WAIT~ 24 -700 300 150 R 50 50 1 1 I -X NC 25 -700 -1100 150 R 50 50 1 1 N -X ~WR~ 26 -700 -100 150 R 50 50 1 1 O -X ~BUSACK~ 27 -700 -600 150 R 50 50 1 1 O -X ~HALT~ 28 -700 200 150 R 50 50 1 1 O -X ~BUSRQ~ 29 -700 -500 150 R 50 50 1 1 I -X A13 3 700 -100 150 L 50 50 1 1 O -X ~RESET~ 30 -700 1200 150 R 50 50 1 1 I -X ~M1~ 31 -700 500 150 R 50 50 1 1 O -X ~RFSH~ 32 -700 400 150 R 50 50 1 1 O -X GND 33 0 -1500 150 U 50 50 1 1 W -X A0 34 700 1200 150 L 50 50 1 1 O -X A1 35 700 1100 150 L 50 50 1 1 O -X A2 36 700 1000 150 L 50 50 1 1 O -X A3 37 700 900 150 L 50 50 1 1 O -X A4 38 700 800 150 L 50 50 1 1 O -X A5 39 700 700 150 L 50 50 1 1 O -X A14 4 700 -200 150 L 50 50 1 1 O -X A6 40 700 600 150 L 50 50 1 1 O -X A7 41 700 500 150 L 50 50 1 1 O -X A8 42 700 400 150 L 50 50 1 1 O -X A9 43 700 300 150 L 50 50 1 1 O -X A10 44 700 200 150 L 50 50 1 1 O -X A15 5 700 -300 150 L 50 50 1 1 O -X NC 6 -700 -800 150 R 50 50 1 1 N -X ~CLK~ 7 -700 1000 150 R 50 50 1 1 I C -X D4 8 700 -900 150 L 50 50 1 1 B -X D3 9 700 -800 150 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# Z84C00-QFP44 -# -DEF Z84C00-QFP44 U 0 40 Y Y 1 F N -F0 "U" -550 1400 50 H V L CNN -F1 "Z84C00-QFP44" 250 1400 50 H V L CNN -F2 "" 0 400 50 H I C CNN -F3 "" 0 400 50 H I C CNN -$FPLIST - DIP* - PDIP* -$ENDFPLIST -DRAW -S -550 1350 550 -1350 0 1 10 f -X ~CLK~ 1 -700 1000 150 R 50 50 1 1 I C -X D1 10 700 -600 150 L 50 50 1 1 B -X NC 11 -700 -800 150 R 50 50 1 1 N -X NC 11 1150 -3250 100 L 50 50 1 1 N -X NC 11 1250 -3300 100 L 50 50 1 1 N -X NC 11 1300 -3400 100 L 50 50 1 1 N -X ~INT~ 12 -700 700 150 R 50 50 1 1 I -X ~NMI~ 13 -700 800 150 R 50 50 1 1 I -X ~HALT~ 14 -700 200 150 R 50 50 1 1 O -X ~MREQ~ 15 -700 -200 150 R 50 50 1 1 O -X ~IORQ~ 16 -700 -300 150 R 50 50 1 1 O -X NC 17 -700 -900 150 R 50 50 1 1 N -X ~RD~ 18 -700 0 150 R 50 50 1 1 O -X ~WR~ 19 -700 -100 150 R 50 50 1 1 O -X D4 2 700 -900 150 L 50 50 1 1 B -X ~BUSACK~ 20 -700 -600 150 R 50 50 1 1 O -X ~WAIT~ 21 -700 300 150 R 50 50 1 1 I -X ~BUSRQ~ 22 -700 -500 150 R 50 50 1 1 I -X ~RESET~ 23 -700 1200 150 R 50 50 1 1 I -X ~M1~ 24 -700 500 150 R 50 50 1 1 O -X ~RFSH~ 25 -700 400 150 R 50 50 1 1 O -X GND 26 0 -1500 150 U 50 50 1 1 W -X A0 27 700 1200 150 L 50 50 1 1 O -X A1 28 700 1100 150 L 50 50 1 1 O -X A2 29 700 1000 150 L 50 50 1 1 O -X GND 29 50 -2950 150 U 50 50 1 1 W -X D3 3 700 -800 150 L 50 50 1 1 B -X A3 30 700 900 150 L 50 50 1 1 O -X A4 31 700 800 150 L 50 50 1 1 O -X A5 32 700 700 150 L 50 50 1 1 O -X NC 33 -700 -1000 150 R 50 50 1 1 N -X A6 34 700 600 150 L 50 50 1 1 O -X A7 35 700 500 150 L 50 50 1 1 O -X A8 36 700 400 150 L 50 50 1 1 O -X A9 37 700 300 150 L 50 50 1 1 O -X A10 38 700 200 150 L 50 50 1 1 O -X NC 39 -700 -1100 150 R 50 50 1 1 N -X D5 4 700 -1000 150 L 50 50 1 1 B -X A11 40 700 100 150 L 50 50 1 1 O -X A12 41 700 0 150 L 50 50 1 1 O -X A13 42 700 -100 150 L 50 50 1 1 O -X A14 43 700 -200 150 L 50 50 1 1 O -X A15 44 700 -300 150 L 50 50 1 1 O -X D6 5 700 -1100 150 L 50 50 1 1 B -X VCC 6 0 1500 150 D 50 50 1 1 W -X D2 7 700 -700 150 L 50 50 1 1 B -X D7 8 700 -1200 150 L 50 50 1 1 B -X D0 9 700 -500 150 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -#End Library diff --git a/GW_Connector.kicad_sym b/GW_Connector.kicad_sym new file mode 100644 index 0000000..2c4fc3b --- /dev/null +++ b/GW_Connector.kicad_sym @@ -0,0 +1,3842 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "35RAPC2AV" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "35RAPC2AV" (id 1) (at -2.54 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (property "Footprint" "stdpads:Switchcraft_35RAPC2AV" (id 2) (at 0 -5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "35RAPC2AV_0_0" + (text "1" (at -1.27 0 0) + (effects (font (size 0.762 0.762))) + ) + ) + (symbol "35RAPC2AV_0_1" + (rectangle (start -3.429 1.905) (end 3.81 -1.905) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (rectangle (start -3.175 -1.27) (end -3.937 1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy 0.635 1.143) + (xy 1.016 0.381) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.81 -1.27) + (xy 0.635 -1.27) + (xy 0.635 1.143) + (xy 0.254 0.381) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.81 1.27) + (xy -0.635 1.27) + (xy -1.27 0.635) + (xy -1.905 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "35RAPC2AV_1_1" + (pin unspecified line (at -3.81 -3.81 90) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 1.27 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 -1.27 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Amphenol-FCI_10127720-241" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "P" (id 0) (at 0 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Amphenol-FCI_10127720-241" (id 1) (at 0 -17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Amphenol-FCI_10127720-241" (id 2) (at 0 -19.05 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 90) + (effects (font (size 1.524 1.524))) + ) + (symbol "Amphenol-FCI_10127720-241_0_1" + (rectangle (start 5.08 16.51) (end -5.08 -16.51) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Amphenol-FCI_10127720-241_1_1" + (pin unspecified line (at -6.35 -13.97 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 8.89 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 11.43 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 13.97 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 -13.97 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 -11.43 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 -8.89 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 -6.35 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 -3.81 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 -1.27 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 1.27 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -11.43 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 3.81 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 6.35 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 8.89 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 11.43 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 6.35 13.97 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -8.89 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -6.35 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -3.81 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -1.27 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 1.27 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 3.81 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 6.35 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Bus_ISA" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 40.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_ISA" (id 1) (at 0 -87.63 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.27 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at -1.27 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x31, row letter first pin numbering scheme (pin number consists of a letter for the row and a number for the pin index in this row. a1, ..., aN; b1, ..., bN), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bus_ISA_1_1" + (rectangle (start -2.54 -83.693) (end -1.27 -83.947) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -81.153) (end -1.27 -81.407) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -78.613) (end -1.27 -78.867) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -76.073) (end -1.27 -76.327) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -73.533) (end -1.27 -73.787) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -70.993) (end -1.27 -71.247) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -68.453) (end -1.27 -68.707) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -65.913) (end -1.27 -66.167) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -63.373) (end -1.27 -63.627) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -60.833) (end -1.27 -61.087) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -58.293) (end -1.27 -58.547) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -55.753) (end -1.27 -56.007) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -53.213) (end -1.27 -53.467) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -50.673) (end -1.27 -50.927) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -48.133) (end -1.27 -48.387) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -45.593) (end -1.27 -45.847) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -43.053) (end -1.27 -43.307) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -40.513) (end -1.27 -40.767) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -39.37) (end 2.54 -86.36) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (rectangle (start -2.54 -37.973) (end -1.27 -38.227) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -35.433) (end -1.27 -35.687) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -32.893) (end -1.27 -33.147) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -30.353) (end -1.27 -30.607) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -27.813) (end -1.27 -28.067) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -25.273) (end -1.27 -25.527) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -22.733) (end -1.27 -22.987) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -20.193) (end -1.27 -20.447) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -17.653) (end -1.27 -17.907) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -15.113) (end -1.27 -15.367) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -12.573) (end -1.27 -12.827) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -10.033) (end -1.27 -10.287) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -7.493) (end -1.27 -7.747) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -4.953) (end -1.27 -5.207) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 -2.413) (end -1.27 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 0.127) (end -1.27 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 2.667) (end -1.27 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 5.207) (end -1.27 4.953) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 7.747) (end -1.27 7.493) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 10.287) (end -1.27 10.033) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 12.827) (end -1.27 12.573) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 15.367) (end -1.27 15.113) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 17.907) (end -1.27 17.653) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 20.447) (end -1.27 20.193) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 22.987) (end -1.27 22.733) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 25.527) (end -1.27 25.273) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 28.067) (end -1.27 27.813) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 30.607) (end -1.27 30.353) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 33.147) (end -1.27 32.893) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 35.687) (end -1.27 35.433) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 38.227) (end -1.27 37.973) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -2.54 39.37) (end 2.54 -39.37) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (rectangle (start 2.54 -83.693) (end 1.27 -83.947) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -81.153) (end 1.27 -81.407) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -78.613) (end 1.27 -78.867) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -76.073) (end 1.27 -76.327) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -73.533) (end 1.27 -73.787) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -70.993) (end 1.27 -71.247) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -68.453) (end 1.27 -68.707) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -65.913) (end 1.27 -66.167) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -63.373) (end 1.27 -63.627) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -60.833) (end 1.27 -61.087) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -58.293) (end 1.27 -58.547) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -55.753) (end 1.27 -56.007) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -53.213) (end 1.27 -53.467) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -50.673) (end 1.27 -50.927) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -48.133) (end 1.27 -48.387) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -45.593) (end 1.27 -45.847) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -43.053) (end 1.27 -43.307) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -40.513) (end 1.27 -40.767) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -37.973) (end 1.27 -38.227) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -35.433) (end 1.27 -35.687) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -32.893) (end 1.27 -33.147) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -30.353) (end 1.27 -30.607) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -27.813) (end 1.27 -28.067) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -25.273) (end 1.27 -25.527) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -22.733) (end 1.27 -22.987) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -20.193) (end 1.27 -20.447) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -17.653) (end 1.27 -17.907) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -15.113) (end 1.27 -15.367) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -12.573) (end 1.27 -12.827) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -10.033) (end 1.27 -10.287) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -7.493) (end 1.27 -7.747) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -4.953) (end 1.27 -5.207) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 -2.413) (end 1.27 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 0.127) (end 1.27 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 2.667) (end 1.27 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 5.207) (end 1.27 4.953) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 7.747) (end 1.27 7.493) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 10.287) (end 1.27 10.033) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 12.827) (end 1.27 12.573) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 15.367) (end 1.27 15.113) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 17.907) (end 1.27 17.653) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 20.447) (end 1.27 20.193) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 22.987) (end 1.27 22.733) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 25.527) (end 1.27 25.273) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 28.067) (end 1.27 27.813) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 30.607) (end 1.27 30.353) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 33.147) (end 1.27 32.893) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 35.687) (end 1.27 35.433) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start 2.54 38.227) (end 1.27 37.973) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin passive line (at 6.35 38.1 180) (length 3.81) + (name "Pin_A1" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 15.24 180) (length 3.81) + (name "Pin_A10" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 12.7 180) (length 3.81) + (name "Pin_A11" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 10.16 180) (length 3.81) + (name "Pin_A12" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 7.62 180) (length 3.81) + (name "Pin_A13" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 5.08 180) (length 3.81) + (name "Pin_A14" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 2.54 180) (length 3.81) + (name "Pin_A15" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 0 180) (length 3.81) + (name "Pin_A16" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -2.54 180) (length 3.81) + (name "Pin_A17" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -5.08 180) (length 3.81) + (name "Pin_A18" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -7.62 180) (length 3.81) + (name "Pin_A19" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 35.56 180) (length 3.81) + (name "Pin_A2" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -10.16 180) (length 3.81) + (name "Pin_A20" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -12.7 180) (length 3.81) + (name "Pin_A21" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -15.24 180) (length 3.81) + (name "Pin_A22" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -17.78 180) (length 3.81) + (name "Pin_A23" (effects (font (size 1.27 1.27)))) + (number "A23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -20.32 180) (length 3.81) + (name "Pin_A24" (effects (font (size 1.27 1.27)))) + (number "A24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -22.86 180) (length 3.81) + (name "Pin_A25" (effects (font (size 1.27 1.27)))) + (number "A25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -25.4 180) (length 3.81) + (name "Pin_A26" (effects (font (size 1.27 1.27)))) + (number "A26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -27.94 180) (length 3.81) + (name "Pin_A27" (effects (font (size 1.27 1.27)))) + (number "A27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -30.48 180) (length 3.81) + (name "Pin_A28" (effects (font (size 1.27 1.27)))) + (number "A28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -33.02 180) (length 3.81) + (name "Pin_A29" (effects (font (size 1.27 1.27)))) + (number "A29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 33.02 180) (length 3.81) + (name "Pin_A3" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -35.56 180) (length 3.81) + (name "Pin_A30" (effects (font (size 1.27 1.27)))) + (number "A30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -38.1 180) (length 3.81) + (name "Pin_A31" (effects (font (size 1.27 1.27)))) + (number "A31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 30.48 180) (length 3.81) + (name "Pin_A4" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 27.94 180) (length 3.81) + (name "Pin_A5" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 25.4 180) (length 3.81) + (name "Pin_A6" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 22.86 180) (length 3.81) + (name "Pin_A7" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 20.32 180) (length 3.81) + (name "Pin_A8" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 17.78 180) (length 3.81) + (name "Pin_A9" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 38.1 0) (length 3.81) + (name "Pin_B1" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 15.24 0) (length 3.81) + (name "Pin_B10" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 12.7 0) (length 3.81) + (name "Pin_B11" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 10.16 0) (length 3.81) + (name "Pin_B12" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 7.62 0) (length 3.81) + (name "Pin_B13" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 5.08 0) (length 3.81) + (name "Pin_B14" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 2.54 0) (length 3.81) + (name "Pin_B15" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 0 0) (length 3.81) + (name "Pin_B16" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -2.54 0) (length 3.81) + (name "Pin_B17" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -5.08 0) (length 3.81) + (name "Pin_B18" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -7.62 0) (length 3.81) + (name "Pin_B19" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 35.56 0) (length 3.81) + (name "Pin_B2" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -10.16 0) (length 3.81) + (name "Pin_B20" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -12.7 0) (length 3.81) + (name "Pin_B21" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -15.24 0) (length 3.81) + (name "Pin_B22" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -17.78 0) (length 3.81) + (name "Pin_B23" (effects (font (size 1.27 1.27)))) + (number "B23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -20.32 0) (length 3.81) + (name "Pin_B24" (effects (font (size 1.27 1.27)))) + (number "B24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -22.86 0) (length 3.81) + (name "Pin_B25" (effects (font (size 1.27 1.27)))) + (number "B25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -25.4 0) (length 3.81) + (name "Pin_B26" (effects (font (size 1.27 1.27)))) + (number "B26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -27.94 0) (length 3.81) + (name "Pin_B27" (effects (font (size 1.27 1.27)))) + (number "B27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -30.48 0) (length 3.81) + (name "Pin_B28" (effects (font (size 1.27 1.27)))) + (number "B28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -33.02 0) (length 3.81) + (name "Pin_B29" (effects (font (size 1.27 1.27)))) + (number "B29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 33.02 0) (length 3.81) + (name "Pin_B3" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -35.56 0) (length 3.81) + (name "Pin_B30" (effects (font (size 1.27 1.27)))) + (number "B30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -38.1 0) (length 3.81) + (name "Pin_B31" (effects (font (size 1.27 1.27)))) + (number "B31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 30.48 0) (length 3.81) + (name "Pin_B4" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 27.94 0) (length 3.81) + (name "Pin_B5" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 25.4 0) (length 3.81) + (name "Pin_B6" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 22.86 0) (length 3.81) + (name "Pin_B7" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 20.32 0) (length 3.81) + (name "Pin_B8" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 17.78 0) (length 3.81) + (name "Pin_B9" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -40.64 180) (length 3.81) + (name "Pin_C1" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -63.5 180) (length 3.81) + (name "Pin_C10" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -66.04 180) (length 3.81) + (name "Pin_C11" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -68.58 180) (length 3.81) + (name "Pin_C12" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -71.12 180) (length 3.81) + (name "Pin_C13" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -73.66 180) (length 3.81) + (name "Pin_C14" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -76.2 180) (length 3.81) + (name "Pin_C15" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -78.74 180) (length 3.81) + (name "Pin_C16" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -81.28 180) (length 3.81) + (name "Pin_C17" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -83.82 180) (length 3.81) + (name "Pin_C18" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -43.18 180) (length 3.81) + (name "Pin_C2" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -45.72 180) (length 3.81) + (name "Pin_C3" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -48.26 180) (length 3.81) + (name "Pin_C4" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -50.8 180) (length 3.81) + (name "Pin_C5" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -53.34 180) (length 3.81) + (name "Pin_C6" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -55.88 180) (length 3.81) + (name "Pin_C7" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -58.42 180) (length 3.81) + (name "Pin_C8" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 6.35 -60.96 180) (length 3.81) + (name "Pin_C9" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -40.64 0) (length 3.81) + (name "Pin_D1" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -63.5 0) (length 3.81) + (name "Pin_D10" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -66.04 0) (length 3.81) + (name "Pin_D11" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -68.58 0) (length 3.81) + (name "Pin_D12" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -71.12 0) (length 3.81) + (name "Pin_D13" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -73.66 0) (length 3.81) + (name "Pin_D14" (effects (font (size 1.27 1.27)))) + (number "D14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -76.2 0) (length 3.81) + (name "Pin_D15" (effects (font (size 1.27 1.27)))) + (number "D15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -78.74 0) (length 3.81) + (name "Pin_D16" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -81.28 0) (length 3.81) + (name "Pin_D17" (effects (font (size 1.27 1.27)))) + (number "D17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -83.82 0) (length 3.81) + (name "Pin_D18" (effects (font (size 1.27 1.27)))) + (number "D18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -43.18 0) (length 3.81) + (name "Pin_D2" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -45.72 0) (length 3.81) + (name "Pin_D3" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -48.26 0) (length 3.81) + (name "Pin_D4" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -50.8 0) (length 3.81) + (name "Pin_D5" (effects (font (size 1.27 1.27)))) + (number "D5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -53.34 0) (length 3.81) + (name "Pin_D6" (effects (font (size 1.27 1.27)))) + (number "D6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -55.88 0) (length 3.81) + (name "Pin_D7" (effects (font (size 1.27 1.27)))) + (number "D7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -58.42 0) (length 3.81) + (name "Pin_D8" (effects (font (size 1.27 1.27)))) + (number "D8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.35 -60.96 0) (length 3.81) + (name "Pin_D9" (effects (font (size 1.27 1.27)))) + (number "D9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "IIciCacheConnector" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 59.69 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "IIciCacheConnector" (id 1) (at 0 -46.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "IIciCacheConnector_0_1" + (rectangle (start 0 58.42) (end -10.16 -45.72) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "IIciCacheConnector_1_1" + (pin unspecified line (at 3.81 55.88 180) (length 3.81) + (name "A30" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 33.02 180) (length 3.81) + (name "D24" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 30.48 180) (length 3.81) + (name "D22" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 27.94 180) (length 3.81) + (name "D20" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 25.4 180) (length 3.81) + (name "D18" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 22.86 180) (length 3.81) + (name "D16" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 20.32 180) (length 3.81) + (name "A22" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 17.78 180) (length 3.81) + (name "A20" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 15.24 180) (length 3.81) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 12.7 180) (length 3.81) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 10.16 180) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 53.34 180) (length 3.81) + (name "~{HALT}" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 7.62 180) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 5.08 180) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 2.54 180) (length 3.81) + (name "FC1" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 0 180) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "A23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -2.54 180) (length 3.81) + (name "FC2" (effects (font (size 1.27 1.27)))) + (number "A24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -5.08 180) (length 3.81) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "A25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -7.62 180) (length 3.81) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "A26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -10.16 180) (length 3.81) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "A27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -12.7 180) (length 3.81) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "A28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -15.24 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "A29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 50.8 180) (length 3.81) + (name "A31" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -17.78 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "A30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -20.32 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "A31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -22.86 180) (length 3.81) + (name "~{ROMOE}" (effects (font (size 1.27 1.27)))) + (number "A32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -25.4 180) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "A33" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -27.94 180) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "A34" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -30.48 180) (length 3.81) + (name "~{BG}" (effects (font (size 1.27 1.27)))) + (number "A35" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -33.02 180) (length 3.81) + (name "A23" (effects (font (size 1.27 1.27)))) + (number "A36" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -35.56 180) (length 3.81) + (name "~{DSACK}0" (effects (font (size 1.27 1.27)))) + (number "A37" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -38.1 180) (length 3.81) + (name "CPUCLK" (effects (font (size 1.27 1.27)))) + (number "A38" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -40.64 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A39" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 48.26 180) (length 3.81) + (name "A26" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -43.18 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A40" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 45.72 180) (length 3.81) + (name "~{RMC}" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 43.18 180) (length 3.81) + (name "D31" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 40.64 180) (length 3.81) + (name "D30" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 38.1 180) (length 3.81) + (name "D28" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 35.56 180) (length 3.81) + (name "D26" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "IIciCacheConnector_2_1" + (pin unspecified line (at 3.81 55.88 180) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 33.02 180) (length 3.81) + (name "D23" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 30.48 180) (length 3.81) + (name "D21" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 27.94 180) (length 3.81) + (name "D19" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 25.4 180) (length 3.81) + (name "D17" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 22.86 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 20.32 180) (length 3.81) + (name "A21" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 17.78 180) (length 3.81) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 15.24 180) (length 3.81) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 12.7 180) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 10.16 180) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 53.34 180) (length 3.81) + (name "A29" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 7.62 180) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 5.08 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 2.54 180) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 0 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -2.54 180) (length 3.81) + (name "FC0" (effects (font (size 1.27 1.27)))) + (number "B24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -5.08 180) (length 3.81) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "B25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -7.62 180) (length 3.81) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "B26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -10.16 180) (length 3.81) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "B27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -12.7 180) (length 3.81) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "B28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -15.24 180) (length 3.81) + (name "~{BGACK}" (effects (font (size 1.27 1.27)))) + (number "B29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 50.8 180) (length 3.81) + (name "A25" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -17.78 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "B30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -20.32 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "B31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -22.86 180) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "B32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -25.4 180) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "B33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -27.94 180) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "B34" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -30.48 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B35" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -33.02 180) (length 3.81) + (name "CPUDIS" (effects (font (size 1.27 1.27)))) + (number "B36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -35.56 180) (length 3.81) + (name "~{AS}" (effects (font (size 1.27 1.27)))) + (number "B37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 -38.1 180) (length 3.81) + (name "~{DSACK}1" (effects (font (size 1.27 1.27)))) + (number "B38" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -40.64 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 48.26 180) (length 3.81) + (name "A27" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -43.18 180) (length 3.81) + (name "CACHE" (effects (font (size 1.27 1.27)))) + (number "B40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 45.72 180) (length 3.81) + (name "A24" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 43.18 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 40.64 180) (length 3.81) + (name "D29" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 38.1 180) (length 3.81) + (name "D27" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 35.56 180) (length 3.81) + (name "D25" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "IIciCacheConnector_3_1" + (pin unspecified line (at 3.81 55.88 180) (length 3.81) + (name "R~{W}" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 33.02 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 30.48 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 27.94 180) (length 3.81) + (name "~{IPL}2" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 25.4 180) (length 3.81) + (name "~{CENABLE}" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 22.86 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 20.32 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 17.78 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 15.24 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 12.7 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 10.16 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 53.34 180) (length 3.81) + (name "~{STERM}" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 7.62 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "C20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 5.08 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 2.54 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 0 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -2.54 180) (length 3.81) + (name "~{CIOUT}" (effects (font (size 1.27 1.27)))) + (number "C24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -5.08 180) (length 3.81) + (name "~{IPL}1" (effects (font (size 1.27 1.27)))) + (number "C25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -7.62 180) (length 3.81) + (name "~{IPL}0" (effects (font (size 1.27 1.27)))) + (number "C26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -10.16 180) (length 3.81) + (name "~{CBREQ}" (effects (font (size 1.27 1.27)))) + (number "C27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -12.7 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "C28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -15.24 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "C29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 50.8 180) (length 3.81) + (name "A28" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -17.78 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "C30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -20.32 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -22.86 180) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "C32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -25.4 180) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "C33" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -27.94 180) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "C34" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -30.48 180) (length 3.81) + (name "~{CBACK}" (effects (font (size 1.27 1.27)))) + (number "C35" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -33.02 180) (length 3.81) + (name "~{BR}" (effects (font (size 1.27 1.27)))) + (number "C36" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -35.56 180) (length 3.81) + (name "~{DS}" (effects (font (size 1.27 1.27)))) + (number "C37" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -38.1 180) (length 3.81) + (name "~{BERR}" (effects (font (size 1.27 1.27)))) + (number "C38" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -40.64 180) (length 3.81) + (name "SIZ0" (effects (font (size 1.27 1.27)))) + (number "C39" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 48.26 180) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -43.18 180) (length 3.81) + (name "SIZ1" (effects (font (size 1.27 1.27)))) + (number "C40" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 45.72 180) (length 3.81) + (name "~{CFLUSH}" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 43.18 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 40.64 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 38.1 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 35.56 180) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MacNuBus" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 45.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MacNuBus" (id 1) (at 0 -40.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "MacNuBus_0_1" + (rectangle (start 0 44.45) (end -10.16 -39.37) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MacNuBus_1_1" + (pin unspecified line (at 3.81 41.91 180) (length 3.81) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 19.05 180) (length 3.81) + (name "~{AD}9" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 16.51 180) (length 3.81) + (name "~{AD}11" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 13.97 180) (length 3.81) + (name "~{AD}13" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 11.43 180) (length 3.81) + (name "~{AD}15" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 8.89 180) (length 3.81) + (name "~{AD}17" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 6.35 180) (length 3.81) + (name "~{AD}19" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 3.81 180) (length 3.81) + (name "~{AD}21" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 1.27 180) (length 3.81) + (name "~{AD}23" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -1.27 180) (length 3.81) + (name "~{AD}25" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -3.81 180) (length 3.81) + (name "~{AD}27" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 39.37 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -6.35 180) (length 3.81) + (name "~{AD}29" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -8.89 180) (length 3.81) + (name "~{AD}31" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -11.43 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -13.97 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -16.51 180) (length 3.81) + (name "~{ARB}1" (effects (font (size 1.27 1.27)))) + (number "A24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -19.05 180) (length 3.81) + (name "~{ARB}3" (effects (font (size 1.27 1.27)))) + (number "A25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -21.59 180) (length 3.81) + (name "~{ID}1" (effects (font (size 1.27 1.27)))) + (number "A26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -24.13 180) (length 3.81) + (name "~{ID}3" (effects (font (size 1.27 1.27)))) + (number "A27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -26.67 180) (length 3.81) + (name "~{ACK}" (effects (font (size 1.27 1.27)))) + (number "A28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -29.21 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "A29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 36.83 180) (length 3.81) + (name "~{SPV}" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -31.75 180) (length 3.81) + (name "~{RQST}" (effects (font (size 1.27 1.27)))) + (number "A30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -34.29 180) (length 3.81) + (name "~{NMRQ}" (effects (font (size 1.27 1.27)))) + (number "A31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -36.83 180) (length 3.81) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "A32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 34.29 180) (length 3.81) + (name "~{SP}" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 31.75 180) (length 3.81) + (name "~{TM}1" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 29.21 180) (length 3.81) + (name "~{AD}1" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 26.67 180) (length 3.81) + (name "~{AD}3" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 24.13 180) (length 3.81) + (name "~{AD}5" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 21.59 180) (length 3.81) + (name "~{AD}7" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "MacNuBus_2_1" + (pin unspecified line (at 3.81 41.91 180) (length 3.81) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 19.05 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 16.51 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 13.97 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 11.43 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 8.89 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 6.35 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 3.81 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 1.27 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -1.27 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -3.81 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 39.37 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -6.35 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -8.89 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -11.43 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -13.97 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -16.51 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -19.05 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -21.59 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -24.13 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -26.67 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -29.21 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 36.83 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -31.75 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -34.29 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -36.83 180) (length 3.81) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "B32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 34.29 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 31.75 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 29.21 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 26.67 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 24.13 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 21.59 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "MacNuBus_3_1" + (pin unspecified line (at 3.81 41.91 180) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 19.05 180) (length 3.81) + (name "~{AD}8" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 16.51 180) (length 3.81) + (name "~{AD}10" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 13.97 180) (length 3.81) + (name "~{AD}12" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 11.43 180) (length 3.81) + (name "~{AD}14" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 8.89 180) (length 3.81) + (name "~{AD}16" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 6.35 180) (length 3.81) + (name "~{AD}18" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 3.81 180) (length 3.81) + (name "~{AD}20" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 1.27 180) (length 3.81) + (name "~{AD}22" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -1.27 180) (length 3.81) + (name "~{AD}24" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -3.81 180) (length 3.81) + (name "~{AD}26" (effects (font (size 1.27 1.27)))) + (number "C19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 39.37 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -6.35 180) (length 3.81) + (name "~{AD}28" (effects (font (size 1.27 1.27)))) + (number "C20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -8.89 180) (length 3.81) + (name "~{AD}30" (effects (font (size 1.27 1.27)))) + (number "C21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -11.43 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -13.97 180) (length 3.81) + (name "~{PFW}" (effects (font (size 1.27 1.27)))) + (number "C23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -16.51 180) (length 3.81) + (name "~{ARB}0" (effects (font (size 1.27 1.27)))) + (number "C24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -19.05 180) (length 3.81) + (name "~{ARB}2" (effects (font (size 1.27 1.27)))) + (number "C25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -21.59 180) (length 3.81) + (name "~{ID}0" (effects (font (size 1.27 1.27)))) + (number "C26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -24.13 180) (length 3.81) + (name "~{ID}2" (effects (font (size 1.27 1.27)))) + (number "C27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -26.67 180) (length 3.81) + (name "~{START}" (effects (font (size 1.27 1.27)))) + (number "C28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -29.21 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 36.83 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -31.75 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -34.29 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -36.83 180) (length 3.81) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "C32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 34.29 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 31.75 180) (length 3.81) + (name "~{TM}0" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 29.21 180) (length 3.81) + (name "~{AD}0" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 26.67 180) (length 3.81) + (name "~{AD}2" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 24.13 180) (length 3.81) + (name "~{AD}4" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 21.59 180) (length 3.81) + (name "~{AD}6" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MacSEPDS" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 45.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MacSEPDS" (id 1) (at 0 -40.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "MacSEPDS_0_1" + (rectangle (start 0 44.45) (end -10.16 -39.37) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MacSEPDS_1_1" + (pin unspecified line (at 3.81 41.91 180) (length 3.81) + (name "FC2" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 19.05 180) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 16.51 180) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 13.97 180) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 11.43 180) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 8.89 180) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 6.35 180) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 3.81 180) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 1.27 180) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -1.27 180) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -3.81 180) (length 3.81) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 39.37 180) (length 3.81) + (name "FC1" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -6.35 180) (length 3.81) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -8.89 180) (length 3.81) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -11.43 180) (length 3.81) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -13.97 180) (length 3.81) + (name "A20" (effects (font (size 1.27 1.27)))) + (number "A23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -16.51 180) (length 3.81) + (name "A21" (effects (font (size 1.27 1.27)))) + (number "A24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -19.05 180) (length 3.81) + (name "A22" (effects (font (size 1.27 1.27)))) + (number "A25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -21.59 180) (length 3.81) + (name "A23" (effects (font (size 1.27 1.27)))) + (number "A26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -24.13 180) (length 3.81) + (name "E" (effects (font (size 1.27 1.27)))) + (number "A27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -26.67 180) (length 3.81) + (name "C8M" (effects (font (size 1.27 1.27)))) + (number "A28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -29.21 180) (length 3.81) + (name "C16M" (effects (font (size 1.27 1.27)))) + (number "A29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 36.83 180) (length 3.81) + (name "FC0" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -31.75 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -34.29 180) (length 3.81) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "A31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -36.83 180) (length 3.81) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "A32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 34.29 180) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 31.75 180) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 29.21 180) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 26.67 180) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 24.13 180) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 21.59 180) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "MacSEPDS_2_1" + (pin unspecified line (at 3.81 41.91 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 19.05 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 16.51 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 13.97 180) (length 3.81) + (name "~{HALT}" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 11.43 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 8.89 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 6.35 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 3.81 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 1.27 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -1.27 180) (length 3.81) + (name "~{IPL}0" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -3.81 180) (length 3.81) + (name "~{IPL}1" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 39.37 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -6.35 180) (length 3.81) + (name "~{IPL}2" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -8.89 180) (length 3.81) + (name "~{BERR}" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -11.43 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -13.97 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -16.51 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -19.05 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -21.59 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -24.13 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "B27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -26.67 180) (length 3.81) + (name "~{EXT.DTK}" (effects (font (size 1.27 1.27)))) + (number "B28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -29.21 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 36.83 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -31.75 180) (length 3.81) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "B30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -34.29 180) (length 3.81) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "B31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -36.83 180) (length 3.81) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "B32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 34.29 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 31.75 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 29.21 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 26.67 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 24.13 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 21.59 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "MacSEPDS_3_1" + (pin unspecified line (at 3.81 41.91 180) (length 3.81) + (name "~{VPA}" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 19.05 180) (length 3.81) + (name "~{AS}" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 16.51 180) (length 3.81) + (name "~{PMCYC}" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 13.97 180) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 11.43 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 8.89 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 6.35 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 3.81 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 1.27 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -1.27 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -3.81 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "C19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 39.37 180) (length 3.81) + (name "~{VMA}" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -6.35 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "C20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -8.89 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "C21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -11.43 180) (length 3.81) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "C22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -13.97 180) (length 3.81) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "C23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -16.51 180) (length 3.81) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "C24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -19.05 180) (length 3.81) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "C25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -21.59 180) (length 3.81) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "C26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -24.13 180) (length 3.81) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "C27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -26.67 180) (length 3.81) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "C28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -29.21 180) (length 3.81) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "C29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 36.83 180) (length 3.81) + (name "~{BR}" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -31.75 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -34.29 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "C31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -36.83 180) (length 3.81) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "C32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 34.29 180) (length 3.81) + (name "~{BGACK}" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 31.75 180) (length 3.81) + (name "~{BG}" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 29.21 180) (length 3.81) + (name "~{DTACK}" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 26.67 180) (length 3.81) + (name "R~{W}" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 24.13 180) (length 3.81) + (name "~{LDS}" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 21.59 180) (length 3.81) + (name "~{UDS}" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Micro_SD_SingleDet" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at -16.51 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Micro_SD_SingleDet" (id 1) (at 16.51 17.78 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 52.07 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "microSD*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Micro_SD_SingleDet_0_1" + (rectangle (start -7.62 -6.985) (end -5.08 -8.255) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 -4.445) (end -5.08 -5.715) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 -1.905) (end -5.08 -3.175) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 0.635) (end -5.08 -0.635) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 3.175) (end -5.08 1.905) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 5.715) (end -5.08 4.445) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 8.255) (end -5.08 6.985) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (rectangle (start -7.62 10.795) (end -5.08 9.525) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy 16.51 15.24) + (xy 16.51 16.51) + (xy -19.05 16.51) + (xy -19.05 -16.51) + (xy 16.51 -16.51) + (xy 16.51 -8.89) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -8.89 -8.89) + (xy -8.89 11.43) + (xy -1.27 11.43) + (xy 2.54 15.24) + (xy 3.81 15.24) + (xy 3.81 13.97) + (xy 6.35 13.97) + (xy 7.62 15.24) + (xy 20.32 15.24) + (xy 20.32 -8.89) + (xy -8.89 -8.89) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Micro_SD_SingleDet_1_1" + (pin bidirectional line (at -22.86 10.16 0) (length 3.81) + (name "DAT2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -12.7 180) (length 3.81) + (name "SHIELD" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 7.62 0) (length 3.81) + (name "DAT3/CD" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 5.08 0) (length 3.81) + (name "CMD" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 2.54 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 0 0) (length 3.81) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 -2.54 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -5.08 0) (length 3.81) + (name "DAT0" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -7.62 0) (length 3.81) + (name "DAT1" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -22.86 -10.16 0) (length 3.81) + (name "DET" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Micro_SD_Card_Det_Hirose_DM3AT_0" (extends "Micro_SD_SingleDet") + (property "Reference" "J" (id 0) (at -16.51 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Micro_SD_Card_Det_Hirose_DM3AT_0" (id 1) (at 16.51 17.78 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 52.07 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "microSD*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "MiniDIN-4" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MiniDIN-4" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MiniDIN-4_1_1" + (circle (center -3.302 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -3.048 -4.064) (mid 0 -5.08) (end 3.048 -4.064) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center -2.032 2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.016 -2.54) (end 1.016 -3.556) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (arc (start -1.016 5.08) (mid -4.6228 2.1214) (end -4.318 -2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.81 0) + (xy -5.08 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 2.54) + (xy -5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.794 2.54) + (xy 5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 0) + (xy 3.81 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -4.318 -2.54) + (xy -3.048 -2.54) + (xy -3.048 -4.064) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.318 -2.54) + (xy 3.048 -2.54) + (xy 3.048 -4.064) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 5.08) + (xy -1.016 4.064) + (xy 1.016 4.064) + (xy 1.016 5.08) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.286 2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 3.302 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 4.318 -2.54) (mid 4.6661 2.1322) (end 1.016 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin passive line (at 7.62 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 0 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 2.54 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -2.54 0) (length 3.81) + (name "~" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MiniDIN-6" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 1.27 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MiniDIN-6" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.27 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -1.27 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MiniDIN-6_1_1" + (circle (center -3.302 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -3.048 -4.064) (mid 0 -5.08) (end 3.048 -4.064) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center -2.032 -2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center -2.032 2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -1.016 5.08) (mid -4.6228 2.1214) (end -4.318 -2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -0.762 2.54) (end 0.762 0) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy -3.81 0) + (xy -5.08 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 2.54) + (xy -5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.794 2.54) + (xy 5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 0) + (xy 3.81 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -4.318 -2.54) + (xy -3.048 -2.54) + (xy -3.048 -4.064) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.318 -2.54) + (xy 3.048 -2.54) + (xy 3.048 -4.064) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 -3.048) + (xy -2.032 -3.556) + (xy -5.08 -3.556) + (xy -5.08 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 5.08) + (xy -1.016 4.064) + (xy 1.016 4.064) + (xy 1.016 5.08) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -3.048) + (xy 2.032 -3.556) + (xy 5.08 -3.556) + (xy 5.08 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 -2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.286 2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 3.302 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 4.318 -2.54) (mid 4.6661 2.1322) (end 1.016 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (pin passive line (at 7.62 -2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -2.54 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 0 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 2.54 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -5.08 0) (length 7.62) + (name "~" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MiniDIN-8" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 5.08 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MiniDIN-8" (id 1) (at 10.668 -5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -0.254 -0.254 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://service.powerdynamics.com/ec/Catalog17/Section%2011.pdf" (id 3) (at -0.254 -0.254 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Mini-DIN" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-pin Mini-DIN connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MINI?DIN*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MiniDIN-8_0_1" + (circle (center -3.302 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -3.048 -4.064) (mid 0 -5.08) (end 3.048 -4.064) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center -2.032 -2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center -2.032 2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start -1.016 5.08) (mid -4.6228 2.1214) (end -4.318 -2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.81 0) + (xy -5.08 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 -6.35) + (xy -2.54 -4.445) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 2.54) + (xy -5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -5.08) + (xy 0 -0.508) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 3.81) + (xy 0 5.08) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.794 2.54) + (xy 5.08 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 0) + (xy 3.81 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -4.318 -2.54) + (xy -3.048 -2.54) + (xy -3.048 -4.064) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.318 -2.54) + (xy 3.048 -2.54) + (xy 3.048 -4.064) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 -3.048) + (xy -2.032 -3.556) + (xy -5.08 -3.556) + (xy -5.08 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 5.08) + (xy -1.016 4.318) + (xy 1.016 4.318) + (xy 1.016 5.08) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -3.048) + (xy 2.032 -3.556) + (xy 5.08 -3.556) + (xy 5.08 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 0 0) (radius 0.5588) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 0 3.302) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.032 -2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.286 2.54) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 3.302 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 4.318 -2.54) (mid 4.6661 2.1322) (end 1.016 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "MiniDIN-8_1_1" + (pin passive line (at 7.62 -2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -2.54 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -7.62 90) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 0 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 7.62 270) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 2.54 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -6.35 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SODIMM-DDR3-204" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SODIMM-DDR3-204" (id 1) (at 0 -128.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "SODIMM-DDR3-204_1_1" + (rectangle (start 0 43.18) (end -7.62 -50.8) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin unspecified line (at 3.81 40.64 180) (length 3.81) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 27.94 180) (length 3.81) + (name "11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 25.4 180) (length 3.81) + (name "13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 22.86 180) (length 3.81) + (name "15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 20.32 180) (length 3.81) + (name "17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 17.78 180) (length 3.81) + (name "19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 15.24 180) (length 3.81) + (name "21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 12.7 180) (length 3.81) + (name "23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 10.16 180) (length 3.81) + (name "25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 7.62 180) (length 3.81) + (name "27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 5.08 180) (length 3.81) + (name "29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 38.1 180) (length 3.81) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 2.54 180) (length 3.81) + (name "31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 0 180) (length 3.81) + (name "33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -2.54 180) (length 3.81) + (name "35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -5.08 180) (length 3.81) + (name "37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -7.62 180) (length 3.81) + (name "39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -10.16 180) (length 3.81) + (name "41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -12.7 180) (length 3.81) + (name "43" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -15.24 180) (length 3.81) + (name "45" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -17.78 180) (length 3.81) + (name "47" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -20.32 180) (length 3.81) + (name "49" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 35.56 180) (length 3.81) + (name "5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -22.86 180) (length 3.81) + (name "51" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -25.4 180) (length 3.81) + (name "53" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -27.94 180) (length 3.81) + (name "55" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -30.48 180) (length 3.81) + (name "57" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -33.02 180) (length 3.81) + (name "59" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -35.56 180) (length 3.81) + (name "61" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -38.1 180) (length 3.81) + (name "63" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -40.64 180) (length 3.81) + (name "65" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -43.18 180) (length 3.81) + (name "67" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -45.72 180) (length 3.81) + (name "69" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 33.02 180) (length 3.81) + (name "7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -48.26 180) (length 3.81) + (name "71" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 30.48 180) (length 3.81) + (name "9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "SODIMM-DDR3-204_2_1" + (rectangle (start 0 43.18) (end -7.62 -50.8) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin unspecified line (at 3.81 30.48 180) (length 3.81) + (name "10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 27.94 180) (length 3.81) + (name "12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 25.4 180) (length 3.81) + (name "14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 22.86 180) (length 3.81) + (name "16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 20.32 180) (length 3.81) + (name "18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 40.64 180) (length 3.81) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 17.78 180) (length 3.81) + (name "20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 15.24 180) (length 3.81) + (name "22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 12.7 180) (length 3.81) + (name "24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 10.16 180) (length 3.81) + (name "26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 7.62 180) (length 3.81) + (name "28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 5.08 180) (length 3.81) + (name "30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 2.54 180) (length 3.81) + (name "32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 0 180) (length 3.81) + (name "34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -2.54 180) (length 3.81) + (name "36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -5.08 180) (length 3.81) + (name "38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 38.1 180) (length 3.81) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -7.62 180) (length 3.81) + (name "40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -10.16 180) (length 3.81) + (name "42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -12.7 180) (length 3.81) + (name "44" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -15.24 180) (length 3.81) + (name "46" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -17.78 180) (length 3.81) + (name "48" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -20.32 180) (length 3.81) + (name "50" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -22.86 180) (length 3.81) + (name "52" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -25.4 180) (length 3.81) + (name "54" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -27.94 180) (length 3.81) + (name "56" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -30.48 180) (length 3.81) + (name "58" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 35.56 180) (length 3.81) + (name "6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -33.02 180) (length 3.81) + (name "60" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -35.56 180) (length 3.81) + (name "62" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -38.1 180) (length 3.81) + (name "64" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -40.64 180) (length 3.81) + (name "66" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -43.18 180) (length 3.81) + (name "68" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -45.72 180) (length 3.81) + (name "70" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -48.26 180) (length 3.81) + (name "72" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 33.02 180) (length 3.81) + (name "8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "SODIMM-DDR3-204_3_1" + (rectangle (start 0 -127) (end -7.62 43.18) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin unspecified line (at 3.81 5.08 180) (length 3.81) + (name "101" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 2.54 180) (length 3.81) + (name "103" (effects (font (size 1.27 1.27)))) + (number "103" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 0 180) (length 3.81) + (name "105" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -2.54 180) (length 3.81) + (name "107" (effects (font (size 1.27 1.27)))) + (number "107" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -5.08 180) (length 3.81) + (name "109" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -7.62 180) (length 3.81) + (name "111" (effects (font (size 1.27 1.27)))) + (number "111" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -10.16 180) (length 3.81) + (name "113" (effects (font (size 1.27 1.27)))) + (number "113" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -12.7 180) (length 3.81) + (name "115" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -15.24 180) (length 3.81) + (name "117" (effects (font (size 1.27 1.27)))) + (number "117" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -17.78 180) (length 3.81) + (name "119" (effects (font (size 1.27 1.27)))) + (number "119" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -20.32 180) (length 3.81) + (name "121" (effects (font (size 1.27 1.27)))) + (number "121" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -22.86 180) (length 3.81) + (name "123" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -25.4 180) (length 3.81) + (name "125" (effects (font (size 1.27 1.27)))) + (number "125" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -27.94 180) (length 3.81) + (name "127" (effects (font (size 1.27 1.27)))) + (number "127" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -30.48 180) (length 3.81) + (name "129" (effects (font (size 1.27 1.27)))) + (number "129" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -33.02 180) (length 3.81) + (name "131" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -35.56 180) (length 3.81) + (name "133" (effects (font (size 1.27 1.27)))) + (number "133" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -38.1 180) (length 3.81) + (name "135" (effects (font (size 1.27 1.27)))) + (number "135" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -40.64 180) (length 3.81) + (name "137" (effects (font (size 1.27 1.27)))) + (number "137" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -43.18 180) (length 3.81) + (name "139" (effects (font (size 1.27 1.27)))) + (number "139" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -45.72 180) (length 3.81) + (name "141" (effects (font (size 1.27 1.27)))) + (number "141" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -48.26 180) (length 3.81) + (name "143" (effects (font (size 1.27 1.27)))) + (number "143" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -50.8 180) (length 3.81) + (name "145" (effects (font (size 1.27 1.27)))) + (number "145" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -53.34 180) (length 3.81) + (name "147" (effects (font (size 1.27 1.27)))) + (number "147" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -55.88 180) (length 3.81) + (name "149" (effects (font (size 1.27 1.27)))) + (number "149" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -58.42 180) (length 3.81) + (name "151" (effects (font (size 1.27 1.27)))) + (number "151" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -60.96 180) (length 3.81) + (name "153" (effects (font (size 1.27 1.27)))) + (number "153" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -63.5 180) (length 3.81) + (name "155" (effects (font (size 1.27 1.27)))) + (number "155" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -66.04 180) (length 3.81) + (name "157" (effects (font (size 1.27 1.27)))) + (number "157" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -68.58 180) (length 3.81) + (name "159" (effects (font (size 1.27 1.27)))) + (number "159" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -71.12 180) (length 3.81) + (name "161" (effects (font (size 1.27 1.27)))) + (number "161" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -73.66 180) (length 3.81) + (name "163" (effects (font (size 1.27 1.27)))) + (number "163" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -76.2 180) (length 3.81) + (name "165" (effects (font (size 1.27 1.27)))) + (number "165" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -78.74 180) (length 3.81) + (name "167" (effects (font (size 1.27 1.27)))) + (number "167" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -81.28 180) (length 3.81) + (name "169" (effects (font (size 1.27 1.27)))) + (number "169" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -83.82 180) (length 3.81) + (name "171" (effects (font (size 1.27 1.27)))) + (number "171" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -86.36 180) (length 3.81) + (name "173" (effects (font (size 1.27 1.27)))) + (number "173" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -88.9 180) (length 3.81) + (name "175" (effects (font (size 1.27 1.27)))) + (number "175" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -91.44 180) (length 3.81) + (name "177" (effects (font (size 1.27 1.27)))) + (number "177" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -93.98 180) (length 3.81) + (name "179" (effects (font (size 1.27 1.27)))) + (number "179" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -96.52 180) (length 3.81) + (name "181" (effects (font (size 1.27 1.27)))) + (number "181" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -99.06 180) (length 3.81) + (name "183" (effects (font (size 1.27 1.27)))) + (number "183" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -101.6 180) (length 3.81) + (name "185" (effects (font (size 1.27 1.27)))) + (number "185" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -104.14 180) (length 3.81) + (name "187" (effects (font (size 1.27 1.27)))) + (number "187" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -106.68 180) (length 3.81) + (name "189" (effects (font (size 1.27 1.27)))) + (number "189" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -109.22 180) (length 3.81) + (name "191" (effects (font (size 1.27 1.27)))) + (number "191" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -111.76 180) (length 3.81) + (name "193" (effects (font (size 1.27 1.27)))) + (number "193" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -114.3 180) (length 3.81) + (name "195" (effects (font (size 1.27 1.27)))) + (number "195" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -116.84 180) (length 3.81) + (name "197" (effects (font (size 1.27 1.27)))) + (number "197" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -119.38 180) (length 3.81) + (name "199" (effects (font (size 1.27 1.27)))) + (number "199" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -121.92 180) (length 3.81) + (name "201" (effects (font (size 1.27 1.27)))) + (number "201" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -124.46 180) (length 3.81) + (name "203" (effects (font (size 1.27 1.27)))) + (number "203" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 40.64 180) (length 3.81) + (name "73" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 38.1 180) (length 3.81) + (name "75" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 35.56 180) (length 3.81) + (name "77" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 33.02 180) (length 3.81) + (name "79" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 30.48 180) (length 3.81) + (name "81" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 27.94 180) (length 3.81) + (name "83" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 25.4 180) (length 3.81) + (name "85" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 22.86 180) (length 3.81) + (name "87" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 20.32 180) (length 3.81) + (name "89" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 17.78 180) (length 3.81) + (name "91" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 15.24 180) (length 3.81) + (name "93" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 12.7 180) (length 3.81) + (name "95" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 10.16 180) (length 3.81) + (name "97" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 7.62 180) (length 3.81) + (name "99" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "SODIMM-DDR3-204_4_1" + (rectangle (start 0 -127) (end -7.62 43.18) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin unspecified line (at 3.81 7.62 180) (length 3.81) + (name "100" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 5.08 180) (length 3.81) + (name "102" (effects (font (size 1.27 1.27)))) + (number "102" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 2.54 180) (length 3.81) + (name "104" (effects (font (size 1.27 1.27)))) + (number "104" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 0 180) (length 3.81) + (name "106" (effects (font (size 1.27 1.27)))) + (number "106" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -2.54 180) (length 3.81) + (name "108" (effects (font (size 1.27 1.27)))) + (number "108" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -5.08 180) (length 3.81) + (name "110" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -7.62 180) (length 3.81) + (name "112" (effects (font (size 1.27 1.27)))) + (number "112" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -10.16 180) (length 3.81) + (name "114" (effects (font (size 1.27 1.27)))) + (number "114" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -12.7 180) (length 3.81) + (name "116" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -15.24 180) (length 3.81) + (name "118" (effects (font (size 1.27 1.27)))) + (number "118" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -17.78 180) (length 3.81) + (name "120" (effects (font (size 1.27 1.27)))) + (number "120" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -20.32 180) (length 3.81) + (name "122" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -22.86 180) (length 3.81) + (name "124" (effects (font (size 1.27 1.27)))) + (number "124" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -25.4 180) (length 3.81) + (name "126" (effects (font (size 1.27 1.27)))) + (number "126" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -27.94 180) (length 3.81) + (name "128" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -30.48 180) (length 3.81) + (name "130" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -33.02 180) (length 3.81) + (name "132" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -35.56 180) (length 3.81) + (name "134" (effects (font (size 1.27 1.27)))) + (number "134" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -38.1 180) (length 3.81) + (name "136" (effects (font (size 1.27 1.27)))) + (number "136" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -40.64 180) (length 3.81) + (name "138" (effects (font (size 1.27 1.27)))) + (number "138" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -43.18 180) (length 3.81) + (name "140" (effects (font (size 1.27 1.27)))) + (number "140" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -45.72 180) (length 3.81) + (name "142" (effects (font (size 1.27 1.27)))) + (number "142" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -48.26 180) (length 3.81) + (name "144" (effects (font (size 1.27 1.27)))) + (number "144" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -50.8 180) (length 3.81) + (name "146" (effects (font (size 1.27 1.27)))) + (number "146" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -53.34 180) (length 3.81) + (name "148" (effects (font (size 1.27 1.27)))) + (number "148" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -55.88 180) (length 3.81) + (name "150" (effects (font (size 1.27 1.27)))) + (number "150" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -58.42 180) (length 3.81) + (name "152" (effects (font (size 1.27 1.27)))) + (number "152" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -60.96 180) (length 3.81) + (name "154" (effects (font (size 1.27 1.27)))) + (number "154" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -63.5 180) (length 3.81) + (name "156" (effects (font (size 1.27 1.27)))) + (number "156" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -66.04 180) (length 3.81) + (name "158" (effects (font (size 1.27 1.27)))) + (number "158" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -68.58 180) (length 3.81) + (name "160" (effects (font (size 1.27 1.27)))) + (number "160" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -71.12 180) (length 3.81) + (name "162" (effects (font (size 1.27 1.27)))) + (number "162" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -73.66 180) (length 3.81) + (name "164" (effects (font (size 1.27 1.27)))) + (number "164" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -76.2 180) (length 3.81) + (name "166" (effects (font (size 1.27 1.27)))) + (number "166" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -78.74 180) (length 3.81) + (name "168" (effects (font (size 1.27 1.27)))) + (number "168" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -81.28 180) (length 3.81) + (name "170" (effects (font (size 1.27 1.27)))) + (number "170" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -83.82 180) (length 3.81) + (name "172" (effects (font (size 1.27 1.27)))) + (number "172" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -86.36 180) (length 3.81) + (name "174" (effects (font (size 1.27 1.27)))) + (number "174" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -88.9 180) (length 3.81) + (name "176" (effects (font (size 1.27 1.27)))) + (number "176" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -91.44 180) (length 3.81) + (name "178" (effects (font (size 1.27 1.27)))) + (number "178" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -93.98 180) (length 3.81) + (name "180" (effects (font (size 1.27 1.27)))) + (number "180" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -96.52 180) (length 3.81) + (name "182" (effects (font (size 1.27 1.27)))) + (number "182" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -99.06 180) (length 3.81) + (name "184" (effects (font (size 1.27 1.27)))) + (number "184" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -101.6 180) (length 3.81) + (name "186" (effects (font (size 1.27 1.27)))) + (number "186" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -104.14 180) (length 3.81) + (name "188" (effects (font (size 1.27 1.27)))) + (number "188" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -106.68 180) (length 3.81) + (name "190" (effects (font (size 1.27 1.27)))) + (number "190" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -109.22 180) (length 3.81) + (name "192" (effects (font (size 1.27 1.27)))) + (number "192" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -111.76 180) (length 3.81) + (name "194" (effects (font (size 1.27 1.27)))) + (number "194" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -114.3 180) (length 3.81) + (name "196" (effects (font (size 1.27 1.27)))) + (number "196" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -116.84 180) (length 3.81) + (name "198" (effects (font (size 1.27 1.27)))) + (number "198" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -119.38 180) (length 3.81) + (name "200" (effects (font (size 1.27 1.27)))) + (number "200" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -121.92 180) (length 3.81) + (name "202" (effects (font (size 1.27 1.27)))) + (number "202" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -124.46 180) (length 3.81) + (name "204" (effects (font (size 1.27 1.27)))) + (number "204" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 40.64 180) (length 3.81) + (name "74" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 38.1 180) (length 3.81) + (name "76" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 35.56 180) (length 3.81) + (name "78" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 33.02 180) (length 3.81) + (name "80" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 30.48 180) (length 3.81) + (name "82" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 27.94 180) (length 3.81) + (name "84" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 25.4 180) (length 3.81) + (name "86" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 22.86 180) (length 3.81) + (name "88" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 20.32 180) (length 3.81) + (name "90" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 17.78 180) (length 3.81) + (name "92" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 15.24 180) (length 3.81) + (name "94" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 12.7 180) (length 3.81) + (name "96" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 10.16 180) (length 3.81) + (name "98" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_Connector.lib b/GW_Connector.lib deleted file mode 100644 index fa06cc9..0000000 --- a/GW_Connector.lib +++ /dev/null @@ -1,988 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# 35RAPC2AV -# -DEF 35RAPC2AV J 0 40 Y Y 1 F N -F0 "J" 0 125 50 H V C CNN -F1 "35RAPC2AV" -100 -100 50 H V L TNN -F2 "stdpads:Switchcraft_35RAPC2AV" 0 -200 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -T 0 -50 0 30 0 0 0 1 Normal 0 C C -S -135 75 150 -75 0 1 10 f -S -125 -50 -155 50 0 1 0 F -P 2 0 1 0 25 45 40 15 N -P 4 0 1 0 150 -50 25 -50 25 45 10 15 N -P 4 0 1 10 150 50 -25 50 -50 25 -75 50 N -X ~ 1 -150 -150 100 U 50 50 1 1 U -X ~ 2 250 50 100 L 50 50 1 1 U -X ~ 3 250 -50 100 L 50 50 1 1 U -ENDDRAW -ENDDEF -# -# Amphenol-FCI_10127720-241 -# -DEF Amphenol-FCI_10127720-241 P 0 40 Y Y 1 F N -F0 "P" 0 700 50 H V C CNN -F1 "Amphenol-FCI_10127720-241" 0 -700 50 H V C CNN -F2 "stdpads:Amphenol-FCI_10127720-241" 0 -750 50 H I C TNN -F3 "" 0 -200 60 V V C CNN -DRAW -S 200 650 -200 -650 0 1 10 N -X ~ 1 -250 -550 200 R 50 50 1 1 U -X ~ 10 -250 350 200 R 50 50 1 1 U -X ~ 11 -250 450 200 R 50 50 1 1 U -X ~ 12 -250 550 200 R 50 50 1 1 U -X ~ 13 250 -550 200 L 50 50 1 1 U -X ~ 14 250 -450 200 L 50 50 1 1 U -X ~ 15 250 -350 200 L 50 50 1 1 U -X ~ 16 250 -250 200 L 50 50 1 1 U -X ~ 17 250 -150 200 L 50 50 1 1 U -X ~ 18 250 -50 200 L 50 50 1 1 U -X ~ 19 250 50 200 L 50 50 1 1 U -X ~ 2 -250 -450 200 R 50 50 1 1 U -X ~ 20 250 150 200 L 50 50 1 1 U -X ~ 21 250 250 200 L 50 50 1 1 U -X ~ 22 250 350 200 L 50 50 1 1 U -X ~ 23 250 450 200 L 50 50 1 1 U -X ~ 24 250 550 200 L 50 50 1 1 U -X ~ 3 -250 -350 200 R 50 50 1 1 U -X ~ 4 -250 -250 200 R 50 50 1 1 U -X ~ 5 -250 -150 200 R 50 50 1 1 U -X ~ 6 -250 -50 200 R 50 50 1 1 U -X ~ 7 -250 50 200 R 50 50 1 1 U -X ~ 8 -250 150 200 R 50 50 1 1 U -X ~ 9 -250 250 200 R 50 50 1 1 U -ENDDRAW -ENDDEF -# -# Bus_ISA -# -DEF Bus_ISA J 0 40 Y N 1 F N -F0 "J" 0 1600 50 H V C CNN -F1 "Bus_ISA" 0 -3450 50 H V C CNN -F2 "" -50 0 50 H I C CNN -F3 "" -50 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -100 -3295 -50 -3305 1 1 6 N -S -100 -3195 -50 -3205 1 1 6 N -S -100 -3095 -50 -3105 1 1 6 N -S -100 -2995 -50 -3005 1 1 6 N -S -100 -2895 -50 -2905 1 1 6 N -S -100 -2795 -50 -2805 1 1 6 N -S -100 -2695 -50 -2705 1 1 6 N -S -100 -2595 -50 -2605 1 1 6 N -S -100 -2495 -50 -2505 1 1 6 N -S -100 -2395 -50 -2405 1 1 6 N -S -100 -2295 -50 -2305 1 1 6 N -S -100 -2195 -50 -2205 1 1 6 N -S -100 -2095 -50 -2105 1 1 6 N -S -100 -1995 -50 -2005 1 1 6 N -S -100 -1895 -50 -1905 1 1 6 N -S -100 -1795 -50 -1805 1 1 6 N -S -100 -1695 -50 -1705 1 1 6 N -S -100 -1595 -50 -1605 1 1 6 N -S -100 -1550 100 -3400 1 1 10 f -S -100 -1495 -50 -1505 1 1 6 N -S -100 -1395 -50 -1405 1 1 6 N -S -100 -1295 -50 -1305 1 1 6 N -S -100 -1195 -50 -1205 1 1 6 N -S -100 -1095 -50 -1105 1 1 6 N -S -100 -995 -50 -1005 1 1 6 N -S -100 -895 -50 -905 1 1 6 N -S -100 -795 -50 -805 1 1 6 N -S -100 -695 -50 -705 1 1 6 N -S -100 -595 -50 -605 1 1 6 N -S -100 -495 -50 -505 1 1 6 N -S -100 -395 -50 -405 1 1 6 N -S -100 -295 -50 -305 1 1 6 N -S -100 -195 -50 -205 1 1 6 N -S -100 -95 -50 -105 1 1 6 N -S -100 5 -50 -5 1 1 6 N -S -100 105 -50 95 1 1 6 N -S -100 205 -50 195 1 1 6 N -S -100 305 -50 295 1 1 6 N -S -100 405 -50 395 1 1 6 N -S -100 505 -50 495 1 1 6 N -S -100 605 -50 595 1 1 6 N -S -100 705 -50 695 1 1 6 N -S -100 805 -50 795 1 1 6 N -S -100 905 -50 895 1 1 6 N -S -100 1005 -50 995 1 1 6 N -S -100 1105 -50 1095 1 1 6 N -S -100 1205 -50 1195 1 1 6 N -S -100 1305 -50 1295 1 1 6 N -S -100 1405 -50 1395 1 1 6 N -S -100 1505 -50 1495 1 1 6 N -S -100 1550 100 -1550 1 1 10 f -S 100 -3295 50 -3305 1 1 6 N -S 100 -3195 50 -3205 1 1 6 N -S 100 -3095 50 -3105 1 1 6 N -S 100 -2995 50 -3005 1 1 6 N -S 100 -2895 50 -2905 1 1 6 N -S 100 -2795 50 -2805 1 1 6 N -S 100 -2695 50 -2705 1 1 6 N -S 100 -2595 50 -2605 1 1 6 N -S 100 -2495 50 -2505 1 1 6 N -S 100 -2395 50 -2405 1 1 6 N -S 100 -2295 50 -2305 1 1 6 N -S 100 -2195 50 -2205 1 1 6 N -S 100 -2095 50 -2105 1 1 6 N -S 100 -1995 50 -2005 1 1 6 N -S 100 -1895 50 -1905 1 1 6 N -S 100 -1795 50 -1805 1 1 6 N -S 100 -1695 50 -1705 1 1 6 N -S 100 -1595 50 -1605 1 1 6 N -S 100 -1495 50 -1505 1 1 6 N -S 100 -1395 50 -1405 1 1 6 N -S 100 -1295 50 -1305 1 1 6 N -S 100 -1195 50 -1205 1 1 6 N -S 100 -1095 50 -1105 1 1 6 N -S 100 -995 50 -1005 1 1 6 N -S 100 -895 50 -905 1 1 6 N -S 100 -795 50 -805 1 1 6 N -S 100 -695 50 -705 1 1 6 N -S 100 -595 50 -605 1 1 6 N -S 100 -495 50 -505 1 1 6 N -S 100 -395 50 -405 1 1 6 N -S 100 -295 50 -305 1 1 6 N -S 100 -195 50 -205 1 1 6 N -S 100 -95 50 -105 1 1 6 N -S 100 5 50 -5 1 1 6 N -S 100 105 50 95 1 1 6 N -S 100 205 50 195 1 1 6 N -S 100 305 50 295 1 1 6 N -S 100 405 50 395 1 1 6 N -S 100 505 50 495 1 1 6 N -S 100 605 50 595 1 1 6 N -S 100 705 50 695 1 1 6 N -S 100 805 50 795 1 1 6 N -S 100 905 50 895 1 1 6 N -S 100 1005 50 995 1 1 6 N -S 100 1105 50 1095 1 1 6 N -S 100 1205 50 1195 1 1 6 N -S 100 1305 50 1295 1 1 6 N -S 100 1405 50 1395 1 1 6 N -S 100 1505 50 1495 1 1 6 N -X Pin_A1 A1 250 1500 150 L 50 50 1 1 P -X Pin_A10 A10 250 600 150 L 50 50 1 1 P -X Pin_A11 A11 250 500 150 L 50 50 1 1 P -X Pin_A12 A12 250 400 150 L 50 50 1 1 P -X Pin_A13 A13 250 300 150 L 50 50 1 1 P -X Pin_A14 A14 250 200 150 L 50 50 1 1 P -X Pin_A15 A15 250 100 150 L 50 50 1 1 P -X Pin_A16 A16 250 0 150 L 50 50 1 1 P -X Pin_A17 A17 250 -100 150 L 50 50 1 1 P -X Pin_A18 A18 250 -200 150 L 50 50 1 1 P -X Pin_A19 A19 250 -300 150 L 50 50 1 1 P -X Pin_A2 A2 250 1400 150 L 50 50 1 1 P -X Pin_A20 A20 250 -400 150 L 50 50 1 1 P -X Pin_A21 A21 250 -500 150 L 50 50 1 1 P -X Pin_A22 A22 250 -600 150 L 50 50 1 1 P -X Pin_A23 A23 250 -700 150 L 50 50 1 1 P -X Pin_A24 A24 250 -800 150 L 50 50 1 1 P -X Pin_A25 A25 250 -900 150 L 50 50 1 1 P -X Pin_A26 A26 250 -1000 150 L 50 50 1 1 P -X Pin_A27 A27 250 -1100 150 L 50 50 1 1 P -X Pin_A28 A28 250 -1200 150 L 50 50 1 1 P -X Pin_A29 A29 250 -1300 150 L 50 50 1 1 P -X Pin_A3 A3 250 1300 150 L 50 50 1 1 P -X Pin_A30 A30 250 -1400 150 L 50 50 1 1 P -X Pin_A31 A31 250 -1500 150 L 50 50 1 1 P -X Pin_A4 A4 250 1200 150 L 50 50 1 1 P -X Pin_A5 A5 250 1100 150 L 50 50 1 1 P -X Pin_A6 A6 250 1000 150 L 50 50 1 1 P -X Pin_A7 A7 250 900 150 L 50 50 1 1 P -X Pin_A8 A8 250 800 150 L 50 50 1 1 P -X Pin_A9 A9 250 700 150 L 50 50 1 1 P -X Pin_B1 B1 -250 1500 150 R 50 50 1 1 P -X Pin_B10 B10 -250 600 150 R 50 50 1 1 P -X Pin_B11 B11 -250 500 150 R 50 50 1 1 P -X Pin_B12 B12 -250 400 150 R 50 50 1 1 P -X Pin_B13 B13 -250 300 150 R 50 50 1 1 P -X Pin_B14 B14 -250 200 150 R 50 50 1 1 P -X Pin_B15 B15 -250 100 150 R 50 50 1 1 P -X Pin_B16 B16 -250 0 150 R 50 50 1 1 P -X Pin_B17 B17 -250 -100 150 R 50 50 1 1 P -X Pin_B18 B18 -250 -200 150 R 50 50 1 1 P -X Pin_B19 B19 -250 -300 150 R 50 50 1 1 P -X Pin_B2 B2 -250 1400 150 R 50 50 1 1 P -X Pin_B20 B20 -250 -400 150 R 50 50 1 1 P -X Pin_B21 B21 -250 -500 150 R 50 50 1 1 P -X Pin_B22 B22 -250 -600 150 R 50 50 1 1 P -X Pin_B23 B23 -250 -700 150 R 50 50 1 1 P -X Pin_B24 B24 -250 -800 150 R 50 50 1 1 P -X Pin_B25 B25 -250 -900 150 R 50 50 1 1 P -X Pin_B26 B26 -250 -1000 150 R 50 50 1 1 P -X Pin_B27 B27 -250 -1100 150 R 50 50 1 1 P -X Pin_B28 B28 -250 -1200 150 R 50 50 1 1 P -X Pin_B29 B29 -250 -1300 150 R 50 50 1 1 P -X Pin_B3 B3 -250 1300 150 R 50 50 1 1 P -X Pin_B30 B30 -250 -1400 150 R 50 50 1 1 P -X Pin_B31 B31 -250 -1500 150 R 50 50 1 1 P -X Pin_B4 B4 -250 1200 150 R 50 50 1 1 P -X Pin_B5 B5 -250 1100 150 R 50 50 1 1 P -X Pin_B6 B6 -250 1000 150 R 50 50 1 1 P -X Pin_B7 B7 -250 900 150 R 50 50 1 1 P -X Pin_B8 B8 -250 800 150 R 50 50 1 1 P -X Pin_B9 B9 -250 700 150 R 50 50 1 1 P -X Pin_C1 C1 250 -1600 150 L 50 50 1 1 P -X Pin_C10 C10 250 -2500 150 L 50 50 1 1 P -X Pin_C11 C11 250 -2600 150 L 50 50 1 1 P -X Pin_C12 C12 250 -2700 150 L 50 50 1 1 P -X Pin_C13 C13 250 -2800 150 L 50 50 1 1 P -X Pin_C14 C14 250 -2900 150 L 50 50 1 1 P -X Pin_C15 C15 250 -3000 150 L 50 50 1 1 P -X Pin_C16 C16 250 -3100 150 L 50 50 1 1 P -X Pin_C17 C17 250 -3200 150 L 50 50 1 1 P -X Pin_C18 C18 250 -3300 150 L 50 50 1 1 P -X Pin_C2 C2 250 -1700 150 L 50 50 1 1 P -X Pin_C3 C3 250 -1800 150 L 50 50 1 1 P -X Pin_C4 C4 250 -1900 150 L 50 50 1 1 P -X Pin_C5 C5 250 -2000 150 L 50 50 1 1 P -X Pin_C6 C6 250 -2100 150 L 50 50 1 1 P -X Pin_C7 C7 250 -2200 150 L 50 50 1 1 P -X Pin_C8 C8 250 -2300 150 L 50 50 1 1 P -X Pin_C9 C9 250 -2400 150 L 50 50 1 1 P -X Pin_D1 D1 -250 -1600 150 R 50 50 1 1 P -X Pin_D10 D10 -250 -2500 150 R 50 50 1 1 P -X Pin_D11 D11 -250 -2600 150 R 50 50 1 1 P -X Pin_D12 D12 -250 -2700 150 R 50 50 1 1 P -X Pin_D13 D13 -250 -2800 150 R 50 50 1 1 P -X Pin_D14 D14 -250 -2900 150 R 50 50 1 1 P -X Pin_D15 D15 -250 -3000 150 R 50 50 1 1 P -X Pin_D16 D16 -250 -3100 150 R 50 50 1 1 P -X Pin_D17 D17 -250 -3200 150 R 50 50 1 1 P -X Pin_D18 D18 -250 -3300 150 R 50 50 1 1 P -X Pin_D2 D2 -250 -1700 150 R 50 50 1 1 P -X Pin_D3 D3 -250 -1800 150 R 50 50 1 1 P -X Pin_D4 D4 -250 -1900 150 R 50 50 1 1 P -X Pin_D5 D5 -250 -2000 150 R 50 50 1 1 P -X Pin_D6 D6 -250 -2100 150 R 50 50 1 1 P -X Pin_D7 D7 -250 -2200 150 R 50 50 1 1 P -X Pin_D8 D8 -250 -2300 150 R 50 50 1 1 P -X Pin_D9 D9 -250 -2400 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# IIciCacheConnector -# -DEF IIciCacheConnector J 0 40 Y Y 3 L N -F0 "J" 0 2350 50 H V C CNN -F1 "IIciCacheConnector" 0 -1850 50 H V C CNN -F2 "" 0 2350 50 H I C CNN -F3 "" 0 2350 50 H I C CNN -DRAW -S 0 2300 -400 -1800 0 1 10 f -X A30 A1 150 2200 150 L 50 50 1 1 U -X D24 A10 150 1300 150 L 50 50 1 1 U -X D22 A11 150 1200 150 L 50 50 1 1 U -X D20 A12 150 1100 150 L 50 50 1 1 U -X D18 A13 150 1000 150 L 50 50 1 1 U -X D16 A14 150 900 150 L 50 50 1 1 U -X A22 A15 150 800 150 L 50 50 1 1 U -X A20 A16 150 700 150 L 50 50 1 1 U -X A18 A17 150 600 150 L 50 50 1 1 U -X A16 A18 150 500 150 L 50 50 1 1 U -X A14 A19 150 400 150 L 50 50 1 1 U -X ~HALT~ A2 150 2100 150 L 50 50 1 1 U -X A12 A20 150 300 150 L 50 50 1 1 U -X A10 A21 150 200 150 L 50 50 1 1 U -X FC1 A22 150 100 150 L 50 50 1 1 U -X A8 A23 150 0 150 L 50 50 1 1 U -X FC2 A24 150 -100 150 L 50 50 1 1 U -X D15 A25 150 -200 150 L 50 50 1 1 U -X D13 A26 150 -300 150 L 50 50 1 1 U -X D11 A27 150 -400 150 L 50 50 1 1 U -X D9 A28 150 -500 150 L 50 50 1 1 U -X D6 A29 150 -600 150 L 50 50 1 1 U -X A31 A3 150 2000 150 L 50 50 1 1 U -X D4 A30 150 -700 150 L 50 50 1 1 U -X D1 A31 150 -800 150 L 50 50 1 1 U -X ~ROMOE~ A32 150 -900 150 L 50 50 1 1 U -X A5 A33 150 -1000 150 L 50 50 1 1 U -X A2 A34 150 -1100 150 L 50 50 1 1 U -X ~BG~ A35 150 -1200 150 L 50 50 1 1 U -X A23 A36 150 -1300 150 L 50 50 1 1 U -X ~DSACK~0 A37 150 -1400 150 L 50 50 1 1 U -X CPUCLK A38 150 -1500 150 L 50 50 1 1 U -X GND A39 150 -1600 150 L 50 50 1 1 U -X A26 A4 150 1900 150 L 50 50 1 1 U -X GND A40 150 -1700 150 L 50 50 1 1 U -X ~RMC~ A5 150 1800 150 L 50 50 1 1 U -X D31 A6 150 1700 150 L 50 50 1 1 U -X D30 A7 150 1600 150 L 50 50 1 1 U -X D28 A8 150 1500 150 L 50 50 1 1 U -X D26 A9 150 1400 150 L 50 50 1 1 U -X ~RESET~ B1 150 2200 150 L 50 50 2 1 U -X D23 B10 150 1300 150 L 50 50 2 1 B -X D21 B11 150 1200 150 L 50 50 2 1 B -X D19 B12 150 1100 150 L 50 50 2 1 B -X D17 B13 150 1000 150 L 50 50 2 1 B -X +5V B14 150 900 150 L 50 50 2 1 U -X A21 B15 150 800 150 L 50 50 2 1 B -X A19 B16 150 700 150 L 50 50 2 1 B -X A17 B17 150 600 150 L 50 50 2 1 B -X A15 B18 150 500 150 L 50 50 2 1 B -X A13 B19 150 400 150 L 50 50 2 1 B -X A29 B2 150 2100 150 L 50 50 2 1 B -X A11 B20 150 300 150 L 50 50 2 1 B -X GND B21 150 200 150 L 50 50 2 1 U -X A9 B22 150 100 150 L 50 50 2 1 B -X NC B23 150 0 150 L 50 50 2 1 U -X FC0 B24 150 -100 150 L 50 50 2 1 B -X D14 B25 150 -200 150 L 50 50 2 1 B -X D12 B26 150 -300 150 L 50 50 2 1 B -X D10 B27 150 -400 150 L 50 50 2 1 B -X D8 B28 150 -500 150 L 50 50 2 1 B -X ~BGACK~ B29 150 -600 150 L 50 50 2 1 B -X A25 B3 150 2000 150 L 50 50 2 1 B -X D3 B30 150 -700 150 L 50 50 2 1 B -X D0 B31 150 -800 150 L 50 50 2 1 B -X A7 B32 150 -900 150 L 50 50 2 1 B -X A4 B33 150 -1000 150 L 50 50 2 1 B -X A1 B34 150 -1100 150 L 50 50 2 1 B -X +5V B35 150 -1200 150 L 50 50 2 1 U -X CPUDIS B36 150 -1300 150 L 50 50 2 1 U -X ~AS~ B37 150 -1400 150 L 50 50 2 1 B -X ~DSACK~1 B38 150 -1500 150 L 50 50 2 1 B -X +5V B39 150 -1600 150 L 50 50 2 1 U -X A27 B4 150 1900 150 L 50 50 2 1 B -X CACHE B40 150 -1700 150 L 50 50 2 1 U -X A24 B5 150 1800 150 L 50 50 2 1 B -X GND B6 150 1700 150 L 50 50 2 1 U -X D29 B7 150 1600 150 L 50 50 2 1 B -X D27 B8 150 1500 150 L 50 50 2 1 B -X D25 B9 150 1400 150 L 50 50 2 1 B -X R~W~ C1 150 2200 150 L 50 50 3 1 U -X GND C10 150 1300 150 L 50 50 3 1 U -X GND C11 150 1200 150 L 50 50 3 1 U -X ~IPL~2 C12 150 1100 150 L 50 50 3 1 U -X ~CENABLE~ C13 150 1000 150 L 50 50 3 1 U -X +5V C14 150 900 150 L 50 50 3 1 U -X +5V C15 150 800 150 L 50 50 3 1 U -X GND C16 150 700 150 L 50 50 3 1 W -X NC C17 150 600 150 L 50 50 3 1 U -X GND C18 150 500 150 L 50 50 3 1 U -X +5V C19 150 400 150 L 50 50 3 1 U -X ~STERM~ C2 150 2100 150 L 50 50 3 1 U -X NC C20 150 300 150 L 50 50 3 1 U -X GND C21 150 200 150 L 50 50 3 1 U -X +5V C22 150 100 150 L 50 50 3 1 U -X GND C23 150 0 150 L 50 50 3 1 U -X ~CIOUT~ C24 150 -100 150 L 50 50 3 1 U -X ~IPL~1 C25 150 -200 150 L 50 50 3 1 U -X ~IPL~0 C26 150 -300 150 L 50 50 3 1 U -X ~CBREQ~ C27 150 -400 150 L 50 50 3 1 U -X D7 C28 150 -500 150 L 50 50 3 1 U -X D5 C29 150 -600 150 L 50 50 3 1 U -X A28 C3 150 2000 150 L 50 50 3 1 U -X D2 C30 150 -700 150 L 50 50 3 1 U -X +5V C31 150 -800 150 L 50 50 3 1 U -X A6 C32 150 -900 150 L 50 50 3 1 U -X A3 C33 150 -1000 150 L 50 50 3 1 U -X A0 C34 150 -1100 150 L 50 50 3 1 U -X ~CBACK~ C35 150 -1200 150 L 50 50 3 1 U -X ~BR~ C36 150 -1300 150 L 50 50 3 1 U -X ~DS~ C37 150 -1400 150 L 50 50 3 1 U -X ~BERR~ C38 150 -1500 150 L 50 50 3 1 U -X SIZ0 C39 150 -1600 150 L 50 50 3 1 U -X VCC C4 150 1900 150 L 50 50 3 1 U -X SIZ1 C40 150 -1700 150 L 50 50 3 1 U -X ~CFLUSH~ C5 150 1800 150 L 50 50 3 1 U -X +5V C6 150 1700 150 L 50 50 3 1 U -X NC C7 150 1600 150 L 50 50 3 1 U -X GND C8 150 1500 150 L 50 50 3 1 U -X VCC C9 150 1400 150 L 50 50 3 1 U -ENDDRAW -ENDDEF -# -# MacNuBus -# -DEF MacNuBus J 0 40 Y Y 3 L N -F0 "J" 0 1800 50 H V C CNN -F1 "MacNuBus" 0 -1600 50 H V C CNN -F2 "" 0 1800 50 H I C CNN -F3 "" 0 1800 50 H I C CNN -DRAW -S 0 1750 -400 -1550 0 1 10 f -X -12V A1 150 1650 150 L 50 50 1 1 U -X ~AD~9 A10 150 750 150 L 50 50 1 1 U -X ~AD~11 A11 150 650 150 L 50 50 1 1 U -X ~AD~13 A12 150 550 150 L 50 50 1 1 U -X ~AD~15 A13 150 450 150 L 50 50 1 1 U -X ~AD~17 A14 150 350 150 L 50 50 1 1 U -X ~AD~19 A15 150 250 150 L 50 50 1 1 U -X ~AD~21 A16 150 150 150 L 50 50 1 1 U -X ~AD~23 A17 150 50 150 L 50 50 1 1 U -X ~AD~25 A18 150 -50 150 L 50 50 1 1 U -X ~AD~27 A19 150 -150 150 L 50 50 1 1 U -X NC A2 150 1550 150 L 50 50 1 1 U -X ~AD~29 A20 150 -250 150 L 50 50 1 1 U -X ~AD~31 A21 150 -350 150 L 50 50 1 1 U -X GND A22 150 -450 150 L 50 50 1 1 U -X GND A23 150 -550 150 L 50 50 1 1 U -X ~ARB~1 A24 150 -650 150 L 50 50 1 1 U -X ~ARB~3 A25 150 -750 150 L 50 50 1 1 U -X ~ID~1 A26 150 -850 150 L 50 50 1 1 U -X ~ID~3 A27 150 -950 150 L 50 50 1 1 U -X ~ACK~ A28 150 -1050 150 L 50 50 1 1 U -X +5V A29 150 -1150 150 L 50 50 1 1 U -X ~SPV~ A3 150 1450 150 L 50 50 1 1 U -X ~RQST~ A30 150 -1250 150 L 50 50 1 1 U -X ~NMRQ~ A31 150 -1350 150 L 50 50 1 1 U -X +12V A32 150 -1450 150 L 50 50 1 1 U -X ~SP~ A4 150 1350 150 L 50 50 1 1 U -X ~TM~1 A5 150 1250 150 L 50 50 1 1 U -X ~AD~1 A6 150 1150 150 L 50 50 1 1 U -X ~AD~3 A7 150 1050 150 L 50 50 1 1 U -X ~AD~5 A8 150 950 150 L 50 50 1 1 U -X ~AD~7 A9 150 850 150 L 50 50 1 1 U -X -12V B1 150 1650 150 L 50 50 2 1 U -X NC B10 150 750 150 L 50 50 2 1 U -X NC B11 150 650 150 L 50 50 2 1 U -X GND B12 150 550 150 L 50 50 2 1 U -X GND B13 150 450 150 L 50 50 2 1 U -X GND B14 150 350 150 L 50 50 2 1 U -X GND B15 150 250 150 L 50 50 2 1 U -X GND B16 150 150 150 L 50 50 2 1 U -X GND B17 150 50 150 L 50 50 2 1 U -X GND B18 150 -50 150 L 50 50 2 1 U -X GND B19 150 -150 150 L 50 50 2 1 U -X GND B2 150 1550 150 L 50 50 2 1 U -X GND B20 150 -250 150 L 50 50 2 1 U -X GND B21 150 -350 150 L 50 50 2 1 U -X GND B22 150 -450 150 L 50 50 2 1 U -X GND B23 150 -550 150 L 50 50 2 1 U -X NC B24 150 -650 150 L 50 50 2 1 U -X NC B25 150 -750 150 L 50 50 2 1 U -X NC B26 150 -850 150 L 50 50 2 1 U -X NC B27 150 -950 150 L 50 50 2 1 U -X +5V B28 150 -1050 150 L 50 50 2 1 U -X +5V B29 150 -1150 150 L 50 50 2 1 U -X GND B3 150 1450 150 L 50 50 2 1 U -X GND B30 150 -1250 150 L 50 50 2 1 U -X GND B31 150 -1350 150 L 50 50 2 1 U -X +12V B32 150 -1450 150 L 50 50 2 1 U -X +5V B4 150 1350 150 L 50 50 2 1 U -X +5V B5 150 1250 150 L 50 50 2 1 U -X +5V B6 150 1150 150 L 50 50 2 1 U -X +5V B7 150 1050 150 L 50 50 2 1 U -X NC B8 150 950 150 L 50 50 2 1 U -X NC B9 150 850 150 L 50 50 2 1 U -X ~RESET~ C1 150 1650 150 L 50 50 3 1 U -X ~AD~8 C10 150 750 150 L 50 50 3 1 U -X ~AD~10 C11 150 650 150 L 50 50 3 1 U -X ~AD~12 C12 150 550 150 L 50 50 3 1 U -X ~AD~14 C13 150 450 150 L 50 50 3 1 U -X ~AD~16 C14 150 350 150 L 50 50 3 1 U -X ~AD~18 C15 150 250 150 L 50 50 3 1 U -X ~AD~20 C16 150 150 150 L 50 50 3 1 U -X ~AD~22 C17 150 50 150 L 50 50 3 1 U -X ~AD~24 C18 150 -50 150 L 50 50 3 1 U -X ~AD~26 C19 150 -150 150 L 50 50 3 1 U -X NC C2 150 1550 150 L 50 50 3 1 U -X ~AD~28 C20 150 -250 150 L 50 50 3 1 U -X ~AD~30 C21 150 -350 150 L 50 50 3 1 U -X GND C22 150 -450 150 L 50 50 3 1 U -X ~PFW~ C23 150 -550 150 L 50 50 3 1 U -X ~ARB~0 C24 150 -650 150 L 50 50 3 1 U -X ~ARB~2 C25 150 -750 150 L 50 50 3 1 U -X ~ID~0 C26 150 -850 150 L 50 50 3 1 U -X ~ID~2 C27 150 -950 150 L 50 50 3 1 U -X ~START~ C28 150 -1050 150 L 50 50 3 1 U -X +5V C29 150 -1150 150 L 50 50 3 1 U -X +5V C3 150 1450 150 L 50 50 3 1 U -X +5V C30 150 -1250 150 L 50 50 3 1 U -X GND C31 150 -1350 150 L 50 50 3 1 U -X CLK C32 150 -1450 150 L 50 50 3 1 U -X +5V C4 150 1350 150 L 50 50 3 1 U -X ~TM~0 C5 150 1250 150 L 50 50 3 1 U -X ~AD~0 C6 150 1150 150 L 50 50 3 1 U -X ~AD~2 C7 150 1050 150 L 50 50 3 1 U -X ~AD~4 C8 150 950 150 L 50 50 3 1 U -X ~AD~6 C9 150 850 150 L 50 50 3 1 U -ENDDRAW -ENDDEF -# -# MacSEPDS -# -DEF MacSEPDS J 0 40 Y Y 3 L N -F0 "J" 0 1800 50 H V C CNN -F1 "MacSEPDS" 0 -1600 50 H V C CNN -F2 "" 0 1800 50 H I C CNN -F3 "" 0 1800 50 H I C CNN -DRAW -S 0 1750 -400 -1550 0 1 10 f -X FC2 A1 150 1650 150 L 50 50 1 1 U -X A7 A10 150 750 150 L 50 50 1 1 U -X A8 A11 150 650 150 L 50 50 1 1 U -X A9 A12 150 550 150 L 50 50 1 1 U -X A10 A13 150 450 150 L 50 50 1 1 U -X A11 A14 150 350 150 L 50 50 1 1 U -X A12 A15 150 250 150 L 50 50 1 1 U -X A13 A16 150 150 150 L 50 50 1 1 U -X A14 A17 150 50 150 L 50 50 1 1 U -X A15 A18 150 -50 150 L 50 50 1 1 U -X A16 A19 150 -150 150 L 50 50 1 1 U -X FC1 A2 150 1550 150 L 50 50 1 1 U -X A17 A20 150 -250 150 L 50 50 1 1 U -X A18 A21 150 -350 150 L 50 50 1 1 U -X A19 A22 150 -450 150 L 50 50 1 1 U -X A20 A23 150 -550 150 L 50 50 1 1 U -X A21 A24 150 -650 150 L 50 50 1 1 U -X A22 A25 150 -750 150 L 50 50 1 1 U -X A23 A26 150 -850 150 L 50 50 1 1 U -X E A27 150 -950 150 L 50 50 1 1 U -X C8M A28 150 -1050 150 L 50 50 1 1 U -X C16M A29 150 -1150 150 L 50 50 1 1 U -X FC0 A3 150 1450 150 L 50 50 1 1 U -X GND A30 150 -1250 150 L 50 50 1 1 U -X +12V A31 150 -1350 150 L 50 50 1 1 U -X +12V A32 150 -1450 150 L 50 50 1 1 U -X A1 A4 150 1350 150 L 50 50 1 1 U -X A2 A5 150 1250 150 L 50 50 1 1 U -X A3 A6 150 1150 150 L 50 50 1 1 U -X A4 A7 150 1050 150 L 50 50 1 1 U -X A5 A8 150 950 150 L 50 50 1 1 U -X A6 A9 150 850 150 L 50 50 1 1 U -X GND B1 150 1650 150 L 50 50 2 1 U -X NC B10 150 750 150 L 50 50 2 1 U -X NC B11 150 650 150 L 50 50 2 1 U -X ~HALT~ B12 150 550 150 L 50 50 2 1 U -X +5V B13 150 450 150 L 50 50 2 1 U -X +5V B14 150 350 150 L 50 50 2 1 U -X +5V B15 150 250 150 L 50 50 2 1 U -X +5V B16 150 150 150 L 50 50 2 1 U -X +5V B17 150 50 150 L 50 50 2 1 U -X ~IPL~0 B18 150 -50 150 L 50 50 2 1 U -X ~IPL~1 B19 150 -150 150 L 50 50 2 1 U -X GND B2 150 1550 150 L 50 50 2 1 U -X ~IPL~2 B20 150 -250 150 L 50 50 2 1 U -X ~BERR~ B21 150 -350 150 L 50 50 2 1 U -X NC B22 150 -450 150 L 50 50 2 1 U -X NC B23 150 -550 150 L 50 50 2 1 U -X NC B24 150 -650 150 L 50 50 2 1 U -X NC B25 150 -750 150 L 50 50 2 1 U -X NC B26 150 -850 150 L 50 50 2 1 U -X NC B27 150 -950 150 L 50 50 2 1 U -X ~EXT.DTK~ B28 150 -1050 150 L 50 50 2 1 U -X GND B29 150 -1150 150 L 50 50 2 1 U -X GND B3 150 1450 150 L 50 50 2 1 U -X +12V B30 150 -1250 150 L 50 50 2 1 U -X +12V B31 150 -1350 150 L 50 50 2 1 U -X -5V B32 150 -1450 150 L 50 50 2 1 U -X GND B4 150 1350 150 L 50 50 2 1 U -X GND B5 150 1250 150 L 50 50 2 1 U -X GND B6 150 1150 150 L 50 50 2 1 U -X GND B7 150 1050 150 L 50 50 2 1 U -X GND B8 150 950 150 L 50 50 2 1 U -X GND B9 150 850 150 L 50 50 2 1 U -X ~VPA~ C1 150 1650 150 L 50 50 3 1 U -X ~AS~ C10 150 750 150 L 50 50 3 1 U -X ~PMCYC~ C11 150 650 150 L 50 50 3 1 U -X ~RESET~ C12 150 550 150 L 50 50 3 1 U -X +5V C13 150 450 150 L 50 50 3 1 U -X D0 C14 150 350 150 L 50 50 3 1 U -X D1 C15 150 250 150 L 50 50 3 1 U -X D2 C16 150 150 150 L 50 50 3 1 U -X D3 C17 150 50 150 L 50 50 3 1 U -X D4 C18 150 -50 150 L 50 50 3 1 U -X D5 C19 150 -150 150 L 50 50 3 1 U -X ~VMA~ C2 150 1550 150 L 50 50 3 1 U -X D6 C20 150 -250 150 L 50 50 3 1 U -X D7 C21 150 -350 150 L 50 50 3 1 U -X D8 C22 150 -450 150 L 50 50 3 1 U -X D9 C23 150 -550 150 L 50 50 3 1 U -X D10 C24 150 -650 150 L 50 50 3 1 U -X D11 C25 150 -750 150 L 50 50 3 1 U -X D12 C26 150 -850 150 L 50 50 3 1 U -X D13 C27 150 -950 150 L 50 50 3 1 U -X D14 C28 150 -1050 150 L 50 50 3 1 U -X D15 C29 150 -1150 150 L 50 50 3 1 U -X ~BR~ C3 150 1450 150 L 50 50 3 1 U -X GND C30 150 -1250 150 L 50 50 3 1 U -X NC C31 150 -1350 150 L 50 50 3 1 U -X -12V C32 150 -1450 150 L 50 50 3 1 U -X ~BGACK~ C4 150 1350 150 L 50 50 3 1 U -X ~BG~ C5 150 1250 150 L 50 50 3 1 U -X ~DTACK~ C6 150 1150 150 L 50 50 3 1 U -X R~W~ C7 150 1050 150 L 50 50 3 1 U -X ~LDS~ C8 150 950 150 L 50 50 3 1 U -X ~UDS~ C9 150 850 150 L 50 50 3 1 U -ENDDRAW -ENDDEF -# -# Micro_SD_SingleDet -# -DEF Micro_SD_SingleDet J 0 40 Y Y 1 F N -F0 "J" -650 700 50 H V C CNN -F1 "Micro_SD_SingleDet" 650 700 50 H V R CNN -F2 "" 2050 700 50 H I C CNN -F3 "" 0 100 50 H I C CNN -ALIAS Micro_SD_Card_Det_Hirose_DM3AT_0 -$FPLIST - microSD* -$ENDFPLIST -DRAW -S -300 -275 -200 -325 0 1 0 F -S -300 -175 -200 -225 0 1 0 F -S -300 -75 -200 -125 0 1 0 F -S -300 25 -200 -25 0 1 0 F -S -300 125 -200 75 0 1 0 F -S -300 225 -200 175 0 1 0 F -S -300 325 -200 275 0 1 0 F -S -300 425 -200 375 0 1 0 F -P 6 0 1 10 650 600 650 650 -750 650 -750 -650 650 -650 650 -350 N -P 11 0 1 10 -350 -350 -350 450 -50 450 100 600 150 600 150 550 250 550 300 600 800 600 800 -350 -350 -350 f -X DAT2 1 -900 400 150 R 50 50 1 1 B -X SHIELD 10 800 -500 150 L 50 50 1 1 P -X DAT3/CD 2 -900 300 150 R 50 50 1 1 B -X CMD 3 -900 200 150 R 50 50 1 1 I -X VDD 4 -900 100 150 R 50 50 1 1 W -X CLK 5 -900 0 150 R 50 50 1 1 I -X VSS 6 -900 -100 150 R 50 50 1 1 W -X DAT0 7 -900 -200 150 R 50 50 1 1 I -X DAT1 8 -900 -300 150 R 50 50 1 1 I -X DET 9 -900 -400 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# MiniDIN-4 -# -DEF MiniDIN-4 U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "MiniDIN-4" 0 -250 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -A 0 0 200 -1269 -531 1 1 10 N -120 -160 120 -160 -A 2 4 200 1021 -1488 1 1 10 N -40 200 -170 -100 -A 2 5 198 -320 790 1 1 10 N 170 -100 40 200 -C -130 0 20 1 1 0 N -C -80 100 20 1 1 0 N -C 90 100 20 1 1 0 N -C 130 0 20 1 1 0 N -S -40 -100 40 -140 1 1 0 F -P 2 1 1 0 -150 0 -200 0 N -P 2 1 1 0 -100 100 -200 100 N -P 2 1 1 0 110 100 200 100 N -P 2 1 1 0 200 0 150 0 N -P 3 1 1 10 -170 -100 -120 -100 -120 -160 N -P 3 1 1 10 170 -100 120 -100 120 -160 N -P 4 1 1 10 -40 200 -40 160 40 160 40 200 N -X ~ 1 300 0 100 L 50 50 1 1 P -X ~ 2 -300 0 100 R 50 50 1 1 P -X ~ 3 300 100 100 L 50 50 1 1 P -X ~ 4 -300 100 100 R 50 50 1 1 P -X ~ 5 -300 -100 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# MiniDIN-6 -# -DEF MiniDIN-6 U 0 40 Y Y 1 F N -F0 "U" 50 600 50 H V C CNN -F1 "MiniDIN-6" 0 -250 50 H V C CNN -F2 "" -50 -350 50 H I C CNN -F3 "" -50 -350 50 H I C CNN -DRAW -A 0 0 200 -1269 -531 1 1 10 N -120 -160 120 -160 -A 2 4 200 1021 -1488 1 1 10 N -40 200 -170 -100 -A 2 5 198 -320 790 1 1 10 N 170 -100 40 200 -C -130 0 20 1 1 0 N -C -80 -100 20 1 1 0 N -C -80 100 20 1 1 0 N -C 80 -100 20 1 1 0 N -C 90 100 20 1 1 0 N -C 130 0 20 1 1 0 N -S -30 100 30 0 1 1 0 F -P 2 1 1 0 -150 0 -200 0 N -P 2 1 1 0 -100 100 -200 100 N -P 2 1 1 0 110 100 200 100 N -P 2 1 1 0 200 0 150 0 N -P 3 1 1 10 -170 -100 -120 -100 -120 -160 N -P 3 1 1 10 170 -100 120 -100 120 -160 N -P 4 1 1 0 -80 -120 -80 -140 -200 -140 -200 -100 N -P 4 1 1 10 -40 200 -40 160 40 160 40 200 N -P 4 1 1 0 80 -120 80 -140 200 -140 200 -100 N -X ~ 1 300 -100 100 L 50 50 1 1 P -X ~ 2 -300 -100 100 R 50 50 1 1 P -X ~ 3 300 0 100 L 50 50 1 1 P -X ~ 4 -300 0 100 R 50 50 1 1 P -X ~ 5 300 100 100 L 50 50 1 1 P -X ~ 6 -300 100 100 R 50 50 1 1 P -X ~ 7 -300 -200 300 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# MiniDIN-8 -# -DEF MiniDIN-8 J 0 40 Y Y 1 F N -F0 "J" 200 200 50 H V C CNN -F1 "MiniDIN-8" 420 -200 50 H V C CNN -F2 "" -10 -10 50 V I C CNN -F3 "" -10 -10 50 V I C CNN -$FPLIST - MINI?DIN* -$ENDFPLIST -DRAW -A 0 0 200 -1269 -531 0 1 10 N -120 -160 120 -160 -A 2 4 200 1021 -1488 0 1 10 N -40 200 -170 -100 -A 2 5 198 -320 790 0 1 10 N 170 -100 40 200 -C -130 0 20 0 1 0 N -C -80 -100 20 0 1 0 N -C -80 100 20 0 1 0 N -C 0 0 22 0 1 0 N -C 0 130 20 0 1 0 N -C 80 -100 20 0 1 0 N -C 90 100 20 0 1 0 N -C 130 0 20 0 1 0 N -P 2 0 1 0 -150 0 -200 0 N -P 2 0 1 0 -100 -250 -100 -175 N -P 2 0 1 0 -100 100 -200 100 N -P 2 0 1 0 0 -200 0 -20 N -P 2 0 1 0 0 150 0 200 N -P 2 0 1 0 110 100 200 100 N -P 2 0 1 0 200 0 150 0 N -P 3 0 1 10 -170 -100 -120 -100 -120 -160 N -P 3 0 1 10 170 -100 120 -100 120 -160 N -P 4 0 1 0 -80 -120 -80 -140 -200 -140 -200 -100 N -P 4 0 1 10 -40 200 -40 170 40 170 40 200 N -P 4 0 1 0 80 -120 80 -140 200 -140 200 -100 N -X ~ 1 300 -100 100 L 50 50 1 1 P -X ~ 2 -300 -100 100 R 50 50 1 1 P -X ~ 3 300 0 100 L 50 50 1 1 P -X ~ 4 0 -300 100 U 50 50 1 1 P -X ~ 5 -300 0 100 R 50 50 1 1 P -X ~ 6 300 100 100 L 50 50 1 1 P -X ~ 7 0 300 100 D 50 50 1 1 P -X ~ 8 -300 100 100 R 50 50 1 1 P -X ~ 9 -300 -250 200 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# SODIMM-DDR3-204 -# -DEF SODIMM-DDR3-204 J 0 40 Y Y 4 L N -F0 "J" 0 1750 50 H V C CNN -F1 "SODIMM-DDR3-204" 0 -5050 50 H V C CNN -F2 "" 0 1750 50 H I C CNN -F3 "" 0 1750 50 H I C CNN -DRAW -S 0 1700 -300 -2000 1 1 10 f -S 0 1700 -300 -2000 2 1 10 f -S 0 -5000 -300 1700 3 1 10 f -S 0 -5000 -300 1700 4 1 10 f -X 1 1 150 1600 150 L 50 50 1 1 U -X 11 11 150 1100 150 L 50 50 1 1 U -X 13 13 150 1000 150 L 50 50 1 1 U -X 15 15 150 900 150 L 50 50 1 1 U -X 17 17 150 800 150 L 50 50 1 1 U -X 19 19 150 700 150 L 50 50 1 1 U -X 21 21 150 600 150 L 50 50 1 1 U -X 23 23 150 500 150 L 50 50 1 1 U -X 25 25 150 400 150 L 50 50 1 1 U -X 27 27 150 300 150 L 50 50 1 1 U -X 29 29 150 200 150 L 50 50 1 1 U -X 3 3 150 1500 150 L 50 50 1 1 U -X 31 31 150 100 150 L 50 50 1 1 U -X 33 33 150 0 150 L 50 50 1 1 U -X 35 35 150 -100 150 L 50 50 1 1 U -X 37 37 150 -200 150 L 50 50 1 1 U -X 39 39 150 -300 150 L 50 50 1 1 U -X 41 41 150 -400 150 L 50 50 1 1 U -X 43 43 150 -500 150 L 50 50 1 1 U -X 45 45 150 -600 150 L 50 50 1 1 U -X 47 47 150 -700 150 L 50 50 1 1 U -X 49 49 150 -800 150 L 50 50 1 1 U -X 5 5 150 1400 150 L 50 50 1 1 U -X 51 51 150 -900 150 L 50 50 1 1 U -X 53 53 150 -1000 150 L 50 50 1 1 U -X 55 55 150 -1100 150 L 50 50 1 1 U -X 57 57 150 -1200 150 L 50 50 1 1 U -X 59 59 150 -1300 150 L 50 50 1 1 U -X 61 61 150 -1400 150 L 50 50 1 1 U -X 63 63 150 -1500 150 L 50 50 1 1 U -X 65 65 150 -1600 150 L 50 50 1 1 U -X 67 67 150 -1700 150 L 50 50 1 1 U -X 69 69 150 -1800 150 L 50 50 1 1 U -X 7 7 150 1300 150 L 50 50 1 1 U -X 71 71 150 -1900 150 L 50 50 1 1 U -X 9 9 150 1200 150 L 50 50 1 1 U -X 10 10 150 1200 150 L 50 50 2 1 U -X 12 12 150 1100 150 L 50 50 2 1 U -X 14 14 150 1000 150 L 50 50 2 1 U -X 16 16 150 900 150 L 50 50 2 1 U -X 18 18 150 800 150 L 50 50 2 1 U -X 2 2 150 1600 150 L 50 50 2 1 U -X 20 20 150 700 150 L 50 50 2 1 U -X 22 22 150 600 150 L 50 50 2 1 U -X 24 24 150 500 150 L 50 50 2 1 U -X 26 26 150 400 150 L 50 50 2 1 U -X 28 28 150 300 150 L 50 50 2 1 U -X 30 30 150 200 150 L 50 50 2 1 U -X 32 32 150 100 150 L 50 50 2 1 U -X 34 34 150 0 150 L 50 50 2 1 U -X 36 36 150 -100 150 L 50 50 2 1 U -X 38 38 150 -200 150 L 50 50 2 1 U -X 4 4 150 1500 150 L 50 50 2 1 U -X 40 40 150 -300 150 L 50 50 2 1 U -X 42 42 150 -400 150 L 50 50 2 1 U -X 44 44 150 -500 150 L 50 50 2 1 U -X 46 46 150 -600 150 L 50 50 2 1 U -X 48 48 150 -700 150 L 50 50 2 1 U -X 50 50 150 -800 150 L 50 50 2 1 U -X 52 52 150 -900 150 L 50 50 2 1 U -X 54 54 150 -1000 150 L 50 50 2 1 U -X 56 56 150 -1100 150 L 50 50 2 1 U -X 58 58 150 -1200 150 L 50 50 2 1 U -X 6 6 150 1400 150 L 50 50 2 1 U -X 60 60 150 -1300 150 L 50 50 2 1 U -X 62 62 150 -1400 150 L 50 50 2 1 U -X 64 64 150 -1500 150 L 50 50 2 1 U -X 66 66 150 -1600 150 L 50 50 2 1 U -X 68 68 150 -1700 150 L 50 50 2 1 U -X 70 70 150 -1800 150 L 50 50 2 1 U -X 72 72 150 -1900 150 L 50 50 2 1 U -X 8 8 150 1300 150 L 50 50 2 1 U -X 101 101 150 200 150 L 50 50 3 1 U -X 103 103 150 100 150 L 50 50 3 1 U -X 105 105 150 0 150 L 50 50 3 1 U -X 107 107 150 -100 150 L 50 50 3 1 U -X 109 109 150 -200 150 L 50 50 3 1 U -X 111 111 150 -300 150 L 50 50 3 1 U -X 113 113 150 -400 150 L 50 50 3 1 U -X 115 115 150 -500 150 L 50 50 3 1 U -X 117 117 150 -600 150 L 50 50 3 1 U -X 119 119 150 -700 150 L 50 50 3 1 U -X 121 121 150 -800 150 L 50 50 3 1 U -X 123 123 150 -900 150 L 50 50 3 1 U -X 125 125 150 -1000 150 L 50 50 3 1 U -X 127 127 150 -1100 150 L 50 50 3 1 U -X 129 129 150 -1200 150 L 50 50 3 1 U -X 131 131 150 -1300 150 L 50 50 3 1 U -X 133 133 150 -1400 150 L 50 50 3 1 U -X 135 135 150 -1500 150 L 50 50 3 1 U -X 137 137 150 -1600 150 L 50 50 3 1 U -X 139 139 150 -1700 150 L 50 50 3 1 U -X 141 141 150 -1800 150 L 50 50 3 1 U -X 143 143 150 -1900 150 L 50 50 3 1 U -X 145 145 150 -2000 150 L 50 50 3 1 U -X 147 147 150 -2100 150 L 50 50 3 1 U -X 149 149 150 -2200 150 L 50 50 3 1 U -X 151 151 150 -2300 150 L 50 50 3 1 U -X 153 153 150 -2400 150 L 50 50 3 1 U -X 155 155 150 -2500 150 L 50 50 3 1 U -X 157 157 150 -2600 150 L 50 50 3 1 U -X 159 159 150 -2700 150 L 50 50 3 1 U -X 161 161 150 -2800 150 L 50 50 3 1 U -X 163 163 150 -2900 150 L 50 50 3 1 U -X 165 165 150 -3000 150 L 50 50 3 1 U -X 167 167 150 -3100 150 L 50 50 3 1 U -X 169 169 150 -3200 150 L 50 50 3 1 U -X 171 171 150 -3300 150 L 50 50 3 1 U -X 173 173 150 -3400 150 L 50 50 3 1 U -X 175 175 150 -3500 150 L 50 50 3 1 U -X 177 177 150 -3600 150 L 50 50 3 1 U -X 179 179 150 -3700 150 L 50 50 3 1 U -X 181 181 150 -3800 150 L 50 50 3 1 U -X 183 183 150 -3900 150 L 50 50 3 1 U -X 185 185 150 -4000 150 L 50 50 3 1 U -X 187 187 150 -4100 150 L 50 50 3 1 U -X 189 189 150 -4200 150 L 50 50 3 1 U -X 191 191 150 -4300 150 L 50 50 3 1 U -X 193 193 150 -4400 150 L 50 50 3 1 U -X 195 195 150 -4500 150 L 50 50 3 1 U -X 197 197 150 -4600 150 L 50 50 3 1 U -X 199 199 150 -4700 150 L 50 50 3 1 U -X 201 201 150 -4800 150 L 50 50 3 1 U -X 203 203 150 -4900 150 L 50 50 3 1 U -X 73 73 150 1600 150 L 50 50 3 1 U -X 75 75 150 1500 150 L 50 50 3 1 U -X 77 77 150 1400 150 L 50 50 3 1 U -X 79 79 150 1300 150 L 50 50 3 1 U -X 81 81 150 1200 150 L 50 50 3 1 U -X 83 83 150 1100 150 L 50 50 3 1 U -X 85 85 150 1000 150 L 50 50 3 1 U -X 87 87 150 900 150 L 50 50 3 1 U -X 89 89 150 800 150 L 50 50 3 1 U -X 91 91 150 700 150 L 50 50 3 1 U -X 93 93 150 600 150 L 50 50 3 1 U -X 95 95 150 500 150 L 50 50 3 1 U -X 97 97 150 400 150 L 50 50 3 1 U -X 99 99 150 300 150 L 50 50 3 1 U -X 100 100 150 300 150 L 50 50 4 1 U -X 102 102 150 200 150 L 50 50 4 1 U -X 104 104 150 100 150 L 50 50 4 1 U -X 106 106 150 0 150 L 50 50 4 1 U -X 108 108 150 -100 150 L 50 50 4 1 U -X 110 110 150 -200 150 L 50 50 4 1 U -X 112 112 150 -300 150 L 50 50 4 1 U -X 114 114 150 -400 150 L 50 50 4 1 U -X 116 116 150 -500 150 L 50 50 4 1 U -X 118 118 150 -600 150 L 50 50 4 1 U -X 120 120 150 -700 150 L 50 50 4 1 U -X 122 122 150 -800 150 L 50 50 4 1 U -X 124 124 150 -900 150 L 50 50 4 1 U -X 126 126 150 -1000 150 L 50 50 4 1 U -X 128 128 150 -1100 150 L 50 50 4 1 U -X 130 130 150 -1200 150 L 50 50 4 1 U -X 132 132 150 -1300 150 L 50 50 4 1 U -X 134 134 150 -1400 150 L 50 50 4 1 U -X 136 136 150 -1500 150 L 50 50 4 1 U -X 138 138 150 -1600 150 L 50 50 4 1 U -X 140 140 150 -1700 150 L 50 50 4 1 U -X 142 142 150 -1800 150 L 50 50 4 1 U -X 144 144 150 -1900 150 L 50 50 4 1 U -X 146 146 150 -2000 150 L 50 50 4 1 U -X 148 148 150 -2100 150 L 50 50 4 1 U -X 150 150 150 -2200 150 L 50 50 4 1 U -X 152 152 150 -2300 150 L 50 50 4 1 U -X 154 154 150 -2400 150 L 50 50 4 1 U -X 156 156 150 -2500 150 L 50 50 4 1 U -X 158 158 150 -2600 150 L 50 50 4 1 U -X 160 160 150 -2700 150 L 50 50 4 1 U -X 162 162 150 -2800 150 L 50 50 4 1 U -X 164 164 150 -2900 150 L 50 50 4 1 U -X 166 166 150 -3000 150 L 50 50 4 1 U -X 168 168 150 -3100 150 L 50 50 4 1 U -X 170 170 150 -3200 150 L 50 50 4 1 U -X 172 172 150 -3300 150 L 50 50 4 1 U -X 174 174 150 -3400 150 L 50 50 4 1 U -X 176 176 150 -3500 150 L 50 50 4 1 U -X 178 178 150 -3600 150 L 50 50 4 1 U -X 180 180 150 -3700 150 L 50 50 4 1 U -X 182 182 150 -3800 150 L 50 50 4 1 U -X 184 184 150 -3900 150 L 50 50 4 1 U -X 186 186 150 -4000 150 L 50 50 4 1 U -X 188 188 150 -4100 150 L 50 50 4 1 U -X 190 190 150 -4200 150 L 50 50 4 1 U -X 192 192 150 -4300 150 L 50 50 4 1 U -X 194 194 150 -4400 150 L 50 50 4 1 U -X 196 196 150 -4500 150 L 50 50 4 1 U -X 198 198 150 -4600 150 L 50 50 4 1 U -X 200 200 150 -4700 150 L 50 50 4 1 U -X 202 202 150 -4800 150 L 50 50 4 1 U -X 204 204 150 -4900 150 L 50 50 4 1 U -X 74 74 150 1600 150 L 50 50 4 1 U -X 76 76 150 1500 150 L 50 50 4 1 U -X 78 78 150 1400 150 L 50 50 4 1 U -X 80 80 150 1300 150 L 50 50 4 1 U -X 82 82 150 1200 150 L 50 50 4 1 U -X 84 84 150 1100 150 L 50 50 4 1 U -X 86 86 150 1000 150 L 50 50 4 1 U -X 88 88 150 900 150 L 50 50 4 1 U -X 90 90 150 800 150 L 50 50 4 1 U -X 92 92 150 700 150 L 50 50 4 1 U -X 94 94 150 600 150 L 50 50 4 1 U -X 96 96 150 500 150 L 50 50 4 1 U -X 98 98 150 400 150 L 50 50 4 1 U -ENDDRAW -ENDDEF -# -#End Library diff --git a/GW_Digital.kicad_sym b/GW_Digital.kicad_sym new file mode 100644 index 0000000..1eda174 --- /dev/null +++ b/GW_Digital.kicad_sym @@ -0,0 +1,290 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "DS1315" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DS1315" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-16_7.5mm" (id 2) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://datasheets.maximintegrated.com/en/ds/DS1315.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DS1315_0_0" + (pin passive line (at -12.7 8.89 0) (length 5.08) + (name "X1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 12.7 -6.35 180) (length 5.08) + (name "~{CEO}" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -3.81 180) (length 5.08) + (name "~{CEI}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -1.27 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 1.27 180) (length 5.08) + (name "~{RST}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 3.81 180) (length 5.08) + (name "BAT2" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 12.7 6.35 180) (length 5.08) + (name "VccO" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 12.7 8.89 180) (length 5.08) + (name "VccI" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -12.7 6.35 0) (length 5.08) + (name "X2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 3.81 0) (length 5.08) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 1.27 0) (length 5.08) + (name "BAT1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -1.27 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -3.81 0) (length 5.08) + (name "D" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -12.7 -6.35 0) (length 5.08) + (name "Q" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -8.89 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -8.89 180) (length 5.08) + (name "ROM~{RAM}" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "DS1315_0_1" + (rectangle (start -7.62 11.43) (end 7.62 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + ) + (symbol "DS1338" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DS1338" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-8_3.9mm" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DS1338_0_0" + (pin passive line (at -12.7 3.81 0) (length 5.08) + (name "X1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -12.7 1.27 0) (length 5.08) + (name "X2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -1.27 0) (length 5.08) + (name "Vbat" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -3.81 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -3.81 180) (length 5.08) + (name "SDA" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -1.27 180) (length 5.08) + (name "SCL" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 12.7 1.27 180) (length 5.08) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 3.81 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "DS1338_0_1" + (rectangle (start -7.62 6.35) (end 7.62 -6.35) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + ) + (symbol "ISL3173E" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "ISL3173E" (id 1) (at 0 -8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Intersil_M10.118_MSOP-10_3x3mm" (id 2) (at 0 -10.16 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "ISL3173E_0_1" + (rectangle (start -5.08 7.62) (end 5.08 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "ISL3173E_1_1" + (pin output line (at -10.16 5.08 0) (length 5.08) + (name "RO" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "~{RE}" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "DE" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "DI" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -5.08 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -5.08 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -2.54 180) (length 5.08) + (name "~{Z}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 0 180) (length 5.08) + (name "~{B}" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 2.54 180) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LX5115CD" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LX5115CD" (id 1) (at 0 -12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-16_3.9mm" (id 2) (at 0 -13.97 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "LX5115CD_0_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "LX5115CD_1_1" + (pin power_in line (at -10.16 8.89 0) (length 5.08) + (name "TPWR" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -6.35 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 5.08) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 5.08) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 1.27 180) (length 5.08) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 3.81 180) (length 5.08) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 6.35 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 6.35 0) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 3.81 0) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 1.27 0) (length 5.08) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -1.27 0) (length 5.08) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -3.81 0) (length 5.08) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -10.16 -6.35 0) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -8.89 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -8.89 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_Digital.lib b/GW_Digital.lib deleted file mode 100644 index c07fb92..0000000 --- a/GW_Digital.lib +++ /dev/null @@ -1,102 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# DS1315 -# -DEF DS1315 U 0 40 Y Y 1 F N -F0 "U" 0 500 50 H V C CNN -F1 "DS1315" 0 0 50 V V C CNN -F2 "stdpads:SOIC-16_7.5mm" 0 -500 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -300 450 300 -450 0 1 10 f -X X1 1 -500 350 200 R 50 50 0 0 P -X ~CEO~ 10 500 -250 200 L 50 50 0 0 O -X ~CEI~ 11 500 -150 200 L 50 50 0 0 I -X ~OE~ 12 500 -50 200 L 50 50 0 0 I -X ~RST~ 13 500 50 200 L 50 50 0 0 I -X BAT2 14 500 150 200 L 50 50 0 0 W -X VccO 15 500 250 200 L 50 50 0 0 w -X VccI 16 500 350 200 L 50 50 0 0 w -X X2 2 -500 250 200 R 50 50 0 0 P -X ~WE~ 3 -500 150 200 R 50 50 0 0 I -X BAT1 4 -500 50 200 R 50 50 0 0 W -X GND 5 -500 -50 200 R 50 50 0 0 W -X D 6 -500 -150 200 R 50 50 0 0 I -X Q 7 -500 -250 200 R 50 50 0 0 O -X GND 8 -500 -350 200 R 50 50 0 0 W -X ROM~RAM~ 9 500 -350 200 L 50 50 0 0 I -ENDDRAW -ENDDEF -# -# DS1338 -# -DEF DS1338 U 0 40 Y Y 1 F N -F0 "U" 0 300 50 H V C CNN -F1 "DS1338" 0 0 50 V V C CNN -F2 "stdpads:SOIC-8_3.9mm" 0 -300 50 H I C CNN -F3 "" 0 -200 50 H I C CNN -DRAW -S -300 250 300 -250 0 1 10 f -X X1 1 -500 150 200 R 50 50 0 0 P -X X2 2 -500 50 200 R 50 50 0 0 P -X Vbat 3 -500 -50 200 R 50 50 0 0 W -X GND 4 -500 -150 200 R 50 50 0 0 W -X SDA 5 500 -150 200 L 50 50 0 0 B -X SCL 6 500 -50 200 L 50 50 0 0 B -X OUT 7 500 50 200 L 50 50 0 0 O -X Vcc 8 500 150 200 L 50 50 0 0 W -ENDDRAW -ENDDEF -# -# ISL3173E -# -DEF ISL3173E U 0 40 Y Y 1 F N -F0 "U" 0 350 50 H V C CNN -F1 "ISL3173E" 0 -350 50 H V C CNN -F2 "stdpads:Intersil_M10.118_MSOP-10_3x3mm" 0 -400 50 H I C TNN -F3 "" 0 0 60 H I C CNN -DRAW -S -200 300 200 -300 0 1 10 f -X RO 1 -400 200 200 R 50 50 1 1 O -X Vcc 10 400 200 200 L 50 50 1 1 W -X ~RE~ 2 -400 100 200 R 50 50 1 1 I -X DE 3 -400 0 200 R 50 50 1 1 I -X DI 4 -400 -100 200 R 50 50 1 1 I -X GND 5 -400 -200 200 R 50 50 1 1 W -X Y 6 400 -200 200 L 50 50 1 1 T -X ~Z~ 7 400 -100 200 L 50 50 1 1 T -X ~B~ 8 400 0 200 L 50 50 1 1 I -X A 9 400 100 200 L 50 50 1 1 I -ENDDRAW -ENDDEF -# -# LX5115CD -# -DEF LX5115CD U 0 40 Y Y 1 F N -F0 "U" 0 500 50 H V C CNN -F1 "LX5115CD" 0 -500 50 H V C CNN -F2 "stdpads:SOIC-16_3.9mm" 0 -550 50 H I C TNN -F3 "" 0 0 60 H I C CNN -DRAW -S -200 450 200 -450 0 1 10 f -X TPWR 1 -400 350 200 R 50 50 1 1 W -X NC 10 400 -250 200 L 50 50 1 1 N -X D5 11 400 -150 200 L 50 50 1 1 P -X D6 12 400 -50 200 L 50 50 1 1 P -X D7 13 400 50 200 L 50 50 1 1 P -X D8 14 400 150 200 L 50 50 1 1 P -X NC 15 400 250 200 L 50 50 1 1 N -X EN 16 400 350 200 L 50 50 1 1 I -X D0 2 -400 250 200 R 50 50 1 1 P -X D1 3 -400 150 200 R 50 50 1 1 P -X D2 4 -400 50 200 R 50 50 1 1 P -X D3 5 -400 -50 200 R 50 50 1 1 P -X D4 6 -400 -150 200 R 50 50 1 1 P -X NC 7 -400 -250 200 R 50 50 1 1 N -X GND 8 -400 -350 200 R 50 50 1 1 W -X NC 9 400 -350 200 L 50 50 1 1 N -ENDDRAW -ENDDEF -# -#End Library diff --git a/GW_Logic.kicad_sym b/GW_Logic.kicad_sym new file mode 100644 index 0000000..664b9df --- /dev/null +++ b/GW_Logic.kicad_sym @@ -0,0 +1,2916 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "4066" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "4066" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS257" (id 3) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "TTL MUX MUX2" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Quad 2 to 1 line Multiplexer" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?16*" (id 7) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "4066_1_0" + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "1Y" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "3Z" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "4Y" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 5.08) + (name "4E" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "1E" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 8.89 180) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "0Z" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "1Z" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "2Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "2E" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "3E" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -8.89 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "3Y" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "2Z" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "4066_1_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + ) + (symbol "7404" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "7404" (id 1) (at 0 -11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-14_4.4x5mm_P0.65mm" (id 2) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -1.27 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "7404_0_1" + (rectangle (start -5.08 10.16) (end 5.08 -10.16) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "7404_1_1" + (pin input line (at -10.16 7.62 0) (length 5.08) + (name "1A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -5.08 180) (length 5.08) + (name "5Y" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "5A" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -7.62 180) (length 5.08) + (name "6Y" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 5.08) + (name "6A" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 7.62 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 5.08 180) (length 5.08) + (name "1Y" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 5.08) + (name "2A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 2.54 180) (length 5.08) + (name "2Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "3A" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 0 180) (length 5.08) + (name "3Y" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -7.62 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "4Y" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "4A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "7404BQ" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "7404BQ" (id 1) (at 0 -11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Nexperia_DHVFQFN-14_SOT762-1" (id 2) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -1.27 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "7404BQ_0_1" + (rectangle (start -5.08 10.16) (end 5.08 -10.16) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "7404BQ_1_1" + (pin input line (at -10.16 7.62 0) (length 5.08) + (name "1A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -5.08 180) (length 5.08) + (name "5Y" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "5A" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -7.62 180) (length 5.08) + (name "6Y" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 5.08) + (name "6A" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 7.62 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -7.62 0) (length 5.08) hide + (name "pad" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 5.08 180) (length 5.08) + (name "1Y" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 5.08) + (name "2A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 2.54 180) (length 5.08) + (name "2Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "3A" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 0 180) (length 5.08) + (name "3Y" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -7.62 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "4Y" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "4A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74125" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74125" (id 1) (at 0 -11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-14_4.4x5mm_P0.65mm" (id 2) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -1.27 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74125_0_1" + (rectangle (start -5.08 10.16) (end 5.08 -10.16) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74125_1_1" + (pin input line (at -10.16 7.62 0) (length 5.08) + (name "1~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 5.08) + (name "3~{OE}" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 0 180) (length 5.08) + (name "4Y" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 2.54 180) (length 5.08) + (name "4A" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 5.08 180) (length 5.08) + (name "4~{OE}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 7.62 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 5.08) + (name "1A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 2.54 0) (length 5.08) + (name "1Y" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "2~{OE}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "2A" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -5.08 0) (length 5.08) + (name "2Y" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -7.62 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -7.62 180) (length 5.08) + (name "3Y" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -5.08 180) (length 5.08) + (name "3A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74125BQ" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74125BQ" (id 1) (at 0 -11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Nexperia_BQ_DHVFQFN-14_SOT762-1" (id 2) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -1.27 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74125BQ_0_1" + (rectangle (start -5.08 10.16) (end 5.08 -10.16) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74125BQ_1_1" + (pin input line (at -10.16 7.62 0) (length 5.08) + (name "1~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 5.08) + (name "3~{OE}" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 0 180) (length 5.08) + (name "4Y" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 2.54 180) (length 5.08) + (name "4A" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 5.08 180) (length 5.08) + (name "4~{OE}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 7.62 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -7.62 0) (length 5.08) hide + (name "pad" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 5.08) + (name "1A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 2.54 0) (length 5.08) + (name "1Y" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "2~{OE}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "2A" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -5.08 0) (length 5.08) + (name "2Y" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -7.62 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -7.62 180) (length 5.08) + (name "3Y" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -5.08 180) (length 5.08) + (name "3A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74126BQ" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74126BQ" (id 1) (at 0 -11.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:NXP_DHVFQFN-14_SOT762-1" (id 2) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -1.27 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74126BQ_0_1" + (rectangle (start -5.08 10.16) (end 5.08 -10.16) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74126BQ_1_1" + (pin input line (at -10.16 7.62 0) (length 5.08) + (name "1OE" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 5.08) + (name "3OE" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 0 180) (length 5.08) + (name "4Y" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 2.54 180) (length 5.08) + (name "4A" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 5.08 180) (length 5.08) + (name "4OE" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 7.62 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -7.62 0) (length 5.08) hide + (name "pad" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 5.08) + (name "1A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 2.54 0) (length 5.08) + (name "1Y" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "2OE" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "2A" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -5.08 0) (length 5.08) + (name "2Y" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -7.62 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -7.62 180) (length 5.08) + (name "3Y" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -5.08 180) (length 5.08) + (name "3A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74138" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74138" (id 1) (at 0 -12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74138_0_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74138_1_1" + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "~{Q5}" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -1.27 180) (length 5.08) + (name "~{Q4}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 1.27 180) (length 5.08) + (name "~{Q3}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 3.81 180) (length 5.08) + (name "~{Q2}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 6.35 180) (length 5.08) + (name "~{Q1}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{Q0}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 8.89 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "~{E1}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "~{E2}" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "E3" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 5.08) + (name "~{Q7}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -8.89 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 5.08) + (name "~{Q6}" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74157" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -7.62 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74157" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS157" (id 3) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "TTL MUX MUX2" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Quad 2 to 1 line Multiplexer" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?16*" (id 7) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "74157_1_0" + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "S" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "C1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "C0" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -1.27 180) (length 5.08) + (name "Zd" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at 10.16 -6.35 180) (length 5.08) + (name "~{E}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 8.89 180) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 6.35 180) (length 5.08) + (name "Za" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 3.81 180) (length 5.08) + (name "Zb" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -8.89 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 1.27 180) (length 5.08) + (name "Zc" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "74157_1_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + ) + (symbol "7416245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -1.27 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "7416245" (id 1) (at -1.27 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.27 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS245" (id 3) (at -1.27 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "TTL BUS 3State" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Octal BUS Transceivers, 3-State outputs" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?20*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "7416245_0_1" + (rectangle (start -10.16 25.4) (end 7.62 -25.4) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "7416245_1_0" + (pin input line (at -15.24 22.86 0) (length 5.08) + (name "1A->B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 5.08 0) (length 5.08) + (name "1B6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 2.54 0) (length 5.08) + (name "1B7" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 20.32 0) (length 5.08) + (name "1B0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 -22.86 0) (length 5.08) + (name "2A->B" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at 12.7 -22.86 180) (length 5.08) + (name "2~{OE}" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 17.78 0) (length 5.08) + (name "1B1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 5.08) + (name "1A7" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 5.08) + (name "1A6" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 5.08) + (name "1A5" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 5.08) + (name "1A4" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 12.7 180) (length 5.08) + (name "1A3" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 15.24 180) (length 5.08) + (name "1A2" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 17.78 180) (length 5.08) + (name "1A1" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 20.32 180) (length 5.08) + (name "1A0" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at 12.7 22.86 180) (length 5.08) + (name "1~{OE}" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 15.24 0) (length 5.08) + (name "1B2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 12.7 0) (length 5.08) + (name "1B3" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 30.48 270) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 10.16 0) (length 5.08) + (name "1B4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 7.62 0) (length 5.08) + (name "1B5" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "7416245_1_1" + (pin power_in line (at 0 -30.48 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 -2.54 0) (length 5.08) + (name "2B0" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 -5.08 0) (length 5.08) + (name "2B1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 -7.62 0) (length 5.08) + (name "2B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 -10.16 0) (length 5.08) + (name "2B3" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 30.48 270) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 -12.7 0) (length 5.08) + (name "2B4" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 -15.24 0) (length 5.08) + (name "2B5" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 -17.78 0) (length 5.08) + (name "2B6" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -15.24 -20.32 0) (length 5.08) + (name "2B7" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -20.32 180) (length 5.08) + (name "2A7" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -17.78 180) (length 5.08) + (name "2A6" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -15.24 180) (length 5.08) + (name "2A5" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 5.08) + (name "2A4" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 30.48 270) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 5.08) + (name "2A3" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 5.08) + (name "2A2" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 5.08) + (name "2A1" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 5.08) + (name "2A0" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 30.48 270) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74165" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74165" (id 1) (at 0 -12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74165_0_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74165_1_1" + (pin input line (at -10.16 8.89 0) (length 5.08) + (name "~{PL}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 5.08) + (name "DS" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -1.27 180) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 1.27 180) (length 5.08) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 3.81 180) (length 5.08) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 6.35 180) (length 5.08) + (name "~{CE}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 8.89 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "~{Q7}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -8.89 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 5.08) + (name "Q7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "741G02GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G02GW" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G02GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "741G02GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "741G04GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G04GW" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G04GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "741G04GW_1_1" + (pin no_connect line (at -8.89 2.54 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "741G0832GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G0832GW" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G0832GW_0_0" + (text "(A & B) | C" (at 0 -3.81 0) + (effects (font (size 0.762 0.762))) + ) + ) + (symbol "741G0832GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "741G0832GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -2.54 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "B" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 0 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "741G125GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G125GW" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G125GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "741G125GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "741G126GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G126GW" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G126GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "741G126GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "OE" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "741G3208GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G3208GW" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G3208GW_0_0" + (text "(A | B) & C" (at 0 -3.81 0) + (effects (font (size 0.762 0.762))) + ) + ) + (symbol "741G3208GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "741G3208GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -2.54 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "B" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 0 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "741G74DC" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G74DC" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G74DC_0_1" + (rectangle (start 5.08 -6.35) (end -5.08 6.35) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "741G74DC_1_1" + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "CK" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "D" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -1.27 180) (length 5.08) + (name "~{Q}" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -3.81 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 1.27 180) (length 5.08) + (name "Q" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "~{R}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "~{S}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 3.81 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "741G86GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G86GW" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G86GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "741G86GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74244" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74244" (id 1) (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74244_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74244_1_1" + (pin input line (at 10.16 11.43 180) (length 5.08) + (name "1~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 5.08) + (name "2A3" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 8.89 0) (length 5.08) + (name "1Y3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 5.08) + (name "2A2" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 6.35 0) (length 5.08) + (name "1Y2" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "2A1" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 3.81 0) (length 5.08) + (name "1Y1" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -1.27 180) (length 5.08) + (name "2A0" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 1.27 0) (length 5.08) + (name "1Y0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -11.43 180) (length 5.08) + (name "2~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "1A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 11.43 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -1.27 0) (length 5.08) + (name "2Y0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 6.35 180) (length 5.08) + (name "1A1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -3.81 0) (length 5.08) + (name "2Y1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 3.81 180) (length 5.08) + (name "1A2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -6.35 0) (length 5.08) + (name "2Y2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 1.27 180) (length 5.08) + (name "1A3" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -8.89 0) (length 5.08) + (name "2Y3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74244BQ" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74244BQ" (id 1) (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Nexperia_DHVFQFN-20_SOT764-1" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74244BQ_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74244BQ_1_1" + (pin input line (at 10.16 11.43 180) (length 5.08) + (name "1~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 5.08) + (name "2A3" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 8.89 0) (length 5.08) + (name "1Y3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 5.08) + (name "2A2" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 6.35 0) (length 5.08) + (name "1Y2" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "2A1" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 3.81 0) (length 5.08) + (name "1Y1" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -1.27 180) (length 5.08) + (name "2A0" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 1.27 0) (length 5.08) + (name "1Y0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -11.43 180) (length 5.08) + (name "2~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "1A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 11.43 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) hide + (name "pad" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -1.27 0) (length 5.08) + (name "2Y0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 6.35 180) (length 5.08) + (name "1A1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -3.81 0) (length 5.08) + (name "2Y1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 3.81 180) (length 5.08) + (name "1A2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -6.35 0) (length 5.08) + (name "2Y2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 1.27 180) (length 5.08) + (name "1A3" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -8.89 0) (length 5.08) + (name "2Y3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74245" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74245_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74245_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "AtoB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 5.08) + (name "B7" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 5.08) + (name "B6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 5.08) + (name "B5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "B4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74245BQ" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74245BQ" (id 1) (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Nexperia_DHVFQFN-20_SOT764-1" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74245BQ_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74245BQ_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "AtoB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 5.08) + (name "B7" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 5.08) + (name "B6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 5.08) + (name "B5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "B4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) hide + (name "pad" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74253" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74253" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS253" (id 3) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "TTL MUX MUX2" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Quad 2 to 1 line Multiplexer" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?16*" (id 7) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "74253_1_0" + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 1.27 180) (length 5.08) + (name "S0" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at 10.16 -6.35 180) (length 5.08) + (name "B~{OE}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 8.89 180) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 6.35 180) (length 5.08) + (name "Za" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -8.89 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 3.81 180) (length 5.08) + (name "Zb" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "74253_1_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin input inverted (at 10.16 -3.81 180) (length 5.08) + (name "A~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -1.27 180) (length 5.08) + (name "S1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74257" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -7.62 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74257" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS257" (id 3) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "TTL MUX MUX2" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Quad 2 to 1 line Multiplexer" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?16*" (id 7) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "74257_1_0" + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "S" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "C1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "C0" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -1.27 180) (length 5.08) + (name "Zd" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at 10.16 -6.35 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 8.89 180) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 6.35 180) (length 5.08) + (name "Za" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 3.81 180) (length 5.08) + (name "Zb" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -8.89 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 1.27 180) (length 5.08) + (name "Zc" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "74257_1_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + ) + (symbol "74259" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74259" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS259" (id 3) (at 0 -12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "TTL MUX MUX2" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Quad 2 to 1 line Multiplexer" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?16*" (id 7) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "74259_1_0" + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -3.81 180) (length 5.08) + (name "Q5" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -6.35 180) (length 5.08) + (name "Q6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -8.89 180) (length 5.08) + (name "Q7" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "D" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "~{LE}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "~{MR}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 8.89 0) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 8.89 180) (length 5.08) + (name "Q0" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 6.35 180) (length 5.08) + (name "Q1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 3.81 180) (length 5.08) + (name "Q2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 1.27 180) (length 5.08) + (name "Q3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -8.89 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -1.27 180) (length 5.08) + (name "Q4" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "74259_1_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + ) + (symbol "74273" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74273" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS273" (id 3) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "TTL DFF DFF8" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit D Flip-Flop, reset" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?20* SO?20* SOIC?20*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "74273_1_0" + (pin input inverted (at -10.16 -11.43 0) (length 5.08) + (name "~{Mr}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -11.43 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -10.16 -8.89 0) (length 5.08) + (name "Cp" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -1.27 180) (length 5.08) + (name "Q4" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -3.81 180) (length 5.08) + (name "Q5" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -6.35 180) (length 5.08) + (name "Q6" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -8.89 180) (length 5.08) + (name "Q7" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 8.89 180) (length 5.08) + (name "Q0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 6.35 180) (length 5.08) + (name "Q1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 3.81 180) (length 5.08) + (name "Q2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 1.27 180) (length 5.08) + (name "Q3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "74273_1_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + ) + (symbol "74AHC273" (extends "74273") + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC273" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74AHC_AHCT273.pdf" (id 3) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "AHCMOS DFF DFF8" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit D Flip-Flop, reset" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?20* SO?20* SOIC?20*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "74AHCT273" (extends "74273") + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT273" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74AHC_AHCT273.pdf" (id 3) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "AHCTMOS DFF DFF8" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit D Flip-Flop, reset" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?20* SO?20* SOIC?20*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "74HC273" (extends "74273") + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74HC273" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC_HCT273.pdf" (id 3) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "HCMOS DFF DFF8" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit D Flip-Flop, reset" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?20* SO?20* SOIC?20*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "74HCT273" (extends "74273") + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74HCT273" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/74HC_HCT273.pdf" (id 3) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "HCTMOS DFF DFF8" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit D Flip-Flop, reset" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP?20* SO?20* SOIC?20*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "742G04GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "742G04GW" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-363" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "742G04GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "742G04GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "1A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 0 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -10.16 -2.54 0) (length 5.08) + (name "2A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "2Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 0 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 2.54 180) (length 5.08) + (name "1Y" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "742G125DC" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "742G125DC" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Nexperia_DC_VSSOP-8_SOT765-1" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -3.81 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "742G125DC_0_1" + (rectangle (start -5.08 6.35) (end 5.08 -6.35) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "742G125DC_1_1" + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "1~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "1A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -1.27 0) (length 5.08) + (name "2Y" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -3.81 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "2A" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -1.27 180) (length 5.08) + (name "1Y" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 1.27 180) (length 5.08) + (name "2~{OE}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 3.81 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74541" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74541" (id 1) (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74541_0_1" + (rectangle (start 5.08 13.97) (end -5.08 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74541_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "1~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -11.43 180) (length 5.08) + (name "Y7" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -8.89 180) (length 5.08) + (name "Y6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -6.35 180) (length 5.08) + (name "Y5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -3.81 180) (length 5.08) + (name "Y4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -1.27 180) (length 5.08) + (name "Y3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 1.27 180) (length 5.08) + (name "Y2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 3.81 180) (length 5.08) + (name "Y1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 6.35 180) (length 5.08) + (name "Y0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "2~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74573" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74573" (id 1) (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74573_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74573_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -11.43 180) (length 5.08) + (name "LE" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -8.89 180) (length 5.08) + (name "Q7" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -6.35 180) (length 5.08) + (name "Q6" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -3.81 180) (length 5.08) + (name "Q5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -1.27 180) (length 5.08) + (name "Q4" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 1.27 180) (length 5.08) + (name "Q3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 3.81 180) (length 5.08) + (name "Q2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 6.35 180) (length 5.08) + (name "Q1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 8.89 180) (length 5.08) + (name "Q0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 5.08) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74574" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74574" (id 1) (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74574_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74574_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -11.43 180) (length 5.08) + (name "CK" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -8.89 180) (length 5.08) + (name "Q7" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -6.35 180) (length 5.08) + (name "Q6" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -3.81 180) (length 5.08) + (name "Q5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -1.27 180) (length 5.08) + (name "Q4" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 1.27 180) (length 5.08) + (name "Q3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 3.81 180) (length 5.08) + (name "Q2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 6.35 180) (length 5.08) + (name "Q1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 8.89 180) (length 5.08) + (name "Q0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 5.08) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "74595" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74595" (id 1) (at 0 -12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -13.97 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74595_0_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "74595_1_1" + (pin tri_state line (at 10.16 -6.35 180) (length 5.08) + (name "Q1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at -10.16 -6.35 0) (length 5.08) + (name "~{RES}" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -10.16 1.27 0) (length 5.08) + (name "SHCK" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -10.16 -1.27 0) (length 5.08) + (name "STCK" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at -10.16 -3.81 0) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "D" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -8.89 180) (length 5.08) + (name "Q0" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 8.89 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -3.81 180) (length 5.08) + (name "Q2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -1.27 180) (length 5.08) + (name "Q3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 1.27 180) (length 5.08) + (name "Q4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 3.81 180) (length 5.08) + (name "Q5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 6.35 180) (length 5.08) + (name "Q6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 8.89 180) (length 5.08) + (name "Q7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -8.89 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -10.16 3.81 0) (length 5.08) + (name "Q7S" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "748T245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "748T245" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "748T245_0_1" + (rectangle (start -5.08 16.51) (end 5.08 -16.51) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "748T245_1_1" + (pin power_in line (at -10.16 13.97 0) (length 5.08) + (name "VA" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -13.97 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -13.97 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 5.08) + (name "B7" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 5.08) + (name "B6" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 5.08) + (name "B5" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "B4" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "AtoB" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "VB" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 13.97 180) (length 5.08) + (name "VB" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Oscillator_4P" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Oscillator_4P" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Oscillator_4P_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Oscillator_4P_1_1" + (pin input line (at -8.89 2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 0 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 0 180) (length 2.54) + (name "Output" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 2.54 180) (length 2.54) + (name "Vdd" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_Logic.lib b/GW_Logic.lib deleted file mode 100644 index 2de06b3..0000000 --- a/GW_Logic.lib +++ /dev/null @@ -1,836 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# 7404 -# -DEF 7404 U 0 40 Y Y 1 F N -F0 "U" 0 450 50 H V C CNN -F1 "7404" 0 -450 50 H V C CNN -F2 "stdpads:TSSOP-14_4.4x5mm_P0.65mm" 0 -500 50 H I C TNN -F3 "" 0 -50 60 H I C CNN -DRAW -S -200 400 200 -400 0 1 10 f -X 1A 1 -400 300 200 R 50 50 1 1 I -X 5Y 10 400 -200 200 L 50 50 1 1 O -X 5A 11 -400 -100 200 R 50 50 1 1 I -X 6Y 12 400 -300 200 L 50 50 1 1 O -X 6A 13 -400 -200 200 R 50 50 1 1 I -X Vcc 14 400 300 200 L 50 50 1 1 W -X 1Y 2 400 200 200 L 50 50 1 1 O -X 2A 3 -400 200 200 R 50 50 1 1 I -X 2Y 4 400 100 200 L 50 50 1 1 O -X 3A 5 -400 100 200 R 50 50 1 1 I -X 3Y 6 400 0 200 L 50 50 1 1 O -X GND 7 -400 -300 200 R 50 50 1 1 W -X 4Y 8 400 -100 200 L 50 50 1 1 O -X 4A 9 -400 0 200 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 7404BQ -# -DEF 7404BQ U 0 40 Y Y 1 F N -F0 "U" 0 450 50 H V C CNN -F1 "7404BQ" 0 -450 50 H V C CNN -F2 "stdpads:Nexperia_DHVFQFN-14_SOT762-1" 0 -500 50 H I C TNN -F3 "" 0 -50 60 H I C CNN -DRAW -S -200 400 200 -400 0 1 10 f -X 1A 1 -400 300 200 R 50 50 1 1 I -X 5Y 10 400 -200 200 L 50 50 1 1 O -X 5A 11 -400 -100 200 R 50 50 1 1 I -X 6Y 12 400 -300 200 L 50 50 1 1 O -X 6A 13 -400 -200 200 R 50 50 1 1 I -X Vcc 14 400 300 200 L 50 50 1 1 W -X pad 15 -400 -300 200 R 50 50 1 1 W N -X 1Y 2 400 200 200 L 50 50 1 1 O -X 2A 3 -400 200 200 R 50 50 1 1 I -X 2Y 4 400 100 200 L 50 50 1 1 O -X 3A 5 -400 100 200 R 50 50 1 1 I -X 3Y 6 400 0 200 L 50 50 1 1 O -X GND 7 -400 -300 200 R 50 50 1 1 W -X 4Y 8 400 -100 200 L 50 50 1 1 O -X 4A 9 -400 0 200 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 74125 -# -DEF 74125 U 0 40 Y Y 1 F N -F0 "U" 0 450 50 H V C CNN -F1 "74125" 0 -450 50 H V C CNN -F2 "stdpads:TSSOP-14_4.4x5mm_P0.65mm" 0 -500 50 H I C TNN -F3 "" 0 -50 60 H I C CNN -DRAW -S -200 400 200 -400 0 1 10 f -X 1~OE~ 1 -400 300 200 R 50 50 1 1 I -X 3~OE~ 10 400 -100 200 L 50 50 1 1 I -X 4Y 11 400 0 200 L 50 50 1 1 T -X 4A 12 400 100 200 L 50 50 1 1 I -X 4~OE~ 13 400 200 200 L 50 50 1 1 I -X Vcc 14 400 300 200 L 50 50 1 1 W -X 1A 2 -400 200 200 R 50 50 1 1 I -X 1Y 3 -400 100 200 R 50 50 1 1 T -X 2~OE~ 4 -400 0 200 R 50 50 1 1 I -X 2A 5 -400 -100 200 R 50 50 1 1 I -X 2Y 6 -400 -200 200 R 50 50 1 1 T -X GND 7 -400 -300 200 R 50 50 1 1 W -X 3Y 8 400 -300 200 L 50 50 1 1 T -X 3A 9 400 -200 200 L 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 74125BQ -# -DEF 74125BQ U 0 40 Y Y 1 F N -F0 "U" 0 450 50 H V C CNN -F1 "74125BQ" 0 -450 50 H V C CNN -F2 "stdpads:Nexperia_BQ_DHVFQFN-14_SOT762-1" 0 -500 50 H I C TNN -F3 "" 0 -50 60 H I C CNN -DRAW -S -200 400 200 -400 0 1 10 f -X 1~OE~ 1 -400 300 200 R 50 50 1 1 I -X 3~OE~ 10 400 -100 200 L 50 50 1 1 I -X 4Y 11 400 0 200 L 50 50 1 1 T -X 4A 12 400 100 200 L 50 50 1 1 I -X 4~OE~ 13 400 200 200 L 50 50 1 1 I -X Vcc 14 400 300 200 L 50 50 1 1 W -X pad 15 -400 -300 200 R 50 50 1 1 W N -X 1A 2 -400 200 200 R 50 50 1 1 I -X 1Y 3 -400 100 200 R 50 50 1 1 T -X 2~OE~ 4 -400 0 200 R 50 50 1 1 I -X 2A 5 -400 -100 200 R 50 50 1 1 I -X 2Y 6 -400 -200 200 R 50 50 1 1 T -X GND 7 -400 -300 200 R 50 50 1 1 W -X 3Y 8 400 -300 200 L 50 50 1 1 T -X 3A 9 400 -200 200 L 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 74126BQ -# -DEF 74126BQ U 0 40 Y Y 1 F N -F0 "U" 0 450 50 H V C CNN -F1 "74126BQ" 0 -450 50 H V C CNN -F2 "stdpads:NXP_DHVFQFN-14_SOT762-1" 0 -500 50 H I C TNN -F3 "" 0 -50 60 H I C CNN -DRAW -S -200 400 200 -400 0 1 10 f -X 1OE 1 -400 300 200 R 50 50 1 1 I -X 3OE 10 400 -100 200 L 50 50 1 1 I -X 4Y 11 400 0 200 L 50 50 1 1 T -X 4A 12 400 100 200 L 50 50 1 1 I -X 4OE 13 400 200 200 L 50 50 1 1 I -X Vcc 14 400 300 200 L 50 50 1 1 W -X pad 15 -400 -300 200 R 50 50 1 1 W N -X 1A 2 -400 200 200 R 50 50 1 1 I -X 1Y 3 -400 100 200 R 50 50 1 1 T -X 2OE 4 -400 0 200 R 50 50 1 1 I -X 2A 5 -400 -100 200 R 50 50 1 1 I -X 2Y 6 -400 -200 200 R 50 50 1 1 T -X GND 7 -400 -300 200 R 50 50 1 1 W -X 3Y 8 400 -300 200 L 50 50 1 1 T -X 3A 9 400 -200 200 L 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 74138 -# -DEF 74138 U 0 40 Y Y 1 F N -F0 "U" 0 500 50 H V C CNN -F1 "74138" 0 -500 50 H V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 450 200 -450 0 1 10 f -X A0 1 -400 250 200 R 50 50 1 1 I -X ~Q5~ 10 400 -150 200 L 50 50 1 1 I -X ~Q4~ 11 400 -50 200 L 50 50 1 1 I -X ~Q3~ 12 400 50 200 L 50 50 1 1 I -X ~Q2~ 13 400 150 200 L 50 50 1 1 I -X ~Q1~ 14 400 250 200 L 50 50 1 1 I -X ~Q0~ 15 400 350 200 L 50 50 1 1 I -X Vcc 16 -400 350 200 R 50 50 1 1 W -X A1 2 -400 150 200 R 50 50 1 1 I -X A2 3 -400 50 200 R 50 50 1 1 I -X ~E1~ 4 -400 -250 200 R 50 50 1 1 I -X ~E2~ 5 -400 -150 200 R 50 50 1 1 I -X E3 6 -400 -50 200 R 50 50 1 1 I -X ~Q7~ 7 400 -350 200 L 50 50 1 1 I -X GND 8 -400 -350 200 R 50 50 1 1 W -X ~Q6~ 9 400 -250 200 L 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 74157 -# -DEF 74157 U 0 40 Y Y 1 L N -F0 "U" -300 500 50 H V C CNN -F1 "74157" 0 0 50 V V C CNN -F2 "" 0 -250 50 H I C CNN -F3 "" 0 -250 50 H I C CNN -$FPLIST - DIP?16* -$ENDFPLIST -DRAW -S -200 450 200 -450 1 1 10 f -X S 1 400 -150 200 L 50 50 1 0 I -X C1 10 -400 -150 200 R 50 50 1 0 I -X C0 11 -400 -50 200 R 50 50 1 0 I -X Zd 12 400 -50 200 L 50 50 1 0 O -X D1 13 -400 -350 200 R 50 50 1 0 I -X D0 14 -400 -250 200 R 50 50 1 0 I -X ~E~ 15 400 -250 200 L 50 50 1 0 I I -X VCC 16 400 350 200 L 50 50 1 0 W -X A0 2 -400 350 200 R 50 50 1 0 I -X A1 3 -400 250 200 R 50 50 1 0 I -X Za 4 400 250 200 L 50 50 1 0 O -X B0 5 -400 150 200 R 50 50 1 0 I -X B1 6 -400 50 200 R 50 50 1 0 I -X Zb 7 400 150 200 L 50 50 1 0 O -X GND 8 400 -350 200 L 50 50 1 0 W -X Zc 9 400 50 200 L 50 50 1 0 O -ENDDRAW -ENDDEF -# -# 7416245 -# -DEF 7416245 U 0 40 Y Y 1 F N -F0 "U" -50 350 50 H V C CNN -F1 "7416245" -50 0 50 V V C CNN -F2 "" -50 0 50 H I C CNN -F3 "" -50 0 50 H I C CNN -$FPLIST - DIP?20* -$ENDFPLIST -DRAW -S -400 1000 300 -1000 0 1 10 f -X 1A->B 1 -600 900 200 R 50 50 1 0 I -X 1B6 11 -600 200 200 R 50 50 1 0 B -X 1B7 12 -600 100 200 R 50 50 1 0 B -X 1B0 2 -600 800 200 R 50 50 1 0 B -X 2A->B 24 -600 -900 200 R 50 50 1 0 I -X 2~OE~ 25 500 -900 200 L 50 50 1 0 I I -X 1B1 3 -600 700 200 R 50 50 1 0 B -X 1A7 37 500 100 200 L 50 50 1 0 B -X 1A6 38 500 200 200 L 50 50 1 0 B -X GND 4 0 -1200 200 U 50 50 1 0 W -X 1A5 40 500 300 200 L 50 50 1 0 B -X 1A4 41 500 400 200 L 50 50 1 0 B -X 1A3 43 500 500 200 L 50 50 1 0 B -X 1A2 44 500 600 200 L 50 50 1 0 B -X 1A1 46 500 700 200 L 50 50 1 0 B -X 1A0 47 500 800 200 L 50 50 1 0 B -X 1~OE~ 48 500 900 200 L 50 50 1 0 I I -X 1B2 5 -600 600 200 R 50 50 1 0 B -X 1B3 6 -600 500 200 R 50 50 1 0 B -X VCC 7 0 1200 200 D 50 50 1 0 W -X 1B4 8 -600 400 200 R 50 50 1 0 B -X 1B5 9 -600 300 200 R 50 50 1 0 B -X GND 10 0 -1200 200 U 50 50 1 1 W -X 2B0 13 -600 -100 200 R 50 50 1 1 B -X 2B1 14 -600 -200 200 R 50 50 1 1 B -X GND 15 0 -1200 200 U 50 50 1 1 W -X 2B2 16 -600 -300 200 R 50 50 1 1 B -X 2B3 17 -600 -400 200 R 50 50 1 1 B -X VCC 18 0 1200 200 D 50 50 1 1 W -X 2B4 19 -600 -500 200 R 50 50 1 1 B -X 2B5 20 -600 -600 200 R 50 50 1 1 B -X GND 21 0 -1200 200 U 50 50 1 1 W -X 2B6 22 -600 -700 200 R 50 50 1 1 B -X 2B7 23 -600 -800 200 R 50 50 1 1 B -X 2A7 26 500 -800 200 L 50 50 1 1 B -X 2A6 27 500 -700 200 L 50 50 1 1 B -X GND 28 0 -1200 200 U 50 50 1 1 W -X 2A5 29 500 -600 200 L 50 50 1 1 B -X 2A4 30 500 -500 200 L 50 50 1 1 B -X VCC 31 0 1200 200 D 50 50 1 1 W -X 2A3 32 500 -400 200 L 50 50 1 1 B -X 2A2 33 500 -300 200 L 50 50 1 1 B -X GND 34 0 -1200 200 U 50 50 1 1 W -X 2A1 35 500 -200 200 L 50 50 1 1 B -X 2A0 36 500 -100 200 L 50 50 1 1 B -X GND 39 0 -1200 200 U 50 50 1 1 W -X VCC 42 0 1200 200 D 50 50 1 1 W -X GND 45 0 -1200 200 U 50 50 1 1 W -ENDDRAW -ENDDEF -# -# 74165 -# -DEF 74165 U 0 40 Y Y 1 F N -F0 "U" 0 500 50 H V C CNN -F1 "74165" 0 -500 50 H V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 450 200 -450 0 1 10 f -X ~PL~ 1 -400 350 200 R 50 50 1 1 I -X DS 10 400 -250 200 L 50 50 1 1 I -X D0 11 400 -150 200 L 50 50 1 1 I -X D1 12 400 -50 200 L 50 50 1 1 I -X D2 13 400 50 200 L 50 50 1 1 I -X D3 14 400 150 200 L 50 50 1 1 I -X ~CE~ 15 400 250 200 L 50 50 1 1 I -X Vcc 16 400 350 200 L 50 50 1 1 W -X CLK 2 -400 250 200 R 50 50 1 1 I -X D4 3 -400 150 200 R 50 50 1 1 I -X D5 4 -400 50 200 R 50 50 1 1 I -X D6 5 -400 -50 200 R 50 50 1 1 I -X D7 6 -400 -150 200 R 50 50 1 1 I -X ~Q7~ 7 -400 -250 200 R 50 50 1 1 I -X GND 8 -400 -350 200 R 50 50 1 1 W -X Q7 9 400 -350 200 L 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 741G02GW -# -DEF 741G02GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "741G02GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X B 1 -400 100 200 R 50 50 1 1 I -X A 2 -400 0 200 R 50 50 1 1 I -X GND 3 -400 -100 200 R 50 50 1 1 W -X Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 100 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# 741G04GW -# -DEF 741G04GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "741G04GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X NC 1 -350 100 150 R 50 50 1 1 N -X A 2 -400 0 200 R 50 50 1 1 I -X GND 3 -400 -100 200 R 50 50 1 1 W -X Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 100 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# 741G125GW -# -DEF 741G125GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "741G125GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X ~OE~ 1 -400 100 200 R 50 50 1 1 I -X A 2 -400 0 200 R 50 50 1 1 I -X GND 3 -400 -100 200 R 50 50 1 1 W -X Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 100 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# 741G126GW -# -DEF 741G126GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "741G126GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X OE 1 -400 100 200 R 50 50 1 1 I -X A 2 -400 0 200 R 50 50 1 1 I -X GND 3 -400 -100 200 R 50 50 1 1 W -X Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 100 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# 741G74DC -# -DEF 741G74DC U 0 40 Y Y 1 F N -F0 "U" 0 300 50 H V C CNN -F1 "741G74DC" 0 -300 50 H V C CNN -F2 "stdpads:SOT-353" 0 -350 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -250 -200 250 0 1 10 f -X CK 1 -400 -150 200 R 50 50 1 1 I -X D 2 -400 150 200 R 50 50 1 1 I -X ~Q~ 3 400 -50 200 L 50 50 1 1 O -X GND 4 400 -150 200 L 50 50 1 1 W -X Q 5 400 50 200 L 50 50 1 1 O -X ~R~ 6 -400 -50 200 R 50 50 1 1 I -X ~S~ 7 -400 50 200 R 50 50 1 1 I -X Vcc 8 400 150 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# 741G86GW -# -DEF 741G86GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "741G86GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X A 1 -400 100 200 R 50 50 1 1 I -X B 2 -400 0 200 R 50 50 1 1 I -X GND 3 -400 -100 200 R 50 50 1 1 W -X Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 100 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# 74244 -# -DEF 74244 U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "74244" 0 -600 50 H V C CNN -F2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X 1~OE~ 1 400 450 200 L 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X 2A3 11 400 -350 200 L 50 50 1 1 I -X 1Y3 12 -400 350 200 R 50 50 1 1 T -X 2A2 13 400 -250 200 L 50 50 1 1 I -X 1Y2 14 -400 250 200 R 50 50 1 1 T -X 2A1 15 400 -150 200 L 50 50 1 1 I -X 1Y1 16 -400 150 200 R 50 50 1 1 T -X 2A0 17 400 -50 200 L 50 50 1 1 I -X 1Y0 18 -400 50 200 R 50 50 1 1 T -X 2~OE~ 19 400 -450 200 L 50 50 1 1 I -X 1A0 2 400 350 200 L 50 50 1 1 I -X Vcc 20 -400 450 200 R 50 50 1 1 W -X 2Y0 3 -400 -50 200 R 50 50 1 1 T -X 1A1 4 400 250 200 L 50 50 1 1 I -X 2Y1 5 -400 -150 200 R 50 50 1 1 T -X 1A2 6 400 150 200 L 50 50 1 1 I -X 2Y2 7 -400 -250 200 R 50 50 1 1 T -X 1A3 8 400 50 200 L 50 50 1 1 I -X 2Y3 9 -400 -350 200 R 50 50 1 1 T -ENDDRAW -ENDDEF -# -# 74244BQ -# -DEF 74244BQ U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "74244BQ" 0 -600 50 H V C CNN -F2 "stdpads:Nexperia_DHVFQFN-20_SOT764-1" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X 1~OE~ 1 400 450 200 L 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X 2A3 11 400 -350 200 L 50 50 1 1 I -X 1Y3 12 -400 350 200 R 50 50 1 1 T -X 2A2 13 400 -250 200 L 50 50 1 1 I -X 1Y2 14 -400 250 200 R 50 50 1 1 T -X 2A1 15 400 -150 200 L 50 50 1 1 I -X 1Y1 16 -400 150 200 R 50 50 1 1 T -X 2A0 17 400 -50 200 L 50 50 1 1 I -X 1Y0 18 -400 50 200 R 50 50 1 1 T -X 2~OE~ 19 400 -450 200 L 50 50 1 1 I -X 1A0 2 400 350 200 L 50 50 1 1 I -X Vcc 20 -400 450 200 R 50 50 1 1 W -X pad 21 -400 -450 200 R 50 50 1 1 W N -X 2Y0 3 -400 -50 200 R 50 50 1 1 T -X 1A1 4 400 250 200 L 50 50 1 1 I -X 2Y1 5 -400 -150 200 R 50 50 1 1 T -X 1A2 6 400 150 200 L 50 50 1 1 I -X 2Y2 7 -400 -250 200 R 50 50 1 1 T -X 1A3 8 400 50 200 L 50 50 1 1 I -X 2Y3 9 -400 -350 200 R 50 50 1 1 T -ENDDRAW -ENDDEF -# -# 74245 -# -DEF 74245 U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "74245" 0 0 50 V V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X AtoB 1 -400 450 200 R 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X B7 11 400 -450 200 L 50 50 1 1 B -X B6 12 400 -350 200 L 50 50 1 1 B -X B5 13 400 -250 200 L 50 50 1 1 B -X B4 14 400 -150 200 L 50 50 1 1 B -X B3 15 400 -50 200 L 50 50 1 1 B -X B2 16 400 50 200 L 50 50 1 1 B -X B1 17 400 150 200 L 50 50 1 1 B -X B0 18 400 250 200 L 50 50 1 1 B -X ~OE~ 19 400 350 200 L 50 50 1 1 I -X A0 2 -400 350 200 R 50 50 1 1 B -X Vcc 20 400 450 200 L 50 50 1 1 W -X A1 3 -400 250 200 R 50 50 1 1 B -X A2 4 -400 150 200 R 50 50 1 1 B -X A3 5 -400 50 200 R 50 50 1 1 B -X A4 6 -400 -50 200 R 50 50 1 1 B -X A5 7 -400 -150 200 R 50 50 1 1 B -X A6 8 -400 -250 200 R 50 50 1 1 B -X A7 9 -400 -350 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# 74245BQ -# -DEF 74245BQ U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "74245BQ" 0 -600 50 H V C CNN -F2 "stdpads:Nexperia_DHVFQFN-20_SOT764-1" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X AtoB 1 -400 450 200 R 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X B7 11 400 -450 200 L 50 50 1 1 B -X B6 12 400 -350 200 L 50 50 1 1 B -X B5 13 400 -250 200 L 50 50 1 1 B -X B4 14 400 -150 200 L 50 50 1 1 B -X B3 15 400 -50 200 L 50 50 1 1 B -X B2 16 400 50 200 L 50 50 1 1 B -X B1 17 400 150 200 L 50 50 1 1 B -X B0 18 400 250 200 L 50 50 1 1 B -X ~OE~ 19 400 350 200 L 50 50 1 1 I -X A0 2 -400 350 200 R 50 50 1 1 B -X Vcc 20 400 450 200 L 50 50 1 1 W -X pad 21 -400 -450 200 R 50 50 1 1 W N -X A1 3 -400 250 200 R 50 50 1 1 B -X A2 4 -400 150 200 R 50 50 1 1 B -X A3 5 -400 50 200 R 50 50 1 1 B -X A4 6 -400 -50 200 R 50 50 1 1 B -X A5 7 -400 -150 200 R 50 50 1 1 B -X A6 8 -400 -250 200 R 50 50 1 1 B -X A7 9 -400 -350 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# 74253 -# -DEF 74253 U 0 40 Y Y 1 L N -F0 "U" 0 500 50 H V C CNN -F1 "74253" 0 0 50 V V C CNN -F2 "" 0 -250 50 H I C CNN -F3 "" 0 -250 50 H I C CNN -$FPLIST - DIP?16* -$ENDFPLIST -DRAW -S -200 450 200 -450 1 1 10 f -X B0 10 -400 -50 200 R 50 50 1 0 I -X B1 11 -400 -150 200 R 50 50 1 0 I -X B2 12 -400 -250 200 R 50 50 1 0 I -X B3 13 -400 -350 200 R 50 50 1 0 I -X S0 14 400 50 200 L 50 50 1 0 I -X B~OE~ 15 400 -250 200 L 50 50 1 0 I I -X VCC 16 400 350 200 L 50 50 1 0 W -X A3 3 -400 50 200 R 50 50 1 0 I -X A2 4 -400 150 200 R 50 50 1 0 I -X A1 5 -400 250 200 R 50 50 1 0 I -X A0 6 -400 350 200 R 50 50 1 0 I -X Za 7 400 250 200 L 50 50 1 0 O -X GND 8 400 -350 200 L 50 50 1 0 W -X Zb 9 400 150 200 L 50 50 1 0 O -X A~OE~ 1 400 -150 200 L 50 50 1 1 I I -X S1 2 400 -50 200 L 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 74257 -# -DEF 74257 U 0 40 Y Y 1 L N -F0 "U" -300 500 50 H V C CNN -F1 "74257" 0 0 50 V V C CNN -F2 "" 0 -250 50 H I C CNN -F3 "" 0 -250 50 H I C CNN -$FPLIST - DIP?16* -$ENDFPLIST -DRAW -S -200 450 200 -450 1 1 10 f -X S 1 400 -150 200 L 50 50 1 0 I -X C1 10 -400 -150 200 R 50 50 1 0 I -X C0 11 -400 -50 200 R 50 50 1 0 I -X Zd 12 400 -50 200 L 50 50 1 0 O -X D1 13 -400 -350 200 R 50 50 1 0 I -X D0 14 -400 -250 200 R 50 50 1 0 I -X ~OE~ 15 400 -250 200 L 50 50 1 0 I I -X VCC 16 400 350 200 L 50 50 1 0 W -X A0 2 -400 350 200 R 50 50 1 0 I -X A1 3 -400 250 200 R 50 50 1 0 I -X Za 4 400 250 200 L 50 50 1 0 O -X B0 5 -400 150 200 R 50 50 1 0 I -X B1 6 -400 50 200 R 50 50 1 0 I -X Zb 7 400 150 200 L 50 50 1 0 O -X GND 8 400 -350 200 L 50 50 1 0 W -X Zc 9 400 50 200 L 50 50 1 0 O -ENDDRAW -ENDDEF -# -# 74273 -# -DEF 74273 U 0 20 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "74273" 0 0 50 V V C CNN -F2 "" 0 -50 50 H I C CNN -F3 "" 0 -50 50 H I C CNN -ALIAS 74HC273 74HCT273 74AHC273 74AHCT273 -$FPLIST - DIP?20* - SO?20* - SOIC?20* -$ENDFPLIST -DRAW -S -200 550 200 -550 1 1 10 f -X ~Mr 1 -400 -450 200 R 50 50 1 0 I I -X GND 10 400 -450 200 L 50 50 1 0 W -X Cp 11 -400 -350 200 R 50 50 1 0 I C -X Q4 12 400 -50 200 L 50 50 1 0 O -X D4 13 -400 50 200 R 50 50 1 0 I -X D5 14 -400 -50 200 R 50 50 1 0 I -X Q5 15 400 -150 200 L 50 50 1 0 O -X Q6 16 400 -250 200 L 50 50 1 0 O -X D6 17 -400 -150 200 R 50 50 1 0 I -X D7 18 -400 -250 200 R 50 50 1 0 I -X Q7 19 400 -350 200 L 50 50 1 0 O -X Q0 2 400 350 200 L 50 50 1 0 O -X VCC 20 400 450 200 L 50 50 1 0 W -X D0 3 -400 450 200 R 50 50 1 0 I -X D1 4 -400 350 200 R 50 50 1 0 I -X Q1 5 400 250 200 L 50 50 1 0 O -X Q2 6 400 150 200 L 50 50 1 0 O -X D2 7 -400 250 200 R 50 50 1 0 I -X D3 8 -400 150 200 R 50 50 1 0 I -X Q3 9 400 50 200 L 50 50 1 0 O -ENDDRAW -ENDDEF -# -# 742G04GW -# -DEF 742G04GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "742G04GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-363" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X 1A 1 -400 100 200 R 50 50 1 1 I -X GND 2 -400 0 200 R 50 50 1 1 W -X 2A 3 -400 -100 200 R 50 50 1 1 O -X 2Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 0 200 L 50 50 1 1 W -X 1Y 6 400 100 200 L 50 50 1 1 O -ENDDRAW -ENDDEF -# -# 742G125DC -# -DEF 742G125DC U 0 40 Y Y 1 F N -F0 "U" 0 300 50 H V C CNN -F1 "742G125DC" 0 -300 50 H V C CNN -F2 "stdpads:Nexperia_DC_VSSOP-8_SOT765-1" 0 -350 50 H I C TNN -F3 "" 0 -150 60 H I C CNN -DRAW -S -200 250 200 -250 0 1 10 f -X 1~OE~ 1 -400 150 200 R 50 50 1 1 I -X 1A 2 -400 50 200 R 50 50 1 1 I -X 2Y 3 -400 -50 200 R 50 50 1 1 T -X GND 4 -400 -150 200 R 50 50 1 1 W -X 2A 5 400 -150 200 L 50 50 1 1 I -X 1Y 6 400 -50 200 L 50 50 1 1 T -X 2~OE~ 7 400 50 200 L 50 50 1 1 I -X Vcc 8 400 150 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# 74541 -# -DEF 74541 U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "74541" 0 -600 50 H V C CNN -F2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S 200 550 -200 -550 0 1 10 f -X 1~OE~ 1 -400 450 200 R 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X Y7 11 400 -450 200 L 50 50 1 1 T -X Y6 12 400 -350 200 L 50 50 1 1 T -X Y5 13 400 -250 200 L 50 50 1 1 T -X Y4 14 400 -150 200 L 50 50 1 1 T -X Y3 15 400 -50 200 L 50 50 1 1 T -X Y2 16 400 50 200 L 50 50 1 1 T -X Y1 17 400 150 200 L 50 50 1 1 T -X Y0 18 400 250 200 L 50 50 1 1 T -X 2~OE~ 19 400 350 200 L 50 50 1 1 I -X A0 2 -400 350 200 R 50 50 1 1 I -X Vcc 20 400 450 200 L 50 50 1 1 W -X A1 3 -400 250 200 R 50 50 1 1 I -X A2 4 -400 150 200 R 50 50 1 1 I -X A3 5 -400 50 200 R 50 50 1 1 I -X A4 6 -400 -50 200 R 50 50 1 1 I -X A5 7 -400 -150 200 R 50 50 1 1 I -X A6 8 -400 -250 200 R 50 50 1 1 I -X A7 9 -400 -350 200 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 74573 -# -DEF 74573 U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "74573" 0 -600 50 H V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X ~OE~ 1 -400 450 200 R 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X ~LE~ 11 400 -450 200 L 50 50 1 1 I -X Q7 12 400 -350 200 L 50 50 1 1 T -X Q6 13 400 -250 200 L 50 50 1 1 T -X Q5 14 400 -150 200 L 50 50 1 1 T -X Q4 15 400 -50 200 L 50 50 1 1 T -X Q3 16 400 50 200 L 50 50 1 1 T -X Q2 17 400 150 200 L 50 50 1 1 T -X Q1 18 400 250 200 L 50 50 1 1 T -X Q0 19 400 350 200 L 50 50 1 1 T -X D0 2 -400 350 200 R 50 50 1 1 I -X Vcc 20 400 450 200 L 50 50 1 1 W -X D1 3 -400 250 200 R 50 50 1 1 I -X D2 4 -400 150 200 R 50 50 1 1 I -X D3 5 -400 50 200 R 50 50 1 1 I -X D4 6 -400 -50 200 R 50 50 1 1 I -X D5 7 -400 -150 200 R 50 50 1 1 I -X D6 8 -400 -250 200 R 50 50 1 1 I -X D7 9 -400 -350 200 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 74574 -# -DEF 74574 U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "74574" 0 -600 50 H V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X ~OE~ 1 -400 450 200 R 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X CK 11 400 -450 200 L 50 50 1 1 I -X Q7 12 400 -350 200 L 50 50 1 1 T -X Q6 13 400 -250 200 L 50 50 1 1 T -X Q5 14 400 -150 200 L 50 50 1 1 T -X Q4 15 400 -50 200 L 50 50 1 1 T -X Q3 16 400 50 200 L 50 50 1 1 T -X Q2 17 400 150 200 L 50 50 1 1 T -X Q1 18 400 250 200 L 50 50 1 1 T -X Q0 19 400 350 200 L 50 50 1 1 T -X D0 2 -400 350 200 R 50 50 1 1 I -X Vcc 20 400 450 200 L 50 50 1 1 W -X D1 3 -400 250 200 R 50 50 1 1 I -X D2 4 -400 150 200 R 50 50 1 1 I -X D3 5 -400 50 200 R 50 50 1 1 I -X D4 6 -400 -50 200 R 50 50 1 1 I -X D5 7 -400 -150 200 R 50 50 1 1 I -X D6 8 -400 -250 200 R 50 50 1 1 I -X D7 9 -400 -350 200 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# 74595 -# -DEF 74595 U 0 40 Y Y 1 F N -F0 "U" 0 500 50 H V C CNN -F1 "74595" 0 -500 50 H V C CNN -F2 "" 0 -550 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 450 200 -450 0 1 10 f -X Q1 1 400 -250 200 L 50 50 1 1 T -X ~RES~ 10 -400 -250 200 R 50 50 1 1 I I -X SHCK 11 -400 50 200 R 50 50 1 1 I C -X STCK 12 -400 -50 200 R 50 50 1 1 I C -X ~OE~ 13 -400 -150 200 R 50 50 1 1 I I -X D 14 -400 250 200 R 50 50 1 1 I -X Q0 15 400 -350 200 L 50 50 1 1 T -X Vcc 16 -400 350 200 R 50 50 1 1 W -X Q2 2 400 -150 200 L 50 50 1 1 T -X Q3 3 400 -50 200 L 50 50 1 1 T -X Q4 4 400 50 200 L 50 50 1 1 T -X Q5 5 400 150 200 L 50 50 1 1 T -X Q6 6 400 250 200 L 50 50 1 1 T -X Q7 7 400 350 200 L 50 50 1 1 T -X GND 8 -400 -350 200 R 50 50 1 1 W -X Q7S 9 -400 150 200 R 50 50 1 1 O -ENDDRAW -ENDDEF -# -# 748T245 -# -DEF 748T245 U 0 40 Y Y 1 F N -F0 "U" 0 700 50 H V C CNN -F1 "748T245" 0 0 50 V V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 650 200 -650 0 1 10 f -X VA 1 -400 550 200 R 50 50 1 1 W -X A7 10 -400 -350 200 R 50 50 1 1 B -X GND 11 -400 -450 200 R 50 50 1 1 W -X GND 12 -400 -550 200 R 50 50 1 1 W -X GND 13 400 -550 200 L 50 50 1 1 W -X B7 14 400 -450 200 L 50 50 1 1 B -X B6 15 400 -350 200 L 50 50 1 1 B -X B5 16 400 -250 200 L 50 50 1 1 B -X B4 17 400 -150 200 L 50 50 1 1 B -X B3 18 400 -50 200 L 50 50 1 1 B -X B2 19 400 50 200 L 50 50 1 1 B -X AtoB 2 -400 450 200 R 50 50 1 1 I -X B1 20 400 150 200 L 50 50 1 1 B -X B0 21 400 250 200 L 50 50 1 1 B -X ~OE~ 22 400 350 200 L 50 50 1 1 I -X VB 23 400 450 200 L 50 50 1 1 W -X VB 24 400 550 200 L 50 50 1 1 W -X A0 3 -400 350 200 R 50 50 1 1 B -X A1 4 -400 250 200 R 50 50 1 1 B -X A2 5 -400 150 200 R 50 50 1 1 B -X A3 6 -400 50 200 R 50 50 1 1 B -X A4 7 -400 -50 200 R 50 50 1 1 B -X A5 8 -400 -150 200 R 50 50 1 1 B -X A6 9 -400 -250 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# Oscillator_4P -# -DEF Oscillator_4P U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "Oscillator_4P" 0 -150 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -250 200 250 -100 0 1 10 f -X EN 1 -350 100 100 R 50 50 1 1 I -X GND 2 -350 0 100 R 50 50 1 1 W -X Output 3 350 0 100 L 50 50 1 1 O -X Vdd 4 350 100 100 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -#End Library diff --git a/GW_MCU.kicad_sym b/GW_MCU.kicad_sym new file mode 100644 index 0000000..985364d --- /dev/null +++ b/GW_MCU.kicad_sym @@ -0,0 +1,2348 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "RP2040" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "RP2040" (id 1) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:QFN-56_RP2040" (id 2) (at 0 -2.54 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (id 3) (at -2.54 13.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "QFN48" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "RP2040_0_1" + (rectangle (start -27.94 48.26) (end 27.94 -50.8) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "RP2040_1_1" + (pin power_in line (at 7.62 53.34 270) (length 5.08) + (name "IOVdd" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 53.34 270) (length 5.08) + (name "IOVdd" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 12.7 180) (length 5.08) + (name "GPIO8" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 10.16 180) (length 5.08) + (name "GPIO9" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 7.62 180) (length 5.08) + (name "GPIO10" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 5.08 180) (length 5.08) + (name "GPIO11" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 2.54 180) (length 5.08) + (name "GPIO12" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 0 180) (length 5.08) + (name "GPIO13" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -2.54 180) (length 5.08) + (name "GPIO14" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -5.08 180) (length 5.08) + (name "GPIO15" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 -55.88 90) (length 5.08) + (name "TESTEN" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 33.02 180) (length 5.08) + (name "GPIO0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -33.02 -5.08 0) (length 5.08) + (name "XIN" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -33.02 -10.16 0) (length 5.08) + (name "XOUT" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 53.34 270) (length 5.08) + (name "IOVdd" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -20.32 53.34 270) (length 5.08) + (name "DVdd" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -33.02 -43.18 0) (length 5.08) + (name "SWCLK" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -33.02 -45.72 0) (length 5.08) + (name "SWD" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -33.02 -30.48 0) (length 5.08) + (name "RUN" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -10.16 180) (length 5.08) + (name "GPIO16" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -12.7 180) (length 5.08) + (name "GPIO17" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -15.24 180) (length 5.08) + (name "GPIO18" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 30.48 180) (length 5.08) + (name "GPIO1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -17.78 180) (length 5.08) + (name "GPIO19" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -20.32 180) (length 5.08) + (name "GPIO20" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -22.86 180) (length 5.08) + (name "GPIO21" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 53.34 270) (length 5.08) + (name "IOVdd" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -25.4 180) (length 5.08) + (name "GPIO22" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -27.94 180) (length 5.08) + (name "GPIO23" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -30.48 180) (length 5.08) + (name "GPIO24" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -33.02 180) (length 5.08) + (name "GPIO25" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -38.1 180) (length 5.08) + (name "ADC0/GPIO26" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -40.64 180) (length 5.08) + (name "ADC1/GPIO27" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 27.94 180) (length 5.08) + (name "GPIO2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -43.18 180) (length 5.08) + (name "ADC2/GPIO28" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 -45.72 180) (length 5.08) + (name "ADC3/GPIO29" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 53.34 270) (length 5.08) + (name "IOVdd" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 53.34 270) (length 5.08) + (name "ADC_IOVdd" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 53.34 270) (length 5.08) + (name "IOVddOut" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -15.24 53.34 270) (length 5.08) + (name "VddOut" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 40.64 180) (length 5.08) + (name "USB_D-" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 43.18 180) (length 5.08) + (name "USB_D+" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 53.34 270) (length 5.08) + (name "USB_IOVdd" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 53.34 270) (length 5.08) + (name "IOVdd" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 25.4 180) (length 5.08) + (name "GPIO3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 53.34 270) (length 5.08) + (name "DVdd" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -33.02 22.86 0) (length 5.08) + (name "QSPI_SD3" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -33.02 20.32 0) (length 5.08) + (name "QSPI_SCK" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -33.02 30.48 0) (length 5.08) + (name "QSPI_SD0" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -33.02 25.4 0) (length 5.08) + (name "QSPI_SD2" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -33.02 27.94 0) (length 5.08) + (name "QSPI_SD1" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -33.02 33.02 0) (length 5.08) + (name "QSPI_~{CS}" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -55.88 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 22.86 180) (length 5.08) + (name "GPIO4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 20.32 180) (length 5.08) + (name "GPIO5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 17.78 180) (length 5.08) + (name "GPIO6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 33.02 15.24 180) (length 5.08) + (name "GPIO7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SAMD11D-MU" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 19.05 0) + (effects (font (size 1.524 1.524))) + ) + (property "Value" "SAMD11D-MU" (id 1) (at 0 0 90) + (effects (font (size 1.524 1.524))) + ) + (property "Footprint" "" (id 2) (at 17.78 15.24 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "Datasheet" "" (id 3) (at 17.78 15.24 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "SAMD11D-MU_1_1" + (rectangle (start -7.62 17.78) (end 7.62 -17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -12.7 15.24 0) (length 5.08) + (name "PA02" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -10.16 0) (length 5.08) + (name "PA11" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -12.7 0) (length 5.08) + (name "PA14" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -15.24 0) (length 5.08) + (name "PA15" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -15.24 180) (length 5.08) + (name "PA16" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 5.08) + (name "PA17" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 5.08) + (name "PA22" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 5.08) + (name "PA23" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 5.08) + (name "PA27" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 5.08) + (name "PA28" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 5.08) + (name "PA30" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 12.7 0) (length 5.08) + (name "PA03" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 5.08) + (name "PA31" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 5.08) + (name "PA24" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 5.08) + (name "PA25" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 12.7 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 15.24 180) (length 5.08) + (name "Vdd" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 10.16 0) (length 5.08) + (name "PA04" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 7.62 0) (length 5.08) + (name "PA05" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 5.08 0) (length 5.08) + (name "PA06" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 2.54 0) (length 5.08) + (name "PA07" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -2.54 0) (length 5.08) + (name "PA08" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -5.08 0) (length 5.08) + (name "PA09" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -7.62 0) (length 5.08) + (name "PA10" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SAMD21G-MU" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 34.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SAMD21G-MU" (id 1) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Atmel_QFN-48_RearPad" (id 2) (at 0 -34.29 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (id 3) (at -2.54 8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "QFN48" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SAMD21G-MU_0_1" + (rectangle (start -35.56 33.02) (end 35.56 -33.02) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SAMD21G-MU_1_1" + (pin bidirectional line (at -40.64 27.94 0) (length 5.08) + (name "PA00/XI32/S1P0/T2W0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 10.16 0) (length 5.08) + (name "PA05/S0P1/T0W1/A1/A5/Y3" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 7.62 0) (length 5.08) + (name "PA06/S0P2/T1W0/A2/A6/Y4" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 5.08 0) (length 5.08) + (name "PA07/S0P3/T1W1/A3/A7/Y5" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 0 0) (length 5.08) + (name "PA08/NMI/S0+2P0/T0W0/A16/X0" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 -2.54 0) (length 5.08) + (name "PA09/S0+2P1/T0W1/A17/X1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 -5.08 0) (length 5.08) + (name "PA10/GCK4/T1W0/S0+2P2/X2/A18" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 -7.62 0) (length 5.08) + (name "PA11/GCK5/T1W1/S0+2P3/X3/A19" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 40.64 25.4 180) (length 5.08) + (name "VDDIO" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -40.64 -27.94 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 -10.16 0) (length 5.08) + (name "PB10/GCK4/S4P2/TC5W0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 25.4 0) (length 5.08) + (name "PA01/XO32/S1P1/T2W1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 -12.7 0) (length 5.08) + (name "PB11/GCK5/S4P3/TC5W1" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 -15.24 0) (length 5.08) + (name "PA12/S2+4P0/TC2W0/AC0" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 -17.78 0) (length 5.08) + (name "PA13/S2+4P1/TC2W1/AC1" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 -20.32 0) (length 5.08) + (name "PA14/XI/GCK0/S2+4P2/TC3W0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 -22.86 0) (length 5.08) + (name "PA15/XO/GCK1/S2+4P3/TC3W1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -25.4 180) (length 5.08) + (name "PA16/GCK2/S1+3P0/TC2W0/X4" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -22.86 180) (length 5.08) + (name "PA17/GCK3/S1+3P1/TC2W1/X5" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -20.32 180) (length 5.08) + (name "PA18/S1+3P2/TC3W0/AC0/X6" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -17.78 180) (length 5.08) + (name "PA19/S1+3P3/TC3W1/AC1/X7" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -15.24 180) (length 5.08) + (name "PA20/GCK4/S3+5P2/T7W0/X8" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 22.86 0) (length 5.08) + (name "PA02/VOUT/A0/Y0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -12.7 180) (length 5.08) + (name "PA21/GCK5/S3+5P3/T7W1/X9" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -10.16 180) (length 5.08) + (name "PA22/GCK6/S3+5P0/T4W0/X10" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -7.62 180) (length 5.08) + (name "PA23/GCK7/S3+5P1/T4W1/X11" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -5.08 180) (length 5.08) + (name "PA24/S3+5P2/T5W0" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 -2.54 180) (length 5.08) + (name "PA25/S3+5P3/T5W1" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -40.64 -30.48 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 40.64 27.94 180) (length 5.08) + (name "VDDIO" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 2.54 180) (length 5.08) + (name "PB22/GCK0/S5P2/T7W0" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 5.08 180) (length 5.08) + (name "PB23/GCK1/S5P3/T7W1" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 7.62 180) (length 5.08) + (name "PA27/GCK0" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 20.32 0) (length 5.08) + (name "PA03/VrefA/VrefP/A1/Y1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 40.64 10.16 180) (length 5.08) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 12.7 180) (length 5.08) + (name "PA28/GCK0" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 40.64 -30.48 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 40.64 -27.94 180) (length 5.08) + (name "VDDCORE" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 40.64 30.48 180) (length 5.08) + (name "VDDIN" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 15.24 180) (length 5.08) + (name "PA30/GCK0/SWCK/S1P2/T1W0" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 17.78 180) (length 5.08) + (name "PA31/SWD/S1P3/T1W1" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 20.32 180) (length 5.08) + (name "PB02/S5P0/T6W0/A10/Y8" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 40.64 22.86 180) (length 5.08) + (name "PB03/S5P1/T6W1/A11/Y9" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -40.64 -25.4 0) (length 5.08) + (name "GNDANA" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -40.64 30.48 0) (length 5.08) + (name "VDDANA" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 17.78 0) (length 5.08) + (name "PB08/S4P0/T4W0/A2/Y14" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 15.24 0) (length 5.08) + (name "PB09/S4P1/T4W1/A3/Y15" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -40.64 12.7 0) (length 5.08) + (name "PA04/S0P0/T0W0/VrefB/A0/A4/Y2" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "iMXRT1020-LQFP144" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 10.16 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "iMXRT1020-LQFP144" (id 1) (at 17.78 1.27 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 0 -2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "iMXRT1020-LQFP144_1_1" + (rectangle (start 3.81 2.54) (end 16.51 -149.86) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 0 -68.58 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "102" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -142.24 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "103" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -17.78 0) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "104" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 0) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -20.32 0) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "112" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -144.78 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "113" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -71.12 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "114" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -73.66 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "134" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -147.32 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "135" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -22.86 0) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "144" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 0) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -12.7 0) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -2.54 0) (length 3.81) + (name "DCDC_IN" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -127 0) (length 3.81) + (name "DCDC_GND" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -50.8 0) (length 3.81) + (name "DCDC_LP" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -45.72 0) (length 3.81) + (name "DCDC_PSW" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -5.08 0) (length 3.81) + (name "DCDC_IN_Q" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -63.5 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -132.08 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -27.94 0) (length 3.81) + (name "VccSD0" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -58.42 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 0) (length 3.81) + (name "VddSNVSin" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 0 -33.02 0) (length 3.81) + (name "VddSNVScap" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -129.54 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -134.62 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 0 -104.14 0) (length 3.81) + (name "VddUSBcap" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -124.46 0) (length 3.81) + (name "NGND_KEL0" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 0 -91.44 0) (length 3.81) + (name "VddHIGHcap" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 0 0) (length 3.81) + (name "VddHIGHin" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -137.16 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 0 -121.92 0) (length 3.81) + (name "GPANAIO" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -78.74 0) (length 3.81) + (name "VccPLL" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -25.4 0) (length 3.81) + (name "VddADC" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -15.24 0) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -139.7 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -66.04 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1020-LQFP144_2_1" + (rectangle (start 3.81 2.54) (end 16.51 -58.42) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin input line (at 0 0 0) (length 3.81) + (name "ONOFF" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -10.16 0) (length 3.81) + (name "POR_B" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -15.24 0) (length 3.81) + (name "TEST_MODE" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -2.54 0) (length 3.81) + (name "WAKEUP" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -5.08 0) (length 3.81) + (name "PMIConRQ" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -7.62 0) (length 3.81) + (name "PMICstbyRQ" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -20.32 0) (length 3.81) + (name "RTC_XTALI" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -22.86 0) (length 3.81) + (name "RTC_XTALO" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -48.26 0) (length 3.81) + (name "USB1_Vbus" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -53.34 0) (length 3.81) + (name "USB1_D-" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -55.88 0) (length 3.81) + (name "USB1_D+" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -50.8 0) (length 3.81) + (name "USB1chdB" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -33.02 0) (length 3.81) + (name "XTALI" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -35.56 0) (length 3.81) + (name "XTALO" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1020-LQFP144_3_1" + (rectangle (start 3.81 2.54) (end 16.51 -40.64) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "AD_B0_08" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "AD_B0_07" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "AD_B0_06" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "AD_B0_05" (effects (font (size 1.27 1.27)))) + (number "106" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "AD_B0_04" (effects (font (size 1.27 1.27)))) + (number "107" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "AD_B0_03" (effects (font (size 1.27 1.27)))) + (number "108" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "AD_B0_02" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "AD_B0_01" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "AD_B0_00" (effects (font (size 1.27 1.27)))) + (number "111" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -38.1 0) (length 3.81) + (name "AD_B0_15" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -35.56 0) (length 3.81) + (name "AD_B0_14" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -33.02 0) (length 3.81) + (name "AD_B0_13" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -30.48 0) (length 3.81) + (name "AD_B0_12" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -27.94 0) (length 3.81) + (name "AD_B0_11" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "AD_B0_10" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "AD_B0_09" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1020-LQFP144_4_1" + (rectangle (start 3.81 2.54) (end 16.51 -40.64) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -38.1 0) (length 3.81) + (name "AD_B1_15" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -35.56 0) (length 3.81) + (name "AD_B1_14" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -33.02 0) (length 3.81) + (name "AD_B1_13" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -30.48 0) (length 3.81) + (name "AD_B1_12" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -27.94 0) (length 3.81) + (name "AD_B1_11" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "AD_B1_10" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "AD_B1_09" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "AD_B1_08" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "AD_B1_07" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "AD_B1_06" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "AD_B1_05" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "AD_B1_04" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "AD_B1_03" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "AD_B1_02" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "AD_B1_01" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "AD_B1_00" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1020-LQFP144_5_1" + (rectangle (start 3.81 2.54) (end 19.05 -106.68) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -35.56 0) (length 3.81) + (name "EMC_14/BA1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "EMC_07/D07" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -104.14 0) (length 3.81) + (name "EMC_41/RDY" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -101.6 0) (length 3.81) + (name "EMC_40/CSX0" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -99.06 0) (length 3.81) + (name "EMC_39/D15" (effects (font (size 1.27 1.27)))) + (number "117" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -96.52 0) (length 3.81) + (name "EMC_38/D14" (effects (font (size 1.27 1.27)))) + (number "118" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -93.98 0) (length 3.81) + (name "EMC_37/D13" (effects (font (size 1.27 1.27)))) + (number "119" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "EMC_06/D06" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -91.44 0) (length 3.81) + (name "EMC_36/D12" (effects (font (size 1.27 1.27)))) + (number "120" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -88.9 0) (length 3.81) + (name "EMC_35/D11" (effects (font (size 1.27 1.27)))) + (number "121" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -86.36 0) (length 3.81) + (name "EMC_34/D10" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -83.82 0) (length 3.81) + (name "EMC_33/D9" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -81.28 0) (length 3.81) + (name "EMC_32/D8" (effects (font (size 1.27 1.27)))) + (number "124" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -78.74 0) (length 3.81) + (name "EMC_31/DM1" (effects (font (size 1.27 1.27)))) + (number "125" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -76.2 0) (length 3.81) + (name "EMC_30/Clk" (effects (font (size 1.27 1.27)))) + (number "126" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -73.66 0) (length 3.81) + (name "EMC_29/CKE" (effects (font (size 1.27 1.27)))) + (number "127" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -71.12 0) (length 3.81) + (name "EMC_28/DQS" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -68.58 0) (length 3.81) + (name "EMC_27/A12" (effects (font (size 1.27 1.27)))) + (number "129" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "EMC_05/D05" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -66.04 0) (length 3.81) + (name "EMC_26/A11" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -63.5 0) (length 3.81) + (name "EMC_25/A09" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -60.96 0) (length 3.81) + (name "EMC_24/A08" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -58.42 0) (length 3.81) + (name "EMC_23/A07" (effects (font (size 1.27 1.27)))) + (number "133" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -55.88 0) (length 3.81) + (name "EMC_22/A06" (effects (font (size 1.27 1.27)))) + (number "136" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -53.34 0) (length 3.81) + (name "EMC_21/A05" (effects (font (size 1.27 1.27)))) + (number "137" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -50.8 0) (length 3.81) + (name "EMC_20/A04" (effects (font (size 1.27 1.27)))) + (number "138" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -48.26 0) (length 3.81) + (name "EMC_19/A03" (effects (font (size 1.27 1.27)))) + (number "139" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "EMC_04/D04" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -45.72 0) (length 3.81) + (name "EMC_18/A02" (effects (font (size 1.27 1.27)))) + (number "140" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -43.18 0) (length 3.81) + (name "EMC_17/A01" (effects (font (size 1.27 1.27)))) + (number "141" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -40.64 0) (length 3.81) + (name "EMC_16/A00" (effects (font (size 1.27 1.27)))) + (number "142" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -38.1 0) (length 3.81) + (name "EMC_15/A10" (effects (font (size 1.27 1.27)))) + (number "143" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "EMC_03/D03" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "EMC_02/D02" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "EMC_01/D01" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "EMC_00/D00" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -33.02 0) (length 3.81) + (name "EMC_13/BA0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -30.48 0) (length 3.81) + (name "EMC_12/CS0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -27.94 0) (length 3.81) + (name "EMC_11/~{RAS}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "EMC_10/~{CAS}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "EMC_09/~{WE}" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "EMC_08/DM0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1020-LQFP144_6_1" + (rectangle (start 3.81 2.54) (end 16.51 -17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "SD_B0_06" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "SD_B0_05" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "SD_B0_04" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "SD_B0_03" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "SD_B0_02" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "SD_B0_01" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "SD_B0_00" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1020-LQFP144_7_1" + (rectangle (start 3.81 2.54) (end 16.51 -30.48) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -27.94 0) (length 3.81) + (name "SD_B1_11" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "SD_B1_10" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "SD_B1_09" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "SD_B1_08" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "SD_B1_07" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "SD_B1_06" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "SD_B1_05" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "SD_B1_04" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "SD_B1_03" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "SD_B1_02" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "SD_B1_01" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "SD_B1_00" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "iMXRT1170-BGA289" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 10.16 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "iMXRT1170-BGA289" (id 1) (at 24.13 2.54 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 31.75 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 31.75 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "iMXRT1170-BGA289_1_1" + (rectangle (start 3.81 2.54) (end 13.97 -93.98) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 0 -7.62 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -12.7 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -17.78 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -20.32 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -22.86 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -15.24 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -25.4 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -27.94 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "F11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "F12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -33.02 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "F13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -45.72 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "G10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -48.26 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "G11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -50.8 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "G15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -35.56 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "G3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -38.1 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "G7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -40.64 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "G8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -43.18 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "G9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -55.88 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "H11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -53.34 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "H7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "J11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -58.42 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "J7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -63.5 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "K11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 0 0) (length 3.81) + (name "VssDCDC" (effects (font (size 1.27 1.27)))) + (number "K6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -2.54 0) (length 3.81) + (name "VssDCDC" (effects (font (size 1.27 1.27)))) + (number "K7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -68.58 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "L10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -71.12 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "L11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -73.66 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "L15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -66.04 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "L3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -5.08 0) (length 3.81) + (name "VssDCDC" (effects (font (size 1.27 1.27)))) + (number "L6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -78.74 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "P14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -76.2 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "P4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -81.28 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "R4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -83.82 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "R7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -86.36 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "T12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -88.9 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "U1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -91.44 0) (length 3.81) + (name "Vss" (effects (font (size 1.27 1.27)))) + (number "U17" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1170-BGA289_2_1" + (rectangle (start 3.81 2.54) (end 17.78 -129.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin unspecified line (at -55.88 -102.87 0) (length 3.81) + (name "GPANAIO" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -63.5 0) (length 3.81) + (name "NVccDISP1" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -88.9 0) (length 3.81) + (name "NVccSD1" (effects (font (size 1.27 1.27)))) + (number "D14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -66.04 0) (length 3.81) + (name "NVccDISP2" (effects (font (size 1.27 1.27)))) + (number "E7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -101.6 0) (length 3.81) + (name "VddMIPI1P0" (effects (font (size 1.27 1.27)))) + (number "F10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -68.58 0) (length 3.81) + (name "NVccEMC1" (effects (font (size 1.27 1.27)))) + (number "F6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -71.12 0) (length 3.81) + (name "NVccEMC1" (effects (font (size 1.27 1.27)))) + (number "F7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -104.14 0) (length 3.81) + (name "VddMIPI1P8" (effects (font (size 1.27 1.27)))) + (number "F9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -106.68 0) (length 3.81) + (name "VddUSB1P8" (effects (font (size 1.27 1.27)))) + (number "F9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -109.22 0) (length 3.81) + (name "VddUSB3P3" (effects (font (size 1.27 1.27)))) + (number "G12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -91.44 0) (length 3.81) + (name "NVccSD2" (effects (font (size 1.27 1.27)))) + (number "G13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -17.78 0) (length 3.81) + (name "ADCVrefH" (effects (font (size 1.27 1.27)))) + (number "G16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -73.66 0) (length 3.81) + (name "NVccEMC1" (effects (font (size 1.27 1.27)))) + (number "G6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -5.08 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "H10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -20.32 0) (length 3.81) + (name "DACout" (effects (font (size 1.27 1.27)))) + (number "H16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -76.2 0) (length 3.81) + (name "NVccEMC1" (effects (font (size 1.27 1.27)))) + (number "H6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 0 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "H8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -2.54 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "H9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -12.7 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "J10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -127 0) (length 3.81) + (name "VddAadc3P3" (effects (font (size 1.27 1.27)))) + (number "J13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -78.74 0) (length 3.81) + (name "NVccEMC2" (effects (font (size 1.27 1.27)))) + (number "J6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "J8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "J9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -15.24 0) (length 3.81) + (name "VddSOCin" (effects (font (size 1.27 1.27)))) + (number "K10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -124.46 0) (length 3.81) + (name "VddAadc1P8" (effects (font (size 1.27 1.27)))) + (number "K15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -30.48 0) (length 3.81) + (name "DCDCdig" (effects (font (size 1.27 1.27)))) + (number "K8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -33.02 0) (length 3.81) + (name "DCDCdig" (effects (font (size 1.27 1.27)))) + (number "K9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -45.72 0) (length 3.81) + (name "DCDCinQ" (effects (font (size 1.27 1.27)))) + (number "L5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -38.1 0) (length 3.81) + (name "DCDCdigSNS" (effects (font (size 1.27 1.27)))) + (number "L7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -35.56 0) (length 3.81) + (name "DCDCdig" (effects (font (size 1.27 1.27)))) + (number "L8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -121.92 0) (length 3.81) + (name "VddA1P8in" (effects (font (size 1.27 1.27)))) + (number "M11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -81.28 0) (length 3.81) + (name "NVccGPIO" (effects (font (size 1.27 1.27)))) + (number "M12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -40.64 0) (length 3.81) + (name "DCDCin" (effects (font (size 1.27 1.27)))) + (number "M5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -27.94 0) (length 3.81) + (name "DCDCanaSNS" (effects (font (size 1.27 1.27)))) + (number "M6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -22.86 0) (length 3.81) + (name "DCDCana" (effects (font (size 1.27 1.27)))) + (number "M7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -25.4 0) (length 3.81) + (name "DCDCana" (effects (font (size 1.27 1.27)))) + (number "M8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -119.38 0) (length 3.81) + (name "VddA1P0" (effects (font (size 1.27 1.27)))) + (number "N11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -58.42 0) (length 3.81) + (name "DCDCmode" (effects (font (size 1.27 1.27)))) + (number "N4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -43.18 0) (length 3.81) + (name "DCDCin" (effects (font (size 1.27 1.27)))) + (number "N5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -96.52 0) (length 3.81) + (name "VddLPSRdig" (effects (font (size 1.27 1.27)))) + (number "P11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -93.98 0) (length 3.81) + (name "VddLPSRana" (effects (font (size 1.27 1.27)))) + (number "P12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 0) (length 3.81) + (name "DCDCpsw" (effects (font (size 1.27 1.27)))) + (number "P3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -83.82 0) (length 3.81) + (name "NVccLPSR" (effects (font (size 1.27 1.27)))) + (number "P7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -99.06 0) (length 3.81) + (name "VddLPSRin" (effects (font (size 1.27 1.27)))) + (number "R12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -114.3 0) (length 3.81) + (name "VddSNVSdig" (effects (font (size 1.27 1.27)))) + (number "T14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -53.34 0) (length 3.81) + (name "DCDClp" (effects (font (size 1.27 1.27)))) + (number "T3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -48.26 0) (length 3.81) + (name "DCDCln" (effects (font (size 1.27 1.27)))) + (number "T4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -86.36 0) (length 3.81) + (name "NVccSNVS" (effects (font (size 1.27 1.27)))) + (number "U11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -116.84 0) (length 3.81) + (name "VddSNVSin" (effects (font (size 1.27 1.27)))) + (number "U12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -111.76 0) (length 3.81) + (name "VddSNVSana" (effects (font (size 1.27 1.27)))) + (number "U14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -55.88 0) (length 3.81) + (name "DCDClp" (effects (font (size 1.27 1.27)))) + (number "U3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -50.8 0) (length 3.81) + (name "DCDCln" (effects (font (size 1.27 1.27)))) + (number "U4" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1170-BGA289_3_1" + (rectangle (start 3.81 2.54) (end 16.51 -60.96) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -55.88 0) (length 3.81) + (name "USB2_D-" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -58.42 0) (length 3.81) + (name "USB1_D+" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -53.34 0) (length 3.81) + (name "USB2_Vbus" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -43.18 0) (length 3.81) + (name "USB1_Vbus" (effects (font (size 1.27 1.27)))) + (number "D17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -45.72 0) (length 3.81) + (name "USB1_D-" (effects (font (size 1.27 1.27)))) + (number "E16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -48.26 0) (length 3.81) + (name "USB1_D+" (effects (font (size 1.27 1.27)))) + (number "E17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -10.16 0) (length 3.81) + (name "POR_B" (effects (font (size 1.27 1.27)))) + (number "T10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -15.24 0) (length 3.81) + (name "TEST_MODE" (effects (font (size 1.27 1.27)))) + (number "T11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -20.32 0) (length 3.81) + (name "RTC_XTALI" (effects (font (size 1.27 1.27)))) + (number "T13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -27.94 0) (length 3.81) + (name "CLK1-" (effects (font (size 1.27 1.27)))) + (number "T15" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -38.1 0) (length 3.81) + (name "XTALO" (effects (font (size 1.27 1.27)))) + (number "T16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -2.54 0) (length 3.81) + (name "WAKEUP" (effects (font (size 1.27 1.27)))) + (number "T8" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -7.62 0) (length 3.81) + (name "PMICstbyRQ" (effects (font (size 1.27 1.27)))) + (number "T9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 0 0) (length 3.81) + (name "ONOFF" (effects (font (size 1.27 1.27)))) + (number "U10" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -22.86 0) (length 3.81) + (name "RTC_XTALO" (effects (font (size 1.27 1.27)))) + (number "U13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -30.48 0) (length 3.81) + (name "CLK1+" (effects (font (size 1.27 1.27)))) + (number "U15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 -35.56 0) (length 3.81) + (name "XTALI" (effects (font (size 1.27 1.27)))) + (number "U16" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 0 -5.08 0) (length 3.81) + (name "PMIConRQ" (effects (font (size 1.27 1.27)))) + (number "U9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1170-BGA289_4_1" + (rectangle (start 3.81 2.54) (end 11.43 -91.44) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -88.9 0) (length 3.81) + (name "AD_35" (effects (font (size 1.27 1.27)))) + (number "G17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -83.82 0) (length 3.81) + (name "AD_33" (effects (font (size 1.27 1.27)))) + (number "H17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -58.42 0) (length 3.81) + (name "AD_23" (effects (font (size 1.27 1.27)))) + (number "J12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -86.36 0) (length 3.81) + (name "AD_34" (effects (font (size 1.27 1.27)))) + (number "J16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -78.74 0) (length 3.81) + (name "AD_31" (effects (font (size 1.27 1.27)))) + (number "J17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -55.88 0) (length 3.81) + (name "AD_22" (effects (font (size 1.27 1.27)))) + (number "K12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -50.8 0) (length 3.81) + (name "AD_20" (effects (font (size 1.27 1.27)))) + (number "K13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -53.34 0) (length 3.81) + (name "AD_21" (effects (font (size 1.27 1.27)))) + (number "K14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -81.28 0) (length 3.81) + (name "AD_32" (effects (font (size 1.27 1.27)))) + (number "K16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -76.2 0) (length 3.81) + (name "AD_30" (effects (font (size 1.27 1.27)))) + (number "K17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -33.02 0) (length 3.81) + (name "AD_13" (effects (font (size 1.27 1.27)))) + (number "L12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -60.96 0) (length 3.81) + (name "AD_24" (effects (font (size 1.27 1.27)))) + (number "L13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -66.04 0) (length 3.81) + (name "AD_26" (effects (font (size 1.27 1.27)))) + (number "L14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -48.26 0) (length 3.81) + (name "AD_19" (effects (font (size 1.27 1.27)))) + (number "L16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -71.12 0) (length 3.81) + (name "AD_28" (effects (font (size 1.27 1.27)))) + (number "L17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "AD_04" (effects (font (size 1.27 1.27)))) + (number "M13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -38.1 0) (length 3.81) + (name "AD_15" (effects (font (size 1.27 1.27)))) + (number "M14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -63.5 0) (length 3.81) + (name "AD_25" (effects (font (size 1.27 1.27)))) + (number "M15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -45.72 0) (length 3.81) + (name "AD_18" (effects (font (size 1.27 1.27)))) + (number "M16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -73.66 0) (length 3.81) + (name "AD_29" (effects (font (size 1.27 1.27)))) + (number "M17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "AD_00" (effects (font (size 1.27 1.27)))) + (number "N12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "AD_06" (effects (font (size 1.27 1.27)))) + (number "N13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -35.56 0) (length 3.81) + (name "AD_14" (effects (font (size 1.27 1.27)))) + (number "N14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -43.18 0) (length 3.81) + (name "AD_17" (effects (font (size 1.27 1.27)))) + (number "N15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -68.58 0) (length 3.81) + (name "AD_27" (effects (font (size 1.27 1.27)))) + (number "N16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -40.64 0) (length 3.81) + (name "AD_16" (effects (font (size 1.27 1.27)))) + (number "N17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "AD_05" (effects (font (size 1.27 1.27)))) + (number "P13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "AD_03" (effects (font (size 1.27 1.27)))) + (number "P15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -27.94 0) (length 3.81) + (name "AD_11" (effects (font (size 1.27 1.27)))) + (number "P16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -30.48 0) (length 3.81) + (name "AD_12" (effects (font (size 1.27 1.27)))) + (number "P17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "AD_02" (effects (font (size 1.27 1.27)))) + (number "R13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "AD_01" (effects (font (size 1.27 1.27)))) + (number "R14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "AD_08" (effects (font (size 1.27 1.27)))) + (number "R15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "AD_09" (effects (font (size 1.27 1.27)))) + (number "R16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "AD_10" (effects (font (size 1.27 1.27)))) + (number "R17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "AD_07" (effects (font (size 1.27 1.27)))) + (number "T17" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1170-BGA289_5_1" + (rectangle (start 3.81 2.54) (end 22.86 -93.98) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -38.1 0) (length 3.81) + (name "EMCb1_10/A01" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -35.56 0) (length 3.81) + (name "EMCb1_09/A00" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -48.26 0) (length 3.81) + (name "EMCb1_14/A05" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -60.96 0) (length 3.81) + (name "EMCb1_23/A10" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -55.88 0) (length 3.81) + (name "EMCb1_17/A08" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -58.42 0) (length 3.81) + (name "EMCb1_18/A09" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -50.8 0) (length 3.81) + (name "EMCb1_15/A06" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -40.64 0) (length 3.81) + (name "EMCb1_11/A02" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -66.04 0) (length 3.81) + (name "EMCb1_20/A12" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -63.5 0) (length 3.81) + (name "EMCb1_19/A11" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -43.18 0) (length 3.81) + (name "EMCb1_12/A03" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -53.34 0) (length 3.81) + (name "EMCb1_16/A07" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -45.72 0) (length 3.81) + (name "EMCb1_13/A04" (effects (font (size 1.27 1.27)))) + (number "D5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "EMCb1_28/~{WE}" (effects (font (size 1.27 1.27)))) + (number "E5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "EMCb1_29/CS0" (effects (font (size 1.27 1.27)))) + (number "E6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -71.12 0) (length 3.81) + (name "EMCb1_08/DM0" (effects (font (size 1.27 1.27)))) + (number "F5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -30.48 0) (length 3.81) + (name "EMCb1_21/BA0" (effects (font (size 1.27 1.27)))) + (number "G2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "EMCb1_27/CKE" (effects (font (size 1.27 1.27)))) + (number "G5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -33.02 0) (length 3.81) + (name "EMCb1_22/BA1" (effects (font (size 1.27 1.27)))) + (number "H2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -73.66 0) (length 3.81) + (name "EMCb1_38/DM1" (effects (font (size 1.27 1.27)))) + (number "J1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -88.9 0) (length 3.81) + (name "EMCb1_39/DQS" (effects (font (size 1.27 1.27)))) + (number "J2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "EMCb1_26/CLK" (effects (font (size 1.27 1.27)))) + (number "J3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "EMCb1_25/~{RAS}" (effects (font (size 1.27 1.27)))) + (number "J4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "EMCb1_24/~{CAS}" (effects (font (size 1.27 1.27)))) + (number "J5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -83.82 0) (length 3.81) + (name "EMCb1_40/RDY" (effects (font (size 1.27 1.27)))) + (number "K1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "EMCb1_41/CSX0" (effects (font (size 1.27 1.27)))) + (number "L1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -91.44 0) (length 3.81) + (name "EMCb2_18/DQS4" (effects (font (size 1.27 1.27)))) + (number "N3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -76.2 0) (length 3.81) + (name "EMCb2_08/DM2" (effects (font (size 1.27 1.27)))) + (number "P1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "EMCb2_20/CLKX1" (effects (font (size 1.27 1.27)))) + (number "R3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -78.74 0) (length 3.81) + (name "EMCb2_17/DM3" (effects (font (size 1.27 1.27)))) + (number "T2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "EMCb2_19/CLKX0" (effects (font (size 1.27 1.27)))) + (number "U2" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1170-BGA289_6_1" + (rectangle (start 3.81 2.54) (end 20.32 -81.28) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "EMCb1_32/D10" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "EMCb1_31/D9" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -30.48 0) (length 3.81) + (name "EMCb1_34/D12" (effects (font (size 1.27 1.27)))) + (number "E1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -27.94 0) (length 3.81) + (name "EMCb1_33/D11" (effects (font (size 1.27 1.27)))) + (number "E2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "EMCb1_30/D8" (effects (font (size 1.27 1.27)))) + (number "E3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "EMCb1_03/D3" (effects (font (size 1.27 1.27)))) + (number "E4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -33.02 0) (length 3.81) + (name "EMCb1_35/D13" (effects (font (size 1.27 1.27)))) + (number "F1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "EMCb1_01/D1" (effects (font (size 1.27 1.27)))) + (number "F2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "EMCb1_00/D0" (effects (font (size 1.27 1.27)))) + (number "F3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "EMCb1_05/D5" (effects (font (size 1.27 1.27)))) + (number "F4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -35.56 0) (length 3.81) + (name "EMCb1_36/D14" (effects (font (size 1.27 1.27)))) + (number "G1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "EMCb1_02/D2" (effects (font (size 1.27 1.27)))) + (number "G4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -38.1 0) (length 3.81) + (name "EMCb1_37/D15" (effects (font (size 1.27 1.27)))) + (number "H1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "EMCb1_07/D7" (effects (font (size 1.27 1.27)))) + (number "H3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "EMCb1_06/D6" (effects (font (size 1.27 1.27)))) + (number "H4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "EMCb1_04/D4" (effects (font (size 1.27 1.27)))) + (number "H5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -40.64 0) (length 3.81) + (name "EMCb2_00/D16" (effects (font (size 1.27 1.27)))) + (number "K2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -71.12 0) (length 3.81) + (name "EMCb2_13/D28" (effects (font (size 1.27 1.27)))) + (number "K2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -45.72 0) (length 3.81) + (name "EMCb1_02/D18" (effects (font (size 1.27 1.27)))) + (number "K3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -43.18 0) (length 3.81) + (name "EMCb2_01/D17" (effects (font (size 1.27 1.27)))) + (number "K4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -76.2 0) (length 3.81) + (name "EMCb2_15/D30" (effects (font (size 1.27 1.27)))) + (number "L2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -66.04 0) (length 3.81) + (name "EMCb2_11/D26" (effects (font (size 1.27 1.27)))) + (number "L4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -50.8 0) (length 3.81) + (name "EMCb2_04/D20" (effects (font (size 1.27 1.27)))) + (number "M1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -68.58 0) (length 3.81) + (name "EMCb2_12/D27" (effects (font (size 1.27 1.27)))) + (number "M2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -58.42 0) (length 3.81) + (name "EMCb2_07/D23" (effects (font (size 1.27 1.27)))) + (number "M3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -73.66 0) (length 3.81) + (name "EMCb2_14/D29" (effects (font (size 1.27 1.27)))) + (number "M4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -53.34 0) (length 3.81) + (name "EMCb2_05/D21" (effects (font (size 1.27 1.27)))) + (number "N1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -60.96 0) (length 3.81) + (name "EMCb2_09/D24" (effects (font (size 1.27 1.27)))) + (number "N2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -78.74 0) (length 3.81) + (name "EMCb2_16/D31" (effects (font (size 1.27 1.27)))) + (number "P2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -48.26 0) (length 3.81) + (name "EMCb2_03/D19" (effects (font (size 1.27 1.27)))) + (number "R1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -63.5 0) (length 3.81) + (name "EMCb2_10/D25" (effects (font (size 1.27 1.27)))) + (number "R2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -55.88 0) (length 3.81) + (name "EMCb2_06/D22" (effects (font (size 1.27 1.27)))) + (number "T1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1170-BGA289_7_1" + (rectangle (start 3.81 2.54) (end 13.97 -40.64) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "LPSR_00" (effects (font (size 1.27 1.27)))) + (number "N6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "LPSR_04" (effects (font (size 1.27 1.27)))) + (number "N7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "LPSR_05" (effects (font (size 1.27 1.27)))) + (number "N8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "LPSR_09" (effects (font (size 1.27 1.27)))) + (number "P5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "LPSR_02" (effects (font (size 1.27 1.27)))) + (number "P6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "LPSR_06" (effects (font (size 1.27 1.27)))) + (number "P8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "LPSR_10" (effects (font (size 1.27 1.27)))) + (number "R5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "LPSR_01" (effects (font (size 1.27 1.27)))) + (number "R6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "LPSR_07" (effects (font (size 1.27 1.27)))) + (number "R8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -27.94 0) (length 3.81) + (name "LPSR_11" (effects (font (size 1.27 1.27)))) + (number "T5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -35.56 0) (length 3.81) + (name "LPSR_14" (effects (font (size 1.27 1.27)))) + (number "T6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "LPSR_03" (effects (font (size 1.27 1.27)))) + (number "T7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -30.48 0) (length 3.81) + (name "LPSR_12" (effects (font (size 1.27 1.27)))) + (number "U5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -33.02 0) (length 3.81) + (name "LPSR_13" (effects (font (size 1.27 1.27)))) + (number "U6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -38.1 0) (length 3.81) + (name "LPSR_15" (effects (font (size 1.27 1.27)))) + (number "U7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "LPSR_08" (effects (font (size 1.27 1.27)))) + (number "U8" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1170-BGA289_8_1" + (rectangle (start 3.81 2.54) (end 15.24 -45.72) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "SD_B1_05" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "SD_B1_04" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "SD_B1_00" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "SD_B1_03" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "SD_B1_02" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "SD_B1_01" (effects (font (size 1.27 1.27)))) + (number "D15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -27.94 0) (length 3.81) + (name "SD_B2_05" (effects (font (size 1.27 1.27)))) + (number "E14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "SD_B2_03" (effects (font (size 1.27 1.27)))) + (number "E15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "SD_B2_04" (effects (font (size 1.27 1.27)))) + (number "F14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -35.56 0) (length 3.81) + (name "SD_B2_08" (effects (font (size 1.27 1.27)))) + (number "F15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -43.18 0) (length 3.81) + (name "SD_B2_11" (effects (font (size 1.27 1.27)))) + (number "F16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -30.48 0) (length 3.81) + (name "SD_B2_06" (effects (font (size 1.27 1.27)))) + (number "F17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -33.02 0) (length 3.81) + (name "SD_B2_07" (effects (font (size 1.27 1.27)))) + (number "G14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "SD_B2_02" (effects (font (size 1.27 1.27)))) + (number "H13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -40.64 0) (length 3.81) + (name "SD_B2_10" (effects (font (size 1.27 1.27)))) + (number "H14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -38.1 0) (length 3.81) + (name "SD_B2_09" (effects (font (size 1.27 1.27)))) + (number "H15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "SD_B2_01" (effects (font (size 1.27 1.27)))) + (number "J14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "SD_B2_00" (effects (font (size 1.27 1.27)))) + (number "J15" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1170-BGA289_9_1" + (rectangle (start 3.81 2.54) (end 13.97 -25.4) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "SNVS_02" (effects (font (size 1.27 1.27)))) + (number "L9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "SNVS_03" (effects (font (size 1.27 1.27)))) + (number "M10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "SNVS_06" (effects (font (size 1.27 1.27)))) + (number "M9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "SNVS_04" (effects (font (size 1.27 1.27)))) + (number "N10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "SNVS_08" (effects (font (size 1.27 1.27)))) + (number "N9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "SNVS_01" (effects (font (size 1.27 1.27)))) + (number "P10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "SNVS_05" (effects (font (size 1.27 1.27)))) + (number "P9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "SNVS_00" (effects (font (size 1.27 1.27)))) + (number "R10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "SNVS_09" (effects (font (size 1.27 1.27)))) + (number "R11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "SNVS_07" (effects (font (size 1.27 1.27)))) + (number "R9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iMXRT1170-BGA289_10_1" + (rectangle (start 3.81 2.54) (end 13.97 -30.48) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 0 -27.94 0) (length 3.81) + (name "DSI_D1-" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -7.62 0) (length 3.81) + (name "CSI_D0-" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -2.54 0) (length 3.81) + (name "CSI_CK-" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -12.7 0) (length 3.81) + (name "CSI_D1-" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -22.86 0) (length 3.81) + (name "DSI_D0-" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -17.78 0) (length 3.81) + (name "DSI_CK-" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 0) (length 3.81) + (name "DSI_D1+" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -5.08 0) (length 3.81) + (name "CSI_D0+" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 0 0) (length 3.81) + (name "CSI_CK+" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -10.16 0) (length 3.81) + (name "CSI_D1+" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -20.32 0) (length 3.81) + (name "DSI_D0+" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -15.24 0) (length 3.81) + (name "DSI_CK+" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_MCU.lib b/GW_MCU.lib deleted file mode 100644 index 88ff8d0..0000000 --- a/GW_MCU.lib +++ /dev/null @@ -1,548 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# SAMD11D-MU -# -DEF SAMD11D-MU U 0 40 Y Y 1 F N -F0 "U" 0 750 60 H V C CNN -F1 "SAMD11D-MU" 0 0 60 V V C CNN -F2 "" 700 600 60 H I C CNN -F3 "" 700 600 60 H I C CNN -DRAW -S -300 700 300 -700 1 1 10 f -X PA02 1 -500 600 200 R 50 50 1 1 B -X PA11 10 -500 -400 200 R 50 50 1 1 B -X PA14 11 -500 -500 200 R 50 50 1 1 B -X PA15 12 -500 -600 200 R 50 50 1 1 B -X PA16 13 500 -600 200 L 50 50 1 1 B -X PA17 14 500 -500 200 L 50 50 1 1 B -X PA22 15 500 -400 200 L 50 50 1 1 B -X PA23 16 500 -300 200 L 50 50 1 1 B -X PA27 17 500 -200 200 L 50 50 1 1 B -X PA28 18 500 -100 200 L 50 50 1 1 B -X PA30 19 500 100 200 L 50 50 1 1 B -X PA03 2 -500 500 200 R 50 50 1 1 B -X PA31 20 500 200 200 L 50 50 1 1 B -X PA24 21 500 300 200 L 50 50 1 1 B -X PA25 22 500 400 200 L 50 50 1 1 B -X GND 23 500 500 200 L 50 50 1 1 W -X Vdd 24 500 600 200 L 50 50 1 1 W -X PA04 3 -500 400 200 R 50 50 1 1 B -X PA05 4 -500 300 200 R 50 50 1 1 B -X PA06 5 -500 200 200 R 50 50 1 1 B -X PA07 6 -500 100 200 R 50 50 1 1 B -X PA08 7 -500 -100 200 R 50 50 1 1 B -X PA09 8 -500 -200 200 R 50 50 1 1 B -X PA10 9 -500 -300 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# SAMD21G-MU -# -DEF SAMD21G-MU U 0 40 Y Y 1 F N -F0 "U" 0 1350 50 H V C CNN -F1 "SAMD21G-MU" 0 100 50 H V C CNN -F2 "stdpads:Atmel_QFN-48_RearPad" 0 -1350 50 H I C CIN -F3 "" -100 350 50 H I C CNN -$FPLIST - QFN48 -$ENDFPLIST -DRAW -S -1400 1300 1400 -1300 0 1 10 f -X PA00/XI32/S1P0/T2W0 1 -1600 1100 200 R 50 50 1 1 B -X PA05/S0P1/T0W1/A1/A5/Y3 10 -1600 400 200 R 50 50 1 1 B -X PA06/S0P2/T1W0/A2/A6/Y4 11 -1600 300 200 R 50 50 1 1 B -X PA07/S0P3/T1W1/A3/A7/Y5 12 -1600 200 200 R 50 50 1 1 B -X PA08/NMI/S0+2P0/T0W0/A16/X0 13 -1600 0 200 R 50 50 1 1 B -X PA09/S0+2P1/T0W1/A17/X1 14 -1600 -100 200 R 50 50 1 1 B -X PA10/GCK4/T1W0/S0+2P2/X2/A18 15 -1600 -200 200 R 50 50 1 1 B -X PA11/GCK5/T1W1/S0+2P3/X3/A19 16 -1600 -300 200 R 50 50 1 1 B -X VDDIO 17 1600 1000 200 L 50 50 1 1 W -X GND 18 -1600 -1100 200 R 50 50 1 1 W -X PB10/GCK4/S4P2/TC5W0 19 -1600 -400 200 R 50 50 1 1 B -X PA01/XO32/S1P1/T2W1 2 -1600 1000 200 R 50 50 1 1 B -X PB11/GCK5/S4P3/TC5W1 20 -1600 -500 200 R 50 50 1 1 B -X PA12/S2+4P0/TC2W0/AC0 21 -1600 -600 200 R 50 50 1 1 B -X PA13/S2+4P1/TC2W1/AC1 22 -1600 -700 200 R 50 50 1 1 B -X PA14/XI/GCK0/S2+4P2/TC3W0 23 -1600 -800 200 R 50 50 1 1 B -X PA15/XO/GCK1/S2+4P3/TC3W1 24 -1600 -900 200 R 50 50 1 1 B -X PA16/GCK2/S1+3P0/TC2W0/X4 25 1600 -1000 200 L 50 50 1 1 B -X PA17/GCK3/S1+3P1/TC2W1/X5 26 1600 -900 200 L 50 50 1 1 B -X PA18/S1+3P2/TC3W0/AC0/X6 27 1600 -800 200 L 50 50 1 1 B -X PA19/S1+3P3/TC3W1/AC1/X7 28 1600 -700 200 L 50 50 1 1 B -X PA20/GCK4/S3+5P2/T7W0/X8 29 1600 -600 200 L 50 50 1 1 B -X PA02/VOUT/A0/Y0 3 -1600 900 200 R 50 50 1 1 B -X PA21/GCK5/S3+5P3/T7W1/X9 30 1600 -500 200 L 50 50 1 1 B -X PA22/GCK6/S3+5P0/T4W0/X10 31 1600 -400 200 L 50 50 1 1 B -X PA23/GCK7/S3+5P1/T4W1/X11 32 1600 -300 200 L 50 50 1 1 B -X PA24/S3+5P2/T5W0 33 1600 -200 200 L 50 50 1 1 B -X PA25/S3+5P3/T5W1 34 1600 -100 200 L 50 50 1 1 B -X GND 35 -1600 -1200 200 R 50 50 1 1 W -X VDDIO 36 1600 1100 200 L 50 50 1 1 W -X PB22/GCK0/S5P2/T7W0 37 1600 100 200 L 50 50 1 1 B -X PB23/GCK1/S5P3/T7W1 38 1600 200 200 L 50 50 1 1 B -X PA27/GCK0 39 1600 300 200 L 50 50 1 1 B -X PA03/VrefA/VrefP/A1/Y1 4 -1600 800 200 R 50 50 1 1 B -X ~RESET~ 40 1600 400 200 L 50 50 1 1 I -X PA28/GCK0 41 1600 500 200 L 50 50 1 1 B -X GND 42 1600 -1200 200 L 50 50 1 1 W -X VDDCORE 43 1600 -1100 200 L 50 50 1 1 P -X VDDIN 44 1600 1200 200 L 50 50 1 1 W -X PA30/GCK0/SWCK/S1P2/T1W0 45 1600 600 200 L 50 50 1 1 B -X PA31/SWD/S1P3/T1W1 46 1600 700 200 L 50 50 1 1 B -X PB02/S5P0/T6W0/A10/Y8 47 1600 800 200 L 50 50 1 1 B -X PB03/S5P1/T6W1/A11/Y9 48 1600 900 200 L 50 50 1 1 B -X GNDANA 5 -1600 -1000 200 R 50 50 1 1 W -X VDDANA 6 -1600 1200 200 R 50 50 1 1 W -X PB08/S4P0/T4W0/A2/Y14 7 -1600 700 200 R 50 50 1 1 B -X PB09/S4P1/T4W1/A3/Y15 8 -1600 600 200 R 50 50 1 1 B -X PA04/S0P0/T0W0/VrefB/A0/A4/Y2 9 -1600 500 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# iMXRT1020-LQFP144 -# -DEF iMXRT1020-LQFP144 U 0 40 Y Y 7 L N -F0 "U" 400 150 50 H V C CNN -F1 "iMXRT1020-LQFP144" 700 50 50 V V R CNN -F2 "" 0 -100 50 H I C CNN -F3 "" 0 -100 50 H I C CNN -DRAW -S 150 100 650 -5900 1 1 10 f -S 150 100 650 -2300 2 1 10 f -S 150 100 650 -1600 3 1 10 f -S 150 100 650 -1600 4 1 10 f -S 150 100 750 -4200 5 1 10 f -S 150 100 650 -700 6 1 10 f -S 150 100 650 -1200 7 1 10 f -X VddSOCin 102 0 -2700 150 R 50 50 1 1 W -X Vss 103 0 -5600 150 R 50 50 1 1 W -X VccIO 104 0 -700 150 R 50 50 1 1 W -X VccIO 11 0 -300 150 R 50 50 1 1 W -X VccIO 112 0 -800 150 R 50 50 1 1 W -X Vss 113 0 -5700 150 R 50 50 1 1 W -X VddSOCin 114 0 -2800 150 R 50 50 1 1 W -X VddSOCin 134 0 -2900 150 R 50 50 1 1 W -X Vss 135 0 -5800 150 R 50 50 1 1 W -X VccIO 144 0 -900 150 R 50 50 1 1 W -X VccIO 20 0 -400 150 R 50 50 1 1 W -X VccIO 29 0 -500 150 R 50 50 1 1 W -X VddSOCin 31 0 -2400 150 R 50 50 1 1 W -X DCDC_IN 34 0 -100 150 R 50 50 1 1 W -X DCDC_GND 35 0 -5000 150 R 50 50 1 1 W -X DCDC_LP 36 0 -2000 150 R 50 50 1 1 W -X DCDC_PSW 37 0 -1800 150 R 50 50 1 1 W -X DCDC_IN_Q 38 0 -200 150 R 50 50 1 1 W -X VddSOCin 39 0 -2500 150 R 50 50 1 1 W -X Vss 40 0 -5200 150 R 50 50 1 1 W -X VccSD0 44 0 -1100 150 R 50 50 1 1 W -X VddSOCin 5 0 -2300 150 R 50 50 1 1 W -X VddSNVSin 55 0 -1200 150 R 50 50 1 1 W -X VddSNVScap 56 0 -1300 150 R 50 50 1 1 w -X Vss 6 0 -5100 150 R 50 50 1 1 W -X Vss 60 0 -5300 150 R 50 50 1 1 W -X VddUSBcap 61 0 -4100 150 R 50 50 1 1 w -X NGND_KEL0 64 0 -4900 150 R 50 50 1 1 W -X VddHIGHcap 65 0 -3600 150 R 50 50 1 1 w -X VddHIGHin 69 0 0 150 R 50 50 1 1 W -X Vss 70 0 -5400 150 R 50 50 1 1 W -X GPANAIO 71 0 -4800 150 R 50 50 1 1 U -X VccPLL 72 0 -3100 150 R 50 50 1 1 W -X VddADC 73 0 -1000 150 R 50 50 1 1 W -X VccIO 77 0 -600 150 R 50 50 1 1 W -X Vss 85 0 -5500 150 R 50 50 1 1 W -X VddSOCin 86 0 -2600 150 R 50 50 1 1 W -X ONOFF 49 0 0 150 R 50 50 2 1 I -X POR_B 50 0 -400 150 R 50 50 2 1 I -X TEST_MODE 51 0 -600 150 R 50 50 2 1 I -X WAKEUP 52 0 -100 150 R 50 50 2 1 I -X PMIConRQ 53 0 -200 150 R 50 50 2 1 O -X PMICstbyRQ 54 0 -300 150 R 50 50 2 1 O -X RTC_XTALI 57 0 -800 150 R 50 50 2 1 I -X RTC_XTALO 58 0 -900 150 R 50 50 2 1 O -X USB1_Vbus 59 0 -1900 150 R 50 50 2 1 B -X USB1_D- 62 0 -2100 150 R 50 50 2 1 B -X USB1_D+ 63 0 -2200 150 R 50 50 2 1 B -X USB1chdB 66 0 -2000 150 R 50 50 2 1 O -X XTALI 67 0 -1300 150 R 50 50 2 1 I -X XTALO 68 0 -1400 150 R 50 50 2 1 O -X AD_B0_08 100 0 -800 150 R 50 50 3 1 B -X AD_B0_07 101 0 -700 150 R 50 50 3 1 B -X AD_B0_06 105 0 -600 150 R 50 50 3 1 B -X AD_B0_05 106 0 -500 150 R 50 50 3 1 B -X AD_B0_04 107 0 -400 150 R 50 50 3 1 B -X AD_B0_03 108 0 -300 150 R 50 50 3 1 B -X AD_B0_02 109 0 -200 150 R 50 50 3 1 B -X AD_B0_01 110 0 -100 150 R 50 50 3 1 B -X AD_B0_00 111 0 0 150 R 50 50 3 1 B -X AD_B0_15 93 0 -1500 150 R 50 50 3 1 B -X AD_B0_14 94 0 -1400 150 R 50 50 3 1 B -X AD_B0_13 95 0 -1300 150 R 50 50 3 1 B -X AD_B0_12 96 0 -1200 150 R 50 50 3 1 B -X AD_B0_11 97 0 -1100 150 R 50 50 3 1 B -X AD_B0_10 98 0 -1000 150 R 50 50 3 1 B -X AD_B0_09 99 0 -900 150 R 50 50 3 1 B -X AD_B1_15 74 0 -1500 150 R 50 50 4 1 B -X AD_B1_14 75 0 -1400 150 R 50 50 4 1 B -X AD_B1_13 76 0 -1300 150 R 50 50 4 1 B -X AD_B1_12 78 0 -1200 150 R 50 50 4 1 B -X AD_B1_11 79 0 -1100 150 R 50 50 4 1 B -X AD_B1_10 80 0 -1000 150 R 50 50 4 1 B -X AD_B1_09 81 0 -900 150 R 50 50 4 1 B -X AD_B1_08 82 0 -800 150 R 50 50 4 1 B -X AD_B1_07 83 0 -700 150 R 50 50 4 1 B -X AD_B1_06 84 0 -600 150 R 50 50 4 1 B -X AD_B1_05 87 0 -500 150 R 50 50 4 1 B -X AD_B1_04 88 0 -400 150 R 50 50 4 1 B -X AD_B1_03 89 0 -300 150 R 50 50 4 1 B -X AD_B1_02 90 0 -200 150 R 50 50 4 1 B -X AD_B1_01 91 0 -100 150 R 50 50 4 1 B -X AD_B1_00 92 0 0 150 R 50 50 4 1 B -X EMC_14/BA1 1 0 -1400 150 R 50 50 5 1 B -X EMC_07/D07 10 0 -700 150 R 50 50 5 1 B -X EMC_41/RDY 115 0 -4100 150 R 50 50 5 1 B -X EMC_40/CSX0 116 0 -4000 150 R 50 50 5 1 B -X EMC_39/D15 117 0 -3900 150 R 50 50 5 1 B -X EMC_38/D14 118 0 -3800 150 R 50 50 5 1 B -X EMC_37/D13 119 0 -3700 150 R 50 50 5 1 B -X EMC_06/D06 12 0 -600 150 R 50 50 5 1 B -X EMC_36/D12 120 0 -3600 150 R 50 50 5 1 B -X EMC_35/D11 121 0 -3500 150 R 50 50 5 1 B -X EMC_34/D10 122 0 -3400 150 R 50 50 5 1 B -X EMC_33/D9 123 0 -3300 150 R 50 50 5 1 B -X EMC_32/D8 124 0 -3200 150 R 50 50 5 1 B -X EMC_31/DM1 125 0 -3100 150 R 50 50 5 1 B -X EMC_30/Clk 126 0 -3000 150 R 50 50 5 1 B -X EMC_29/CKE 127 0 -2900 150 R 50 50 5 1 B -X EMC_28/DQS 128 0 -2800 150 R 50 50 5 1 B -X EMC_27/A12 129 0 -2700 150 R 50 50 5 1 B -X EMC_05/D05 13 0 -500 150 R 50 50 5 1 B -X EMC_26/A11 130 0 -2600 150 R 50 50 5 1 B -X EMC_25/A09 131 0 -2500 150 R 50 50 5 1 B -X EMC_24/A08 132 0 -2400 150 R 50 50 5 1 B -X EMC_23/A07 133 0 -2300 150 R 50 50 5 1 B -X EMC_22/A06 136 0 -2200 150 R 50 50 5 1 B -X EMC_21/A05 137 0 -2100 150 R 50 50 5 1 B -X EMC_20/A04 138 0 -2000 150 R 50 50 5 1 B -X EMC_19/A03 139 0 -1900 150 R 50 50 5 1 B -X EMC_04/D04 14 0 -400 150 R 50 50 5 1 B -X EMC_18/A02 140 0 -1800 150 R 50 50 5 1 B -X EMC_17/A01 141 0 -1700 150 R 50 50 5 1 B -X EMC_16/A00 142 0 -1600 150 R 50 50 5 1 B -X EMC_15/A10 143 0 -1500 150 R 50 50 5 1 B -X EMC_03/D03 15 0 -300 150 R 50 50 5 1 B -X EMC_02/D02 16 0 -200 150 R 50 50 5 1 B -X EMC_01/D01 17 0 -100 150 R 50 50 5 1 B -X EMC_00/D00 18 0 0 150 R 50 50 5 1 B -X EMC_13/BA0 2 0 -1300 150 R 50 50 5 1 B -X EMC_12/CS0 3 0 -1200 150 R 50 50 5 1 B -X EMC_11/~RAS~ 4 0 -1100 150 R 50 50 5 1 B -X EMC_10/~CAS~ 7 0 -1000 150 R 50 50 5 1 B -X EMC_09/~WE~ 8 0 -900 150 R 50 50 5 1 B -X EMC_08/DM0 9 0 -800 150 R 50 50 5 1 B -X SD_B0_06 41 0 -600 150 R 50 50 6 1 B -X SD_B0_05 42 0 -500 150 R 50 50 6 1 B -X SD_B0_04 43 0 -400 150 R 50 50 6 1 B -X SD_B0_03 45 0 -300 150 R 50 50 6 1 B -X SD_B0_02 46 0 -200 150 R 50 50 6 1 B -X SD_B0_01 47 0 -100 150 R 50 50 6 1 B -X SD_B0_00 48 0 0 150 R 50 50 6 1 B -X SD_B1_11 19 0 -1100 150 R 50 50 7 1 B -X SD_B1_10 21 0 -1000 150 R 50 50 7 1 B -X SD_B1_09 22 0 -900 150 R 50 50 7 1 B -X SD_B1_08 23 0 -800 150 R 50 50 7 1 B -X SD_B1_07 24 0 -700 150 R 50 50 7 1 B -X SD_B1_06 25 0 -600 150 R 50 50 7 1 B -X SD_B1_05 26 0 -500 150 R 50 50 7 1 B -X SD_B1_04 27 0 -400 150 R 50 50 7 1 B -X SD_B1_03 28 0 -300 150 R 50 50 7 1 B -X SD_B1_02 30 0 -200 150 R 50 50 7 1 B -X SD_B1_01 32 0 -100 150 R 50 50 7 1 B -X SD_B1_00 33 0 0 150 R 50 50 7 1 B -ENDDRAW -ENDDEF -# -# iMXRT1170-BGA289 -# -DEF iMXRT1170-BGA289 U 0 40 Y Y 10 L N -F0 "U" 400 150 50 H V C CNN -F1 "iMXRT1170-BGA289" 950 100 50 V V R CNN -F2 "" 1250 0 50 H I C CNN -F3 "" 1250 0 50 H I C CNN -DRAW -S 150 100 550 -3700 1 1 10 f -S 150 100 700 -5100 2 1 10 f -S 150 100 650 -2400 3 1 10 f -S 150 100 450 -3600 4 1 10 f -S 150 100 900 -3700 5 1 10 f -S 150 100 800 -3200 6 1 10 f -S 150 100 550 -1600 7 1 10 f -S 150 100 600 -1800 8 1 10 f -S 150 100 550 -1000 9 1 10 f -S 150 100 550 -1200 10 1 10 f -X Vss A1 0 -300 150 R 50 50 1 1 W -X Vss A17 0 -400 150 R 50 50 1 1 W -X Vss B7 0 -500 150 R 50 50 1 1 W -X Vss C10 0 -700 150 R 50 50 1 1 W -X Vss C12 0 -800 150 R 50 50 1 1 W -X Vss C14 0 -900 150 R 50 50 1 1 W -X Vss C8 0 -600 150 R 50 50 1 1 W -X Vss D4 0 -1000 150 R 50 50 1 1 W -X Vss F11 0 -1100 150 R 50 50 1 1 W -X Vss F12 0 -1200 150 R 50 50 1 1 W -X Vss F13 0 -1300 150 R 50 50 1 1 W -X Vss G10 0 -1800 150 R 50 50 1 1 W -X Vss G11 0 -1900 150 R 50 50 1 1 W -X Vss G15 0 -2000 150 R 50 50 1 1 W -X Vss G3 0 -1400 150 R 50 50 1 1 W -X Vss G7 0 -1500 150 R 50 50 1 1 W -X Vss G8 0 -1600 150 R 50 50 1 1 W -X Vss G9 0 -1700 150 R 50 50 1 1 W -X Vss H11 0 -2200 150 R 50 50 1 1 W -X Vss H7 0 -2100 150 R 50 50 1 1 W -X Vss J11 0 -2400 150 R 50 50 1 1 W -X Vss J7 0 -2300 150 R 50 50 1 1 W -X Vss K11 0 -2500 150 R 50 50 1 1 W -X VssDCDC K6 0 0 150 R 50 50 1 1 W -X VssDCDC K7 0 -100 150 R 50 50 1 1 W -X Vss L10 0 -2700 150 R 50 50 1 1 W -X Vss L11 0 -2800 150 R 50 50 1 1 W -X Vss L15 0 -2900 150 R 50 50 1 1 W -X Vss L3 0 -2600 150 R 50 50 1 1 W -X VssDCDC L6 0 -200 150 R 50 50 1 1 W -X Vss P14 0 -3100 150 R 50 50 1 1 W -X Vss P4 0 -3000 150 R 50 50 1 1 W -X Vss R4 0 -3200 150 R 50 50 1 1 W -X Vss R7 0 -3300 150 R 50 50 1 1 W -X Vss T12 0 -3400 150 R 50 50 1 1 W -X Vss U1 0 -3500 150 R 50 50 1 1 W -X Vss U17 0 -3600 150 R 50 50 1 1 W -X GPANAIO 71 -2200 -4050 150 R 50 50 2 1 U -X NVccDISP1 D12 0 -2500 150 R 50 50 2 1 W -X NVccSD1 D14 0 -3500 150 R 50 50 2 1 W -X NVccDISP2 E7 0 -2600 150 R 50 50 2 1 W -X VddMIPI1P0 F10 0 -4000 150 R 50 50 2 1 W -X NVccEMC1 F6 0 -2700 150 R 50 50 2 1 W -X NVccEMC1 F7 0 -2800 150 R 50 50 2 1 W -X VddMIPI1P8 F9 0 -4100 150 R 50 50 2 1 W -X VddUSB1P8 F9 0 -4200 150 R 50 50 2 1 W -X VddUSB3P3 G12 0 -4300 150 R 50 50 2 1 W -X NVccSD2 G13 0 -3600 150 R 50 50 2 1 W -X ADCVrefH G16 0 -700 150 R 50 50 2 1 W -X NVccEMC1 G6 0 -2900 150 R 50 50 2 1 W -X VddSOCin H10 0 -200 150 R 50 50 2 1 W -X DACout H16 0 -800 150 R 50 50 2 1 W -X NVccEMC1 H6 0 -3000 150 R 50 50 2 1 W -X VddSOCin H8 0 0 150 R 50 50 2 1 W -X VddSOCin H9 0 -100 150 R 50 50 2 1 W -X VddSOCin J10 0 -500 150 R 50 50 2 1 W -X VddAadc3P3 J13 0 -5000 150 R 50 50 2 1 W -X NVccEMC2 J6 0 -3100 150 R 50 50 2 1 W -X VddSOCin J8 0 -300 150 R 50 50 2 1 W -X VddSOCin J9 0 -400 150 R 50 50 2 1 W -X VddSOCin K10 0 -600 150 R 50 50 2 1 W -X VddAadc1P8 K15 0 -4900 150 R 50 50 2 1 W -X DCDCdig K8 0 -1200 150 R 50 50 2 1 W -X DCDCdig K9 0 -1300 150 R 50 50 2 1 W -X DCDCinQ L5 0 -1800 150 R 50 50 2 1 W -X DCDCdigSNS L7 0 -1500 150 R 50 50 2 1 W -X DCDCdig L8 0 -1400 150 R 50 50 2 1 W -X VddA1P8in M11 0 -4800 150 R 50 50 2 1 W -X NVccGPIO M12 0 -3200 150 R 50 50 2 1 W -X DCDCin M5 0 -1600 150 R 50 50 2 1 W -X DCDCanaSNS M6 0 -1100 150 R 50 50 2 1 W -X DCDCana M7 0 -900 150 R 50 50 2 1 W -X DCDCana M8 0 -1000 150 R 50 50 2 1 W -X VddA1P0 N11 0 -4700 150 R 50 50 2 1 W -X DCDCmode N4 0 -2300 150 R 50 50 2 1 W -X DCDCin N5 0 -1700 150 R 50 50 2 1 W -X VddLPSRdig P11 0 -3800 150 R 50 50 2 1 W -X VddLPSRana P12 0 -3700 150 R 50 50 2 1 W -X DCDCpsw P3 0 -2400 150 R 50 50 2 1 W -X NVccLPSR P7 0 -3300 150 R 50 50 2 1 W -X VddLPSRin R12 0 -3900 150 R 50 50 2 1 W -X VddSNVSdig T14 0 -4500 150 R 50 50 2 1 W -X DCDClp T3 0 -2100 150 R 50 50 2 1 W -X DCDCln T4 0 -1900 150 R 50 50 2 1 W -X NVccSNVS U11 0 -3400 150 R 50 50 2 1 W -X VddSNVSin U12 0 -4600 150 R 50 50 2 1 W -X VddSNVSana U14 0 -4400 150 R 50 50 2 1 W -X DCDClp U3 0 -2200 150 R 50 50 2 1 W -X DCDCln U4 0 -2000 150 R 50 50 2 1 W -X USB2_D- C16 0 -2200 150 R 50 50 3 1 B -X USB1_D+ C17 0 -2300 150 R 50 50 3 1 B -X USB2_Vbus D16 0 -2100 150 R 50 50 3 1 B -X USB1_Vbus D17 0 -1700 150 R 50 50 3 1 B -X USB1_D- E16 0 -1800 150 R 50 50 3 1 B -X USB1_D+ E17 0 -1900 150 R 50 50 3 1 B -X POR_B T10 0 -400 150 R 50 50 3 1 I -X TEST_MODE T11 0 -600 150 R 50 50 3 1 I -X RTC_XTALI T13 0 -800 150 R 50 50 3 1 I -X CLK1- T15 0 -1100 150 R 50 50 3 1 I -X XTALO T16 0 -1500 150 R 50 50 3 1 O -X WAKEUP T8 0 -100 150 R 50 50 3 1 I -X PMICstbyRQ T9 0 -300 150 R 50 50 3 1 O -X ONOFF U10 0 0 150 R 50 50 3 1 I -X RTC_XTALO U13 0 -900 150 R 50 50 3 1 O -X CLK1+ U15 0 -1200 150 R 50 50 3 1 O -X XTALI U16 0 -1400 150 R 50 50 3 1 I -X PMIConRQ U9 0 -200 150 R 50 50 3 1 O -X AD_35 G17 0 -3500 150 R 50 50 4 1 B -X AD_33 H17 0 -3300 150 R 50 50 4 1 B -X AD_23 J12 0 -2300 150 R 50 50 4 1 B -X AD_34 J16 0 -3400 150 R 50 50 4 1 B -X AD_31 J17 0 -3100 150 R 50 50 4 1 B -X AD_22 K12 0 -2200 150 R 50 50 4 1 B -X AD_20 K13 0 -2000 150 R 50 50 4 1 B -X AD_21 K14 0 -2100 150 R 50 50 4 1 B -X AD_32 K16 0 -3200 150 R 50 50 4 1 B -X AD_30 K17 0 -3000 150 R 50 50 4 1 B -X AD_13 L12 0 -1300 150 R 50 50 4 1 B -X AD_24 L13 0 -2400 150 R 50 50 4 1 B -X AD_26 L14 0 -2600 150 R 50 50 4 1 B -X AD_19 L16 0 -1900 150 R 50 50 4 1 B -X AD_28 L17 0 -2800 150 R 50 50 4 1 B -X AD_04 M13 0 -400 150 R 50 50 4 1 B -X AD_15 M14 0 -1500 150 R 50 50 4 1 B -X AD_25 M15 0 -2500 150 R 50 50 4 1 B -X AD_18 M16 0 -1800 150 R 50 50 4 1 B -X AD_29 M17 0 -2900 150 R 50 50 4 1 B -X AD_00 N12 0 0 150 R 50 50 4 1 B -X AD_06 N13 0 -600 150 R 50 50 4 1 B -X AD_14 N14 0 -1400 150 R 50 50 4 1 B -X AD_17 N15 0 -1700 150 R 50 50 4 1 B -X AD_27 N16 0 -2700 150 R 50 50 4 1 B -X AD_16 N17 0 -1600 150 R 50 50 4 1 B -X AD_05 P13 0 -500 150 R 50 50 4 1 B -X AD_03 P15 0 -300 150 R 50 50 4 1 B -X AD_11 P16 0 -1100 150 R 50 50 4 1 B -X AD_12 P17 0 -1200 150 R 50 50 4 1 B -X AD_02 R13 0 -200 150 R 50 50 4 1 B -X AD_01 R14 0 -100 150 R 50 50 4 1 B -X AD_08 R15 0 -800 150 R 50 50 4 1 B -X AD_09 R16 0 -900 150 R 50 50 4 1 B -X AD_10 R17 0 -1000 150 R 50 50 4 1 B -X AD_07 T17 0 -700 150 R 50 50 4 1 B -X EMCb1_10/A01 A2 0 -1500 150 R 50 50 5 1 B -X EMCb1_09/A00 A3 0 -1400 150 R 50 50 5 1 B -X EMCb1_14/A05 B1 0 -1900 150 R 50 50 5 1 B -X EMCb1_23/A10 B2 0 -2400 150 R 50 50 5 1 B -X EMCb1_17/A08 B3 0 -2200 150 R 50 50 5 1 B -X EMCb1_18/A09 B4 0 -2300 150 R 50 50 5 1 B -X EMCb1_15/A06 C1 0 -2000 150 R 50 50 5 1 B -X EMCb1_11/A02 C2 0 -1600 150 R 50 50 5 1 B -X EMCb1_20/A12 C3 0 -2600 150 R 50 50 5 1 B -X EMCb1_19/A11 C4 0 -2500 150 R 50 50 5 1 B -X EMCb1_12/A03 C5 0 -1700 150 R 50 50 5 1 B -X EMCb1_16/A07 D3 0 -2100 150 R 50 50 5 1 B -X EMCb1_13/A04 D5 0 -1800 150 R 50 50 5 1 B -X EMCb1_28/~WE~ E5 0 -900 150 R 50 50 5 1 B -X EMCb1_29/CS0 E6 0 0 150 R 50 50 5 1 B -X EMCb1_08/DM0 F5 0 -2800 150 R 50 50 5 1 B -X EMCb1_21/BA0 G2 0 -1200 150 R 50 50 5 1 B -X EMCb1_27/CKE G5 0 -1000 150 R 50 50 5 1 B -X EMCb1_22/BA1 H2 0 -1300 150 R 50 50 5 1 B -X EMCb1_38/DM1 J1 0 -2900 150 R 50 50 5 1 B -X EMCb1_39/DQS J2 0 -3500 150 R 50 50 5 1 B -X EMCb1_26/CLK J3 0 -300 150 R 50 50 5 1 B -X EMCb1_25/~RAS~ J4 0 -700 150 R 50 50 5 1 B -X EMCb1_24/~CAS~ J5 0 -800 150 R 50 50 5 1 B -X EMCb1_40/RDY K1 0 -3300 150 R 50 50 5 1 B -X EMCb1_41/CSX0 L1 0 -100 150 R 50 50 5 1 B -X EMCb2_18/DQS4 N3 0 -3600 150 R 50 50 5 1 B -X EMCb2_08/DM2 P1 0 -3000 150 R 50 50 5 1 B -X EMCb2_20/CLKX1 R3 0 -500 150 R 50 50 5 1 B -X EMCb2_17/DM3 T2 0 -3100 150 R 50 50 5 1 B -X EMCb2_19/CLKX0 U2 0 -400 150 R 50 50 5 1 B -X EMCb1_32/D10 D1 0 -1000 150 R 50 50 6 1 B -X EMCb1_31/D9 D2 0 -900 150 R 50 50 6 1 B -X EMCb1_34/D12 E1 0 -1200 150 R 50 50 6 1 B -X EMCb1_33/D11 E2 0 -1100 150 R 50 50 6 1 B -X EMCb1_30/D8 E3 0 -800 150 R 50 50 6 1 B -X EMCb1_03/D3 E4 0 -300 150 R 50 50 6 1 B -X EMCb1_35/D13 F1 0 -1300 150 R 50 50 6 1 B -X EMCb1_01/D1 F2 0 -100 150 R 50 50 6 1 B -X EMCb1_00/D0 F3 0 0 150 R 50 50 6 1 B -X EMCb1_05/D5 F4 0 -500 150 R 50 50 6 1 B -X EMCb1_36/D14 G1 0 -1400 150 R 50 50 6 1 B -X EMCb1_02/D2 G4 0 -200 150 R 50 50 6 1 B -X EMCb1_37/D15 H1 0 -1500 150 R 50 50 6 1 B -X EMCb1_07/D7 H3 0 -700 150 R 50 50 6 1 B -X EMCb1_06/D6 H4 0 -600 150 R 50 50 6 1 B -X EMCb1_04/D4 H5 0 -400 150 R 50 50 6 1 B -X EMCb2_00/D16 K2 0 -1600 150 R 50 50 6 1 B -X EMCb2_13/D28 K2 0 -2800 150 R 50 50 6 1 B -X EMCb1_02/D18 K3 0 -1800 150 R 50 50 6 1 B -X EMCb2_01/D17 K4 0 -1700 150 R 50 50 6 1 B -X EMCb2_15/D30 L2 0 -3000 150 R 50 50 6 1 B -X EMCb2_11/D26 L4 0 -2600 150 R 50 50 6 1 B -X EMCb2_04/D20 M1 0 -2000 150 R 50 50 6 1 B -X EMCb2_12/D27 M2 0 -2700 150 R 50 50 6 1 B -X EMCb2_07/D23 M3 0 -2300 150 R 50 50 6 1 B -X EMCb2_14/D29 M4 0 -2900 150 R 50 50 6 1 B -X EMCb2_05/D21 N1 0 -2100 150 R 50 50 6 1 B -X EMCb2_09/D24 N2 0 -2400 150 R 50 50 6 1 B -X EMCb2_16/D31 P2 0 -3100 150 R 50 50 6 1 B -X EMCb2_03/D19 R1 0 -1900 150 R 50 50 6 1 B -X EMCb2_10/D25 R2 0 -2500 150 R 50 50 6 1 B -X EMCb2_06/D22 T1 0 -2200 150 R 50 50 6 1 B -X LPSR_00 N6 0 0 150 R 50 50 7 1 B -X LPSR_04 N7 0 -400 150 R 50 50 7 1 B -X LPSR_05 N8 0 -500 150 R 50 50 7 1 B -X LPSR_09 P5 0 -900 150 R 50 50 7 1 B -X LPSR_02 P6 0 -200 150 R 50 50 7 1 B -X LPSR_06 P8 0 -600 150 R 50 50 7 1 B -X LPSR_10 R5 0 -1000 150 R 50 50 7 1 B -X LPSR_01 R6 0 -100 150 R 50 50 7 1 B -X LPSR_07 R8 0 -700 150 R 50 50 7 1 B -X LPSR_11 T5 0 -1100 150 R 50 50 7 1 B -X LPSR_14 T6 0 -1400 150 R 50 50 7 1 B -X LPSR_03 T7 0 -300 150 R 50 50 7 1 B -X LPSR_12 U5 0 -1200 150 R 50 50 7 1 B -X LPSR_13 U6 0 -1300 150 R 50 50 7 1 B -X LPSR_15 U7 0 -1500 150 R 50 50 7 1 B -X LPSR_08 U8 0 -800 150 R 50 50 7 1 B -X SD_B1_05 A16 0 -500 150 R 50 50 8 1 B -X SD_B1_04 B15 0 -400 150 R 50 50 8 1 B -X SD_B1_00 B16 0 0 150 R 50 50 8 1 B -X SD_B1_03 B17 0 -300 150 R 50 50 8 1 B -X SD_B1_02 C15 0 -200 150 R 50 50 8 1 B -X SD_B1_01 D15 0 -100 150 R 50 50 8 1 B -X SD_B2_05 E14 0 -1100 150 R 50 50 8 1 B -X SD_B2_03 E15 0 -900 150 R 50 50 8 1 B -X SD_B2_04 F14 0 -1000 150 R 50 50 8 1 B -X SD_B2_08 F15 0 -1400 150 R 50 50 8 1 B -X SD_B2_11 F16 0 -1700 150 R 50 50 8 1 B -X SD_B2_06 F17 0 -1200 150 R 50 50 8 1 B -X SD_B2_07 G14 0 -1300 150 R 50 50 8 1 B -X SD_B2_02 H13 0 -800 150 R 50 50 8 1 B -X SD_B2_10 H14 0 -1600 150 R 50 50 8 1 B -X SD_B2_09 H15 0 -1500 150 R 50 50 8 1 B -X SD_B2_01 J14 0 -700 150 R 50 50 8 1 B -X SD_B2_00 J15 0 -600 150 R 50 50 8 1 B -X SNVS_02 L9 0 -200 150 R 50 50 9 1 B -X SNVS_03 M10 0 -300 150 R 50 50 9 1 B -X SNVS_06 M9 0 -600 150 R 50 50 9 1 B -X SNVS_04 N10 0 -400 150 R 50 50 9 1 B -X SNVS_08 N9 0 -800 150 R 50 50 9 1 B -X SNVS_01 P10 0 -100 150 R 50 50 9 1 B -X SNVS_05 P9 0 -500 150 R 50 50 9 1 B -X SNVS_00 R10 0 0 150 R 50 50 9 1 B -X SNVS_09 R11 0 -900 150 R 50 50 9 1 B -X SNVS_07 R9 0 -700 150 R 50 50 9 1 B -X DSI_D1- A10 0 -1100 150 R 50 50 10 1 B -X CSI_D0- A11 0 -300 150 R 50 50 10 1 B -X CSI_CK- A12 0 -100 150 R 50 50 10 1 B -X CSI_D1- A13 0 -500 150 R 50 50 10 1 B -X DSI_D0- A8 0 -900 150 R 50 50 10 1 B -X DSI_CK- A9 0 -700 150 R 50 50 10 1 B -X DSI_D1+ B10 0 -1000 150 R 50 50 10 1 B -X CSI_D0+ B11 0 -200 150 R 50 50 10 1 B -X CSI_CK+ B12 0 0 150 R 50 50 10 1 B -X CSI_D1+ B13 0 -400 150 R 50 50 10 1 B -X DSI_D0+ B8 0 -800 150 R 50 50 10 1 B -X DSI_CK+ B9 0 -600 150 R 50 50 10 1 B -ENDDRAW -ENDDEF -# -#End Library diff --git a/GW_PLD.kicad_sym b/GW_PLD.kicad_sym new file mode 100644 index 0000000..c16c872 --- /dev/null +++ b/GW_PLD.kicad_sym @@ -0,0 +1,8511 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "5M160ZE64" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 -12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "5M160ZE64" (id 1) (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:EQFP-64_10x10mm_P0.5mm" (id 2) (at 0 -16.51 0) + (effects (font (size 0.508 0.508)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -13.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "MAX2 TQFP" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Altera MAX2 CPLD with 240 LE" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*QFP*P0.5mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "5M160ZE64_1_1" + (rectangle (start -20.32 41.91) (end 20.32 -41.91) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -25.4 36.83 0) (length 5.08) + (name "IO1_2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 19.05 0) (length 5.08) + (name "IO1_9" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 16.51 0) (length 5.08) + (name "IO1_10" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 13.97 0) (length 5.08) + (name "IO1_11" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 11.43 0) (length 5.08) + (name "IO1_12" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -31.75 0) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -34.29 0) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -25.4 -36.83 0) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -25.4 -39.37 0) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 8.89 0) (length 5.08) + (name "IO1_13" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 6.35 0) (length 5.08) + (name "IO1_14" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 34.29 0) (length 5.08) + (name "IO1_3" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 3.81 0) (length 5.08) + (name "IO1_15" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 1.27 0) (length 5.08) + (name "IO1_16" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -1.27 0) (length 5.08) + (name "IO1_17" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 46.99 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -3.81 0) (length 5.08) + (name "IO1_18" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -6.35 0) (length 5.08) + (name "IO1_19" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -8.89 0) (length 5.08) + (name "IO1_20" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -11.43 0) (length 5.08) + (name "IO1_21" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -13.97 0) (length 5.08) + (name "IO1_22" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -16.51 0) (length 5.08) + (name "IO1_23" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 31.75 0) (length 5.08) + (name "IO1_4" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -19.05 0) (length 5.08) + (name "IO1_24" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -21.59 0) (length 5.08) + (name "IO1_25" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -24.13 0) (length 5.08) + (name "IO1_26" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -26.67 0) (length 5.08) + (name "IO1_27" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 39.37 180) (length 5.08) + (name "IO2_52" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 36.83 180) (length 5.08) + (name "IO2_53" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 34.29 180) (length 5.08) + (name "IO2_54" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 31.75 180) (length 5.08) + (name "IO2_55" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 29.21 180) (length 5.08) + (name "IO2_56" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 46.99 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 29.21 0) (length 5.08) + (name "IO1_5" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 26.67 180) (length 5.08) + (name "IO2_62/GCLK2" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 46.99 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 24.13 180) (length 5.08) + (name "IO2_64/GCLK3" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 21.59 180) (length 5.08) + (name "IO2_66" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 19.05 180) (length 5.08) + (name "IO2_67" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 16.51 180) (length 5.08) + (name "IO2_68" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 13.97 180) (length 5.08) + (name "IO2_69" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 11.43 180) (length 5.08) + (name "IO2_70" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 8.89 180) (length 5.08) + (name "IO2_71" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 6.35 180) (length 5.08) + (name "IO2_72" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 26.67 0) (length 5.08) + (name "IO1_6" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 3.81 180) (length 5.08) + (name "IO2_73" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 1.27 180) (length 5.08) + (name "IO2_74" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -1.27 180) (length 5.08) + (name "IO2_75" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -3.81 180) (length 5.08) + (name "IO2_76" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -6.35 180) (length 5.08) + (name "IO2_77" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -8.89 180) (length 5.08) + (name "IO2_78" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -11.43 180) (length 5.08) + (name "IO2_81" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 46.99 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -13.97 180) (length 5.08) + (name "IO2_82" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -16.51 180) (length 5.08) + (name "IO2_83" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 46.99 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -19.05 180) (length 5.08) + (name "IO2_84" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -21.59 180) (length 5.08) + (name "IO2_85" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -24.13 180) (length 5.08) + (name "IO2_86" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -26.67 180) (length 5.08) + (name "IO2_87" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 39.37 0) (length 5.08) + (name "IO1_1" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -46.99 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 24.13 0) (length 5.08) + (name "IO1_7/GCLK0" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 46.99 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 21.59 0) (length 5.08) + (name "IO1_8/GCLK1" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "5M240ZT100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "5M240ZT100" (id 1) (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (id 2) (at 0 -2.54 0) + (effects (font (size 0.508 0.508)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "MAX2 TQFP" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Altera MAX2 CPLD with 240 LE" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*QFP*P0.5mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "5M240ZT100_1_1" + (rectangle (start -20.32 55.88) (end 20.32 -55.88) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -50.8 180) (length 5.08) + (name "IO2_100" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 35.56 0) (length 5.08) + (name "IO1_12/GCLK0" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 33.02 0) (length 5.08) + (name "IO1_14/GCLK1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 30.48 0) (length 5.08) + (name "IO1_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 27.94 0) (length 5.08) + (name "IO1_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 25.4 0) (length 5.08) + (name "IO1_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 22.86 0) (length 5.08) + (name "IO1_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 20.32 0) (length 5.08) + (name "IO1_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 53.34 0) (length 5.08) + (name "IO1_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 17.78 0) (length 5.08) + (name "IO1_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 15.24 0) (length 5.08) + (name "IO1_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -43.18 0) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -45.72 0) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -25.4 -48.26 0) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -25.4 -50.8 0) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 12.7 0) (length 5.08) + (name "IO1_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 10.16 0) (length 5.08) + (name "IO1_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 7.62 0) (length 5.08) + (name "IO1_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 5.08 0) (length 5.08) + (name "IO1_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 50.8 0) (length 5.08) + (name "IO1_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 2.54 0) (length 5.08) + (name "IO1_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 0 0) (length 5.08) + (name "IO1_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -2.54 0) (length 5.08) + (name "IO1_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -5.08 0) (length 5.08) + (name "IO1_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -7.62 0) (length 5.08) + (name "IO1_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -10.16 0) (length 5.08) + (name "IO1_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -12.7 0) (length 5.08) + (name "IO1_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -15.24 0) (length 5.08) + (name "IO1_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 48.26 0) (length 5.08) + (name "IO1_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -17.78 0) (length 5.08) + (name "IO1_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -20.32 0) (length 5.08) + (name "IO1_41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -22.86 0) (length 5.08) + (name "IO1_42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -25.4 0) (length 5.08) + (name "IO1_43/DEV_OE" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -27.94 0) (length 5.08) + (name "IO1_44/DEV_CLRn" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -30.48 0) (length 5.08) + (name "IO1_47" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -33.02 0) (length 5.08) + (name "IO1_48" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -35.56 0) (length 5.08) + (name "IO1_49" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 45.72 0) (length 5.08) + (name "IO1_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -38.1 0) (length 5.08) + (name "IO1_50" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -40.64 0) (length 5.08) + (name "IO1_51" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 50.8 180) (length 5.08) + (name "IO2_52" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 48.26 180) (length 5.08) + (name "IO2_53" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 45.72 180) (length 5.08) + (name "IO2_54" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 43.18 180) (length 5.08) + (name "IO2_55" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 40.64 180) (length 5.08) + (name "IO2_56" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 38.1 180) (length 5.08) + (name "IO2_57" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 35.56 180) (length 5.08) + (name "IO2_58" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 43.18 0) (length 5.08) + (name "IO1_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 33.02 180) (length 5.08) + (name "IO2_61" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 30.48 180) (length 5.08) + (name "IO2_62/GCLK2" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 27.94 180) (length 5.08) + (name "IO2_64/GCLK3" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 25.4 180) (length 5.08) + (name "IO2_66" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 22.86 180) (length 5.08) + (name "IO2_67" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 20.32 180) (length 5.08) + (name "IO2_68" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 17.78 180) (length 5.08) + (name "IO2_69" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 40.64 0) (length 5.08) + (name "IO1_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 15.24 180) (length 5.08) + (name "IO2_70" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 12.7 180) (length 5.08) + (name "IO2_71" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 10.16 180) (length 5.08) + (name "IO2_72" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 7.62 180) (length 5.08) + (name "IO2_73" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 5.08 180) (length 5.08) + (name "IO2_74" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 2.54 180) (length 5.08) + (name "IO2_75" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 0 180) (length 5.08) + (name "IO2_76" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -2.54 180) (length 5.08) + (name "IO2_77" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -5.08 180) (length 5.08) + (name "IO2_78" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 38.1 0) (length 5.08) + (name "IO1_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -7.62 180) (length 5.08) + (name "IO2_81" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -10.16 180) (length 5.08) + (name "IO2_82" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -12.7 180) (length 5.08) + (name "IO2_83" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -15.24 180) (length 5.08) + (name "IO2_84" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -17.78 180) (length 5.08) + (name "IO2_85" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -20.32 180) (length 5.08) + (name "IO2_86" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -22.86 180) (length 5.08) + (name "IO2_87" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -25.4 180) (length 5.08) + (name "IO2_88" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -27.94 180) (length 5.08) + (name "IO2_89" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -30.48 180) (length 5.08) + (name "IO2_90" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -33.02 180) (length 5.08) + (name "IO2_91" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -35.56 180) (length 5.08) + (name "IO2_92" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -38.1 180) (length 5.08) + (name "IO2_95" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -40.64 180) (length 5.08) + (name "IO2_96" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -43.18 180) (length 5.08) + (name "IO2_97" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -45.72 180) (length 5.08) + (name "IO2_98" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -48.26 180) (length 5.08) + (name "IO2_99" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "EPM240T100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "EPM240T100" (id 1) (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (id 2) (at 0 -2.54 0) + (effects (font (size 0.508 0.508)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "MAX2 TQFP" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Altera MAX2 CPLD with 240 LE" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*QFP*P0.5mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "EPM240T100_1_1" + (rectangle (start -20.32 55.88) (end 20.32 -55.88) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 25.4 53.34 180) (length 5.08) + (name "IO2_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -50.8 180) (length 5.08) + (name "IO2_100" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 35.56 0) (length 5.08) + (name "IO1_12/GCLK0" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 33.02 0) (length 5.08) + (name "IO1_14/GCLK1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 30.48 0) (length 5.08) + (name "IO1_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 27.94 0) (length 5.08) + (name "IO1_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 25.4 0) (length 5.08) + (name "IO1_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 22.86 0) (length 5.08) + (name "IO1_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 20.32 0) (length 5.08) + (name "IO1_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 53.34 0) (length 5.08) + (name "IO1_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 17.78 0) (length 5.08) + (name "IO1_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 15.24 0) (length 5.08) + (name "IO1_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -43.18 0) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -45.72 0) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -25.4 -48.26 0) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -25.4 -50.8 0) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 12.7 0) (length 5.08) + (name "IO1_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 10.16 0) (length 5.08) + (name "IO1_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 7.62 0) (length 5.08) + (name "IO1_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 5.08 0) (length 5.08) + (name "IO1_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 50.8 0) (length 5.08) + (name "IO1_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 2.54 0) (length 5.08) + (name "IO1_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 0 0) (length 5.08) + (name "IO1_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -2.54 0) (length 5.08) + (name "IO1_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -5.08 0) (length 5.08) + (name "IO1_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -7.62 0) (length 5.08) + (name "IO1_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -10.16 0) (length 5.08) + (name "IO1_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -12.7 0) (length 5.08) + (name "IO1_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -15.24 0) (length 5.08) + (name "IO1_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 48.26 0) (length 5.08) + (name "IO1_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -17.78 0) (length 5.08) + (name "IO1_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -20.32 0) (length 5.08) + (name "IO1_41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -22.86 0) (length 5.08) + (name "IO1_42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -25.4 0) (length 5.08) + (name "IO1_43/DEV_OE" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -27.94 0) (length 5.08) + (name "IO1_44/DEV_CLRn" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -30.48 0) (length 5.08) + (name "IO1_47" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -33.02 0) (length 5.08) + (name "IO1_48" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -35.56 0) (length 5.08) + (name "IO1_49" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 45.72 0) (length 5.08) + (name "IO1_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -38.1 0) (length 5.08) + (name "IO1_50" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -40.64 0) (length 5.08) + (name "IO1_51" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 50.8 180) (length 5.08) + (name "IO2_52" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 48.26 180) (length 5.08) + (name "IO2_53" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 45.72 180) (length 5.08) + (name "IO2_54" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 43.18 180) (length 5.08) + (name "IO2_55" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 40.64 180) (length 5.08) + (name "IO2_56" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 38.1 180) (length 5.08) + (name "IO2_57" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 35.56 180) (length 5.08) + (name "IO2_58" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 43.18 0) (length 5.08) + (name "IO1_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 33.02 180) (length 5.08) + (name "IO2_61" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 30.48 180) (length 5.08) + (name "IO2_62/GCLK2" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 27.94 180) (length 5.08) + (name "IO2_64/GCLK3" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 25.4 180) (length 5.08) + (name "IO2_66" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 22.86 180) (length 5.08) + (name "IO2_67" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 20.32 180) (length 5.08) + (name "IO2_68" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 17.78 180) (length 5.08) + (name "IO2_69" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 40.64 0) (length 5.08) + (name "IO1_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 15.24 180) (length 5.08) + (name "IO2_70" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 12.7 180) (length 5.08) + (name "IO2_71" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 10.16 180) (length 5.08) + (name "IO2_72" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 7.62 180) (length 5.08) + (name "IO2_73" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 5.08 180) (length 5.08) + (name "IO2_74" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 2.54 180) (length 5.08) + (name "IO2_75" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 0 180) (length 5.08) + (name "IO2_76" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -2.54 180) (length 5.08) + (name "IO2_77" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -5.08 180) (length 5.08) + (name "IO2_78" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 38.1 0) (length 5.08) + (name "IO1_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -7.62 180) (length 5.08) + (name "IO2_81" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -10.16 180) (length 5.08) + (name "IO2_82" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -12.7 180) (length 5.08) + (name "IO2_83" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -15.24 180) (length 5.08) + (name "IO2_84" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -17.78 180) (length 5.08) + (name "IO2_85" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -20.32 180) (length 5.08) + (name "IO2_86" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -22.86 180) (length 5.08) + (name "IO2_87" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -25.4 180) (length 5.08) + (name "IO2_88" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -27.94 180) (length 5.08) + (name "IO2_89" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -30.48 180) (length 5.08) + (name "IO2_90" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -33.02 180) (length 5.08) + (name "IO2_91" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -35.56 180) (length 5.08) + (name "IO2_92" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -38.1 180) (length 5.08) + (name "IO2_95" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -40.64 180) (length 5.08) + (name "IO2_96" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -43.18 180) (length 5.08) + (name "IO2_97" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -45.72 180) (length 5.08) + (name "IO2_98" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -48.26 180) (length 5.08) + (name "IO2_99" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "EPM570T100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "EPM570T100" (id 1) (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (id 2) (at 0 -2.54 0) + (effects (font (size 0.508 0.508)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "MAX2 TQFP" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Altera MAX2 CPLD with 240 LE" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*QFP*P0.5mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "EPM570T100_1_1" + (rectangle (start -20.32 55.88) (end 20.32 -55.88) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 25.4 53.34 180) (length 5.08) + (name "IO2_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -50.8 180) (length 5.08) + (name "IO2_100" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 35.56 0) (length 5.08) + (name "IO1_12/GCLK0" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 33.02 0) (length 5.08) + (name "IO1_14/GCLK1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 30.48 0) (length 5.08) + (name "IO1_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 27.94 0) (length 5.08) + (name "IO1_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 25.4 0) (length 5.08) + (name "IO1_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 22.86 0) (length 5.08) + (name "IO1_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 20.32 0) (length 5.08) + (name "IO1_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 53.34 0) (length 5.08) + (name "IO1_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 17.78 0) (length 5.08) + (name "IO1_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 15.24 0) (length 5.08) + (name "IO1_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -43.18 0) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -45.72 0) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -25.4 -48.26 0) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -25.4 -50.8 0) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 12.7 0) (length 5.08) + (name "IO1_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 10.16 0) (length 5.08) + (name "IO1_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 7.62 0) (length 5.08) + (name "IO1_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 5.08 0) (length 5.08) + (name "IO1_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 50.8 0) (length 5.08) + (name "IO1_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 2.54 0) (length 5.08) + (name "IO1_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 0 0) (length 5.08) + (name "IO1_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -2.54 0) (length 5.08) + (name "IO1_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -5.08 0) (length 5.08) + (name "IO1_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -7.62 0) (length 5.08) + (name "IO1_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -12.7 0) (length 5.08) + (name "IO1_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 48.26 0) (length 5.08) + (name "IO1_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -17.78 0) (length 5.08) + (name "IO1_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -20.32 0) (length 5.08) + (name "IO1_41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -22.86 0) (length 5.08) + (name "IO1_42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -25.4 0) (length 5.08) + (name "IO1_43/DEV_OE" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -27.94 0) (length 5.08) + (name "IO1_44/DEV_CLRn" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -30.48 0) (length 5.08) + (name "IO1_47" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -33.02 0) (length 5.08) + (name "IO1_48" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -35.56 0) (length 5.08) + (name "IO1_49" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 45.72 0) (length 5.08) + (name "IO1_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -38.1 0) (length 5.08) + (name "IO1_50" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -40.64 0) (length 5.08) + (name "IO1_51" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 50.8 180) (length 5.08) + (name "IO2_52" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 48.26 180) (length 5.08) + (name "IO2_53" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 45.72 180) (length 5.08) + (name "IO2_54" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 43.18 180) (length 5.08) + (name "IO2_55" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 40.64 180) (length 5.08) + (name "IO2_56" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 38.1 180) (length 5.08) + (name "IO2_57" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 35.56 180) (length 5.08) + (name "IO2_58" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 43.18 0) (length 5.08) + (name "IO1_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 33.02 180) (length 5.08) + (name "IO2_61" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 30.48 180) (length 5.08) + (name "IO2_62/GCLK2" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 27.94 180) (length 5.08) + (name "IO2_64/GCLK3" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 25.4 180) (length 5.08) + (name "IO2_66" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 22.86 180) (length 5.08) + (name "IO2_67" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 20.32 180) (length 5.08) + (name "IO2_68" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 17.78 180) (length 5.08) + (name "IO2_69" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 40.64 0) (length 5.08) + (name "IO1_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 15.24 180) (length 5.08) + (name "IO2_70" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 12.7 180) (length 5.08) + (name "IO2_71" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 10.16 180) (length 5.08) + (name "IO2_72" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 7.62 180) (length 5.08) + (name "IO2_73" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 5.08 180) (length 5.08) + (name "IO2_74" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 2.54 180) (length 5.08) + (name "IO2_75" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 0 180) (length 5.08) + (name "IO2_76" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -2.54 180) (length 5.08) + (name "IO2_77" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -5.08 180) (length 5.08) + (name "IO2_78" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 38.1 0) (length 5.08) + (name "IO1_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -7.62 180) (length 5.08) + (name "IO2_81" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -10.16 180) (length 5.08) + (name "IO2_82" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -12.7 180) (length 5.08) + (name "IO2_83" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -15.24 180) (length 5.08) + (name "IO2_84" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -17.78 180) (length 5.08) + (name "IO2_85" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -20.32 180) (length 5.08) + (name "IO2_86" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -22.86 180) (length 5.08) + (name "IO2_87" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -27.94 180) (length 5.08) + (name "IO2_89" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -33.02 180) (length 5.08) + (name "IO2_91" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -35.56 180) (length 5.08) + (name "IO2_92" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -38.1 180) (length 5.08) + (name "IO2_95" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -40.64 180) (length 5.08) + (name "IO2_96" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -43.18 180) (length 5.08) + (name "IO2_97" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -45.72 180) (length 5.08) + (name "IO2_98" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -48.26 180) (length 5.08) + (name "IO2_99" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "EPM7128SL84" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 3.81 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "EPM7128SL84" (id 1) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:PLCC-84_SMDSocket" (id 2) (at 0 0 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at -3.81 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "EPM7128SL84_0_1" + (rectangle (start -15.24 -49.53) (end 15.24 46.99) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "EPM7128SL84_1_1" + (pin input line (at 19.05 22.86 180) (length 3.81) + (name "~{GClr}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 40.64 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 43.18 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 43.18 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 50.8 270) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 40.64 0) (length 3.81) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 38.1 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 35.56 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 33.02 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 30.48 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -53.34 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 19.05 25.4 180) (length 3.81) + (name "OE2/GClk2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 27.94 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 25.4 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 22.86 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 20.32 0) (length 3.81) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 17.78 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 15.24 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 50.8 270) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -19.05 12.7 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 10.16 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 7.62 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 50.8 270) (length 3.81) + (name "VccINT" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 5.08 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 2.54 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -53.34 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -2.54 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -5.08 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -7.62 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -10.16 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -12.7 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 50.8 270) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -15.24 0) (length 3.81) + (name "I/O/NC" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 27.94 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -17.78 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -20.32 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -53.34 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 50.8 270) (length 3.81) + (name "VccINT" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -22.86 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -25.4 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -27.94 0) (length 3.81) + (name "I/O/NC" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -53.34 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -30.48 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -33.02 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 30.48 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -35.56 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -38.1 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -40.64 0) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 50.8 270) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -45.72 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -43.18 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -40.64 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -38.1 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -35.56 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -53.34 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 33.02 180) (length 3.81) + (name "I/O/NC" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -33.02 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -30.48 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -27.94 180) (length 3.81) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -25.4 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -22.86 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -20.32 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 50.8 270) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -17.78 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -15.24 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -12.7 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -53.34 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -10.16 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -7.62 180) (length 3.81) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -53.34 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -5.08 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -2.54 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 2.54 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 5.08 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 7.62 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 50.8 270) (length 3.81) + (name "VccIO" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 10.16 180) (length 3.81) + (name "I/O/NC" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 35.56 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 12.7 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 15.24 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -53.34 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 19.05 17.78 180) (length 3.81) + (name "GClk1" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 19.05 20.32 180) (length 3.81) + (name "OE1" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 38.1 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GAL16V8" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GAL16V8" (id 1) (at 0 -6.35 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 -2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "logic programmable PAL" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "generic 24-pin Programmable Array Logic (PAL) device" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GAL16V8_0_1" + (rectangle (start -6.35 13.97) (end 6.35 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "GAL16V8_1_1" + (pin input line (at -10.16 11.43 0) (length 3.81) + (name "CLK/IN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -11.43 180) (length 3.81) + (name "IN/~{OE}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 8.89 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GAL22V10-PLCC" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 7.62 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GAL22V10-PLCC" (id 1) (at 0 -5.08 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "logic programmable PAL" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "generic 24-pin Programmable Array Logic (PAL) device" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GAL22V10-PLCC_0_1" + (rectangle (start -6.35 15.24) (end 6.35 -17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "GAL22V10-PLCC_1_1" + (pin passive line (at -10.16 -5.08 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -7.62 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -10.16 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -12.7 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -15.24 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -15.24 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -12.7 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -10.16 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -7.62 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 12.7 0) (length 3.81) + (name "CLK/IN" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -5.08 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -2.54 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 0 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 2.54 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 5.08 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 7.62 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 10.16 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 12.7 180) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 10.16 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 7.62 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 5.08 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 2.54 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 0 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -2.54 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GAL22V10-PLCC-Fast" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 7.62 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GAL22V10-PLCC-Fast" (id 1) (at 0 -5.08 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "logic programmable PAL" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "generic 24-pin Programmable Array Logic (PAL) device" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GAL22V10-PLCC-Fast_0_1" + (rectangle (start -6.35 15.24) (end 6.35 -17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "GAL22V10-PLCC-Fast_1_1" + (pin power_in line (at 10.16 12.7 180) (length 3.81) hide + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -5.08 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -7.62 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -10.16 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -12.7 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -15.24 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -15.24 180) (length 3.81) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -15.24 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -12.7 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -10.16 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -7.62 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 12.7 0) (length 3.81) + (name "CLK/IN" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -5.08 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -2.54 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -15.24 180) (length 3.81) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 0 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 2.54 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 5.08 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 7.62 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 10.16 180) (length 3.81) + (name "I/O" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 12.7 180) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 10.16 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 7.62 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 5.08 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 2.54 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 0 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -15.24 180) (length 3.81) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -2.54 0) (length 3.81) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LCMXO2-1200-TG144" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LCMXO2-1200-TG144" (id 1) (at 0 0 0) + (effects (font (size 1.016 1.016)) (justify top)) + ) + (property "Footprint" "stdpads:TQFP-100" (id 2) (at 0 -5.08 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LCMXO2-1200-TG144_1_1" + (rectangle (start -21.59 81.28) (end 21.59 -81.28) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -26.67 71.12 0) (length 5.08) + (name "PL1A/L_GPLL_FB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 53.34 0) (length 5.08) + (name "PL4B" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -12.7 180) (length 5.08) + (name "PR3A" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 86.36 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "102" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -10.16 180) (length 5.08) + (name "PR2B" (effects (font (size 1.27 1.27)))) + (number "104" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -7.62 180) (length 5.08) + (name "PR2A" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -5.08 180) (length 5.08) + (name "PR1B" (effects (font (size 1.27 1.27)))) + (number "106" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -2.54 180) (length 5.08) + (name "PR1A" (effects (font (size 1.27 1.27)))) + (number "107" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 86.36 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "108" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 2.54 180) (length 5.08) + (name "DONE/PT24D" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 50.8 0) (length 5.08) + (name "PL5A" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 5.08 180) (length 5.08) + (name "~{INIT}/PT24C" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 7.62 180) (length 5.08) + (name "PT23B" (effects (font (size 1.27 1.27)))) + (number "111" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 10.16 180) (length 5.08) + (name "PT23A" (effects (font (size 1.27 1.27)))) + (number "112" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 12.7 180) (length 5.08) + (name "PT22B" (effects (font (size 1.27 1.27)))) + (number "113" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 15.24 180) (length 5.08) + (name "PT22A" (effects (font (size 1.27 1.27)))) + (number "114" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 17.78 180) (length 5.08) + (name "PT21B" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 20.32 180) (length 5.08) + (name "PT21A" (effects (font (size 1.27 1.27)))) + (number "117" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -8.89 86.36 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "118" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 22.86 180) (length 5.08) + (name "~{PROGRAM}/PT20D" (effects (font (size 1.27 1.27)))) + (number "119" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 48.26 0) (length 5.08) + (name "PL5B" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 25.4 180) (length 5.08) + (name "~{JTAGEN}/PT20C" (effects (font (size 1.27 1.27)))) + (number "120" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 27.94 180) (length 5.08) + (name "PT19B" (effects (font (size 1.27 1.27)))) + (number "121" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 30.48 180) (length 5.08) + (name "PT19A" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -6.35 86.36 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "124" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 33.02 180) (length 5.08) + (name "SDA/~{PCLK0_0}/PT18D" (effects (font (size 1.27 1.27)))) + (number "125" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 35.56 180) (length 5.08) + (name "SCL/PCLK0_0/PT18C" (effects (font (size 1.27 1.27)))) + (number "126" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 38.1 180) (length 5.08) + (name "~{PCLK0_1}/PT17B" (effects (font (size 1.27 1.27)))) + (number "127" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 40.64 180) (length 5.08) + (name "PCLK0_1/PT17A" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 45.72 0) (length 5.08) + (name "PL6A" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 43.18 180) (length 5.08) + (name "TMS/PT16D" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 45.72 180) (length 5.08) + (name "TCK/PT16C" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 48.26 180) (length 5.08) + (name "PT13B" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 50.8 180) (length 5.08) + (name "PT13A" (effects (font (size 1.27 1.27)))) + (number "133" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "134" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 86.36 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "135" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 53.34 180) (length 5.08) + (name "TDI/PT12D" (effects (font (size 1.27 1.27)))) + (number "136" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 55.88 180) (length 5.08) + (name "TDO/PT13C" (effects (font (size 1.27 1.27)))) + (number "137" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 58.42 180) (length 5.08) + (name "PT11B" (effects (font (size 1.27 1.27)))) + (number "138" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 60.96 180) (length 5.08) + (name "PT11A" (effects (font (size 1.27 1.27)))) + (number "139" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 43.18 0) (length 5.08) + (name "PL6B" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 63.5 180) (length 5.08) + (name "PT10B" (effects (font (size 1.27 1.27)))) + (number "140" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 66.04 180) (length 5.08) + (name "PT10A" (effects (font (size 1.27 1.27)))) + (number "141" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 68.58 180) (length 5.08) + (name "PT9B" (effects (font (size 1.27 1.27)))) + (number "142" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 71.12 180) (length 5.08) + (name "PT9A" (effects (font (size 1.27 1.27)))) + (number "143" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 86.36 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "144" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -26.67 40.64 0) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 16.51 86.36 270) (length 5.08) + (name "VccIO4" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -26.67 38.1 0) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 35.56 0) (length 5.08) + (name "PL7C/PCLK4_0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 68.58 0) (length 5.08) + (name "PL1B/~{L_GPLL_FB}" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 33.02 0) (length 5.08) + (name "PL7D/~{PCLK4_0}" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 30.48 0) (length 5.08) + (name "PL9A" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 27.94 0) (length 5.08) + (name "PL9B" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 25.4 0) (length 5.08) + (name "PL10A" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 22.86 0) (length 5.08) + (name "PL10B" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 20.32 0) (length 5.08) + (name "PL11A" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 17.78 0) (length 5.08) + (name "PL11B" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 15.24 0) (length 5.08) + (name "PL12A/PCLK3_0" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 12.7 0) (length 5.08) + (name "PL12B/~{PCLK3_0}" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 66.04 0) (length 5.08) + (name "PL2A/L_GPLL_IN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 86.36 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 10.16 0) (length 5.08) + (name "PL13A" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 7.62 0) (length 5.08) + (name "PL13B" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 5.08 0) (length 5.08) + (name "PL14A" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 2.54 0) (length 5.08) + (name "PL14B" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 86.36 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 6.35 86.36 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -2.54 0) (length 5.08) + (name "PB3A" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -5.08 0) (length 5.08) + (name "PB3B" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 63.5 0) (length 5.08) + (name "PL2B/~{L_GPLL_IN}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -7.62 0) (length 5.08) + (name "PB5A/CSSPIN" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -10.16 0) (length 5.08) + (name "PB5B" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -12.7 0) (length 5.08) + (name "PB6A" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -15.24 0) (length 5.08) + (name "PB6B" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -17.78 0) (length 5.08) + (name "PB8A/MCLK/CCLK" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -20.32 0) (length 5.08) + (name "PB8B/SO/SPISO" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -22.86 0) (length 5.08) + (name "PB9A" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -25.4 0) (length 5.08) + (name "PB9B" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -27.94 0) (length 5.08) + (name "PB11A/PCLK2_0" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 60.96 0) (length 5.08) + (name "PL3A/PCLK5_0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -30.48 0) (length 5.08) + (name "PB11B/~{PCLK2_0}" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 8.89 86.36 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -33.02 0) (length 5.08) + (name "PB12A" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -35.56 0) (length 5.08) + (name "PB12B" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -38.1 0) (length 5.08) + (name "PB16A" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -40.64 0) (length 5.08) + (name "PB16B" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -43.18 0) (length 5.08) + (name "PB18A" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -45.72 0) (length 5.08) + (name "PB18B" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -48.26 0) (length 5.08) + (name "PB19A" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 58.42 0) (length 5.08) + (name "PL3B/~{PCLK5_0}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -50.8 0) (length 5.08) + (name "PB19B" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -53.34 0) (length 5.08) + (name "PB21A" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -55.88 0) (length 5.08) + (name "PB21B" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -58.42 0) (length 5.08) + (name "PB22A" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 11.43 86.36 270) (length 5.08) + (name "VccOO2" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -60.96 0) (length 5.08) + (name "PB22B" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -63.5 0) (length 5.08) + (name "PB24A" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -66.04 0) (length 5.08) + (name "PB24B" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 86.36 270) (length 5.08) + (name "VccIO5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -68.58 0) (length 5.08) + (name "PB25A/SN" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -71.12 0) (length 5.08) + (name "PB25B/SI/SPI" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 86.36 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -71.12 180) (length 5.08) + (name "PR14B" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -68.58 180) (length 5.08) + (name "PR14A" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -66.04 180) (length 5.08) + (name "PR13B" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -63.5 180) (length 5.08) + (name "PR13A" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -60.96 180) (length 5.08) + (name "PR12B" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -58.42 180) (length 5.08) + (name "PR12A" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -1.27 86.36 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -55.88 180) (length 5.08) + (name "PR11B" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -53.34 180) (length 5.08) + (name "PR11A" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -50.8 180) (length 5.08) + (name "PR10B" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -48.26 180) (length 5.08) + (name "PR10A" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -45.72 180) (length 5.08) + (name "PR9B" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -43.18 180) (length 5.08) + (name "PR9A" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 26.67 -40.64 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 1.27 86.36 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 26.67 -38.1 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 55.88 0) (length 5.08) + (name "PL4A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -35.56 180) (length 5.08) + (name "~{PCLK1_0}/PR7B" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -33.02 180) (length 5.08) + (name "PCLK1_0/PR7A" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -30.48 180) (length 5.08) + (name "PR6B" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -27.94 180) (length 5.08) + (name "PR6A" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -25.4 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -22.86 180) (length 5.08) + (name "PR5A" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -20.32 180) (length 5.08) + (name "PR4B" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -17.78 180) (length 5.08) + (name "PR4A" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -15.24 180) (length 5.08) + (name "PR3B" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LCMXO2-2000-TG144" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LCMXO2-2000-TG144" (id 1) (at 0 0 0) + (effects (font (size 1.016 1.016)) (justify top)) + ) + (property "Footprint" "stdpads:TQFP-100" (id 2) (at 0 -5.08 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LCMXO2-2000-TG144_1_1" + (rectangle (start -21.59 81.28) (end 21.59 -81.28) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -26.67 71.12 0) (length 5.08) + (name "PL1A/L_GPLL_FB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 53.34 0) (length 5.08) + (name "PL4B" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -12.7 180) (length 5.08) + (name "PR3A" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 3.81 86.36 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "102" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -10.16 180) (length 5.08) + (name "PR2B" (effects (font (size 1.27 1.27)))) + (number "104" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -7.62 180) (length 5.08) + (name "PR2A" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -5.08 180) (length 5.08) + (name "PR1B" (effects (font (size 1.27 1.27)))) + (number "106" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -2.54 180) (length 5.08) + (name "PR1A" (effects (font (size 1.27 1.27)))) + (number "107" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 86.36 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "108" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 2.54 180) (length 5.08) + (name "DONE/PT24D" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 50.8 0) (length 5.08) + (name "PL5A" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 5.08 180) (length 5.08) + (name "~{INIT}/PT24C" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 7.62 180) (length 5.08) + (name "PT23B" (effects (font (size 1.27 1.27)))) + (number "111" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 10.16 180) (length 5.08) + (name "PT23A" (effects (font (size 1.27 1.27)))) + (number "112" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 12.7 180) (length 5.08) + (name "PT22B" (effects (font (size 1.27 1.27)))) + (number "113" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 15.24 180) (length 5.08) + (name "PT22A" (effects (font (size 1.27 1.27)))) + (number "114" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 17.78 180) (length 5.08) + (name "PT21B" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 20.32 180) (length 5.08) + (name "PT21A" (effects (font (size 1.27 1.27)))) + (number "117" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -8.89 86.36 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "118" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 22.86 180) (length 5.08) + (name "~{PROGRAM}/PT20D" (effects (font (size 1.27 1.27)))) + (number "119" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 48.26 0) (length 5.08) + (name "PL5B" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 25.4 180) (length 5.08) + (name "~{JTAGEN}/PT20C" (effects (font (size 1.27 1.27)))) + (number "120" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 27.94 180) (length 5.08) + (name "PT19B" (effects (font (size 1.27 1.27)))) + (number "121" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 30.48 180) (length 5.08) + (name "PT19A" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -6.35 86.36 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "124" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 33.02 180) (length 5.08) + (name "SDA/~{PCLK0_0}/PT18D" (effects (font (size 1.27 1.27)))) + (number "125" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 35.56 180) (length 5.08) + (name "SCL/PCLK0_0/PT18C" (effects (font (size 1.27 1.27)))) + (number "126" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 38.1 180) (length 5.08) + (name "~{PCLK0_1}/PT17B" (effects (font (size 1.27 1.27)))) + (number "127" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 40.64 180) (length 5.08) + (name "PCLK0_1/PT17A" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 45.72 0) (length 5.08) + (name "PL6A" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 43.18 180) (length 5.08) + (name "TMS/PT16D" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 45.72 180) (length 5.08) + (name "TCK/PT16C" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 48.26 180) (length 5.08) + (name "PT13B" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 50.8 180) (length 5.08) + (name "PT13A" (effects (font (size 1.27 1.27)))) + (number "133" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "134" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 86.36 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "135" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 53.34 180) (length 5.08) + (name "TDI/PT12D" (effects (font (size 1.27 1.27)))) + (number "136" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 55.88 180) (length 5.08) + (name "TDO/PT13C" (effects (font (size 1.27 1.27)))) + (number "137" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 58.42 180) (length 5.08) + (name "PT11B" (effects (font (size 1.27 1.27)))) + (number "138" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 60.96 180) (length 5.08) + (name "PT11A" (effects (font (size 1.27 1.27)))) + (number "139" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 43.18 0) (length 5.08) + (name "PL6B" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 63.5 180) (length 5.08) + (name "PT10B" (effects (font (size 1.27 1.27)))) + (number "140" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 66.04 180) (length 5.08) + (name "PT10A" (effects (font (size 1.27 1.27)))) + (number "141" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 68.58 180) (length 5.08) + (name "PT9B" (effects (font (size 1.27 1.27)))) + (number "142" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 71.12 180) (length 5.08) + (name "PT9A" (effects (font (size 1.27 1.27)))) + (number "143" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 86.36 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "144" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 40.64 0) (length 5.08) + (name "PL7A" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 16.51 86.36 270) (length 5.08) + (name "VccIO4" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 38.1 0) (length 5.08) + (name "PL7B" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 35.56 0) (length 5.08) + (name "PL7C/PCLK4_0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 68.58 0) (length 5.08) + (name "PL1B/~{L_GPLL_FB}" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 33.02 0) (length 5.08) + (name "PL7D/~{PCLK4_0}" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 30.48 0) (length 5.08) + (name "PL9A" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 27.94 0) (length 5.08) + (name "PL9B" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 25.4 0) (length 5.08) + (name "PL10A" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 22.86 0) (length 5.08) + (name "PL10B" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 20.32 0) (length 5.08) + (name "PL11A" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 17.78 0) (length 5.08) + (name "PL11B" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 15.24 0) (length 5.08) + (name "PL12A/PCLK3_0" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 12.7 0) (length 5.08) + (name "PL12B/~{PCLK3_0}" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 66.04 0) (length 5.08) + (name "PL2A/L_GPLL_IN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 86.36 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 10.16 0) (length 5.08) + (name "PL13A" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 7.62 0) (length 5.08) + (name "PL13B" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 5.08 0) (length 5.08) + (name "PL14A" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 2.54 0) (length 5.08) + (name "PL14B" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 86.36 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 6.35 86.36 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -2.54 0) (length 5.08) + (name "PB3A" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -5.08 0) (length 5.08) + (name "PB3B" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 63.5 0) (length 5.08) + (name "PL2B/~{L_GPLL_IN}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -7.62 0) (length 5.08) + (name "PB5A/CSSPIN" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -10.16 0) (length 5.08) + (name "PB5B" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -12.7 0) (length 5.08) + (name "PB6A" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -15.24 0) (length 5.08) + (name "PB6B" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -17.78 0) (length 5.08) + (name "PB8A/MCLK/CCLK" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -20.32 0) (length 5.08) + (name "PB8B/SO/SPISO" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -22.86 0) (length 5.08) + (name "PB9A" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -25.4 0) (length 5.08) + (name "PB9B" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -27.94 0) (length 5.08) + (name "PB11A/PCLK2_0" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 60.96 0) (length 5.08) + (name "PL3A/PCLK5_0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -30.48 0) (length 5.08) + (name "PB11B/~{PCLK2_0}" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 8.89 86.36 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -33.02 0) (length 5.08) + (name "PB12A" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -35.56 0) (length 5.08) + (name "PB12B" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -38.1 0) (length 5.08) + (name "PB16A" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -40.64 0) (length 5.08) + (name "PB16B" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -43.18 0) (length 5.08) + (name "PB18A" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -45.72 0) (length 5.08) + (name "PB18B" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -48.26 0) (length 5.08) + (name "PB19A" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 58.42 0) (length 5.08) + (name "PL3B/~{PCLK5_0}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -50.8 0) (length 5.08) + (name "PB19B" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -53.34 0) (length 5.08) + (name "PB21A" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -55.88 0) (length 5.08) + (name "PB21B" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -58.42 0) (length 5.08) + (name "PB22A" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 11.43 86.36 270) (length 5.08) + (name "VccOO2" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -60.96 0) (length 5.08) + (name "PB22B" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -63.5 0) (length 5.08) + (name "PB24A" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -66.04 0) (length 5.08) + (name "PB24B" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 86.36 270) (length 5.08) + (name "VccIO5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -68.58 0) (length 5.08) + (name "PB25A/SN" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -71.12 0) (length 5.08) + (name "PB25B/SI/SPI" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -16.51 86.36 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -71.12 180) (length 5.08) + (name "PR14B" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -68.58 180) (length 5.08) + (name "PR14A" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -66.04 180) (length 5.08) + (name "PR13B" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -63.5 180) (length 5.08) + (name "PR13A" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -60.96 180) (length 5.08) + (name "PR12B" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -58.42 180) (length 5.08) + (name "PR12A" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -1.27 86.36 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -55.88 180) (length 5.08) + (name "PR11B" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -53.34 180) (length 5.08) + (name "PR11A" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -50.8 180) (length 5.08) + (name "PR10B" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -48.26 180) (length 5.08) + (name "PR10A" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -45.72 180) (length 5.08) + (name "PR9B" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -43.18 180) (length 5.08) + (name "PR9A" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -40.64 180) (length 5.08) + (name "PR7D" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 1.27 86.36 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -38.1 180) (length 5.08) + (name "PR7C" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 55.88 0) (length 5.08) + (name "PL4A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -86.36 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -35.56 180) (length 5.08) + (name "~{PCLK1_0}/PR7B" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -33.02 180) (length 5.08) + (name "PCLK1_0/PR7A" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -30.48 180) (length 5.08) + (name "PR6B" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -27.94 180) (length 5.08) + (name "PR6A" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -25.4 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -22.86 180) (length 5.08) + (name "PR5A" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -20.32 180) (length 5.08) + (name "PR4B" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -17.78 180) (length 5.08) + (name "PR4A" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -15.24 180) (length 5.08) + (name "PR3B" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LCMXO2-640-TG100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LCMXO2-640-TG100" (id 1) (at 0 0 0) + (effects (font (size 1.016 1.016)) (justify top)) + ) + (property "Footprint" "stdpads:TQFP-100" (id 2) (at 0 -5.08 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LCMXO2-640-TG100_1_1" + (rectangle (start -19.05 55.88) (end 19.05 -55.88) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at -24.13 50.8 0) (length 5.08) + (name "PL2A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 33.02 0) (length 5.08) + (name "PL3D" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 30.48 0) (length 5.08) + (name "PL5A/PCLKT3_1" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 27.94 0) (length 5.08) + (name "PL5B/PCLKC3_1" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 25.4 0) (length 5.08) + (name "PL5C" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 22.86 0) (length 5.08) + (name "PL5D" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 20.32 0) (length 5.08) + (name "PL6A" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 17.78 0) (length 5.08) + (name "PL6B" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 15.24 0) (length 5.08) + (name "PL6C" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 12.7 0) (length 5.08) + (name "PL6D" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 48.26 0) (length 5.08) + (name "PL2B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 10.16 0) (length 5.08) + (name "PL7A/PCLKT3_0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 7.62 0) (length 5.08) + (name "PL7B/PCLKC3_0" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 0.762 0.762)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 5.08 0) (length 5.08) + (name "PL7C" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 2.54 0) (length 5.08) + (name "PL7D" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -2.54 0) (length 5.08) + (name "PB4A/CSSPIN" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -5.08 0) (length 5.08) + (name "PB4B" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -7.62 0) (length 5.08) + (name "PB4C" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 45.72 0) (length 5.08) + (name "PL2C/PCLKT3_2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -10.16 0) (length 5.08) + (name "PB4D" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -12.7 0) (length 5.08) + (name "PB6A/MCLK/CCLK" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -15.24 0) (length 5.08) + (name "PB6B/SO/SPISO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -17.78 0) (length 5.08) + (name "PB6C/PCLKT2_0" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -20.32 0) (length 5.08) + (name "PB6D/PCLKC_0" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -22.86 0) (length 5.08) + (name "PB10A" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -25.4 0) (length 5.08) + (name "PB10B" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -27.94 0) (length 5.08) + (name "PB10C/PCLKT2_1" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -30.48 0) (length 5.08) + (name "PB10D/PCLKC2_1" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 43.18 0) (length 5.08) + (name "PL2D/PCLKC3_2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -33.02 0) (length 5.08) + (name "PB12A" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -35.56 0) (length 5.08) + (name "PB12B" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -38.1 0) (length 5.08) + (name "PB12C" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -40.64 0) (length 5.08) + (name "PB12D" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -43.18 0) (length 5.08) + (name "PB14A" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -45.72 0) (length 5.08) + (name "PB14" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -48.26 0) (length 5.08) + (name "PB14C/SN" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -50.8 0) (length 5.08) + (name "PB14D/SI/SISPI" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -50.8 180) (length 5.08) + (name "PR7D" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -48.26 180) (length 5.08) + (name "PR7C" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -45.72 180) (length 5.08) + (name "PR7B" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -43.18 180) (length 5.08) + (name "PR7A" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -40.64 180) (length 5.08) + (name "PR6D" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -38.1 180) (length 5.08) + (name "PR6C" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -35.56 180) (length 5.08) + (name "PR6B" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 0.762 0.762)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -33.02 180) (length 5.08) + (name "PR6A" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -30.48 180) (length 5.08) + (name "PCLKC1_0/PR5D" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -27.94 180) (length 5.08) + (name "PCLKT1_0/PR5C" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -25.4 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -22.86 180) (length 5.08) + (name "PR5A" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -20.32 180) (length 5.08) + (name "PR3D" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -17.78 180) (length 5.08) + (name "PR3C" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -15.24 180) (length 5.08) + (name "PR3B" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -12.7 180) (length 5.08) + (name "PR3A" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 40.64 0) (length 5.08) + (name "PL3A" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -10.16 180) (length 5.08) + (name "PR2D" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -7.62 180) (length 5.08) + (name "PR2C" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -5.08 180) (length 5.08) + (name "PR2B" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -2.54 180) (length 5.08) + (name "PR2A" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 2.54 180) (length 5.08) + (name "DONE/PT11D" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 5.08 180) (length 5.08) + (name "~{INIT}/PT11C" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 7.62 180) (length 5.08) + (name "PT11A" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 38.1 0) (length 5.08) + (name "PL3B" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 10.16 180) (length 5.08) + (name "~{PROGRAM}/PT10D" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 12.7 180) (length 5.08) + (name "~{JTAGEN}/PT10C" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 15.24 180) (length 5.08) + (name "PT10B" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 17.78 180) (length 5.08) + (name "PT10A" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 20.32 180) (length 5.08) + (name "SDA/PCLKC0_0/PT9D" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 22.86 180) (length 5.08) + (name "SCL/PCLKT0_0/PT9C" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 25.4 180) (length 5.08) + (name "PT9B/PCLKC0_1" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 27.94 180) (length 5.08) + (name "PT9A/PCLKT0_1" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 35.56 0) (length 5.08) + (name "PL3C" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 30.48 180) (length 5.08) + (name "TMS/PT7D" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 33.02 180) (length 5.08) + (name "TCK/PT7C" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 0.762 0.762)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 35.56 180) (length 5.08) + (name "TDI/PT7B" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 38.1 180) (length 5.08) + (name "TDO/PT7A" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 40.64 180) (length 5.08) + (name "PT6D" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 43.18 180) (length 5.08) + (name "PT6C" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 45.72 180) (length 5.08) + (name "PT6B" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 48.26 180) (length 5.08) + (name "PT6A" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LCMXO256-TQFP-100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LCMXO256-TQFP-100" (id 1) (at 0 0 0) + (effects (font (size 1.016 1.016)) (justify top)) + ) + (property "Footprint" "stdpads:TQFP-100" (id 2) (at 0 -2.54 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LCMXO256-TQFP-100_0_1" + (rectangle (start -19.05 55.88) (end 19.05 -55.88) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "LCMXO256-TQFP-100_1_1" + (pin bidirectional line (at -24.13 53.34 0) (length 5.08) + (name "PL2A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 50.8 180) (length 5.08) + (name "PT2A" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 30.48 0) (length 5.08) + (name "PL5B" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 27.94 0) (length 5.08) + (name "PL5C" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 25.4 0) (length 5.08) + (name "PL5D/~{GSRN}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 22.86 0) (length 5.08) + (name "PL6A" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 20.32 0) (length 5.08) + (name "PL6B/TSALL" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 17.78 0) (length 5.08) + (name "PL7A" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 15.24 0) (length 5.08) + (name "PL7B" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 12.7 0) (length 5.08) + (name "PL7C" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 50.8 0) (length 5.08) + (name "PL2B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 10.16 0) (length 5.08) + (name "PL7D" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 7.62 0) (length 5.08) + (name "PL8A" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 5.08 0) (length 5.08) + (name "PL8B" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 2.54 0) (length 5.08) + (name "PL9A" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -24.13 -53.34 0) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -2.54 0) (length 5.08) + (name "PL9B" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -24.13 -45.72 0) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -5.08 0) (length 5.08) + (name "PB2A" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 48.26 0) (length 5.08) + (name "PL3A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -7.62 0) (length 5.08) + (name "PB2B" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -24.13 -48.26 0) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -10.16 0) (length 5.08) + (name "PB2C" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -24.13 -50.8 0) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -12.7 0) (length 5.08) + (name "PB2D" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -15.24 0) (length 5.08) + (name "PB3A/PCLK1_1" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -17.78 0) (length 5.08) + (name "PB3B" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -20.32 0) (length 5.08) + (name "PB3C/PCLK1_0" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -22.86 0) (length 5.08) + (name "PB3D" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 45.72 0) (length 5.08) + (name "PL3B" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -25.4 0) (length 5.08) + (name "PB4A" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -27.94 0) (length 5.08) + (name "PB4B" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -30.48 0) (length 5.08) + (name "PB4C" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -33.02 0) (length 5.08) + (name "PB4D" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -35.56 0) (length 5.08) + (name "PB5A" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 24.13 53.34 180) (length 5.08) + (name "~{SLEEP}" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -38.1 0) (length 5.08) + (name "PB5C" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 43.18 0) (length 5.08) + (name "PL3C" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -40.64 0) (length 5.08) + (name "PB5D" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -53.34 180) (length 5.08) + (name "PR9B" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -50.8 180) (length 5.08) + (name "PR9A" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -48.26 180) (length 5.08) + (name "PR8B" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -45.72 180) (length 5.08) + (name "PR8A" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -43.18 180) (length 5.08) + (name "PR7D" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -40.64 180) (length 5.08) + (name "PR7C" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -38.1 180) (length 5.08) + (name "PR7B" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -35.56 180) (length 5.08) + (name "PR7A" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -33.02 180) (length 5.08) + (name "PR6B" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 40.64 0) (length 5.08) + (name "PL3D" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -30.48 180) (length 5.08) + (name "PR6A" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -60.96 90) (length 5.08) + (name "GNDIO0" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -27.94 180) (length 5.08) + (name "PR5D" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -25.4 180) (length 5.08) + (name "PR5C" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -22.86 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -20.32 180) (length 5.08) + (name "PR5A" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -17.78 180) (length 5.08) + (name "PR4B" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -15.24 180) (length 5.08) + (name "PR4A" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -12.7 180) (length 5.08) + (name "PR3D" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 38.1 0) (length 5.08) + (name "PL4A" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -10.16 180) (length 5.08) + (name "PR3C" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -7.62 180) (length 5.08) + (name "PR3B" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -5.08 180) (length 5.08) + (name "PR3A" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -2.54 180) (length 5.08) + (name "PR2B" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 -60.96 90) (length 5.08) + (name "GNDIO0" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 2.54 180) (length 5.08) + (name "PR2A" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 5.08 180) (length 5.08) + (name "PT5C" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 7.62 180) (length 5.08) + (name "PT5B" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 10.16 180) (length 5.08) + (name "PT5A" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 35.56 0) (length 5.08) + (name "PL4B" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 12.7 180) (length 5.08) + (name "PT4F" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 15.24 180) (length 5.08) + (name "PT4E" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 17.78 180) (length 5.08) + (name "PT4D" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 20.32 180) (length 5.08) + (name "PT4C" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 22.86 180) (length 5.08) + (name "PT4B/PCLK0_1" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 25.4 180) (length 5.08) + (name "PT4A/PCLK0_0" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 27.94 180) (length 5.08) + (name "PT3D" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 60.96 270) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 30.48 180) (length 5.08) + (name "PT3C" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 33.02 0) (length 5.08) + (name "PL5A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 33.02 180) (length 5.08) + (name "PT3B" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GNDIO0" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 35.56 180) (length 5.08) + (name "PT3A" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 38.1 180) (length 5.08) + (name "PT2F" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 40.64 180) (length 5.08) + (name "PT2E" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 43.18 180) (length 5.08) + (name "PT2D" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 45.72 180) (length 5.08) + (name "PT2C" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 48.26 180) (length 5.08) + (name "PT2B" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LCMXO640-TQFP-100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LCMXO640-TQFP-100" (id 1) (at 0 0 0) + (effects (font (size 1.016 1.016)) (justify top)) + ) + (property "Footprint" "stdpads:TQFP-100" (id 2) (at 0 -2.54 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LCMXO640-TQFP-100_0_1" + (rectangle (start -21.59 55.88) (end 21.59 -55.88) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "LCMXO640-TQFP-100_1_1" + (pin bidirectional line (at -26.67 53.34 0) (length 5.08) + (name "PL2A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 50.8 180) (length 5.08) + (name "PT2A" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 30.48 0) (length 5.08) + (name "PL4C" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GNDIO3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 27.94 0) (length 5.08) + (name "PL4D" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 25.4 0) (length 5.08) + (name "PL5B/~{GSRN}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 22.86 0) (length 5.08) + (name "PL7B" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 20.32 0) (length 5.08) + (name "PL8C/TSALL" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 17.78 0) (length 5.08) + (name "PL8D" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 15.24 0) (length 5.08) + (name "PL9A" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 12.7 0) (length 5.08) + (name "PL9C" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 50.8 0) (length 5.08) + (name "PL2C" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 10.16 0) (length 5.08) + (name "PL10A" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 7.62 0) (length 5.08) + (name "PL10C" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 5.08 0) (length 5.08) + (name "PL11A" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 2.54 0) (length 5.08) + (name "PL11C" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GNDIO3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -53.34 0) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -2.54 0) (length 5.08) + (name "PL2C" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -45.72 0) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 48.26 0) (length 5.08) + (name "PL2B" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GNDIO2" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -26.67 -48.26 0) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -10.16 0) (length 5.08) + (name "PB4C" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -50.8 0) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -12.7 0) (length 5.08) + (name "PB4E" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -15.24 0) (length 5.08) + (name "PB5B/PCLK2_1" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -17.78 0) (length 5.08) + (name "PB5D" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -20.32 0) (length 5.08) + (name "PB6B/PCLK2_0" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -22.86 0) (length 5.08) + (name "PB6C" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 45.72 0) (length 5.08) + (name "PL2D" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GNDIO2" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -25.4 0) (length 5.08) + (name "PB8B" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -27.94 0) (length 5.08) + (name "PB8C" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -30.48 0) (length 5.08) + (name "PB8D" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -33.02 0) (length 5.08) + (name "PB9A" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -35.56 0) (length 5.08) + (name "PB9C" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 26.67 53.34 180) (length 5.08) + (name "~{SLEEP}" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -38.1 0) (length 5.08) + (name "PB9D" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 43.18 0) (length 5.08) + (name "PL3A" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -40.64 0) (length 5.08) + (name "PB9F" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -53.34 180) (length 5.08) + (name "PR11D" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -50.8 180) (length 5.08) + (name "PR11B" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -48.26 180) (length 5.08) + (name "PR11C" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -45.72 180) (length 5.08) + (name "PR11A" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -43.18 180) (length 5.08) + (name "PR10D" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -40.64 180) (length 5.08) + (name "PR10C" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -38.1 180) (length 5.08) + (name "PR10B" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -35.56 180) (length 5.08) + (name "PR10A" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -33.02 180) (length 5.08) + (name "PR9D" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 40.64 0) (length 5.08) + (name "PL3B" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -30.48 180) (length 5.08) + (name "PR9B" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -27.94 180) (length 5.08) + (name "PR7B" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -25.4 180) (length 5.08) + (name "PR6C" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -22.86 180) (length 5.08) + (name "PR6B" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -20.32 180) (length 5.08) + (name "PR5D" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -17.78 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -15.24 180) (length 5.08) + (name "PR4D" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -12.7 180) (length 5.08) + (name "PR4B" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 38.1 0) (length 5.08) + (name "PL3C" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -10.16 180) (length 5.08) + (name "PR3D" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -7.62 180) (length 5.08) + (name "PR3B" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -5.08 180) (length 5.08) + (name "PR2D" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -2.54 180) (length 5.08) + (name "PR2B" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 2.54 180) (length 5.08) + (name "PR9F" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 5.08 180) (length 5.08) + (name "PT9E" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 7.62 180) (length 5.08) + (name "PT9C" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 10.16 180) (length 5.08) + (name "PT9A" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 35.56 0) (length 5.08) + (name "PL3D" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -60.96 90) (length 5.08) + (name "GNDIO0" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 17.78 180) (length 5.08) + (name "PT7E" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 20.32 180) (length 5.08) + (name "PT7A" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 22.86 180) (length 5.08) + (name "PT6B/PCLK0_1" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 25.4 180) (length 5.08) + (name "PT5B/PCLK0_0" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 27.94 180) (length 5.08) + (name "PT5A" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 60.96 270) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 30.48 180) (length 5.08) + (name "PT4F" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 33.02 0) (length 5.08) + (name "PL4A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 33.02 180) (length 5.08) + (name "PT3F" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -60.96 90) (length 5.08) + (name "GNDIO0" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 35.56 180) (length 5.08) + (name "PT3B" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 38.1 180) (length 5.08) + (name "PT3A" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 40.64 180) (length 5.08) + (name "PT2F" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 43.18 180) (length 5.08) + (name "PT2E" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 45.72 180) (length 5.08) + (name "PT2B" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 48.26 180) (length 5.08) + (name "PT2C" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LFE5U-12F-BG256" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (id 1) (at -3.81 16.51 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256" (id 2) (at -6.35 16.51 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "LFE5U-12F-BG256_1_1" + (rectangle (start 12.7 17.78) (end -2.54 -53.34) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PT4A" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PT6A" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "PT6B" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PT18A" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "PT18B" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PCK00/PT29A" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "~{PCK}00/PT29B" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "PT4B" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PT11B" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PT15B" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PT22B" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "~{PCK}01/PT27B" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "PT11A" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PT15A" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PT22A" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PCK01/PT27A" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PT9B" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PT13B" (effects (font (size 1.27 1.27)))) + (number "D5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PT20B" (effects (font (size 1.27 1.27)))) + (number "D6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "GR01/PT24B" (effects (font (size 1.27 1.27)))) + (number "D7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "PT9A" (effects (font (size 1.27 1.27)))) + (number "E4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PT13A" (effects (font (size 1.27 1.27)))) + (number "E5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PT20A" (effects (font (size 1.27 1.27)))) + (number "E6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "GR00/PT24A" (effects (font (size 1.27 1.27)))) + (number "E7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "F6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "F7" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_2_1" + (rectangle (start 12.7 -73.66) (end -2.54 17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PT42B" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "PT53A" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PT53B" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PT65A" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "PT65B" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "PT67B" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PT42A" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PT44A" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PT49A" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PT56A" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "PT60A" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "PT67A" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "~{PCK}10/PT35B" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "GR10/PT38A" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PT44B" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PT49B" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PT56B" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PT60B" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PCK10/PT35A" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "GR11/PT38B" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PT47A" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PT51A" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PT58A" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "PT62A" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "~{PCK}11/PT33B" (effects (font (size 1.27 1.27)))) + (number "D8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "PT40A" (effects (font (size 1.27 1.27)))) + (number "D9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "PT47B" (effects (font (size 1.27 1.27)))) + (number "E10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PT51B" (effects (font (size 1.27 1.27)))) + (number "E11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PT58B" (effects (font (size 1.27 1.27)))) + (number "E12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PT62B" (effects (font (size 1.27 1.27)))) + (number "E13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PCK11/PT33A" (effects (font (size 1.27 1.27)))) + (number "E8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PT40B" (effects (font (size 1.27 1.27)))) + (number "E9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "F10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "F11" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_3_1" + (rectangle (start -2.54 17.78) (end 12.7 -73.66) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "S0_IN/PR2B" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PR2A" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PR2C" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "PR5A" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "PR2D" (effects (font (size 1.27 1.27)))) + (number "D14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PR8A" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "PR5C" (effects (font (size 1.27 1.27)))) + (number "E14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PR8B" (effects (font (size 1.27 1.27)))) + (number "E15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PR11D" (effects (font (size 1.27 1.27)))) + (number "E16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PR8D" (effects (font (size 1.27 1.27)))) + (number "F12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PR8C" (effects (font (size 1.27 1.27)))) + (number "F13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PR5D" (effects (font (size 1.27 1.27)))) + (number "F14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PR11C" (effects (font (size 1.27 1.27)))) + (number "F15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PR14A" (effects (font (size 1.27 1.27)))) + (number "F16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PR11A" (effects (font (size 1.27 1.27)))) + (number "G12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "PR11B" (effects (font (size 1.27 1.27)))) + (number "G13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "Vref12/PR14C" (effects (font (size 1.27 1.27)))) + (number "G14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PR14B" (effects (font (size 1.27 1.27)))) + (number "G15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "GR21/PR20A" (effects (font (size 1.27 1.27)))) + (number "G16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "H11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PR17A" (effects (font (size 1.27 1.27)))) + (number "H12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PR17B" (effects (font (size 1.27 1.27)))) + (number "H13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PR14D" (effects (font (size 1.27 1.27)))) + (number "H14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PR20B" (effects (font (size 1.27 1.27)))) + (number "H15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "J11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PR17D" (effects (font (size 1.27 1.27)))) + (number "J12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PR17C" (effects (font (size 1.27 1.27)))) + (number "J13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "GR20/PR20C" (effects (font (size 1.27 1.27)))) + (number "J14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "~{PCK}21/PR23B" (effects (font (size 1.27 1.27)))) + (number "J15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PCK21/PR23A" (effects (font (size 1.27 1.27)))) + (number "J16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PR20D" (effects (font (size 1.27 1.27)))) + (number "K14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "~{PCK}20/PR23D" (effects (font (size 1.27 1.27)))) + (number "K15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "PCK20/PR23C" (effects (font (size 1.27 1.27)))) + (number "K16" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_4_1" + (rectangle (start -2.54 17.78) (end 12.7 -73.66) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "K11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PR29B" (effects (font (size 1.27 1.27)))) + (number "K12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "GR30/PR29A" (effects (font (size 1.27 1.27)))) + (number "K13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "L11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PR29D" (effects (font (size 1.27 1.27)))) + (number "L12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "GR31/PR26C" (effects (font (size 1.27 1.27)))) + (number "L13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PR32C" (effects (font (size 1.27 1.27)))) + (number "L14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "~{PCK}31/PR26B" (effects (font (size 1.27 1.27)))) + (number "L15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PCK31/PR26A" (effects (font (size 1.27 1.27)))) + (number "L16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PR47A" (effects (font (size 1.27 1.27)))) + (number "M11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "PR44C" (effects (font (size 1.27 1.27)))) + (number "M12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PR35C" (effects (font (size 1.27 1.27)))) + (number "M13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PR32D" (effects (font (size 1.27 1.27)))) + (number "M14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "~{PCK}30/PR26D" (effects (font (size 1.27 1.27)))) + (number "M15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PCK30/PR26C" (effects (font (size 1.27 1.27)))) + (number "M16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "PR47B" (effects (font (size 1.27 1.27)))) + (number "N11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PR44D" (effects (font (size 1.27 1.27)))) + (number "N12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PR38A" (effects (font (size 1.27 1.27)))) + (number "N13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PR35D" (effects (font (size 1.27 1.27)))) + (number "N14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PR32A" (effects (font (size 1.27 1.27)))) + (number "N16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "RPLL0/PR47C" (effects (font (size 1.27 1.27)))) + (number "P11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "~{RPLL}0/PR47D" (effects (font (size 1.27 1.27)))) + (number "P12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PR41A" (effects (font (size 1.27 1.27)))) + (number "P13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PR38B" (effects (font (size 1.27 1.27)))) + (number "P14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PR32B" (effects (font (size 1.27 1.27)))) + (number "P15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PR35A" (effects (font (size 1.27 1.27)))) + (number "P16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "PR44A" (effects (font (size 1.27 1.27)))) + (number "R12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PR41C" (effects (font (size 1.27 1.27)))) + (number "R13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PR41B" (effects (font (size 1.27 1.27)))) + (number "R14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "PR38C" (effects (font (size 1.27 1.27)))) + (number "R15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "Vref13/PR35B" (effects (font (size 1.27 1.27)))) + (number "R16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PR44B" (effects (font (size 1.27 1.27)))) + (number "T13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PR41D" (effects (font (size 1.27 1.27)))) + (number "T14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PR38D" (effects (font (size 1.27 1.27)))) + (number "T15" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_5_1" + (rectangle (start -2.54 17.78) (end 12.7 -73.66) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO6" (effects (font (size 1.27 1.27)))) + (number "J6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO6" (effects (font (size 1.27 1.27)))) + (number "J7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "GR60/PL29A" (effects (font (size 1.27 1.27)))) + (number "K4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PL29B" (effects (font (size 1.27 1.27)))) + (number "K5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PCK61/PL26A" (effects (font (size 1.27 1.27)))) + (number "L1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "~{PCK}61/PL26B" (effects (font (size 1.27 1.27)))) + (number "L2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PL32C" (effects (font (size 1.27 1.27)))) + (number "L3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "GR61/PL29C" (effects (font (size 1.27 1.27)))) + (number "L4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PL29D" (effects (font (size 1.27 1.27)))) + (number "L5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PCK60/PL26C" (effects (font (size 1.27 1.27)))) + (number "M1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "~{PCK}60/PL26D" (effects (font (size 1.27 1.27)))) + (number "M2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PL32D" (effects (font (size 1.27 1.27)))) + (number "M3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PL35C" (effects (font (size 1.27 1.27)))) + (number "M4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "PL44C" (effects (font (size 1.27 1.27)))) + (number "M5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PL47A" (effects (font (size 1.27 1.27)))) + (number "M6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PL32A" (effects (font (size 1.27 1.27)))) + (number "N1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PL35D" (effects (font (size 1.27 1.27)))) + (number "N3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PL38A" (effects (font (size 1.27 1.27)))) + (number "N4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PL44D" (effects (font (size 1.27 1.27)))) + (number "N5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "PL47B" (effects (font (size 1.27 1.27)))) + (number "N6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PL35A" (effects (font (size 1.27 1.27)))) + (number "P1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PL32B" (effects (font (size 1.27 1.27)))) + (number "P2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PL38B" (effects (font (size 1.27 1.27)))) + (number "P3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PL41A" (effects (font (size 1.27 1.27)))) + (number "P4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "~{RPLL}0/PL47D" (effects (font (size 1.27 1.27)))) + (number "P5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "RPLL0/PL47C" (effects (font (size 1.27 1.27)))) + (number "P6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "Vref16/PL35B" (effects (font (size 1.27 1.27)))) + (number "R1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "PL38C" (effects (font (size 1.27 1.27)))) + (number "R2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PL41B" (effects (font (size 1.27 1.27)))) + (number "R3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PL41C" (effects (font (size 1.27 1.27)))) + (number "R4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "PL44A" (effects (font (size 1.27 1.27)))) + (number "R5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PL38D" (effects (font (size 1.27 1.27)))) + (number "T2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PL41D" (effects (font (size 1.27 1.27)))) + (number "T3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PL44B" (effects (font (size 1.27 1.27)))) + (number "T4" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_6_1" + (rectangle (start -2.54 17.78) (end 12.7 -73.66) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PL2A" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "PL2B" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "PL5A" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PL5B" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PL2C" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PL8A" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "PL2D" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PL11D" (effects (font (size 1.27 1.27)))) + (number "E1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PL8B" (effects (font (size 1.27 1.27)))) + (number "E2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "PL5C" (effects (font (size 1.27 1.27)))) + (number "E3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PL14A" (effects (font (size 1.27 1.27)))) + (number "F1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PL11C" (effects (font (size 1.27 1.27)))) + (number "F2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PL5D" (effects (font (size 1.27 1.27)))) + (number "F3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PL8C" (effects (font (size 1.27 1.27)))) + (number "F4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PL8D" (effects (font (size 1.27 1.27)))) + (number "F5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "GR71/PL20A" (effects (font (size 1.27 1.27)))) + (number "G1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PL14B" (effects (font (size 1.27 1.27)))) + (number "G2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "Vref17/PL14C" (effects (font (size 1.27 1.27)))) + (number "G3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "PL11B" (effects (font (size 1.27 1.27)))) + (number "G4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PL11A" (effects (font (size 1.27 1.27)))) + (number "G5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PL20B" (effects (font (size 1.27 1.27)))) + (number "H2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PL14D" (effects (font (size 1.27 1.27)))) + (number "H3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PL17B" (effects (font (size 1.27 1.27)))) + (number "H4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PL17A" (effects (font (size 1.27 1.27)))) + (number "H5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO7" (effects (font (size 1.27 1.27)))) + (number "H6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO7" (effects (font (size 1.27 1.27)))) + (number "H7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PCK71/PL23A" (effects (font (size 1.27 1.27)))) + (number "J1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "~{PCK}71/PL23B" (effects (font (size 1.27 1.27)))) + (number "J2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "GR70/PL20C" (effects (font (size 1.27 1.27)))) + (number "J3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PL17C" (effects (font (size 1.27 1.27)))) + (number "J4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PL17D" (effects (font (size 1.27 1.27)))) + (number "J5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "PCK70/PL23C" (effects (font (size 1.27 1.27)))) + (number "K1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "~{PCK}70/PL23D" (effects (font (size 1.27 1.27)))) + (number "K2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PL20D" (effects (font (size 1.27 1.27)))) + (number "K3" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_7_1" + (rectangle (start -2.54 17.78) (end 12.7 -50.8) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO8" (effects (font (size 1.27 1.27)))) + (number "L6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -40.64 180) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "M10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "PB9B/D2" (effects (font (size 1.27 1.27)))) + (number "M7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "~{CSO}/PB15B" (effects (font (size 1.27 1.27)))) + (number "M8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "~{WRITE}/PB18A" (effects (font (size 1.27 1.27)))) + (number "M9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -33.02 180) (length 5.08) + (name "CFG0" (effects (font (size 1.27 1.27)))) + (number "N10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "PB9A/D3" (effects (font (size 1.27 1.27)))) + (number "N7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "M~{CS}/PB15A" (effects (font (size 1.27 1.27)))) + (number "N8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "CCLK" (effects (font (size 1.27 1.27)))) + (number "N9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -35.56 180) (length 5.08) + (name "CFG1" (effects (font (size 1.27 1.27)))) + (number "P10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "MOSI2/PB6B" (effects (font (size 1.27 1.27)))) + (number "P7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "S~{CS}1/PB13B" (effects (font (size 1.27 1.27)))) + (number "P8" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 17.78 -30.48 180) (length 5.08) + (name "DONE" (effects (font (size 1.27 1.27)))) + (number "P9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -38.1 180) (length 5.08) + (name "CFG2" (effects (font (size 1.27 1.27)))) + (number "R10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -45.72 180) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "R11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PB4B" (effects (font (size 1.27 1.27)))) + (number "R6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "MISO2/PB6A" (effects (font (size 1.27 1.27)))) + (number "R7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "S~{CS}/PB13A" (effects (font (size 1.27 1.27)))) + (number "R8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -27.94 180) (length 5.08) + (name "~{PROGRAM}" (effects (font (size 1.27 1.27)))) + (number "R9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -43.18 180) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "T10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -48.26 180) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "T11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 10.16 180) (length 5.08) + (name "PB4A" (effects (font (size 1.27 1.27)))) + (number "T6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "MISO/PB11A" (effects (font (size 1.27 1.27)))) + (number "T7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "MOSI/PB11B" (effects (font (size 1.27 1.27)))) + (number "T8" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 17.78 -22.86 180) (length 5.08) + (name "~{INIT}" (effects (font (size 1.27 1.27)))) + (number "T9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_8_1" + (rectangle (start 7.62 -78.74) (end -2.54 17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 12.7 -71.12 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -10.16 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -17.78 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -66.04 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -45.72 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "F8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -40.64 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "F9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -25.4 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 15.24 180) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "G11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "G6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "G7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -48.26 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "G9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -73.66 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -27.94 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -12.7 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -50.8 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -35.56 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -30.48 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -53.34 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -38.1 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -33.02 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -60.96 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -58.42 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -55.88 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -43.18 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 7.62 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "L10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 12.7 180) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "L7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 0 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "L8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "L9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -20.32 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "N15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -68.58 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "N2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -76.2 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "T1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -22.86 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "T12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -15.24 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "T16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -63.5 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "T5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LFE5U-25F-TG144" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-25F-TG144" (id 1) (at -3.81 16.51 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:TQFP-144_20x20mm_P0.5mm" (id 2) (at -6.35 16.51 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "LFE5U-25F-TG144_1_1" + (rectangle (start -2.54 17.78) (end 12.7 -78.74) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 17.78 -45.72 180) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 -43.18 180) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 12.7 180) (length 5.08) + (name "PCK00/PT29A" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 10.16 180) (length 5.08) + (name "PT15B" (effects (font (size 1.27 1.27)))) + (number "133" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PT15A" (effects (font (size 1.27 1.27)))) + (number "134" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "PT13B" (effects (font (size 1.27 1.27)))) + (number "135" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PT13A" (effects (font (size 1.27 1.27)))) + (number "136" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "137" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PT4A" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "PCK00/PT29A" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "~{PCK}00/PT29B" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "GR01/PT24B" (effects (font (size 1.27 1.27)))) + (number "D7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "GR00/PT24A" (effects (font (size 1.27 1.27)))) + (number "E7" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-25F-TG144_2_1" + (rectangle (start 12.7 -73.66) (end -2.54 17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PT42B" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "PT53A" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PT53B" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PT65A" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "PT65B" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "PT67B" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PT42A" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PT44A" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PT49A" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PT56A" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "PT60A" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "PT67A" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "~{PCK}10/PT35B" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "GR10/PT38A" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PT44B" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PT49B" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PT56B" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PT60B" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PCK10/PT35A" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "GR11/PT38B" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PT47A" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PT51A" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PT58A" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "PT62A" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "~{PCK}11/PT33B" (effects (font (size 1.27 1.27)))) + (number "D8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "PT40A" (effects (font (size 1.27 1.27)))) + (number "D9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "PT47B" (effects (font (size 1.27 1.27)))) + (number "E10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PT51B" (effects (font (size 1.27 1.27)))) + (number "E11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PT58B" (effects (font (size 1.27 1.27)))) + (number "E12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PT62B" (effects (font (size 1.27 1.27)))) + (number "E13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PCK11/PT33A" (effects (font (size 1.27 1.27)))) + (number "E8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PT40B" (effects (font (size 1.27 1.27)))) + (number "E9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "F10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "F11" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-25F-TG144_3_1" + (rectangle (start -2.54 17.78) (end 12.7 -50.8) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO8" (effects (font (size 1.27 1.27)))) + (number "" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 10.16 180) (length 5.08) + (name "MISO2/PB6A" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PB4A" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "MOSI2/PB6B" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PB4B" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "PB9A" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "MISO/PB11A" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "MOSI/PB11B" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "S~{CS}/PB13A" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "M~{CS}/PB15A" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "S~{CS}1/PB13B" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "~{CSO}/PB15B" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "~{WRITE}/PB18A" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "CCLK" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 17.78 -22.86 180) (length 5.08) + (name "~{INIT}" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 17.78 -25.4 180) (length 5.08) + (name "DONE" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -27.94 180) (length 5.08) + (name "~{PROG}" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -30.48 180) (length 5.08) + (name "CFG0" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -33.02 180) (length 5.08) + (name "CFG1" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -40.64 180) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -43.18 180) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -35.56 180) (length 5.08) + (name "CFG2" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -45.72 180) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -48.26 180) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-25F-TG144_4_1" + (rectangle (start -2.54 17.78) (end 7.62 -53.34) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 12.7 -43.18 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 12.7 -15.24 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -45.72 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -48.26 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "129" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -7.62 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -50.8 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 7.62 180) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 12.7 -17.78 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "144" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -25.4 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 15.24 180) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -27.94 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 0 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -10.16 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -30.48 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 12.7 180) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -33.02 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -35.56 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -22.86 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -38.1 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -40.64 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 10.16 180) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "M5LV-PQFP-100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 1.27 53.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "M5LV-PQFP-100" (id 1) (at 1.27 50.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:PQFP-100" (id 2) (at 0 0 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "M5LV-PQFP-100_0_1" + (rectangle (start 22.86 -59.69) (end -22.86 59.69) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "M5LV-PQFP-100_1_1" + (pin power_in line (at -19.05 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 40.64 0) (length 3.81) + (name "IO6/0B4" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 55.88 180) (length 3.81) + (name "IO67/0A7" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 38.1 0) (length 3.81) + (name "IO7/0B3" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 35.56 0) (length 3.81) + (name "IO8/0B2" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 33.02 0) (length 3.81) + (name "CLK0" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 63.5 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 63.5 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 27.94 0) (length 3.81) + (name "CLK1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 25.4 0) (length 3.81) + (name "IO9/1B2" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 22.86 0) (length 3.81) + (name "IO10/1B3" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 20.32 0) (length 3.81) + (name "IO11/1B4" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 17.78 0) (length 3.81) + (name "IO12/1B7" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 15.24 0) (length 3.81) + (name "IO13/1B8" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 12.7 0) (length 3.81) + (name "IO14/1B11" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 10.16 0) (length 3.81) + (name "IO15/1B12" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 7.62 0) (length 3.81) + (name "IO16/1B13" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 5.08 0) (length 3.81) + (name "IO17/1A12" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -50.8 0) (length 3.81) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -48.26 0) (length 3.81) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -2.54 0) (length 3.81) + (name "IO18/1A7" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -5.08 0) (length 3.81) + (name "IO19/1A6" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -7.62 0) (length 3.81) + (name "IO20/1A5" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -10.16 0) (length 3.81) + (name "IO21/1A4" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -12.7 0) (length 3.81) + (name "IO22/1A3" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -15.24 0) (length 3.81) + (name "IO23/1A2" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -17.78 0) (length 3.81) + (name "IO24/1A1" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -20.32 0) (length 3.81) + (name "IO25/1A0" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 63.5 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 55.88 0) (length 3.81) + (name "IO0/0A12" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 63.5 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -25.4 0) (length 3.81) + (name "IO26/2A0" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -27.94 0) (length 3.81) + (name "IO27/2A1" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -30.48 0) (length 3.81) + (name "IO28/2A2" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -33.02 0) (length 3.81) + (name "IO29/2A3" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -35.56 0) (length 3.81) + (name "IO30/2A4" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -38.1 0) (length 3.81) + (name "IO31/2A5" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -40.64 0) (length 3.81) + (name "IO32/2A6" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 53.34 0) (length 3.81) + (name "IO1/0B13" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -43.18 0) (length 3.81) + (name "IO33/2A7" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 26.67 -48.26 180) (length 3.81) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -43.18 180) (length 3.81) + (name "IO34/2A12" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -40.64 180) (length 3.81) + (name "IO35/2B13" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -38.1 180) (length 3.81) + (name "IO36/2B12" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -35.56 180) (length 3.81) + (name "IO37/2B11" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -33.02 180) (length 3.81) + (name "IO38/2B8" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -30.48 180) (length 3.81) + (name "IO39/2B7" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 50.8 0) (length 3.81) + (name "IO2/0B12" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -27.94 180) (length 3.81) + (name "IO40/2B4" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -25.4 180) (length 3.81) + (name "IO41/2B3" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -22.86 180) (length 3.81) + (name "IO42/2B2" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 26.67 -20.32 180) (length 3.81) + (name "CLK2" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 63.5 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 63.5 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 26.67 -15.24 180) (length 3.81) + (name "CLK3" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -12.7 180) (length 3.81) + (name "IO43/3B2" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 48.26 0) (length 3.81) + (name "IO3/0B11" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -10.16 180) (length 3.81) + (name "IO44/3B3" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -7.62 180) (length 3.81) + (name "IO45/3B4" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -5.08 180) (length 3.81) + (name "IO46/3B7" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -2.54 180) (length 3.81) + (name "IO47/3B8" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 0 180) (length 3.81) + (name "IO48/3B11" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 2.54 180) (length 3.81) + (name "IO49/3B12" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 5.08 180) (length 3.81) + (name "IO50/3B13" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 7.62 180) (length 3.81) + (name "IO51/3A12" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 26.67 -50.8 180) (length 3.81) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 45.72 0) (length 3.81) + (name "IO4/0B8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 15.24 180) (length 3.81) + (name "IO52/3A7" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 17.78 180) (length 3.81) + (name "IO53/3A6" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 20.32 180) (length 3.81) + (name "IO54/3A5" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 22.86 180) (length 3.81) + (name "IO55/3A4" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 25.4 180) (length 3.81) + (name "IO56/3A3" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 27.94 180) (length 3.81) + (name "IO57/3A2" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 30.48 180) (length 3.81) + (name "IO58/3A1" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 33.02 180) (length 3.81) + (name "IO59/3A0" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 63.5 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 43.18 0) (length 3.81) + (name "IO5/0B7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 19.05 -63.5 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 63.5 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 38.1 180) (length 3.81) + (name "IO60/0A0" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 40.64 180) (length 3.81) + (name "IO61/0A1" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 43.18 180) (length 3.81) + (name "IO62/0A2" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 45.72 180) (length 3.81) + (name "IO63/0A3" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 48.26 180) (length 3.81) + (name "IO64/0A4" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 50.8 180) (length 3.81) + (name "IO65/0A5" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 53.34 180) (length 3.81) + (name "IO66/0A6" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "M5LV-TQFP-144" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 1.27 66.04 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "M5LV-TQFP-144" (id 1) (at 1.27 63.5 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TQFP-144" (id 2) (at 0 12.7 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "M5LV-TQFP-144_0_1" + (rectangle (start 30.48 -82.55) (end -30.48 82.55) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "M5LV-TQFP-144_1_1" + (pin input line (at -34.29 -71.12 0) (length 3.81) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 60.96 0) (length 3.81) + (name "IO7/0B11" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -10.16 180) (length 3.81) + (name "IO71/3B12" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -7.62 180) (length 3.81) + (name "IO72/3B13" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "102" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -5.08 180) (length 3.81) + (name "IO73/3A12" (effects (font (size 1.27 1.27)))) + (number "103" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -2.54 180) (length 3.81) + (name "IO74/3A11" (effects (font (size 1.27 1.27)))) + (number "104" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 0 180) (length 3.81) + (name "IO75/3A10" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 2.54 180) (length 3.81) + (name "IO76/3A9" (effects (font (size 1.27 1.27)))) + (number "106" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 5.08 180) (length 3.81) + (name "IO77/3A8" (effects (font (size 1.27 1.27)))) + (number "107" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 -73.66 180) (length 3.81) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "108" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 58.42 0) (length 3.81) + (name "IO8/0B8" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 12.7 180) (length 3.81) + (name "IO78/3A7" (effects (font (size 1.27 1.27)))) + (number "111" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 15.24 180) (length 3.81) + (name "IO79/3A6" (effects (font (size 1.27 1.27)))) + (number "112" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 17.78 180) (length 3.81) + (name "IO80/3A5" (effects (font (size 1.27 1.27)))) + (number "113" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 20.32 180) (length 3.81) + (name "IO81/3A4" (effects (font (size 1.27 1.27)))) + (number "114" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 22.86 180) (length 3.81) + (name "IO82/3A3" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 25.4 180) (length 3.81) + (name "IO83/3A2" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 27.94 180) (length 3.81) + (name "IO84/3A1" (effects (font (size 1.27 1.27)))) + (number "117" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "118" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 30.48 180) (length 3.81) + (name "IO85/3D3" (effects (font (size 1.27 1.27)))) + (number "119" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -24.13 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 33.02 180) (length 3.81) + (name "IO86/3D4" (effects (font (size 1.27 1.27)))) + (number "120" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 35.56 180) (length 3.81) + (name "IO87/3D7" (effects (font (size 1.27 1.27)))) + (number "121" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 38.1 180) (length 3.81) + (name "IO88/3D8" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 40.64 180) (length 3.81) + (name "IO89/3D11" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 43.18 180) (length 3.81) + (name "IO90/3D12" (effects (font (size 1.27 1.27)))) + (number "124" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "125" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 19.05 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "126" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 21.59 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "127" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 48.26 180) (length 3.81) + (name "IO91/0D12" (effects (font (size 1.27 1.27)))) + (number "129" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 55.88 0) (length 3.81) + (name "IO9/0B5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 50.8 180) (length 3.81) + (name "IO92/0D11" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 53.34 180) (length 3.81) + (name "IO93/0D8" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 55.88 180) (length 3.81) + (name "IO94/0D7" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 58.42 180) (length 3.81) + (name "IO95/0D4" (effects (font (size 1.27 1.27)))) + (number "133" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 60.96 180) (length 3.81) + (name "IO96/0D3" (effects (font (size 1.27 1.27)))) + (number "134" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 24.13 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "135" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 63.5 180) (length 3.81) + (name "IO97/0A1" (effects (font (size 1.27 1.27)))) + (number "136" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 66.04 180) (length 3.81) + (name "IO98/0A2" (effects (font (size 1.27 1.27)))) + (number "137" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 68.58 180) (length 3.81) + (name "IO99/0A3" (effects (font (size 1.27 1.27)))) + (number "138" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 71.12 180) (length 3.81) + (name "IO100/0A4" (effects (font (size 1.27 1.27)))) + (number "139" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 53.34 0) (length 3.81) + (name "IO10/0B4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 73.66 180) (length 3.81) + (name "IO101/0A5" (effects (font (size 1.27 1.27)))) + (number "140" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 76.2 180) (length 3.81) + (name "IO102/0A6" (effects (font (size 1.27 1.27)))) + (number "141" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 78.74 180) (length 3.81) + (name "IO103/0A7" (effects (font (size 1.27 1.27)))) + (number "142" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "143" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 26.67 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "144" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 50.8 0) (length 3.81) + (name "IO11/0B3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 48.26 0) (length 3.81) + (name "IO12/0B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 45.72 0) (length 3.81) + (name "I0/CLK0" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -21.59 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 78.74 0) (length 3.81) + (name "IO0/0A8" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 40.64 0) (length 3.81) + (name "I1/CLK1" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 38.1 0) (length 3.81) + (name "IO13/1B2" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 35.56 0) (length 3.81) + (name "IO14/1B3" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 33.02 0) (length 3.81) + (name "IO15/1B4" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 30.48 0) (length 3.81) + (name "IO16/1B5" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -19.05 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 27.94 0) (length 3.81) + (name "IO17/1B8" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 25.4 0) (length 3.81) + (name "IO18/1B11" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 22.86 0) (length 3.81) + (name "IO19/1B12" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 20.32 0) (length 3.81) + (name "IO20/1B13" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 76.2 0) (length 3.81) + (name "IO1/0A9" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 17.78 0) (length 3.81) + (name "IO21/1A12" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 15.24 0) (length 3.81) + (name "IO22/1A11" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 12.7 0) (length 3.81) + (name "IO23/1A10" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 10.16 0) (length 3.81) + (name "IO24/1A9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 7.62 0) (length 3.81) + (name "IO25/1A8" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -73.66 0) (length 3.81) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 0 0) (length 3.81) + (name "IO26/1A7" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 73.66 0) (length 3.81) + (name "IO2/0A10" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -2.54 0) (length 3.81) + (name "IO27/1A6" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -5.08 0) (length 3.81) + (name "IO28/1A5" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -7.62 0) (length 3.81) + (name "IO29/1A4" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -10.16 0) (length 3.81) + (name "IO30/1A3" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -12.7 0) (length 3.81) + (name "IO31/1A2" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -15.24 0) (length 3.81) + (name "IO32/1A1" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -17.78 0) (length 3.81) + (name "IO33/1D3" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -20.32 0) (length 3.81) + (name "IO34/1D4" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -22.86 0) (length 3.81) + (name "IO35/1D7" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 71.12 0) (length 3.81) + (name "IO3/0A11" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -25.4 0) (length 3.81) + (name "IO36/1D8" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -27.94 0) (length 3.81) + (name "IO37/1D11" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -30.48 0) (length 3.81) + (name "IO38/1D12" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -35.56 0) (length 3.81) + (name "IO39/2D12" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -38.1 0) (length 3.81) + (name "IO40/2D11" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -40.64 0) (length 3.81) + (name "IO41/2D8" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 68.58 0) (length 3.81) + (name "IO4/0A12" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -43.18 0) (length 3.81) + (name "IO42/2D7" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -45.72 0) (length 3.81) + (name "IO43/2D4" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -48.26 0) (length 3.81) + (name "IO44/2D3" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -50.8 0) (length 3.81) + (name "IO45/2A1" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -53.34 0) (length 3.81) + (name "IO46/2A2" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -55.88 0) (length 3.81) + (name "IO47/2A3" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -58.42 0) (length 3.81) + (name "IO48/2A4" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -60.96 0) (length 3.81) + (name "IO49/2A5" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -63.5 0) (length 3.81) + (name "IO50/2A6" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -26.67 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 -66.04 0) (length 3.81) + (name "IO51/2A7" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 -71.12 180) (length 3.81) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -66.04 180) (length 3.81) + (name "IO52/2A8" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -63.5 180) (length 3.81) + (name "IO53/2A9" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -60.96 180) (length 3.81) + (name "IO54/2A10" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -58.42 180) (length 3.81) + (name "IO55/2A11" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -55.88 180) (length 3.81) + (name "IO56/2A12" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 66.04 0) (length 3.81) + (name "IO5/0B13" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -53.34 180) (length 3.81) + (name "IO57/2B13" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -50.8 180) (length 3.81) + (name "IO58/2B12" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -48.26 180) (length 3.81) + (name "IO59/2B11" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -45.72 180) (length 3.81) + (name "IO60/2B8" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -43.18 180) (length 3.81) + (name "IO61/2B5" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -40.64 180) (length 3.81) + (name "IO62/2B4" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -38.1 180) (length 3.81) + (name "IO63/2B3" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -35.56 180) (length 3.81) + (name "IO64/2B2" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 -33.02 180) (length 3.81) + (name "I3/CLK3" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -34.29 63.5 0) (length 3.81) + (name "IO6/0B12" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 -27.94 180) (length 3.81) + (name "I1/CLK1" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -25.4 180) (length 3.81) + (name "IO65/3B2" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -22.86 180) (length 3.81) + (name "IO66/3B3" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -20.32 180) (length 3.81) + (name "IO67/3B4" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -17.78 180) (length 3.81) + (name "IO68/3B5" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -86.36 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -15.24 180) (length 3.81) + (name "IO69/3B8" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -12.7 180) (length 3.81) + (name "IO70/3B11" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SLG46620G" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SLG46620G" (id 1) (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4mm" (id 2) (at 0 -17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -1.27 13.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SLG46620G_0_1" + (rectangle (start -15.24 13.97) (end 15.24 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SLG46620G_1_1" + (pin power_in line (at -19.05 11.43 0) (length 3.81) + (name "Vdd" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -19.05 -11.43 0) (length 3.81) + (name "IOZ4/AC01234-" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 19.05 -11.43 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 19.05 -8.89 180) (length 3.81) + (name "IO4/AC1+" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 19.05 -6.35 180) (length 3.81) + (name "IOZ/AC23+" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 19.05 -3.81 180) (length 3.81) + (name "IOZ/AC2-" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 -1.27 180) (length 3.81) + (name "IO/AC34+" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 1.27 180) (length 3.81) + (name "IOZ/AIN/CNT" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 3.81 180) (length 3.81) + (name "IO/Vref" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 6.35 180) (length 3.81) + (name "IOZ/VrefO2" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 8.89 180) (length 3.81) + (name "IOZ/VrefO1" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -19.05 8.89 0) (length 3.81) + (name "GPI/RST/CLK" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 19.05 11.43 180) (length 3.81) + (name "IO" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 6.35 0) (length 3.81) + (name "IOZ/AC4+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 3.81 0) (length 3.81) + (name "IO/AC5+" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 1.27 0) (length 3.81) + (name "IOZ/AC5-" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -1.27 0) (length 3.81) + (name "IO/AC01234+" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -3.81 0) (length 3.81) + (name "IOZ/AC01-/PGA" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -19.05 -6.35 0) (length 3.81) + (name "IO/POR/PGA+" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -19.05 -8.89 0) (length 3.81) + (name "IOZ/PGA-" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SLG46826G" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SLG46826G" (id 1) (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4mm" (id 2) (at 0 -17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -1.27 13.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SLG46826G_0_1" + (rectangle (start -6.35 13.97) (end 6.35 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SLG46826G_1_1" + (pin bidirectional line (at -10.16 11.43 0) (length 3.81) + (name "IOZ14" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -11.43 180) (length 3.81) + (name "O6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 10.16 -8.89 180) (length 3.81) + (name "SDA" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 3.81) + (name "SCL" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 3.81) + (name "IOZ5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 3.81) + (name "IOZ4" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 3.81) + (name "IO3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 3.81) + (name "IO2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 3.81) + (name "IOZ1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 8.89 180) (length 3.81) + (name "IO0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 3.81) + (name "IOZ13" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 3.81) + (name "Vdd" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 3.81) + (name "IOZ12" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 3.81) + (name "IOZ11" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 3.81) + (name "IOZ10" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 3.81) + (name "IOZ9" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -3.81 0) (length 3.81) + (name "Vdd2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 3.81) + (name "IOZ8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -8.89 0) (length 3.81) + (name "O7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "iCE40HX1K-VQ100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "iCE40HX1K-VQ100" (id 1) (at 0 0 0) + (effects (font (size 1.016 1.016)) (justify top)) + ) + (property "Footprint" "stdpads:TQFP-100" (id 2) (at 0 -2.54 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "iCE40HX1K-VQ100_0_1" + (rectangle (start -21.59 55.88) (end 21.59 -55.88) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "iCE40HX1K-VQ100_1_1" + (pin bidirectional line (at -26.67 45.72 0) (length 5.08) + (name "IOL_1A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 27.94 0) (length 5.08) + (name "IOL_5B" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 48.26 180) (length 5.08) + (name "IOT_95" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 25.4 0) (length 5.08) + (name "IOL_6A" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 22.86 0) (length 5.08) + (name "IOL_6B_GBIN7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 20.32 0) (length 5.08) + (name "IOL_7A_GBIN6" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 17.78 0) (length 5.08) + (name "IOL_7B" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 15.24 0) (length 5.08) + (name "IOL_8A" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 12.7 0) (length 5.08) + (name "IOL_8B" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 43.18 0) (length 5.08) + (name "IOL_1B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 10.16 0) (length 5.08) + (name "IOL_10A" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 7.62 0) (length 5.08) + (name "IOL_10B" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 5.08 0) (length 5.08) + (name "IOL_12A" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 2.54 0) (length 5.08) + (name "IOL_12B" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -2.54 0) (length 5.08) + (name "IOB_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -5.08 0) (length 5.08) + (name "IOB_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -7.62 0) (length 5.08) + (name "IOB_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -10.16 0) (length 5.08) + (name "IOB_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 40.64 0) (length 5.08) + (name "IOL_2A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -12.7 0) (length 5.08) + (name "IOB_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -15.24 0) (length 5.08) + (name "IOB_35_GBIN5" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -17.78 0) (length 5.08) + (name "IOB_36_GBIN4" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -20.32 0) (length 5.08) + (name "IOB_34" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -22.86 0) (length 5.08) + (name "IOB_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 38.1 0) (length 5.08) + (name "IOL_2B" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -25.4 0) (length 5.08) + (name "IOB_41" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -27.94 0) (length 5.08) + (name "IOB_42_CBSEL0" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -30.48 0) (length 5.08) + (name "IOB_43_CBSEL1" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -48.26 0) (length 5.08) + (name "CDONE" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -53.34 0) (length 5.08) + (name "~{CRST}" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -35.56 0) (length 5.08) + (name "IOB_44_SDO" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -38.1 0) (length 5.08) + (name "IOB_45_SDI" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -40.64 0) (length 5.08) + (name "IOB_46_SCK" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -43.18 0) (length 5.08) + (name "IOB_47_SS" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 60.96 270) (length 5.08) + (name "VccSPI" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -48.26 180) (length 5.08) + (name "IOR_52" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -45.72 180) (length 5.08) + (name "IOR_53" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -43.18 180) (length 5.08) + (name "IOR_54" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -40.64 180) (length 5.08) + (name "IOR_55" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -38.1 180) (length 5.08) + (name "IOR_56" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -35.56 180) (length 5.08) + (name "IOR_57" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -33.02 180) (length 5.08) + (name "IOR_58" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -30.48 180) (length 5.08) + (name "IOR_59" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -27.94 180) (length 5.08) + (name "IOR_60_GBIN3" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -25.4 180) (length 5.08) + (name "IOR_61_GBIN2" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -22.86 180) (length 5.08) + (name "IOR_63" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -20.32 180) (length 5.08) + (name "IOR_64" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -17.78 180) (length 5.08) + (name "IOR_65" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -15.24 180) (length 5.08) + (name "IOR_67" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -12.7 180) (length 5.08) + (name "IOR_68" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 35.56 0) (length 5.08) + (name "IOL_3A" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -10.16 180) (length 5.08) + (name "IOR_69" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -7.62 180) (length 5.08) + (name "IOR_70" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -5.08 180) (length 5.08) + (name "IOR_71" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -2.54 180) (length 5.08) + (name "IOR_72" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -19.05 60.96 270) (length 5.08) + (name "Vpp2V5" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 60.96 270) (length 5.08) + (name "VppFast" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 19.05 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 2.54 180) (length 5.08) + (name "IOT_73" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 5.08 180) (length 5.08) + (name "IOT_74" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 33.02 0) (length 5.08) + (name "IOL_3B" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 7.62 180) (length 5.08) + (name "IOT_75" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 10.16 180) (length 5.08) + (name "IOT_77" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 12.7 180) (length 5.08) + (name "IOT_78" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 15.24 180) (length 5.08) + (name "IOT_79" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 17.78 180) (length 5.08) + (name "IOT_80" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 20.32 180) (length 5.08) + (name "IOT_81" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 22.86 180) (length 5.08) + (name "IOT_82" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 25.4 180) (length 5.08) + (name "IOT_84_GBIN1" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 30.48 0) (length 5.08) + (name "IOL_5A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 27.94 180) (length 5.08) + (name "IOT_85_GBIN0" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 30.48 180) (length 5.08) + (name "IOT_86" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 33.02 180) (length 5.08) + (name "IOT_87" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 35.56 180) (length 5.08) + (name "IOT_88" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 38.1 180) (length 5.08) + (name "IOT_89" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 40.64 180) (length 5.08) + (name "IOT_90" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 43.18 180) (length 5.08) + (name "IOT_91" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 45.72 180) (length 5.08) + (name "IOT_93" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "iCE40HX4K-TQFP-144" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "iCE40HX4K-TQFP-144" (id 1) (at -3.81 16.51 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:TQFP-144" (id 2) (at -6.35 16.51 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "iCE40HX4K-TQFP-144_1_1" + (rectangle (start 12.7 17.78) (end -2.54 -71.12) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "IOL2A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "IOL5B" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "IOL8A" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "IOL8B" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "IOL10A" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "IOL10B" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "IOL12A" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "IOL12B" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "IOL13A" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "IOL2B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "IOL13B/GBIN7" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "IOL14A/GBIN6" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "IOL14B" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "IOL17A" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "IOL17B" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "IOL18A" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "IOL18B" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "IOL23A" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "IOL23B" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "IOL3A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "IOL24A" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "IOL24B" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "IOL25A" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "IOL25B" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "IOL3B" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "IOL4A" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "IOL4B" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "IOL5A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iCE40HX4K-TQFP-144_2_1" + (rectangle (start -2.54 17.78) (end 12.7 -45.72) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "IOB56" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "IOB57" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "IOB61" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "IOB63" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "IOB64" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "IOB71" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "IOB72" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "IOB73" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 15.24 180) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "IOB79" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "IOB80" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "IOB81/GBIN5" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "IOB82/GBIN4" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "IOB91" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "IOB94" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 12.7 180) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "IOB95" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "IOB96" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "IOB102" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iCE40HX4K-TQFP-144_3_1" + (rectangle (start -2.54 17.78) (end 12.7 -78.74) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 12.7 180) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "IOR160" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "IOR161" (effects (font (size 1.27 1.27)))) + (number "102" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "IOR164" (effects (font (size 1.27 1.27)))) + (number "104" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "IOR165" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -73.66 180) (length 5.08) + (name "IOR166" (effects (font (size 1.27 1.27)))) + (number "106" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -76.2 180) (length 5.08) + (name "IOR167" (effects (font (size 1.27 1.27)))) + (number "107" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "IOR109" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "IOR110" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "IOR111" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "IOR112" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "IOR114" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "IOR115" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "IOR116" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "IOR117" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "IOR118" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "IOR119" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "IOR120" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "IOR128" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "IOR136" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "IOR137" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 15.24 180) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "IOR138" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "IOR139" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "IOR140/GBIN3" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "IOR141/GBIN2" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "IOR144" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "IOR146" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "IOR147" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "IOR148" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "IOR152" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iCE40HX4K-TQFP-144_4_1" + (rectangle (start -2.54 17.78) (end 12.7 -73.66) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "IOT168" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "IOT169" (effects (font (size 1.27 1.27)))) + (number "112" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "IOT170" (effects (font (size 1.27 1.27)))) + (number "113" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "IOT171" (effects (font (size 1.27 1.27)))) + (number "114" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "IOT172" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "IOT173" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "IOT174" (effects (font (size 1.27 1.27)))) + (number "117" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "IOT177" (effects (font (size 1.27 1.27)))) + (number "118" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "IOT178" (effects (font (size 1.27 1.27)))) + (number "119" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "IOT179" (effects (font (size 1.27 1.27)))) + (number "120" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "IOT181" (effects (font (size 1.27 1.27)))) + (number "121" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "IOT190" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "IOT191" (effects (font (size 1.27 1.27)))) + (number "124" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "IOT192" (effects (font (size 1.27 1.27)))) + (number "125" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "IOT197/GBIN1" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "IOT198/GBIN0" (effects (font (size 1.27 1.27)))) + (number "129" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "IOT206" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "IOT212" (effects (font (size 1.27 1.27)))) + (number "134" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "IOT213" (effects (font (size 1.27 1.27)))) + (number "135" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "IOT214" (effects (font (size 1.27 1.27)))) + (number "136" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "IOT215" (effects (font (size 1.27 1.27)))) + (number "137" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "IOT216" (effects (font (size 1.27 1.27)))) + (number "138" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "IOT217" (effects (font (size 1.27 1.27)))) + (number "139" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "IOT219" (effects (font (size 1.27 1.27)))) + (number "141" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "IOT220" (effects (font (size 1.27 1.27)))) + (number "142" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "IOT221" (effects (font (size 1.27 1.27)))) + (number "143" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "IOT222" (effects (font (size 1.27 1.27)))) + (number "144" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iCE40HX4K-TQFP-144_5_1" + (rectangle (start 15.24 17.78) (end -2.54 -7.62) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin input line (at 20.32 12.7 180) (length 5.08) + (name "IOB103/CBSEL0" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 10.16 180) (length 5.08) + (name "IOB104/CBSEL1" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 20.32 7.62 180) (length 5.08) + (name "CDONE" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 5.08 180) (length 5.08) + (name "CRESET_B" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 20.32 2.54 180) (length 5.08) + (name "IOB105/SDO" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 0 180) (length 5.08) + (name "IOB106/SDI" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 20.32 -2.54 180) (length 5.08) + (name "IOB107/SCK" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -5.08 180) (length 5.08) + (name "IOB108/~{SS}" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 15.24 180) (length 5.08) + (name "VccSPI" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iCE40HX4K-TQFP-144_6_1" + (rectangle (start -2.54 17.78) (end 7.62 -33.02) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin power_in line (at 12.7 -12.7 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "103" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -15.24 180) (length 5.08) + (name "Vpp2V5" (effects (font (size 1.27 1.27)))) + (number "108" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -17.78 180) (length 5.08) + (name "VppFast" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -20.32 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "111" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -22.86 180) (length 5.08) + (name "VccPLL1" (effects (font (size 1.27 1.27)))) + (number "126" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -25.4 180) (length 5.08) + (name "GNDPLL1" (effects (font (size 1.27 1.27)))) + (number "127" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 12.7 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -27.94 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 10.16 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -30.48 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "140" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 7.62 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 15.24 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 2.54 180) (length 5.08) + (name "GNDPLL0" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 0 180) (length 5.08) + (name "VccPLL0" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -2.54 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -5.08 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -7.62 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -10.16 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "iCE40HX4K-TQFP-144_7_1" + (rectangle (start 2.54 17.78) (end -2.54 -2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin no_connect line (at 7.62 0 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "133" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 15.24 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 12.7 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 10.16 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 7.62 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 5.08 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 2.54 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_PLD.lib b/GW_PLD.lib deleted file mode 100644 index 86fa3fd..0000000 --- a/GW_PLD.lib +++ /dev/null @@ -1,1999 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# 5M160ZE64 -# -DEF 5M160ZE64 U 0 40 Y Y 1 F N -F0 "U" 0 -500 50 H V C CNN -F1 "5M160ZE64" 0 -600 50 H V C CNN -F2 "stdpads:EQFP-64_10x10mm_P0.5mm" 0 -650 20 H I C CNN -F3 "" 0 -550 50 H I C CNN -$FPLIST - *QFP*P0.5mm* -$ENDFPLIST -DRAW -S -800 1650 800 -1650 1 1 10 f -X IO1_2 1 -1000 1450 200 R 50 50 1 1 B -X IO1_9 10 -1000 750 200 R 50 50 1 1 B -X IO1_10 11 -1000 650 200 R 50 50 1 1 B -X IO1_11 12 -1000 550 200 R 50 50 1 1 B -X IO1_12 13 -1000 450 200 R 50 50 1 1 B -X TMS 14 -1000 -1250 200 R 50 50 1 1 I -X TDI 15 -1000 -1350 200 R 50 50 1 1 I -X TCK 16 -1000 -1450 200 R 50 50 1 1 I C -X TDO 17 -1000 -1550 200 R 50 50 1 1 O -X IO1_13 18 -1000 350 200 R 50 50 1 1 B -X IO1_14 19 -1000 250 200 R 50 50 1 1 B -X IO1_3 2 -1000 1350 200 R 50 50 1 1 B -X IO1_15 20 -1000 150 200 R 50 50 1 1 B -X IO1_16 21 -1000 50 200 R 50 50 1 1 B -X IO1_17 22 -1000 -50 200 R 50 50 1 1 B -X VCCIO1 23 0 1850 200 D 50 50 1 1 W -X IO1_18 24 -1000 -150 200 R 50 50 1 1 B -X IO1_19 25 -1000 -250 200 R 50 50 1 1 B -X IO1_20 26 -1000 -350 200 R 50 50 1 1 B -X IO1_21 27 -1000 -450 200 R 50 50 1 1 B -X IO1_22 28 -1000 -550 200 R 50 50 1 1 B -X IO1_23 29 -1000 -650 200 R 50 50 1 1 B -X IO1_4 3 -1000 1250 200 R 50 50 1 1 B -X IO1_24 30 -1000 -750 200 R 50 50 1 1 B -X IO1_25 31 -1000 -850 200 R 50 50 1 1 B -X IO1_26 32 -1000 -950 200 R 50 50 1 1 B -X IO1_27 33 -1000 -1050 200 R 50 50 1 1 B -X IO2_52 34 1000 1550 200 L 50 50 1 1 B -X IO2_53 35 1000 1450 200 L 50 50 1 1 B -X IO2_54 36 1000 1350 200 L 50 50 1 1 B -X IO2_55 37 1000 1250 200 L 50 50 1 1 B -X IO2_56 38 1000 1150 200 L 50 50 1 1 B -X VCCIO2 39 100 1850 200 D 50 50 1 1 W -X IO1_5 4 -1000 1150 200 R 50 50 1 1 B -X IO2_62/GCLK2 40 1000 1050 200 L 50 50 1 1 B C -X VCCINT 41 -200 1850 200 D 50 50 1 1 W -X IO2_64/GCLK3 42 1000 950 200 L 50 50 1 1 B C -X IO2_66 43 1000 850 200 L 50 50 1 1 B -X IO2_67 44 1000 750 200 L 50 50 1 1 B -X IO2_68 45 1000 650 200 L 50 50 1 1 B -X IO2_69 46 1000 550 200 L 50 50 1 1 B -X IO2_70 47 1000 450 200 L 50 50 1 1 B -X IO2_71 48 1000 350 200 L 50 50 1 1 B -X IO2_72 49 1000 250 200 L 50 50 1 1 B -X IO1_6 5 -1000 1050 200 R 50 50 1 1 B -X IO2_73 50 1000 150 200 L 50 50 1 1 B -X IO2_74 51 1000 50 200 L 50 50 1 1 B -X IO2_75 52 1000 -50 200 L 50 50 1 1 B -X IO2_76 53 1000 -150 200 L 50 50 1 1 B -X IO2_77 54 1000 -250 200 L 50 50 1 1 B -X IO2_78 55 1000 -350 200 L 50 50 1 1 B -X IO2_81 56 1000 -450 200 L 50 50 1 1 B -X VCCIO2 57 200 1850 200 D 50 50 1 1 W -X IO2_82 58 1000 -550 200 L 50 50 1 1 B -X IO2_83 59 1000 -650 200 L 50 50 1 1 B -X VCCIO1 6 -100 1850 200 D 50 50 1 1 W -X IO2_84 60 1000 -750 200 L 50 50 1 1 B -X IO2_85 61 1000 -850 200 L 50 50 1 1 B -X IO2_86 62 1000 -950 200 L 50 50 1 1 B -X IO2_87 63 1000 -1050 200 L 50 50 1 1 B -X IO1_1 64 -1000 1550 200 R 50 50 1 1 B -X GND 65 0 -1850 200 U 50 50 1 1 W -X IO1_7/GCLK0 7 -1000 950 200 R 50 50 1 1 B C -X VCCINT 8 -300 1850 200 D 50 50 1 1 W -X IO1_8/GCLK1 9 -1000 850 200 R 50 50 1 1 B C -ENDDRAW -ENDDEF -# -# 5M240ZT100 -# -DEF 5M240ZT100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "5M240ZT100" 0 -50 50 H V C CNN -F2 "stdpads:TQFP-100_14x14mm_P0.5mm" 0 -100 20 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - *QFP*P0.5mm* -$ENDFPLIST -DRAW -S -800 2200 800 -2200 1 1 10 f -X GND 1 400 -2400 200 U 50 50 1 1 W -X GNDIO 10 -200 -2400 200 U 50 50 1 1 W -X IO2_100 100 1000 -2000 200 L 50 50 1 1 B -X GNDINT 11 -400 -2400 200 U 50 50 1 1 W -X IO1_12/GCLK0 12 -1000 1400 200 R 50 50 1 1 B C -X VCCINT 13 -400 2400 200 D 50 50 1 1 W -X IO1_14/GCLK1 14 -1000 1300 200 R 50 50 1 1 B C -X IO1_15 15 -1000 1200 200 R 50 50 1 1 B -X IO1_16 16 -1000 1100 200 R 50 50 1 1 B -X IO1_17 17 -1000 1000 200 R 50 50 1 1 B -X IO1_18 18 -1000 900 200 R 50 50 1 1 B -X IO1_19 19 -1000 800 200 R 50 50 1 1 B -X IO1_2 2 -1000 2100 200 R 50 50 1 1 B -X IO1_20 20 -1000 700 200 R 50 50 1 1 B -X IO1_21 21 -1000 600 200 R 50 50 1 1 B -X TMS 22 -1000 -1700 200 R 50 50 1 1 I -X TDI 23 -1000 -1800 200 R 50 50 1 1 I -X TCK 24 -1000 -1900 200 R 50 50 1 1 I C -X TDO 25 -1000 -2000 200 R 50 50 1 1 O -X IO1_26 26 -1000 500 200 R 50 50 1 1 B -X IO1_27 27 -1000 400 200 R 50 50 1 1 B -X IO1_28 28 -1000 300 200 R 50 50 1 1 B -X IO1_29 29 -1000 200 200 R 50 50 1 1 B -X IO1_3 3 -1000 2000 200 R 50 50 1 1 B -X IO1_30 30 -1000 100 200 R 50 50 1 1 B -X VCCIO1 31 -100 2400 200 D 50 50 1 1 W -X GNDIO 32 -100 -2400 200 U 50 50 1 1 W -X IO1_33 33 -1000 0 200 R 50 50 1 1 B -X IO1_34 34 -1000 -100 200 R 50 50 1 1 B -X IO1_35 35 -1000 -200 200 R 50 50 1 1 B -X IO1_36 36 -1000 -300 200 R 50 50 1 1 B -X IO1_37 37 -1000 -400 200 R 50 50 1 1 B -X IO1_38 38 -1000 -500 200 R 50 50 1 1 B -X IO1_39 39 -1000 -600 200 R 50 50 1 1 B -X IO1_4 4 -1000 1900 200 R 50 50 1 1 B -X IO1_40 40 -1000 -700 200 R 50 50 1 1 B -X IO1_41 41 -1000 -800 200 R 50 50 1 1 B -X IO1_42 42 -1000 -900 200 R 50 50 1 1 B -X IO1_43/DEV_OE 43 -1000 -1000 200 R 50 50 1 1 B -X IO1_44/DEV_CLRn 44 -1000 -1100 200 R 50 50 1 1 B -X VCCIO1 45 0 2400 200 D 50 50 1 1 W -X GNDIO 46 0 -2400 200 U 50 50 1 1 W -X IO1_47 47 -1000 -1200 200 R 50 50 1 1 B -X IO1_48 48 -1000 -1300 200 R 50 50 1 1 B -X IO1_49 49 -1000 -1400 200 R 50 50 1 1 B -X IO1_5 5 -1000 1800 200 R 50 50 1 1 B -X IO1_50 50 -1000 -1500 200 R 50 50 1 1 B -X IO1_51 51 -1000 -1600 200 R 50 50 1 1 B -X IO2_52 52 1000 2000 200 L 50 50 1 1 B -X IO2_53 53 1000 1900 200 L 50 50 1 1 B -X IO2_54 54 1000 1800 200 L 50 50 1 1 B -X IO2_55 55 1000 1700 200 L 50 50 1 1 B -X IO2_56 56 1000 1600 200 L 50 50 1 1 B -X IO2_57 57 1000 1500 200 L 50 50 1 1 B -X IO2_58 58 1000 1400 200 L 50 50 1 1 B -X VCCIO2 59 100 2400 200 D 50 50 1 1 W -X IO1_6 6 -1000 1700 200 R 50 50 1 1 B -X GNDIO 60 100 -2400 200 U 50 50 1 1 W -X IO2_61 61 1000 1300 200 L 50 50 1 1 B -X IO2_62/GCLK2 62 1000 1200 200 L 50 50 1 1 B C -X VCCINT 63 -300 2400 200 D 50 50 1 1 W -X IO2_64/GCLK3 64 1000 1100 200 L 50 50 1 1 B C -X GNDINT 65 -300 -2400 200 U 50 50 1 1 W -X IO2_66 66 1000 1000 200 L 50 50 1 1 B -X IO2_67 67 1000 900 200 L 50 50 1 1 B -X IO2_68 68 1000 800 200 L 50 50 1 1 B -X IO2_69 69 1000 700 200 L 50 50 1 1 B -X IO1_7 7 -1000 1600 200 R 50 50 1 1 B -X IO2_70 70 1000 600 200 L 50 50 1 1 B -X IO2_71 71 1000 500 200 L 50 50 1 1 B -X IO2_72 72 1000 400 200 L 50 50 1 1 B -X IO2_73 73 1000 300 200 L 50 50 1 1 B -X IO2_74 74 1000 200 200 L 50 50 1 1 B -X IO2_75 75 1000 100 200 L 50 50 1 1 B -X IO2_76 76 1000 0 200 L 50 50 1 1 B -X IO2_77 77 1000 -100 200 L 50 50 1 1 B -X IO2_78 78 1000 -200 200 L 50 50 1 1 B -X GNDIO 79 200 -2400 200 U 50 50 1 1 W -X IO1_8 8 -1000 1500 200 R 50 50 1 1 B -X VCCIO2 80 200 2400 200 D 50 50 1 1 W -X IO2_81 81 1000 -300 200 L 50 50 1 1 B -X IO2_82 82 1000 -400 200 L 50 50 1 1 B -X IO2_83 83 1000 -500 200 L 50 50 1 1 B -X IO2_84 84 1000 -600 200 L 50 50 1 1 B -X IO2_85 85 1000 -700 200 L 50 50 1 1 B -X IO2_86 86 1000 -800 200 L 50 50 1 1 B -X IO2_87 87 1000 -900 200 L 50 50 1 1 B -X IO2_88 88 1000 -1000 200 L 50 50 1 1 B -X IO2_89 89 1000 -1100 200 L 50 50 1 1 B -X VCCIO1 9 -200 2400 200 D 50 50 1 1 W -X IO2_90 90 1000 -1200 200 L 50 50 1 1 B -X IO2_91 91 1000 -1300 200 L 50 50 1 1 B -X IO2_92 92 1000 -1400 200 L 50 50 1 1 B -X GNDIO 93 300 -2400 200 U 50 50 1 1 W -X VCCIO2 94 300 2400 200 D 50 50 1 1 W -X IO2_95 95 1000 -1500 200 L 50 50 1 1 B -X IO2_96 96 1000 -1600 200 L 50 50 1 1 B -X IO2_97 97 1000 -1700 200 L 50 50 1 1 B -X IO2_98 98 1000 -1800 200 L 50 50 1 1 B -X IO2_99 99 1000 -1900 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# EPM240T100 -# -DEF EPM240T100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "EPM240T100" 0 -50 50 H V C CNN -F2 "stdpads:TQFP-100_14x14mm_P0.5mm" 0 -100 20 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - *QFP*P0.5mm* -$ENDFPLIST -DRAW -S -800 2200 800 -2200 1 1 10 f -X IO2_1 1 1000 2100 200 L 50 50 1 1 B -X GNDIO 10 -200 -2400 200 U 50 50 1 1 W -X IO2_100 100 1000 -2000 200 L 50 50 1 1 B -X GNDINT 11 -400 -2400 200 U 50 50 1 1 W -X IO1_12/GCLK0 12 -1000 1400 200 R 50 50 1 1 B C -X VCCINT 13 -400 2400 200 D 50 50 1 1 W -X IO1_14/GCLK1 14 -1000 1300 200 R 50 50 1 1 B C -X IO1_15 15 -1000 1200 200 R 50 50 1 1 B -X IO1_16 16 -1000 1100 200 R 50 50 1 1 B -X IO1_17 17 -1000 1000 200 R 50 50 1 1 B -X IO1_18 18 -1000 900 200 R 50 50 1 1 B -X IO1_19 19 -1000 800 200 R 50 50 1 1 B -X IO1_2 2 -1000 2100 200 R 50 50 1 1 B -X IO1_20 20 -1000 700 200 R 50 50 1 1 B -X IO1_21 21 -1000 600 200 R 50 50 1 1 B -X TMS 22 -1000 -1700 200 R 50 50 1 1 I -X TDI 23 -1000 -1800 200 R 50 50 1 1 I -X TCK 24 -1000 -1900 200 R 50 50 1 1 I C -X TDO 25 -1000 -2000 200 R 50 50 1 1 O -X IO1_26 26 -1000 500 200 R 50 50 1 1 B -X IO1_27 27 -1000 400 200 R 50 50 1 1 B -X IO1_28 28 -1000 300 200 R 50 50 1 1 B -X IO1_29 29 -1000 200 200 R 50 50 1 1 B -X IO1_3 3 -1000 2000 200 R 50 50 1 1 B -X IO1_30 30 -1000 100 200 R 50 50 1 1 B -X VCCIO1 31 -100 2400 200 D 50 50 1 1 W -X GNDIO 32 -100 -2400 200 U 50 50 1 1 W -X IO1_33 33 -1000 0 200 R 50 50 1 1 B -X IO1_34 34 -1000 -100 200 R 50 50 1 1 B -X IO1_35 35 -1000 -200 200 R 50 50 1 1 B -X IO1_36 36 -1000 -300 200 R 50 50 1 1 B -X IO1_37 37 -1000 -400 200 R 50 50 1 1 B -X IO1_38 38 -1000 -500 200 R 50 50 1 1 B -X IO1_39 39 -1000 -600 200 R 50 50 1 1 B -X IO1_4 4 -1000 1900 200 R 50 50 1 1 B -X IO1_40 40 -1000 -700 200 R 50 50 1 1 B -X IO1_41 41 -1000 -800 200 R 50 50 1 1 B -X IO1_42 42 -1000 -900 200 R 50 50 1 1 B -X IO1_43/DEV_OE 43 -1000 -1000 200 R 50 50 1 1 B -X IO1_44/DEV_CLRn 44 -1000 -1100 200 R 50 50 1 1 B -X VCCIO1 45 0 2400 200 D 50 50 1 1 W -X GNDIO 46 0 -2400 200 U 50 50 1 1 W -X IO1_47 47 -1000 -1200 200 R 50 50 1 1 B -X IO1_48 48 -1000 -1300 200 R 50 50 1 1 B -X IO1_49 49 -1000 -1400 200 R 50 50 1 1 B -X IO1_5 5 -1000 1800 200 R 50 50 1 1 B -X IO1_50 50 -1000 -1500 200 R 50 50 1 1 B -X IO1_51 51 -1000 -1600 200 R 50 50 1 1 B -X IO2_52 52 1000 2000 200 L 50 50 1 1 B -X IO2_53 53 1000 1900 200 L 50 50 1 1 B -X IO2_54 54 1000 1800 200 L 50 50 1 1 B -X IO2_55 55 1000 1700 200 L 50 50 1 1 B -X IO2_56 56 1000 1600 200 L 50 50 1 1 B -X IO2_57 57 1000 1500 200 L 50 50 1 1 B -X IO2_58 58 1000 1400 200 L 50 50 1 1 B -X VCCIO2 59 100 2400 200 D 50 50 1 1 W -X IO1_6 6 -1000 1700 200 R 50 50 1 1 B -X GNDIO 60 100 -2400 200 U 50 50 1 1 W -X IO2_61 61 1000 1300 200 L 50 50 1 1 B -X IO2_62/GCLK2 62 1000 1200 200 L 50 50 1 1 B C -X VCCINT 63 -300 2400 200 D 50 50 1 1 W -X IO2_64/GCLK3 64 1000 1100 200 L 50 50 1 1 B C -X GNDINT 65 -300 -2400 200 U 50 50 1 1 W -X IO2_66 66 1000 1000 200 L 50 50 1 1 B -X IO2_67 67 1000 900 200 L 50 50 1 1 B -X IO2_68 68 1000 800 200 L 50 50 1 1 B -X IO2_69 69 1000 700 200 L 50 50 1 1 B -X IO1_7 7 -1000 1600 200 R 50 50 1 1 B -X IO2_70 70 1000 600 200 L 50 50 1 1 B -X IO2_71 71 1000 500 200 L 50 50 1 1 B -X IO2_72 72 1000 400 200 L 50 50 1 1 B -X IO2_73 73 1000 300 200 L 50 50 1 1 B -X IO2_74 74 1000 200 200 L 50 50 1 1 B -X IO2_75 75 1000 100 200 L 50 50 1 1 B -X IO2_76 76 1000 0 200 L 50 50 1 1 B -X IO2_77 77 1000 -100 200 L 50 50 1 1 B -X IO2_78 78 1000 -200 200 L 50 50 1 1 B -X GNDIO 79 200 -2400 200 U 50 50 1 1 W -X IO1_8 8 -1000 1500 200 R 50 50 1 1 B -X VCCIO2 80 200 2400 200 D 50 50 1 1 W -X IO2_81 81 1000 -300 200 L 50 50 1 1 B -X IO2_82 82 1000 -400 200 L 50 50 1 1 B -X IO2_83 83 1000 -500 200 L 50 50 1 1 B -X IO2_84 84 1000 -600 200 L 50 50 1 1 B -X IO2_85 85 1000 -700 200 L 50 50 1 1 B -X IO2_86 86 1000 -800 200 L 50 50 1 1 B -X IO2_87 87 1000 -900 200 L 50 50 1 1 B -X IO2_88 88 1000 -1000 200 L 50 50 1 1 B -X IO2_89 89 1000 -1100 200 L 50 50 1 1 B -X VCCIO1 9 -200 2400 200 D 50 50 1 1 W -X IO2_90 90 1000 -1200 200 L 50 50 1 1 B -X IO2_91 91 1000 -1300 200 L 50 50 1 1 B -X IO2_92 92 1000 -1400 200 L 50 50 1 1 B -X GNDIO 93 300 -2400 200 U 50 50 1 1 W -X VCCIO2 94 300 2400 200 D 50 50 1 1 W -X IO2_95 95 1000 -1500 200 L 50 50 1 1 B -X IO2_96 96 1000 -1600 200 L 50 50 1 1 B -X IO2_97 97 1000 -1700 200 L 50 50 1 1 B -X IO2_98 98 1000 -1800 200 L 50 50 1 1 B -X IO2_99 99 1000 -1900 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# EPM570T100 -# -DEF EPM570T100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "EPM570T100" 0 -50 50 H V C CNN -F2 "stdpads:TQFP-100_14x14mm_P0.5mm" 0 -100 20 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - *QFP*P0.5mm* -$ENDFPLIST -DRAW -S -800 2200 800 -2200 1 1 10 f -X IO2_1 1 1000 2100 200 L 50 50 1 1 B -X GNDIO 10 -100 -2400 200 U 50 50 1 1 W -X IO2_100 100 1000 -2000 200 L 50 50 1 1 B -X GNDINT 11 -500 -2400 200 U 50 50 1 1 W -X IO1_12/GCLK0 12 -1000 1400 200 R 50 50 1 1 B C -X VCCINT 13 -450 2400 200 D 50 50 1 1 W -X IO1_14/GCLK1 14 -1000 1300 200 R 50 50 1 1 B C -X IO1_15 15 -1000 1200 200 R 50 50 1 1 B -X IO1_16 16 -1000 1100 200 R 50 50 1 1 B -X IO1_17 17 -1000 1000 200 R 50 50 1 1 B -X IO1_18 18 -1000 900 200 R 50 50 1 1 B -X IO1_19 19 -1000 800 200 R 50 50 1 1 B -X IO1_2 2 -1000 2100 200 R 50 50 1 1 B -X IO1_20 20 -1000 700 200 R 50 50 1 1 B -X IO1_21 21 -1000 600 200 R 50 50 1 1 B -X TMS 22 -1000 -1700 200 R 50 50 1 1 I -X TDI 23 -1000 -1800 200 R 50 50 1 1 I -X TCK 24 -1000 -1900 200 R 50 50 1 1 I C -X TDO 25 -1000 -2000 200 R 50 50 1 1 O -X IO1_26 26 -1000 500 200 R 50 50 1 1 B -X IO1_27 27 -1000 400 200 R 50 50 1 1 B -X IO1_28 28 -1000 300 200 R 50 50 1 1 B -X IO1_29 29 -1000 200 200 R 50 50 1 1 B -X IO1_3 3 -1000 2000 200 R 50 50 1 1 B -X IO1_30 30 -1000 100 200 R 50 50 1 1 B -X VCCIO1 31 50 2400 200 D 50 50 1 1 W -X GNDIO 32 0 -2400 200 U 50 50 1 1 W -X IO1_33 33 -1000 0 200 R 50 50 1 1 B -X IO1_34 34 -1000 -100 200 R 50 50 1 1 B -X IO1_35 35 -1000 -200 200 R 50 50 1 1 B -X IO1_36 36 -1000 -300 200 R 50 50 1 1 B -X GNDINT 37 -400 -2400 200 U 50 50 1 1 W -X IO1_38 38 -1000 -500 200 R 50 50 1 1 B -X VCCINT 39 -350 2400 200 D 50 50 1 1 W -X IO1_4 4 -1000 1900 200 R 50 50 1 1 B -X IO1_40 40 -1000 -700 200 R 50 50 1 1 B -X IO1_41 41 -1000 -800 200 R 50 50 1 1 B -X IO1_42 42 -1000 -900 200 R 50 50 1 1 B -X IO1_43/DEV_OE 43 -1000 -1000 200 R 50 50 1 1 B -X IO1_44/DEV_CLRn 44 -1000 -1100 200 R 50 50 1 1 B -X VCCIO1 45 150 2400 200 D 50 50 1 1 W -X GNDIO 46 100 -2400 200 U 50 50 1 1 W -X IO1_47 47 -1000 -1200 200 R 50 50 1 1 B -X IO1_48 48 -1000 -1300 200 R 50 50 1 1 B -X IO1_49 49 -1000 -1400 200 R 50 50 1 1 B -X IO1_5 5 -1000 1800 200 R 50 50 1 1 B -X IO1_50 50 -1000 -1500 200 R 50 50 1 1 B -X IO1_51 51 -1000 -1600 200 R 50 50 1 1 B -X IO2_52 52 1000 2000 200 L 50 50 1 1 B -X IO2_53 53 1000 1900 200 L 50 50 1 1 B -X IO2_54 54 1000 1800 200 L 50 50 1 1 B -X IO2_55 55 1000 1700 200 L 50 50 1 1 B -X IO2_56 56 1000 1600 200 L 50 50 1 1 B -X IO2_57 57 1000 1500 200 L 50 50 1 1 B -X IO2_58 58 1000 1400 200 L 50 50 1 1 B -X VCCIO2 59 250 2400 200 D 50 50 1 1 W -X IO1_6 6 -1000 1700 200 R 50 50 1 1 B -X GNDIO 60 200 -2400 200 U 50 50 1 1 W -X IO2_61 61 1000 1300 200 L 50 50 1 1 B -X IO2_62/GCLK2 62 1000 1200 200 L 50 50 1 1 B C -X VCCINT 63 -250 2400 200 D 50 50 1 1 W -X IO2_64/GCLK3 64 1000 1100 200 L 50 50 1 1 B C -X GNDINT 65 -300 -2400 200 U 50 50 1 1 W -X IO2_66 66 1000 1000 200 L 50 50 1 1 B -X IO2_67 67 1000 900 200 L 50 50 1 1 B -X IO2_68 68 1000 800 200 L 50 50 1 1 B -X IO2_69 69 1000 700 200 L 50 50 1 1 B -X IO1_7 7 -1000 1600 200 R 50 50 1 1 B -X IO2_70 70 1000 600 200 L 50 50 1 1 B -X IO2_71 71 1000 500 200 L 50 50 1 1 B -X IO2_72 72 1000 400 200 L 50 50 1 1 B -X IO2_73 73 1000 300 200 L 50 50 1 1 B -X IO2_74 74 1000 200 200 L 50 50 1 1 B -X IO2_75 75 1000 100 200 L 50 50 1 1 B -X IO2_76 76 1000 0 200 L 50 50 1 1 B -X IO2_77 77 1000 -100 200 L 50 50 1 1 B -X IO2_78 78 1000 -200 200 L 50 50 1 1 B -X GNDIO 79 300 -2400 200 U 50 50 1 1 W -X IO1_8 8 -1000 1500 200 R 50 50 1 1 B -X VCCIO2 80 350 2400 200 D 50 50 1 1 W -X IO2_81 81 1000 -300 200 L 50 50 1 1 B -X IO2_82 82 1000 -400 200 L 50 50 1 1 B -X IO2_83 83 1000 -500 200 L 50 50 1 1 B -X IO2_84 84 1000 -600 200 L 50 50 1 1 B -X IO2_85 85 1000 -700 200 L 50 50 1 1 B -X IO2_86 86 1000 -800 200 L 50 50 1 1 B -X IO2_87 87 1000 -900 200 L 50 50 1 1 B -X VCCINT 88 -150 2400 200 D 50 50 1 1 W -X IO2_89 89 1000 -1100 200 L 50 50 1 1 B -X VCCIO1 9 -50 2400 200 D 50 50 1 1 W -X GNDINT 90 -200 -2400 200 U 50 50 1 1 W -X IO2_91 91 1000 -1300 200 L 50 50 1 1 B -X IO2_92 92 1000 -1400 200 L 50 50 1 1 B -X GNDIO 93 400 -2400 200 U 50 50 1 1 W -X VCCIO2 94 450 2400 200 D 50 50 1 1 W -X IO2_95 95 1000 -1500 200 L 50 50 1 1 B -X IO2_96 96 1000 -1600 200 L 50 50 1 1 B -X IO2_97 97 1000 -1700 200 L 50 50 1 1 B -X IO2_98 98 1000 -1800 200 L 50 50 1 1 B -X IO2_99 99 1000 -1900 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# EPM7128SL84 -# -DEF EPM7128SL84 U 0 40 Y Y 1 F N -F0 "U" 0 150 50 H V C BNN -F1 "EPM7128SL84" 0 100 50 H V C CNN -F2 "stdpads:PLCC-84_SMDSocket" 0 0 40 H I C CNN -F3 "" -150 200 50 H I C CNN -DRAW -S -600 -1950 600 1850 0 1 10 f -X ~GClr~ 1 750 900 150 L 50 50 1 1 I -X I/O 10 750 1600 150 L 50 50 1 1 B -X I/O 11 750 1700 150 L 50 50 1 1 B -X I/O 12 -750 1700 150 R 50 50 1 1 B -X VccIO 13 -350 2000 150 D 50 50 1 1 W -X TDI 14 -750 1600 150 R 50 50 1 1 B -X I/O 15 -750 1500 150 R 50 50 1 1 B -X I/O 16 -750 1400 150 R 50 50 1 1 B -X I/O 17 -750 1300 150 R 50 50 1 1 B -X I/O 18 -750 1200 150 R 50 50 1 1 B -X GND 19 -350 -2100 150 U 50 50 1 1 W -X OE2/GClk2 2 750 1000 150 L 50 50 1 1 I -X I/O 20 -750 1100 150 R 50 50 1 1 B -X I/O 21 -750 1000 150 R 50 50 1 1 B -X I/O 22 -750 900 150 R 50 50 1 1 B -X TMS 23 -750 800 150 R 50 50 1 1 B -X I/O 24 -750 700 150 R 50 50 1 1 B -X I/O 25 -750 600 150 R 50 50 1 1 B -X VccIO 26 -250 2000 150 D 50 50 1 1 W -X I/O 27 -750 500 150 R 50 50 1 1 W -X I/O 28 -750 400 150 R 50 50 1 1 B -X I/O 29 -750 300 150 R 50 50 1 1 B -X VccINT 3 350 2000 150 D 50 50 1 1 W -X I/O 30 -750 200 150 R 50 50 1 1 B -X I/O 31 -750 100 150 R 50 50 1 1 B -X GND 32 -250 -2100 150 U 50 50 1 1 W -X I/O 33 -750 -100 150 R 50 50 1 1 B -X I/O 34 -750 -200 150 R 50 50 1 1 B -X I/O 35 -750 -300 150 R 50 50 1 1 B -X I/O 36 -750 -400 150 R 50 50 1 1 B -X I/O 37 -750 -500 150 R 50 50 1 1 B -X VccIO 38 -150 2000 150 D 50 50 1 1 W -X I/O/NC 39 -750 -600 150 R 50 50 1 1 B -X I/O 4 750 1100 150 L 50 50 1 1 B -X I/O 40 -750 -700 150 R 50 50 1 1 B -X I/O 41 -750 -800 150 R 50 50 1 1 B -X GND 42 -150 -2100 150 U 50 50 1 1 W -X VccINT 43 250 2000 150 D 50 50 1 1 W -X I/O 44 -750 -900 150 R 50 50 1 1 B -X I/O 45 -750 -1000 150 R 50 50 1 1 B -X I/O/NC 46 -750 -1100 150 R 50 50 1 1 B -X GND 47 -50 -2100 150 U 50 50 1 1 W -X I/O 48 -750 -1200 150 R 50 50 1 1 B -X I/O 49 -750 -1300 150 R 50 50 1 1 B -X I/O 5 750 1200 150 L 50 50 1 1 B -X I/O 50 -750 -1400 150 R 50 50 1 1 B -X I/O 51 -750 -1500 150 R 50 50 1 1 B -X I/O 52 -750 -1600 150 R 50 50 1 1 B -X VccIO 53 -50 2000 150 D 50 50 1 1 W -X I/O 54 750 -1800 150 L 50 50 1 1 B -X I/O 55 750 -1700 150 L 50 50 1 1 B -X I/O 56 750 -1600 150 L 50 50 1 1 B -X I/O 57 750 -1500 150 L 50 50 1 1 B -X I/O 58 750 -1400 150 L 50 50 1 1 B -X GND 59 50 -2100 150 U 50 50 1 1 W -X I/O/NC 6 750 1300 150 L 50 50 1 1 B -X I/O 60 750 -1300 150 L 50 50 1 1 B -X I/O 61 750 -1200 150 L 50 50 1 1 B -X TCK 62 750 -1100 150 L 50 50 1 1 B -X I/O 63 750 -1000 150 L 50 50 1 1 B -X I/O 64 750 -900 150 L 50 50 1 1 B -X I/O 65 750 -800 150 L 50 50 1 1 B -X VccIO 66 50 2000 150 D 50 50 1 1 W -X I/O 67 750 -700 150 L 50 50 1 1 B -X I/O 68 750 -600 150 L 50 50 1 1 B -X I/O 69 750 -500 150 L 50 50 1 1 B -X GND 7 350 -2100 150 U 50 50 1 1 W -X I/O 70 750 -400 150 L 50 50 1 1 B -X TDO 71 750 -300 150 L 50 50 1 1 B -X GND 72 150 -2100 150 U 50 50 1 1 W -X I/O 73 750 -200 150 L 50 50 1 1 B -X I/O 74 750 -100 150 L 50 50 1 1 B -X I/O 75 750 100 150 L 50 50 1 1 B -X I/O 76 750 200 150 L 50 50 1 1 B -X I/O 77 750 300 150 L 50 50 1 1 B -X VccIO 78 150 2000 150 D 50 50 1 1 W -X I/O/NC 79 750 400 150 L 50 50 1 1 B -X I/O 8 750 1400 150 L 50 50 1 1 B -X I/O 80 750 500 150 L 50 50 1 1 B -X I/O 81 750 600 150 L 50 50 1 1 B -X GND 82 250 -2100 150 U 50 50 1 1 W -X GClk1 83 750 700 150 L 50 50 1 1 I -X OE1 84 750 800 150 L 50 50 1 1 I -X I/O 9 750 1500 150 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# GAL16V8 -# -DEF GAL16V8 U 0 40 Y Y 1 F N -F0 "U" 0 250 50 V V C CNN -F1 "GAL16V8" 0 -250 50 V V C CNN -F2 "" 0 -100 50 H I C CNN -F3 "" 0 -100 50 H I C CNN -DRAW -S -250 550 250 -550 0 1 10 f -X CLK/IN 1 -400 450 150 R 50 50 1 1 I -X GND 10 -400 -450 150 R 50 50 1 1 W -X IN/~OE~ 11 400 -450 150 L 50 50 1 1 I -X I/O 12 400 -350 150 L 50 50 1 1 B -X I/O 13 400 -250 150 L 50 50 1 1 B -X I/O 14 400 -150 150 L 50 50 1 1 B -X I/O 15 400 -50 150 L 50 50 1 1 B -X I/O 16 400 50 150 L 50 50 1 1 B -X I/O 17 400 150 150 L 50 50 1 1 B -X I/O 18 400 250 150 L 50 50 1 1 B -X I/O 19 400 350 150 L 50 50 1 1 B -X IN 2 -400 350 150 R 50 50 1 1 I -X Vcc 20 400 450 150 L 50 50 1 1 W -X IN 3 -400 250 150 R 50 50 1 1 I -X IN 4 -400 150 150 R 50 50 1 1 I -X IN 5 -400 50 150 R 50 50 1 1 I -X IN 6 -400 -50 150 R 50 50 1 1 I -X IN 7 -400 -150 150 R 50 50 1 1 I -X IN 8 -400 -250 150 R 50 50 1 1 I -X IN 9 -400 -350 150 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# GAL22V10-PLCC -# -DEF GAL22V10-PLCC U 0 40 Y Y 1 F N -F0 "U" 0 300 50 V V C CNN -F1 "GAL22V10-PLCC" 0 -200 50 V V C CNN -F2 "" 0 -50 50 H I C CNN -F3 "" 0 -50 50 H I C CNN -DRAW -S -250 600 250 -700 0 1 10 f -X IN 10 -400 -200 150 R 50 50 1 1 P -X IN 11 -400 -300 150 R 50 50 1 1 P -X IN 12 -400 -400 150 R 50 50 1 1 P -X IN 13 -400 -500 150 R 50 50 1 1 P -X GND 14 400 -600 150 L 50 50 1 1 W -X IN 16 -400 -600 150 R 50 50 1 1 P -X I/O 17 400 -500 150 L 50 50 1 1 P -X I/O 18 400 -400 150 L 50 50 1 1 P -X I/O 19 400 -300 150 L 50 50 1 1 P -X CLK/IN 2 -400 500 150 R 50 50 1 1 P -X I/O 20 400 -200 150 L 50 50 1 1 P -X I/O 21 400 -100 150 L 50 50 1 1 P -X I/O 23 400 0 150 L 50 50 1 1 P -X I/O 24 400 100 150 L 50 50 1 1 P -X I/O 25 400 200 150 L 50 50 1 1 P -X I/O 26 400 300 150 L 50 50 1 1 P -X I/O 27 400 400 150 L 50 50 1 1 P -X Vcc 28 400 500 150 L 50 50 1 1 W -X IN 3 -400 400 150 R 50 50 1 1 P -X IN 4 -400 300 150 R 50 50 1 1 P -X IN 5 -400 200 150 R 50 50 1 1 P -X IN 6 -400 100 150 R 50 50 1 1 P -X IN 7 -400 0 150 R 50 50 1 1 P -X IN 9 -400 -100 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# GAL22V10-PLCC-Fast -# -DEF GAL22V10-PLCC-Fast U 0 40 Y Y 1 F N -F0 "U" 0 300 50 V V C CNN -F1 "GAL22V10-PLCC-Fast" 0 -200 50 V V C CNN -F2 "" 0 -50 50 H I C CNN -F3 "" 0 -50 50 H I C CNN -DRAW -S -250 600 250 -700 0 1 10 f -X Vcc 1 400 500 150 L 50 50 1 1 W N -X IN 10 -400 -200 150 R 50 50 1 1 P -X IN 11 -400 -300 150 R 50 50 1 1 P -X IN 12 -400 -400 150 R 50 50 1 1 P -X IN 13 -400 -500 150 R 50 50 1 1 P -X GND 14 400 -600 150 L 50 50 1 1 W -X GND 15 400 -600 150 L 50 50 1 1 W N -X IN 16 -400 -600 150 R 50 50 1 1 P -X I/O 17 400 -500 150 L 50 50 1 1 P -X I/O 18 400 -400 150 L 50 50 1 1 P -X I/O 19 400 -300 150 L 50 50 1 1 P -X CLK/IN 2 -400 500 150 R 50 50 1 1 P -X I/O 20 400 -200 150 L 50 50 1 1 P -X I/O 21 400 -100 150 L 50 50 1 1 P -X GND 22 400 -600 150 L 50 50 1 1 W N -X I/O 23 400 0 150 L 50 50 1 1 P -X I/O 24 400 100 150 L 50 50 1 1 P -X I/O 25 400 200 150 L 50 50 1 1 P -X I/O 26 400 300 150 L 50 50 1 1 P -X I/O 27 400 400 150 L 50 50 1 1 P -X Vcc 28 400 500 150 L 50 50 1 1 W -X IN 3 -400 400 150 R 50 50 1 1 P -X IN 4 -400 300 150 R 50 50 1 1 P -X IN 5 -400 200 150 R 50 50 1 1 P -X IN 6 -400 100 150 R 50 50 1 1 P -X IN 7 -400 0 150 R 50 50 1 1 P -X GND 8 400 -600 150 L 50 50 1 1 W N -X IN 9 -400 -100 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# LCMXO2-2000-TG144 -# -DEF LCMXO2-2000-TG144 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "LCMXO2-2000-TG144" 0 0 40 H V C TNN -F2 "stdpads:TQFP-100" 0 -200 40 H I C CNN -F3 "" 0 100 50 H I C CNN -DRAW -S -850 3200 850 -3200 1 1 10 f -X PL1A/L_GPLL_FB 1 -1050 2800 200 R 50 50 1 1 B -X PL4B 10 -1050 2100 200 R 50 50 1 1 B -X PR3A 100 1050 -500 200 L 50 50 1 1 B -X GND 101 250 -3400 200 U 50 50 1 1 W -X VccIO1 102 150 3400 200 D 50 50 1 1 B -X PR2B 104 1050 -400 200 L 50 50 1 1 B -X PR2A 105 1050 -300 200 L 50 50 1 1 B -X PR1B 106 1050 -200 200 L 50 50 1 1 B -X PR1A 107 1050 -100 200 L 50 50 1 1 B -X Vcc 108 -550 3400 200 D 50 50 1 1 B -X DONE/PT24D 109 1050 100 200 L 50 50 1 1 B -X PL5A 11 -1050 2000 200 R 50 50 1 1 B -X ~INIT~/PT24C 110 1050 200 200 L 50 50 1 1 B -X PT23B 111 1050 300 200 L 50 50 1 1 B -X PT23A 112 1050 400 200 L 50 50 1 1 B -X PT22B 113 1050 500 200 L 50 50 1 1 B -X PT22A 114 1050 600 200 L 50 50 1 1 B -X PT21B 115 1050 700 200 L 50 50 1 1 B -X GND 116 350 -3400 200 U 50 50 1 1 W -X PT21A 117 1050 800 200 L 50 50 1 1 B -X VccIO0 118 -350 3400 200 D 50 50 1 1 B -X ~PROGRAM~/PT20D 119 1050 900 200 L 50 50 1 1 B -X PL5B 12 -1050 1900 200 R 50 50 1 1 B -X ~JTAGEN~/PT20C 120 1050 1000 200 L 50 50 1 1 B -X PT19B 121 1050 1100 200 L 50 50 1 1 B -X PT19A 122 1050 1200 200 L 50 50 1 1 B -X VccIO0 123 -250 3400 200 D 50 50 1 1 B -X GND 124 450 -3400 200 U 50 50 1 1 W -X SDA/~PCLK0_0~/PT18D 125 1050 1300 200 L 50 50 1 1 B -X SCL/PCLK0_0/PT18C 126 1050 1400 200 L 50 50 1 1 B -X ~PCLK0_1~/PT17B 127 1050 1500 200 L 50 50 1 1 B -X PCLK0_1/PT17A 128 1050 1600 200 L 50 50 1 1 B -X PL6A 13 -1050 1800 200 R 50 50 1 1 B -X TMS/PT16D 130 1050 1700 200 L 50 50 1 1 B -X TCK/PT16C 131 1050 1800 200 L 50 50 1 1 B -X PT13B 132 1050 1900 200 L 50 50 1 1 B -X PT13A 133 1050 2000 200 L 50 50 1 1 B -X GND 134 550 -3400 200 U 50 50 1 1 W -X VccIO0 135 -150 3400 200 D 50 50 1 1 B -X TDI/PT12D 136 1050 2100 200 L 50 50 1 1 B -X TDO/PT13C 137 1050 2200 200 L 50 50 1 1 B -X PT11B 138 1050 2300 200 L 50 50 1 1 B -X PT11A 139 1050 2400 200 L 50 50 1 1 B -X PL6B 14 -1050 1700 200 R 50 50 1 1 B -X PT10B 140 1050 2500 200 L 50 50 1 1 B -X PT10A 141 1050 2600 200 L 50 50 1 1 B -X PT9B 142 1050 2700 200 L 50 50 1 1 B -X PT9A 143 1050 2800 200 L 50 50 1 1 B -X Vcc 144 -450 3400 200 D 50 50 1 1 B -X PL7A 15 -1050 1600 200 R 50 50 1 1 B -X VccIO4 16 650 3400 200 D 50 50 1 1 B -X PL7B 17 -1050 1500 200 R 50 50 1 1 B -X GND 18 -450 -3400 200 U 50 50 1 1 W -X PL7C/PCLK4_0 19 -1050 1400 200 R 50 50 1 1 B -X PL1B/~L_GPLL_FB~ 2 -1050 2700 200 R 50 50 1 1 B -X PL7D/~PCLK4_0~ 20 -1050 1300 200 R 50 50 1 1 B -X PL9A 21 -1050 1200 200 R 50 50 1 1 B -X PL9B 22 -1050 1100 200 R 50 50 1 1 B -X PL10A 23 -1050 1000 200 R 50 50 1 1 B -X PL10B 24 -1050 900 200 R 50 50 1 1 B -X PL11A 25 -1050 800 200 R 50 50 1 1 B -X PL11B 26 -1050 700 200 R 50 50 1 1 B -X PL12A/PCLK3_0 27 -1050 600 200 R 50 50 1 1 B -X PL12B/~PCLK3_0~ 28 -1050 500 200 R 50 50 1 1 B -X GND 29 -350 -3400 200 U 50 50 1 1 W -X PL2A/L_GPLL_IN 3 -1050 2600 200 R 50 50 1 1 B -X VccIO3 30 550 3400 200 D 50 50 1 1 B -X PL13A 32 -1050 400 200 R 50 50 1 1 B -X PL13B 33 -1050 300 200 R 50 50 1 1 B -X PL14A 34 -1050 200 200 R 50 50 1 1 B -X PL14B 35 -1050 100 200 R 50 50 1 1 B -X Vcc 36 -750 3400 200 D 50 50 1 1 B -X VccIO2 37 250 3400 200 D 50 50 1 1 B -X PB3A 38 -1050 -100 200 R 50 50 1 1 B -X PB3B 39 -1050 -200 200 R 50 50 1 1 B -X PL2B/~L_GPLL_IN~ 4 -1050 2500 200 R 50 50 1 1 B -X PB5A/CSSPIN 40 -1050 -300 200 R 50 50 1 1 B -X PB5B 41 -1050 -400 200 R 50 50 1 1 B -X PB6A 42 -1050 -500 200 R 50 50 1 1 B -X PB6B 43 -1050 -600 200 R 50 50 1 1 B -X PB8A/MCLK/CCLK 44 -1050 -700 200 R 50 50 1 1 B -X PB8B/SO/SPISO 45 -1050 -800 200 R 50 50 1 1 B -X GND 46 -250 -3400 200 U 50 50 1 1 W -X PB9A 47 -1050 -900 200 R 50 50 1 1 B -X PB9B 48 -1050 -1000 200 R 50 50 1 1 B -X PB11A/PCLK2_0 49 -1050 -1100 200 R 50 50 1 1 B -X PL3A/PCLK5_0 5 -1050 2400 200 R 50 50 1 1 B -X PB11B/~PCLK2_0~ 50 -1050 -1200 200 R 50 50 1 1 B -X VccIO2 51 350 3400 200 D 50 50 1 1 B -X PB12A 52 -1050 -1300 200 R 50 50 1 1 B -X GND 53 -150 -3400 200 U 50 50 1 1 W -X PB12B 54 -1050 -1400 200 R 50 50 1 1 B -X PB16A 55 -1050 -1500 200 R 50 50 1 1 B -X PB16B 56 -1050 -1600 200 R 50 50 1 1 B -X PB18A 57 -1050 -1700 200 R 50 50 1 1 B -X PB18B 58 -1050 -1800 200 R 50 50 1 1 B -X PB19A 59 -1050 -1900 200 R 50 50 1 1 B -X PL3B/~PCLK5_0~ 6 -1050 2300 200 R 50 50 1 1 B -X PB19B 60 -1050 -2000 200 R 50 50 1 1 B -X PB21A 61 -1050 -2100 200 R 50 50 1 1 B -X PB21B 62 -1050 -2200 200 R 50 50 1 1 B -X GND 64 -50 -3400 200 U 50 50 1 1 W -X PB22A 65 -1050 -2300 200 R 50 50 1 1 B -X VccOO2 66 450 3400 200 D 50 50 1 1 B -X PB22B 67 -1050 -2400 200 R 50 50 1 1 B -X PB24A 68 -1050 -2500 200 R 50 50 1 1 B -X PB24B 69 -1050 -2600 200 R 50 50 1 1 B -X VccIO5 7 750 3400 200 D 50 50 1 1 B -X PB25A/SN 70 -1050 -2700 200 R 50 50 1 1 B -X PB25B/SI/SPI 71 -1050 -2800 200 R 50 50 1 1 B -X Vcc 72 -650 3400 200 D 50 50 1 1 B -X PR14B 73 1050 -2800 200 L 50 50 1 1 B -X PR14A 74 1050 -2700 200 L 50 50 1 1 B -X PR13B 75 1050 -2600 200 L 50 50 1 1 B -X PR13A 76 1050 -2500 200 L 50 50 1 1 B -X PR12B 77 1050 -2400 200 L 50 50 1 1 B -X PR12A 78 1050 -2300 200 L 50 50 1 1 B -X VccIO1 79 -50 3400 200 D 50 50 1 1 B -X GND 8 -550 -3400 200 U 50 50 1 1 W -X GND 80 50 -3400 200 U 50 50 1 1 W -X PR11B 81 1050 -2200 200 L 50 50 1 1 B -X PR11A 82 1050 -2100 200 L 50 50 1 1 B -X PR10B 83 1050 -2000 200 L 50 50 1 1 B -X PR10A 84 1050 -1900 200 L 50 50 1 1 B -X PR9B 85 1050 -1800 200 L 50 50 1 1 B -X PR9A 86 1050 -1700 200 L 50 50 1 1 B -X PR7D 87 1050 -1600 200 L 50 50 1 1 B -X VccIO1 88 50 3400 200 D 50 50 1 1 B -X PR7C 89 1050 -1500 200 L 50 50 1 1 B -X PL4A 9 -1050 2200 200 R 50 50 1 1 B -X GND 90 150 -3400 200 U 50 50 1 1 W -X ~PCLK1_0~/PR7B 91 1050 -1400 200 L 50 50 1 1 B -X PCLK1_0/PR7A 92 1050 -1300 200 L 50 50 1 1 B -X PR6B 93 1050 -1200 200 L 50 50 1 1 B -X PR6A 94 1050 -1100 200 L 50 50 1 1 B -X PR5B 95 1050 -1000 200 L 50 50 1 1 B -X PR5A 96 1050 -900 200 L 50 50 1 1 B -X PR4B 97 1050 -800 200 L 50 50 1 1 B -X PR4A 98 1050 -700 200 L 50 50 1 1 B -X PR3B 99 1050 -600 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# LCMXO2-640-TG100 -# -DEF LCMXO2-640-TG100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "LCMXO2-640-TG100" 0 0 40 H V C TNN -F2 "stdpads:TQFP-100" 0 -200 40 H I C CNN -F3 "" 0 100 50 H I C CNN -DRAW -S -750 2200 750 -2200 1 1 10 f -X PL2A 1 -950 2000 200 R 50 50 1 1 B -X PL3D 10 -950 1300 200 R 50 50 1 1 B -X Vcc 100 500 2400 200 D 50 50 1 1 W -X PL5A/PCLKT3_1 12 -950 1200 200 R 50 50 1 1 B -X PL5B/PCLKC3_1 13 -950 1100 200 R 50 50 1 1 B -X PL5C 14 -950 1000 200 R 50 50 1 1 B -X PL5D 15 -950 900 200 R 50 50 1 1 B -X PL6A 16 -950 800 200 R 50 50 1 1 B -X PL6B 17 -950 700 200 R 50 50 1 1 B -X PL6C 18 -950 600 200 R 50 50 1 1 B -X PL6D 19 -950 500 200 R 50 50 1 1 B -X PL2B 2 -950 1900 200 R 50 50 1 1 B -X PL7A/PCLKT3_0 20 -950 400 200 R 50 50 1 1 B -X PL7B/PCLKC3_0 21 -950 300 200 R 50 50 1 1 B -X GND 22 -100 -2400 200 U 50 30 1 1 W -X VccIO3 23 -300 2400 200 D 50 50 1 1 W -X PL7C 24 -950 200 200 R 50 50 1 1 B -X PL7D 25 -950 100 200 R 50 50 1 1 B -X VccIO2 26 -200 2400 200 D 50 50 1 1 W -X PB4A/CSSPIN 27 -950 -100 200 R 50 50 1 1 B -X PB4B 28 -950 -200 200 R 50 50 1 1 B -X PB4C 29 -950 -300 200 R 50 50 1 1 B -X PL2C/PCLKT3_2 3 -950 1800 200 R 50 50 1 1 B -X PB4D 30 -950 -400 200 R 50 50 1 1 B -X PB6A/MCLK/CCLK 31 -950 -500 200 R 50 50 1 1 B -X PB6B/SO/SPISO 32 -950 -600 200 R 50 50 1 1 B -X GND 33 0 -2400 200 U 50 50 1 1 W -X PB6C/PCLKT2_0 34 -950 -700 200 R 50 50 1 1 B -X PB6D/PCLKC_0 35 -950 -800 200 R 50 50 1 1 B -X PB10A 36 -950 -900 200 R 50 50 1 1 B -X PB10B 37 -950 -1000 200 R 50 50 1 1 B -X PB10C/PCLKT2_1 38 -950 -1100 200 R 50 50 1 1 B -X PB10D/PCLKC2_1 39 -950 -1200 200 R 50 50 1 1 B -X PL2D/PCLKC3_2 4 -950 1700 200 R 50 50 1 1 B -X PB12A 40 -950 -1300 200 R 50 50 1 1 B -X PB12B 41 -950 -1400 200 R 50 50 1 1 B -X PB12C 42 -950 -1500 200 R 50 50 1 1 B -X PB12D 43 -950 -1600 200 R 50 50 1 1 B -X GND 44 100 -2400 200 U 50 50 1 1 W -X PB14A 45 -950 -1700 200 R 50 50 1 1 B -X VccIO2 46 -100 2400 200 D 50 50 1 1 W -X PB14 47 -950 -1800 200 R 50 50 1 1 B -X PB14C/SN 48 -950 -1900 200 R 50 50 1 1 B -X PB14D/SI/SISPI 49 -950 -2000 200 R 50 50 1 1 B -X VccIO3 5 -400 2400 200 D 50 50 1 1 W -X Vcc 50 400 2400 200 D 50 50 1 1 W -X PR7D 51 950 -2000 200 L 50 50 1 1 B -X PR7C 52 950 -1900 200 L 50 50 1 1 B -X PR7B 53 950 -1800 200 L 50 50 1 1 B -X PR7A 54 950 -1700 200 L 50 50 1 1 B -X VccIO1 55 0 2400 200 D 50 50 1 1 W -X GNDIO1 56 200 -2400 200 U 50 50 1 1 W -X PR6D 57 950 -1600 200 L 50 50 1 1 B -X PR6C 58 950 -1500 200 L 50 50 1 1 B -X PR6B 59 950 -1400 200 L 50 50 1 1 B -X GND 6 -200 -2400 200 U 50 30 1 1 W -X PR6A 60 950 -1300 200 L 50 50 1 1 B -X PCLKC1_0/PR5D 62 950 -1200 200 L 50 50 1 1 B -X PCLKT1_0/PR5C 63 950 -1100 200 L 50 50 1 1 B -X PR5B 64 950 -1000 200 L 50 50 1 1 B -X PR5A 65 950 -900 200 L 50 50 1 1 B -X PR3D 66 950 -800 200 L 50 50 1 1 B -X PR3C 67 950 -700 200 L 50 50 1 1 B -X PR3B 68 950 -600 200 L 50 50 1 1 B -X PR3A 69 950 -500 200 L 50 50 1 1 B -X PL3A 7 -950 1600 200 R 50 50 1 1 B -X PR2D 70 950 -400 200 L 50 50 1 1 B -X PR2C 71 950 -300 200 L 50 50 1 1 B -X GNDIO1 72 300 -2400 200 U 50 50 1 1 W -X VccIO1 73 100 2400 200 D 50 50 1 1 W -X PR2B 74 950 -200 200 L 50 50 1 1 B -X PR2A 75 950 -100 200 L 50 50 1 1 B -X DONE/PT11D 76 950 100 200 L 50 50 1 1 B -X ~INIT~/PT11C 77 950 200 200 L 50 50 1 1 B -X PT11A 78 950 300 200 L 50 50 1 1 B -X GND 79 400 -2400 200 U 50 50 1 1 W -X PL3B 8 -950 1500 200 R 50 50 1 1 B -X VccIO0 80 200 2400 200 D 50 50 1 1 W -X ~PROGRAM~/PT10D 81 950 400 200 L 50 50 1 1 B -X ~JTAGEN~/PT10C 82 950 500 200 L 50 50 1 1 B -X PT10B 83 950 600 200 L 50 50 1 1 B -X PT10A 84 950 700 200 L 50 50 1 1 B -X SDA/PCLKC0_0/PT9D 85 950 800 200 L 50 50 1 1 B -X SCL/PCLKT0_0/PT9C 86 950 900 200 L 50 50 1 1 B -X PT9B/PCLKC0_1 87 950 1000 200 L 50 50 1 1 B -X PT9A/PCLKT0_1 88 950 1100 200 L 50 50 1 1 B -X PL3C 9 -950 1400 200 R 50 50 1 1 B -X TMS/PT7D 90 950 1200 200 L 50 50 1 1 B -X TCK/PT7C 91 950 1300 200 L 50 50 1 1 B -X GND 92 500 -2400 200 U 50 30 1 1 W -X VccIO0 93 300 2400 200 D 50 50 1 1 W -X TDI/PT7B 94 950 1400 200 L 50 50 1 1 B -X TDO/PT7A 95 950 1500 200 L 50 50 1 1 B -X PT6D 96 950 1600 200 L 50 50 1 1 B -X PT6C 97 950 1700 200 L 50 50 1 1 B -X PT6B 98 950 1800 200 L 50 50 1 1 B -X PT6A 99 950 1900 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# LCMXO256-TQFP-100 -# -DEF LCMXO256-TQFP-100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "LCMXO256-TQFP-100" 0 0 40 H V C TNN -F2 "stdpads:TQFP-100" 0 -100 40 H I C CNN -F3 "" 0 100 50 H I C CNN -DRAW -S -750 2200 750 -2200 0 1 10 f -X PL2A 1 -950 2100 200 R 50 50 1 1 B -X VccIO1 10 -100 2400 200 D 50 50 1 1 W -X PT2A 100 950 2000 200 L 50 50 1 1 B -X PL5B 11 -950 1200 200 R 50 50 1 1 B -X GNDIO1 12 -100 -2400 200 U 50 50 1 1 W -X PL5C 13 -950 1100 200 R 50 50 1 1 B -X PL5D/~GSRN~ 14 -950 1000 200 R 50 50 1 1 B -X PL6A 15 -950 900 200 R 50 50 1 1 B -X PL6B/TSALL 16 -950 800 200 R 50 50 1 1 B -X PL7A 17 -950 700 200 R 50 50 1 1 B -X PL7B 18 -950 600 200 R 50 50 1 1 B -X PL7C 19 -950 500 200 R 50 50 1 1 B -X PL2B 2 -950 2000 200 R 50 50 1 1 B -X PL7D 20 -950 400 200 R 50 50 1 1 B -X PL8A 21 -950 300 200 R 50 50 1 1 B -X PL8B 22 -950 200 200 R 50 50 1 1 B -X PL9A 23 -950 100 200 R 50 50 1 1 B -X VccIO1 24 0 2400 200 D 50 50 1 1 W -X GNDIO1 25 0 -2400 200 U 50 50 1 1 W -X TMS 26 -950 -2100 200 R 50 50 1 1 I -X PL9B 27 -950 -100 200 R 50 50 1 1 B -X TCK 28 -950 -1800 200 R 50 50 1 1 I -X PB2A 29 -950 -200 200 R 50 50 1 1 B -X PL3A 3 -950 1900 200 R 50 50 1 1 B -X PB2B 30 -950 -300 200 R 50 50 1 1 B -X TDO 31 -950 -1900 200 R 50 50 1 1 O -X PB2C 32 -950 -400 200 R 50 50 1 1 B -X TDI 33 -950 -2000 200 R 50 50 1 1 I -X PB2D 34 -950 -500 200 R 50 50 1 1 B -X Vcc 35 300 2400 200 D 50 50 1 1 W -X PB3A/PCLK1_1 36 -950 -600 200 R 50 50 1 1 B -X PB3B 37 -950 -700 200 R 50 50 1 1 B -X PB3C/PCLK1_0 38 -950 -800 200 R 50 50 1 1 B -X PB3D 39 -950 -900 200 R 50 50 1 1 B -X PL3B 4 -950 1800 200 R 50 50 1 1 B -X GND 40 200 -2400 200 U 50 50 1 1 W -X VccIO1 41 100 2400 200 D 50 50 1 1 W -X GNDIO1 42 100 -2400 200 U 50 50 1 1 W -X PB4A 43 -950 -1000 200 R 50 50 1 1 B -X PB4B 44 -950 -1100 200 R 50 50 1 1 B -X PB4C 45 -950 -1200 200 R 50 50 1 1 B -X PB4D 46 -950 -1300 200 R 50 50 1 1 B -X PB5A 47 -950 -1400 200 R 50 50 1 1 B -X ~SLEEP~ 48 950 2100 200 L 50 50 1 1 I -X PB5C 49 -950 -1500 200 R 50 50 1 1 B -X PL3C 5 -950 1700 200 R 50 50 1 1 B -X PB5D 50 -950 -1600 200 R 50 50 1 1 B -X PR9B 51 950 -2100 200 L 50 50 1 1 B -X PR9A 52 950 -2000 200 L 50 50 1 1 B -X PR8B 53 950 -1900 200 L 50 50 1 1 B -X PR8A 54 950 -1800 200 L 50 50 1 1 B -X PR7D 55 950 -1700 200 L 50 50 1 1 B -X PR7C 56 950 -1600 200 L 50 50 1 1 B -X PR7B 57 950 -1500 200 L 50 50 1 1 B -X PR7A 58 950 -1400 200 L 50 50 1 1 B -X PR6B 59 950 -1300 200 L 50 50 1 1 B -X PL3D 6 -950 1600 200 R 50 50 1 1 B -X VccIO0 60 -400 2400 200 D 50 50 1 1 W -X PR6A 61 950 -1200 200 L 50 50 1 1 B -X GNDIO0 62 -400 -2400 200 U 50 50 1 1 W -X PR5D 63 950 -1100 200 L 50 50 1 1 B -X PR5C 64 950 -1000 200 L 50 50 1 1 B -X PR5B 65 950 -900 200 L 50 50 1 1 B -X PR5A 66 950 -800 200 L 50 50 1 1 B -X PR4B 67 950 -700 200 L 50 50 1 1 B -X PR4A 68 950 -600 200 L 50 50 1 1 B -X PR3D 69 950 -500 200 L 50 50 1 1 B -X PL4A 7 -950 1500 200 R 50 50 1 1 B -X PR3C 70 950 -400 200 L 50 50 1 1 B -X PR3B 71 950 -300 200 L 50 50 1 1 B -X PR3A 72 950 -200 200 L 50 50 1 1 B -X PR2B 73 950 -100 200 L 50 50 1 1 B -X VccIO0 74 -300 2400 200 D 50 50 1 1 W -X GNDIO0 75 -300 -2400 200 U 50 50 1 1 W -X PR2A 76 950 100 200 L 50 50 1 1 B -X PT5C 77 950 200 200 L 50 50 1 1 B -X PT5B 78 950 300 200 L 50 50 1 1 B -X PT5A 79 950 400 200 L 50 50 1 1 B -X PL4B 8 -950 1400 200 R 50 50 1 1 B -X PT4F 80 950 500 200 L 50 50 1 1 B -X PT4E 81 950 600 200 L 50 50 1 1 B -X PT4D 82 950 700 200 L 50 50 1 1 B -X PT4C 83 950 800 200 L 50 50 1 1 B -X GND 84 300 -2400 200 U 50 50 1 1 W -X PT4B/PCLK0_1 85 950 900 200 L 50 50 1 1 B -X PT4A/PCLK0_0 86 950 1000 200 L 50 50 1 1 B -X PT3D 87 950 1100 200 L 50 50 1 1 B -X VccAUX 88 200 2400 200 D 50 50 1 1 W -X PT3C 89 950 1200 200 L 50 50 1 1 B -X PL5A 9 -950 1300 200 R 50 50 1 1 B -X Vcc 90 400 2400 200 D 50 50 1 1 W -X PT3B 91 950 1300 200 L 50 50 1 1 B -X VccIO0 92 -200 2400 200 D 50 50 1 1 W -X GNDIO0 93 -200 -2400 200 U 50 50 1 1 W -X PT3A 94 950 1400 200 L 50 50 1 1 B -X PT2F 95 950 1500 200 L 50 50 1 1 B -X PT2E 96 950 1600 200 L 50 50 1 1 B -X PT2D 97 950 1700 200 L 50 50 1 1 B -X PT2C 98 950 1800 200 L 50 50 1 1 B -X PT2B 99 950 1900 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# LCMXO640-TQFP-100 -# -DEF LCMXO640-TQFP-100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "LCMXO640-TQFP-100" 0 0 40 H V C TNN -F2 "stdpads:TQFP-100" 0 -100 40 H I C CNN -F3 "" 0 100 50 H I C CNN -DRAW -S -850 2200 850 -2200 0 1 10 f -X PL2A 1 -1050 2100 200 R 50 50 1 1 B -X VccIO3 10 100 2400 200 D 50 50 1 1 W -X PT2A 100 1050 2000 200 L 50 50 1 1 B -X PL4C 11 -1050 1200 200 R 50 50 1 1 B -X GNDIO3 12 100 -2400 200 U 50 50 1 1 W -X PL4D 13 -1050 1100 200 R 50 50 1 1 B -X PL5B/~GSRN~ 14 -1050 1000 200 R 50 50 1 1 B -X PL7B 15 -1050 900 200 R 50 50 1 1 B -X PL8C/TSALL 16 -1050 800 200 R 50 50 1 1 B -X PL8D 17 -1050 700 200 R 50 50 1 1 B -X PL9A 18 -1050 600 200 R 50 50 1 1 B -X PL9C 19 -1050 500 200 R 50 50 1 1 B -X PL2C 2 -1050 2000 200 R 50 50 1 1 B -X PL10A 20 -1050 400 200 R 50 50 1 1 B -X PL10C 21 -1050 300 200 R 50 50 1 1 B -X PL11A 22 -1050 200 200 R 50 50 1 1 B -X PL11C 23 -1050 100 200 R 50 50 1 1 B -X VccIO3 24 200 2400 200 D 50 50 1 1 W -X GNDIO3 25 200 -2400 200 U 50 50 1 1 W -X TMS 26 -1050 -2100 200 R 50 50 1 1 I -X PL2C 27 -1050 -100 200 R 50 50 1 1 B -X TCK 28 -1050 -1800 200 R 50 50 1 1 I -X VccIO2 29 -100 2400 200 D 50 50 1 1 W -X PL2B 3 -1050 1900 200 R 50 50 1 1 B -X GNDIO2 30 -100 -2400 200 U 50 50 1 1 W -X TDO 31 -1050 -1900 200 R 50 50 1 1 O -X PB4C 32 -1050 -400 200 R 50 50 1 1 B -X TDI 33 -1050 -2000 200 R 50 50 1 1 I -X PB4E 34 -1050 -500 200 R 50 50 1 1 B -X Vcc 35 400 2400 200 D 50 50 1 1 W -X PB5B/PCLK2_1 36 -1050 -600 200 R 50 50 1 1 B -X PB5D 37 -1050 -700 200 R 50 50 1 1 B -X PB6B/PCLK2_0 38 -1050 -800 200 R 50 50 1 1 B -X PB6C 39 -1050 -900 200 R 50 50 1 1 B -X PL2D 4 -1050 1800 200 R 50 50 1 1 B -X GND 40 300 -2400 200 U 50 50 1 1 W -X VccIO2 41 0 2400 200 D 50 50 1 1 W -X GNDIO2 42 0 -2400 200 U 50 50 1 1 W -X PB8B 43 -1050 -1000 200 R 50 50 1 1 B -X PB8C 44 -1050 -1100 200 R 50 50 1 1 B -X PB8D 45 -1050 -1200 200 R 50 50 1 1 B -X PB9A 46 -1050 -1300 200 R 50 50 1 1 B -X PB9C 47 -1050 -1400 200 R 50 50 1 1 B -X ~SLEEP~ 48 1050 2100 200 L 50 50 1 1 I -X PB9D 49 -1050 -1500 200 R 50 50 1 1 B -X PL3A 5 -1050 1700 200 R 50 50 1 1 B -X PB9F 50 -1050 -1600 200 R 50 50 1 1 B -X PR11D 51 1050 -2100 200 L 50 50 1 1 B -X PR11B 52 1050 -2000 200 L 50 50 1 1 B -X PR11C 53 1050 -1900 200 L 50 50 1 1 B -X PR11A 54 1050 -1800 200 L 50 50 1 1 B -X PR10D 55 1050 -1700 200 L 50 50 1 1 B -X PR10C 56 1050 -1600 200 L 50 50 1 1 B -X PR10B 57 1050 -1500 200 L 50 50 1 1 B -X PR10A 58 1050 -1400 200 L 50 50 1 1 B -X PR9D 59 1050 -1300 200 L 50 50 1 1 B -X PL3B 6 -1050 1600 200 R 50 50 1 1 B -X VccIO1 60 -300 2400 200 D 50 50 1 1 W -X PR9B 61 1050 -1200 200 L 50 50 1 1 B -X GNDIO1 62 -300 -2400 200 U 50 50 1 1 W -X PR7B 63 1050 -1100 200 L 50 50 1 1 B -X PR6C 64 1050 -1000 200 L 50 50 1 1 B -X PR6B 65 1050 -900 200 L 50 50 1 1 B -X PR5D 66 1050 -800 200 L 50 50 1 1 B -X PR5B 67 1050 -700 200 L 50 50 1 1 B -X PR4D 68 1050 -600 200 L 50 50 1 1 B -X PR4B 69 1050 -500 200 L 50 50 1 1 B -X PL3C 7 -1050 1500 200 R 50 50 1 1 B -X PR3D 70 1050 -400 200 L 50 50 1 1 B -X PR3B 71 1050 -300 200 L 50 50 1 1 B -X PR2D 72 1050 -200 200 L 50 50 1 1 B -X PR2B 73 1050 -100 200 L 50 50 1 1 B -X VccIO1 74 -200 2400 200 D 50 50 1 1 W -X GNDIO1 75 -200 -2400 200 U 50 50 1 1 W -X PR9F 76 1050 100 200 L 50 50 1 1 B -X PT9E 77 1050 200 200 L 50 50 1 1 B -X PT9C 78 1050 300 200 L 50 50 1 1 B -X PT9A 79 1050 400 200 L 50 50 1 1 B -X PL3D 8 -1050 1400 200 R 50 50 1 1 B -X VccIO0 80 -500 2400 200 D 50 50 1 1 W -X GNDIO0 81 -500 -2400 200 U 50 50 1 1 W -X PT7E 82 1050 700 200 L 50 50 1 1 B -X PT7A 83 1050 800 200 L 50 50 1 1 B -X GND 84 400 -2400 200 U 50 50 1 1 W -X PT6B/PCLK0_1 85 1050 900 200 L 50 50 1 1 B -X PT5B/PCLK0_0 86 1050 1000 200 L 50 50 1 1 B -X PT5A 87 1050 1100 200 L 50 50 1 1 B -X VccAUX 88 300 2400 200 D 50 50 1 1 W -X PT4F 89 1050 1200 200 L 50 50 1 1 B -X PL4A 9 -1050 1300 200 R 50 50 1 1 B -X Vcc 90 500 2400 200 D 50 50 1 1 W -X PT3F 91 1050 1300 200 L 50 50 1 1 B -X VccIO0 92 -400 2400 200 D 50 50 1 1 W -X GNDIO0 93 -400 -2400 200 U 50 50 1 1 W -X PT3B 94 1050 1400 200 L 50 50 1 1 B -X PT3A 95 1050 1500 200 L 50 50 1 1 B -X PT2F 96 1050 1600 200 L 50 50 1 1 B -X PT2E 97 1050 1700 200 L 50 50 1 1 B -X PT2B 98 1050 1800 200 L 50 50 1 1 B -X PT2C 99 1050 1900 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# LFE5U-12F-BG256 -# -DEF LFE5U-12F-BG256 U 0 40 Y Y 8 L N -F0 "U" 0 750 50 H V C CNN -F1 "LFE5U-12F-BG256" -150 650 50 V V R CNN -F2 "stdpads:Lattice_CABGA-256" -250 650 50 V I R TNN -F3 "" 0 0 50 H I C CNN -DRAW -S 500 700 -100 -2100 1 1 10 f -S 500 -2900 -100 700 2 1 10 f -S -100 700 500 -2900 3 1 10 f -S -100 700 500 -2900 4 1 10 f -S -100 700 500 -2900 5 1 10 f -S -100 700 500 -2900 6 1 10 f -S -100 700 500 -2000 7 1 10 f -S 300 -3100 -100 700 8 1 10 f -X PT4A A2 700 300 200 L 50 50 1 1 B -X PT6A A3 700 100 200 L 50 50 1 1 B -X PT6B A4 700 0 200 L 50 50 1 1 B -X PT18A A5 700 -900 200 L 50 50 1 1 B -X PT18B A6 700 -1000 200 L 50 50 1 1 B -X PCK00/PT29A A7 700 -1900 200 L 50 50 1 1 B -X ~PCK~00/PT29B A8 700 -2000 200 L 50 50 1 1 B -X PT4B B3 700 200 200 L 50 50 1 1 B -X PT11B B4 700 -400 200 L 50 50 1 1 B -X PT15B B5 700 -800 200 L 50 50 1 1 B -X PT22B B6 700 -1400 200 L 50 50 1 1 B -X ~PCK~01/PT27B B7 700 -1800 200 L 50 50 1 1 B -X PT11A C4 700 -300 200 L 50 50 1 1 B -X PT15A C5 700 -700 200 L 50 50 1 1 B -X PT22A C6 700 -1300 200 L 50 50 1 1 B -X PCK01/PT27A C7 700 -1700 200 L 50 50 1 1 B -X PT9B D4 700 -200 200 L 50 50 1 1 B -X PT13B D5 700 -600 200 L 50 50 1 1 B -X PT20B D6 700 -1200 200 L 50 50 1 1 B -X GR01/PT24B D7 700 -1600 200 L 50 50 1 1 B -X PT9A E4 700 -100 200 L 50 50 1 1 B -X PT13A E5 700 -500 200 L 50 50 1 1 B -X PT20A E6 700 -1100 200 L 50 50 1 1 B -X GR00/PT24A E7 700 -1500 200 L 50 50 1 1 B -X VccIO0 F6 700 600 200 L 50 50 1 1 W -X VccIO0 F7 700 500 200 L 50 50 1 1 W -X PT42B A10 700 -600 200 L 50 50 2 1 B -X PT53A A11 700 -1500 200 L 50 50 2 1 B -X PT53B A12 700 -1600 200 L 50 50 2 1 B -X PT65A A13 700 -2500 200 L 50 50 2 1 B -X PT65B A14 700 -2600 200 L 50 50 2 1 B -X PT67B A15 700 -2800 200 L 50 50 2 1 B -X PT42A A9 700 -500 200 L 50 50 2 1 B -X PT44A B10 700 -700 200 L 50 50 2 1 B -X PT49A B11 700 -1100 200 L 50 50 2 1 B -X PT56A B12 700 -1700 200 L 50 50 2 1 B -X PT60A B13 700 -2100 200 L 50 50 2 1 B -X PT67A B14 700 -2700 200 L 50 50 2 1 B -X ~PCK~10/PT35B B8 700 0 200 L 50 50 2 1 B -X GR10/PT38A B9 700 -100 200 L 50 50 2 1 B -X PT44B C10 700 -800 200 L 50 50 2 1 B -X PT49B C11 700 -1200 200 L 50 50 2 1 B -X PT56B C12 700 -1800 200 L 50 50 2 1 B -X PT60B C13 700 -2200 200 L 50 50 2 1 B -X PCK10/PT35A C8 700 100 200 L 50 50 2 1 B -X GR11/PT38B C9 700 -200 200 L 50 50 2 1 B -X PT47A D10 700 -900 200 L 50 50 2 1 B -X PT51A D11 700 -1300 200 L 50 50 2 1 B -X PT58A D12 700 -1900 200 L 50 50 2 1 B -X PT62A D13 700 -2300 200 L 50 50 2 1 B -X ~PCK~11/PT33B D8 700 200 200 L 50 50 2 1 B -X PT40A D9 700 -300 200 L 50 50 2 1 B -X PT47B E10 700 -1000 200 L 50 50 2 1 B -X PT51B E11 700 -1400 200 L 50 50 2 1 B -X PT58B E12 700 -2000 200 L 50 50 2 1 B -X PT62B E13 700 -2400 200 L 50 50 2 1 B -X PCK11/PT33A E8 700 300 200 L 50 50 2 1 B -X PT40B E9 700 -400 200 L 50 50 2 1 B -X VccIO1 F10 700 600 200 L 50 50 2 1 W -X VccIO1 F11 700 500 200 L 50 50 2 1 W -X S0_IN/PR2B B15 700 200 200 L 50 50 3 1 B -X PR2A B16 700 300 200 L 50 50 3 1 B -X PR2C C14 700 100 200 L 50 50 3 1 B -X PR5B C15 700 -200 200 L 50 50 3 1 B -X PR5A C16 700 -100 200 L 50 50 3 1 B -X PR2D D14 700 0 200 L 50 50 3 1 B -X PR8A D16 700 -500 200 L 50 50 3 1 B -X PR5C E14 700 -300 200 L 50 50 3 1 B -X PR8B E15 700 -600 200 L 50 50 3 1 B -X PR11D E16 700 -1200 200 L 50 50 3 1 B -X PR8D F12 700 -800 200 L 50 50 3 1 B -X PR8C F13 700 -700 200 L 50 50 3 1 B -X PR5D F14 700 -400 200 L 50 50 3 1 B -X PR11C F15 700 -1100 200 L 50 50 3 1 B -X PR14A F16 700 -1300 200 L 50 50 3 1 B -X PR11A G12 700 -900 200 L 50 50 3 1 B -X PR11B G13 700 -1000 200 L 50 50 3 1 B -X Vref12/PR14C G14 700 -1500 200 L 50 50 3 1 B -X PR14B G15 700 -1400 200 L 50 50 3 1 B -X GR21/PR20A G16 700 -2100 200 L 50 50 3 1 B -X VccIO2 H11 700 600 200 L 50 50 3 1 W -X PR17A H12 700 -1700 200 L 50 50 3 1 B -X PR17B H13 700 -1800 200 L 50 50 3 1 B -X PR14D H14 700 -1600 200 L 50 50 3 1 B -X PR20B H15 700 -2200 200 L 50 50 3 1 B -X VccIO2 J11 700 500 200 L 50 50 3 1 W -X PR17D J12 700 -2000 200 L 50 50 3 1 B -X PR17C J13 700 -1900 200 L 50 50 3 1 B -X GR20/PR20C J14 700 -2300 200 L 50 50 3 1 B -X ~PCK~21/PR23B J15 700 -2600 200 L 50 50 3 1 B -X PCK21/PR23A J16 700 -2500 200 L 50 50 3 1 B -X PR20D K14 700 -2400 200 L 50 50 3 1 B -X ~PCK~20/PR23D K15 700 -2800 200 L 50 50 3 1 B -X PCK20/PR23C K16 700 -2700 200 L 50 50 3 1 B -X VccIO3 K11 700 600 200 L 50 50 4 1 W -X PR29B K12 700 -200 200 L 50 50 4 1 B -X GR30/PR29A K13 700 -100 200 L 50 50 4 1 B -X VccIO3 L11 700 500 200 L 50 50 4 1 W -X PR29D L12 700 -400 200 L 50 50 4 1 B -X GR31/PR26C L13 700 -300 200 L 50 50 4 1 B -X PR32C L14 700 -700 200 L 50 50 4 1 B -X ~PCK~31/PR26B L15 700 200 200 L 50 50 4 1 B -X PCK31/PR26A L16 700 300 200 L 50 50 4 1 B -X PR47A M11 700 -2500 200 L 50 50 4 1 B -X PR44C M12 700 -2300 200 L 50 50 4 1 B -X PR35C M13 700 -1100 200 L 50 50 4 1 B -X PR32D M14 700 -800 200 L 50 50 4 1 B -X ~PCK~30/PR26D M15 700 0 200 L 50 50 4 1 B -X PCK30/PR26C M16 700 100 200 L 50 50 4 1 B -X PR47B N11 700 -2600 200 L 50 50 4 1 B -X PR44D N12 700 -2400 200 L 50 50 4 1 B -X PR38A N13 700 -1300 200 L 50 50 4 1 B -X PR35D N14 700 -1200 200 L 50 50 4 1 B -X PR32A N16 700 -500 200 L 50 50 4 1 B -X RPLL0/PR47C P11 700 -2700 200 L 50 50 4 1 B -X ~RPLL~0/PR47D P12 700 -2800 200 L 50 50 4 1 B -X PR41A P13 700 -1700 200 L 50 50 4 1 B -X PR38B P14 700 -1400 200 L 50 50 4 1 B -X PR32B P15 700 -600 200 L 50 50 4 1 B -X PR35A P16 700 -900 200 L 50 50 4 1 B -X PR44A R12 700 -2100 200 L 50 50 4 1 B -X PR41C R13 700 -1900 200 L 50 50 4 1 B -X PR41B R14 700 -1800 200 L 50 50 4 1 B -X PR38C R15 700 -1500 200 L 50 50 4 1 B -X Vref13/PR35B R16 700 -1000 200 L 50 50 4 1 B -X PR44B T13 700 -2200 200 L 50 50 4 1 B -X PR41D T14 700 -2000 200 L 50 50 4 1 B -X PR38D T15 700 -1600 200 L 50 50 4 1 B -X VccIO6 J6 700 600 200 L 50 50 5 1 W -X VccIO6 J7 700 500 200 L 50 50 5 1 W -X GR60/PL29A K4 700 -100 200 L 50 50 5 1 B -X PL29B K5 700 -200 200 L 50 50 5 1 B -X PCK61/PL26A L1 700 300 200 L 50 50 5 1 B -X ~PCK~61/PL26B L2 700 200 200 L 50 50 5 1 B -X PL32C L3 700 -700 200 L 50 50 5 1 B -X GR61/PL29C L4 700 -300 200 L 50 50 5 1 B -X PL29D L5 700 -400 200 L 50 50 5 1 B -X PCK60/PL26C M1 700 100 200 L 50 50 5 1 B -X ~PCK~60/PL26D M2 700 0 200 L 50 50 5 1 B -X PL32D M3 700 -800 200 L 50 50 5 1 B -X PL35C M4 700 -1100 200 L 50 50 5 1 B -X PL44C M5 700 -2300 200 L 50 50 5 1 B -X PL47A M6 700 -2500 200 L 50 50 5 1 B -X PL32A N1 700 -500 200 L 50 50 5 1 B -X PL35D N3 700 -1200 200 L 50 50 5 1 B -X PL38A N4 700 -1300 200 L 50 50 5 1 B -X PL44D N5 700 -2400 200 L 50 50 5 1 B -X PL47B N6 700 -2600 200 L 50 50 5 1 B -X PL35A P1 700 -900 200 L 50 50 5 1 B -X PL32B P2 700 -600 200 L 50 50 5 1 B -X PL38B P3 700 -1400 200 L 50 50 5 1 B -X PL41A P4 700 -1700 200 L 50 50 5 1 B -X ~RPLL~0/PL47D P5 700 -2800 200 L 50 50 5 1 B -X RPLL0/PL47C P6 700 -2700 200 L 50 50 5 1 B -X Vref16/PL35B R1 700 -1000 200 L 50 50 5 1 B -X PL38C R2 700 -1500 200 L 50 50 5 1 B -X PL41B R3 700 -1800 200 L 50 50 5 1 B -X PL41C R4 700 -1900 200 L 50 50 5 1 B -X PL44A R5 700 -2100 200 L 50 50 5 1 B -X PL38D T2 700 -1600 200 L 50 50 5 1 B -X PL41D T3 700 -2000 200 L 50 50 5 1 B -X PL44B T4 700 -2200 200 L 50 50 5 1 B -X PL2A B1 700 300 200 L 50 50 6 1 B -X PL2B B2 700 200 200 L 50 50 6 1 B -X PL5A C1 700 -100 200 L 50 50 6 1 B -X PL5B C2 700 -200 200 L 50 50 6 1 B -X PL2C C3 700 100 200 L 50 50 6 1 B -X PL8A D1 700 -500 200 L 50 50 6 1 B -X PL2D D3 700 0 200 L 50 50 6 1 B -X PL11D E1 700 -1200 200 L 50 50 6 1 B -X PL8B E2 700 -600 200 L 50 50 6 1 B -X PL5C E3 700 -300 200 L 50 50 6 1 B -X PL14A F1 700 -1300 200 L 50 50 6 1 B -X PL11C F2 700 -1100 200 L 50 50 6 1 B -X PL5D F3 700 -400 200 L 50 50 6 1 B -X PL8C F4 700 -700 200 L 50 50 6 1 B -X PL8D F5 700 -800 200 L 50 50 6 1 B -X GR71/PL20A G1 700 -2100 200 L 50 50 6 1 B -X PL14B G2 700 -1400 200 L 50 50 6 1 B -X Vref17/PL14C G3 700 -1500 200 L 50 50 6 1 B -X PL11B G4 700 -1000 200 L 50 50 6 1 B -X PL11A G5 700 -900 200 L 50 50 6 1 B -X PL20B H2 700 -2200 200 L 50 50 6 1 B -X PL14D H3 700 -1600 200 L 50 50 6 1 B -X PL17B H4 700 -1800 200 L 50 50 6 1 B -X PL17A H5 700 -1700 200 L 50 50 6 1 B -X VccIO7 H6 700 600 200 L 50 50 6 1 W -X VccIO7 H7 700 500 200 L 50 50 6 1 W -X PCK71/PL23A J1 700 -2500 200 L 50 50 6 1 B -X ~PCK~71/PL23B J2 700 -2600 200 L 50 50 6 1 B -X GR70/PL20C J3 700 -2300 200 L 50 50 6 1 B -X PL17C J4 700 -1900 200 L 50 50 6 1 B -X PL17D J5 700 -2000 200 L 50 50 6 1 B -X PCK70/PL23C K1 700 -2700 200 L 50 50 6 1 B -X ~PCK~70/PL23D K2 700 -2800 200 L 50 50 6 1 B -X PL20D K3 700 -2400 200 L 50 50 6 1 B -X VccIO8 L6 700 600 200 L 50 50 7 1 W -X TDO M10 700 -1600 200 L 50 50 7 1 O -X PB9B/D2 M7 700 -100 200 L 50 50 7 1 B -X ~CSO~/PB15B M8 700 -700 200 L 50 50 7 1 B -X ~WRITE~/PB18A M9 700 -800 200 L 50 50 7 1 B -X CFG0 N10 700 -1300 200 L 50 50 7 1 I -X PB9A/D3 N7 700 0 200 L 50 50 7 1 B -X M~CS~/PB15A N8 700 -600 200 L 50 50 7 1 B -X CCLK N9 700 -1000 200 L 50 50 7 1 B -X CFG1 P10 700 -1400 200 L 50 50 7 1 I -X MOSI2/PB6B P7 700 100 200 L 50 50 7 1 B -X S~CS~1/PB13B P8 700 -500 200 L 50 50 7 1 B -X DONE P9 700 -1200 200 L 50 50 7 1 C -X CFG2 R10 700 -1500 200 L 50 50 7 1 I -X TDI R11 700 -1800 200 L 50 50 7 1 I -X PB4B R6 700 300 200 L 50 50 7 1 B -X MISO2/PB6A R7 700 200 200 L 50 50 7 1 B -X S~CS~/PB13A R8 700 -400 200 L 50 50 7 1 B -X ~PROGRAM~ R9 700 -1100 200 L 50 50 7 1 I -X TCK T10 700 -1700 200 L 50 50 7 1 I -X TMS T11 700 -1900 200 L 50 50 7 1 I -X PB4A T6 700 400 200 L 50 50 7 1 B -X MISO/PB11A T7 700 -200 200 L 50 50 7 1 B -X MOSI/PB11B T8 700 -300 200 L 50 50 7 1 B -X ~INIT~ T9 700 -900 200 L 50 50 7 1 C -X GND A1 500 -2800 200 L 50 50 8 1 W -X GND A16 500 -400 200 L 50 50 8 1 W -X GND D15 500 -700 200 L 50 50 8 1 W -X GND D2 500 -2600 200 L 50 50 8 1 W -X GND F8 500 -1800 200 L 50 50 8 1 W -X GND F9 500 -1600 200 L 50 50 8 1 W -X GND G10 500 -1000 200 L 50 50 8 1 W -X VccAUX G11 500 600 200 L 50 50 8 1 W -X Vcc G6 500 -200 200 L 50 50 8 1 W -X Vcc G7 500 -100 200 L 50 50 8 1 W -X GND G8 500 -1900 200 L 50 50 8 1 W -X Vcc G9 500 200 200 L 50 50 8 1 W -X GND H1 500 -2900 200 L 50 50 8 1 W -X GND H10 500 -1100 200 L 50 50 8 1 W -X GND H16 500 -500 200 L 50 50 8 1 W -X GND H8 500 -2000 200 L 50 50 8 1 W -X GND H9 500 -1400 200 L 50 50 8 1 W -X GND J10 500 -1200 200 L 50 50 8 1 W -X GND J8 500 -2100 200 L 50 50 8 1 W -X GND J9 500 -1500 200 L 50 50 8 1 W -X GND K10 500 -1300 200 L 50 50 8 1 W -X GND K6 500 -2400 200 L 50 50 8 1 W -X GND K7 500 -2300 200 L 50 50 8 1 W -X GND K8 500 -2200 200 L 50 50 8 1 W -X GND K9 500 -1700 200 L 50 50 8 1 W -X Vcc L10 500 300 200 L 50 50 8 1 W -X VccAUX L7 500 500 200 L 50 50 8 1 W -X Vcc L8 500 0 200 L 50 50 8 1 W -X Vcc L9 500 100 200 L 50 50 8 1 W -X GND N15 500 -800 200 L 50 50 8 1 W -X GND N2 500 -2700 200 L 50 50 8 1 W -X GND T1 500 -3000 200 L 50 50 8 1 W -X GND T12 500 -900 200 L 50 50 8 1 W -X GND T16 500 -600 200 L 50 50 8 1 W -X GND T5 500 -2500 200 L 50 50 8 1 W -ENDDRAW -ENDDEF -# -# M5LV-PQFP-100 -# -DEF M5LV-PQFP-100 U 0 40 Y Y 1 F N -F0 "U" 50 2100 50 H V C CNN -F1 "M5LV-PQFP-100" 50 2000 50 H V C CNN -F2 "stdpads:PQFP-100" 0 0 40 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S 900 -2350 -900 2350 0 1 10 f -X GND 1 -750 -2500 150 U 50 50 1 1 W -X IO6/0B4 10 -1050 1600 150 R 50 50 1 1 B -X IO67/0A7 100 1050 2200 150 L 50 50 1 1 B -X IO7/0B3 11 -1050 1500 150 R 50 50 1 1 B -X IO8/0B2 12 -1050 1400 150 R 50 50 1 1 B -X CLK0 13 -1050 1300 150 R 50 50 1 1 I -X Vcc 14 -350 2500 150 D 50 50 1 1 W -X Vcc 15 -250 2500 150 D 50 50 1 1 W -X GND 16 -550 -2500 150 U 50 50 1 1 W -X GND 17 -450 -2500 150 U 50 50 1 1 W -X CLK1 18 -1050 1100 150 R 50 50 1 1 I -X IO9/1B2 19 -1050 1000 150 R 50 50 1 1 B -X GND 2 -650 -2500 150 U 50 50 1 1 W -X IO10/1B3 20 -1050 900 150 R 50 50 1 1 B -X IO11/1B4 21 -1050 800 150 R 50 50 1 1 B -X IO12/1B7 22 -1050 700 150 R 50 50 1 1 B -X IO13/1B8 23 -1050 600 150 R 50 50 1 1 B -X IO14/1B11 24 -1050 500 150 R 50 50 1 1 B -X IO15/1B12 25 -1050 400 150 R 50 50 1 1 B -X IO16/1B13 26 -1050 300 150 R 50 50 1 1 B -X IO17/1A12 27 -1050 200 150 R 50 50 1 1 B -X TCK 28 -1050 -2000 150 R 50 50 1 1 I -X GND 29 -350 -2500 150 U 50 50 1 1 W -X TDI 3 -1050 -1900 150 R 50 50 1 1 I -X GND 30 -250 -2500 150 U 50 50 1 1 W -X IO18/1A7 31 -1050 -100 150 R 50 50 1 1 B -X IO19/1A6 32 -1050 -200 150 R 50 50 1 1 B -X IO20/1A5 33 -1050 -300 150 R 50 50 1 1 B -X IO21/1A4 34 -1050 -400 150 R 50 50 1 1 B -X IO22/1A3 35 -1050 -500 150 R 50 50 1 1 B -X IO23/1A2 36 -1050 -600 150 R 50 50 1 1 B -X IO24/1A1 37 -1050 -700 150 R 50 50 1 1 B -X IO25/1A0 38 -1050 -800 150 R 50 50 1 1 B -X Vcc 39 -150 2500 150 D 50 50 1 1 W -X IO0/0A12 4 -1050 2200 150 R 50 50 1 1 B -X GND 40 -150 -2500 150 U 50 50 1 1 W -X GND 41 -50 -2500 150 U 50 50 1 1 W -X Vcc 42 -50 2500 150 D 50 50 1 1 W -X IO26/2A0 43 -1050 -1000 150 R 50 50 1 1 B -X IO27/2A1 44 -1050 -1100 150 R 50 50 1 1 B -X IO28/2A2 45 -1050 -1200 150 R 50 50 1 1 B -X IO29/2A3 46 -1050 -1300 150 R 50 50 1 1 B -X IO30/2A4 47 -1050 -1400 150 R 50 50 1 1 B -X IO31/2A5 48 -1050 -1500 150 R 50 50 1 1 B -X IO32/2A6 49 -1050 -1600 150 R 50 50 1 1 B -X IO1/0B13 5 -1050 2100 150 R 50 50 1 1 B -X IO33/2A7 50 -1050 -1700 150 R 50 50 1 1 B -X GND 51 50 -2500 150 U 50 50 1 1 W -X GND 52 150 -2500 150 U 50 50 1 1 W -X TMS 53 1050 -1900 150 L 50 50 1 1 I -X IO34/2A12 54 1050 -1700 150 L 50 50 1 1 B -X IO35/2B13 55 1050 -1600 150 L 50 50 1 1 B -X IO36/2B12 56 1050 -1500 150 L 50 50 1 1 B -X IO37/2B11 57 1050 -1400 150 L 50 50 1 1 B -X IO38/2B8 58 1050 -1300 150 L 50 50 1 1 B -X IO39/2B7 59 1050 -1200 150 L 50 50 1 1 B -X IO2/0B12 6 -1050 2000 150 R 50 50 1 1 B -X IO40/2B4 60 1050 -1100 150 L 50 50 1 1 B -X IO41/2B3 61 1050 -1000 150 L 50 50 1 1 B -X IO42/2B2 62 1050 -900 150 L 50 50 1 1 B -X CLK2 63 1050 -800 150 L 50 50 1 1 I -X Vcc 64 50 2500 150 D 50 50 1 1 W -X Vcc 65 150 2500 150 D 50 50 1 1 W -X GND 66 250 -2500 150 U 50 50 1 1 W -X GND 67 350 -2500 150 U 50 50 1 1 W -X CLK3 68 1050 -600 150 L 50 50 1 1 I -X IO43/3B2 69 1050 -500 150 L 50 50 1 1 B -X IO3/0B11 7 -1050 1900 150 R 50 50 1 1 B -X IO44/3B3 70 1050 -400 150 L 50 50 1 1 B -X IO45/3B4 71 1050 -300 150 L 50 50 1 1 B -X IO46/3B7 72 1050 -200 150 L 50 50 1 1 B -X IO47/3B8 73 1050 -100 150 L 50 50 1 1 B -X IO48/3B11 74 1050 0 150 L 50 50 1 1 B -X IO49/3B12 75 1050 100 150 L 50 50 1 1 B -X IO50/3B13 76 1050 200 150 L 50 50 1 1 B -X IO51/3A12 77 1050 300 150 L 50 50 1 1 B -X TDO 78 1050 -2000 150 L 50 50 1 1 I -X GND 79 450 -2500 150 U 50 50 1 1 W -X IO4/0B8 8 -1050 1800 150 R 50 50 1 1 B -X GND 80 550 -2500 150 U 50 50 1 1 W -X IO52/3A7 81 1050 600 150 L 50 50 1 1 B -X IO53/3A6 82 1050 700 150 L 50 50 1 1 B -X IO54/3A5 83 1050 800 150 L 50 50 1 1 B -X IO55/3A4 84 1050 900 150 L 50 50 1 1 B -X IO56/3A3 85 1050 1000 150 L 50 50 1 1 B -X IO57/3A2 86 1050 1100 150 L 50 50 1 1 B -X IO58/3A1 87 1050 1200 150 L 50 50 1 1 B -X IO59/3A0 88 1050 1300 150 L 50 50 1 1 B -X Vcc 89 250 2500 150 D 50 50 1 1 W -X IO5/0B7 9 -1050 1700 150 R 50 50 1 1 B -X GND 90 650 -2500 150 U 50 50 1 1 W -X GND 91 750 -2500 150 U 50 50 1 1 W -X Vcc 92 350 2500 150 D 50 50 1 1 W -X IO60/0A0 93 1050 1500 150 L 50 50 1 1 B -X IO61/0A1 94 1050 1600 150 L 50 50 1 1 B -X IO62/0A2 95 1050 1700 150 L 50 50 1 1 B -X IO63/0A3 96 1050 1800 150 L 50 50 1 1 B -X IO64/0A4 97 1050 1900 150 L 50 50 1 1 B -X IO65/0A5 98 1050 2000 150 L 50 50 1 1 B -X IO66/0A6 99 1050 2100 150 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# M5LV-TQFP-144 -# -DEF M5LV-TQFP-144 U 0 40 Y Y 1 F N -F0 "U" 50 2600 50 H V C CNN -F1 "M5LV-TQFP-144" 50 2500 50 H V C CNN -F2 "stdpads:TQFP-144" 0 500 40 H I C CNN -F3 "" 0 500 50 H I C CNN -DRAW -S 1200 -3250 -1200 3250 0 1 10 f -X TDI 1 -1350 -2800 150 R 50 50 1 1 I -X IO7/0B11 10 -1350 2400 150 R 50 50 1 1 B -X IO71/3B12 100 1350 -400 150 L 50 50 1 1 B -X IO72/3B13 101 1350 -300 150 L 50 50 1 1 B -X GND 102 450 -3400 150 U 50 50 1 1 W -X IO73/3A12 103 1350 -200 150 L 50 50 1 1 B -X IO74/3A11 104 1350 -100 150 L 50 50 1 1 B -X IO75/3A10 105 1350 0 150 L 50 50 1 1 B -X IO76/3A9 106 1350 100 150 L 50 50 1 1 B -X IO77/3A8 107 1350 200 150 L 50 50 1 1 B -X TDO 108 1350 -2900 150 L 50 50 1 1 I -X GND 109 550 -3400 150 U 50 50 1 1 W -X IO8/0B8 11 -1350 2300 150 R 50 50 1 1 B -X Vcc 110 150 3400 150 D 50 50 1 1 W -X IO78/3A7 111 1350 500 150 L 50 50 1 1 B -X IO79/3A6 112 1350 600 150 L 50 50 1 1 B -X IO80/3A5 113 1350 700 150 L 50 50 1 1 B -X IO81/3A4 114 1350 800 150 L 50 50 1 1 B -X IO82/3A3 115 1350 900 150 L 50 50 1 1 B -X IO83/3A2 116 1350 1000 150 L 50 50 1 1 B -X IO84/3A1 117 1350 1100 150 L 50 50 1 1 B -X GND 118 650 -3400 150 U 50 50 1 1 W -X IO85/3D3 119 1350 1200 150 L 50 50 1 1 B -X GND 12 -950 -3400 150 U 50 50 1 1 W -X IO86/3D4 120 1350 1300 150 L 50 50 1 1 B -X IO87/3D7 121 1350 1400 150 L 50 50 1 1 B -X IO88/3D8 122 1350 1500 150 L 50 50 1 1 B -X IO89/3D11 123 1350 1600 150 L 50 50 1 1 B -X IO90/3D12 124 1350 1700 150 L 50 50 1 1 B -X Vcc 125 250 3400 150 D 50 50 1 1 W -X GND 126 750 -3400 150 U 50 50 1 1 W -X GND 127 850 -3400 150 U 50 50 1 1 W -X Vcc 128 350 3400 150 D 50 50 1 1 W -X IO91/0D12 129 1350 1900 150 L 50 50 1 1 B -X IO9/0B5 13 -1350 2200 150 R 50 50 1 1 B -X IO92/0D11 130 1350 2000 150 L 50 50 1 1 B -X IO93/0D8 131 1350 2100 150 L 50 50 1 1 B -X IO94/0D7 132 1350 2200 150 L 50 50 1 1 B -X IO95/0D4 133 1350 2300 150 L 50 50 1 1 B -X IO96/0D3 134 1350 2400 150 L 50 50 1 1 B -X GND 135 950 -3400 150 U 50 50 1 1 W -X IO97/0A1 136 1350 2500 150 L 50 50 1 1 B -X IO98/0A2 137 1350 2600 150 L 50 50 1 1 B -X IO99/0A3 138 1350 2700 150 L 50 50 1 1 B -X IO100/0A4 139 1350 2800 150 L 50 50 1 1 B -X IO10/0B4 14 -1350 2100 150 R 50 50 1 1 B -X IO101/0A5 140 1350 2900 150 L 50 50 1 1 B -X IO102/0A6 141 1350 3000 150 L 50 50 1 1 B -X IO103/0A7 142 1350 3100 150 L 50 50 1 1 B -X Vcc 143 450 3400 150 D 50 50 1 1 W -X GND 144 1050 -3400 150 U 50 50 1 1 W -X IO11/0B3 15 -1350 2000 150 R 50 50 1 1 B -X IO12/0B2 16 -1350 1900 150 R 50 50 1 1 B -X I0/CLK0 17 -1350 1800 150 R 50 50 1 1 I -X Vcc 18 -450 3400 150 D 50 50 1 1 W -X GND 19 -850 -3400 150 U 50 50 1 1 W -X IO0/0A8 2 -1350 3100 150 R 50 50 1 1 B -X I1/CLK1 20 -1350 1600 150 R 50 50 1 1 I -X IO13/1B2 21 -1350 1500 150 R 50 50 1 1 B -X IO14/1B3 22 -1350 1400 150 R 50 50 1 1 B -X IO15/1B4 23 -1350 1300 150 R 50 50 1 1 B -X IO16/1B5 24 -1350 1200 150 R 50 50 1 1 B -X GND 25 -750 -3400 150 U 50 50 1 1 W -X IO17/1B8 26 -1350 1100 150 R 50 50 1 1 B -X IO18/1B11 27 -1350 1000 150 R 50 50 1 1 B -X IO19/1B12 28 -1350 900 150 R 50 50 1 1 B -X IO20/1B13 29 -1350 800 150 R 50 50 1 1 B -X IO1/0A9 3 -1350 3000 150 R 50 50 1 1 B -X GND 30 -650 -3400 150 U 50 50 1 1 W -X IO21/1A12 31 -1350 700 150 R 50 50 1 1 B -X IO22/1A11 32 -1350 600 150 R 50 50 1 1 B -X IO23/1A10 33 -1350 500 150 R 50 50 1 1 B -X IO24/1A9 34 -1350 400 150 R 50 50 1 1 B -X IO25/1A8 35 -1350 300 150 R 50 50 1 1 B -X TCK 36 -1350 -2900 150 R 50 50 1 1 I -X GND 37 -550 -3400 150 U 50 50 1 1 W -X Vcc 38 -350 3400 150 D 50 50 1 1 W -X IO26/1A7 39 -1350 0 150 R 50 50 1 1 B -X IO2/0A10 4 -1350 2900 150 R 50 50 1 1 B -X IO27/1A6 40 -1350 -100 150 R 50 50 1 1 B -X IO28/1A5 41 -1350 -200 150 R 50 50 1 1 B -X IO29/1A4 42 -1350 -300 150 R 50 50 1 1 B -X IO30/1A3 43 -1350 -400 150 R 50 50 1 1 B -X IO31/1A2 44 -1350 -500 150 R 50 50 1 1 B -X IO32/1A1 45 -1350 -600 150 R 50 50 1 1 B -X GND 46 -450 -3400 150 U 50 50 1 1 W -X IO33/1D3 47 -1350 -700 150 R 50 50 1 1 B -X IO34/1D4 48 -1350 -800 150 R 50 50 1 1 B -X IO35/1D7 49 -1350 -900 150 R 50 50 1 1 B -X IO3/0A11 5 -1350 2800 150 R 50 50 1 1 B -X IO36/1D8 50 -1350 -1000 150 R 50 50 1 1 B -X IO37/1D11 51 -1350 -1100 150 R 50 50 1 1 B -X IO38/1D12 52 -1350 -1200 150 R 50 50 1 1 B -X Vcc 53 -250 3400 150 D 50 50 1 1 W -X GND 54 -350 -3400 150 U 50 50 1 1 W -X GND 55 -250 -3400 150 U 50 50 1 1 W -X Vcc 56 -150 3400 150 D 50 50 1 1 W -X IO39/2D12 57 -1350 -1400 150 R 50 50 1 1 B -X IO40/2D11 58 -1350 -1500 150 R 50 50 1 1 B -X IO41/2D8 59 -1350 -1600 150 R 50 50 1 1 B -X IO4/0A12 6 -1350 2700 150 R 50 50 1 1 B -X IO42/2D7 60 -1350 -1700 150 R 50 50 1 1 B -X IO43/2D4 61 -1350 -1800 150 R 50 50 1 1 B -X IO44/2D3 62 -1350 -1900 150 R 50 50 1 1 B -X GND 63 -150 -3400 150 U 50 50 1 1 W -X IO45/2A1 64 -1350 -2000 150 R 50 50 1 1 B -X IO46/2A2 65 -1350 -2100 150 R 50 50 1 1 B -X IO47/2A3 66 -1350 -2200 150 R 50 50 1 1 B -X IO48/2A4 67 -1350 -2300 150 R 50 50 1 1 B -X IO49/2A5 68 -1350 -2400 150 R 50 50 1 1 B -X IO50/2A6 69 -1350 -2500 150 R 50 50 1 1 B -X GND 7 -1050 -3400 150 U 50 50 1 1 W -X IO51/2A7 70 -1350 -2600 150 R 50 50 1 1 B -X Vcc 71 -50 3400 150 D 50 50 1 1 W -X GND 72 -50 -3400 150 U 50 50 1 1 W -X TMS 73 1350 -2800 150 L 50 50 1 1 I -X IO52/2A8 74 1350 -2600 150 L 50 50 1 1 B -X IO53/2A9 75 1350 -2500 150 L 50 50 1 1 B -X IO54/2A10 76 1350 -2400 150 L 50 50 1 1 B -X IO55/2A11 77 1350 -2300 150 L 50 50 1 1 B -X IO56/2A12 78 1350 -2200 150 L 50 50 1 1 B -X GND 79 50 -3400 150 U 50 50 1 1 W -X IO5/0B13 8 -1350 2600 150 R 50 50 1 1 B -X IO57/2B13 80 1350 -2100 150 L 50 50 1 1 B -X IO58/2B12 81 1350 -2000 150 L 50 50 1 1 B -X IO59/2B11 82 1350 -1900 150 L 50 50 1 1 B -X IO60/2B8 83 1350 -1800 150 L 50 50 1 1 B -X GND 84 150 -3400 150 U 50 50 1 1 W -X IO61/2B5 85 1350 -1700 150 L 50 50 1 1 B -X IO62/2B4 86 1350 -1600 150 L 50 50 1 1 B -X IO63/2B3 87 1350 -1500 150 L 50 50 1 1 B -X IO64/2B2 88 1350 -1400 150 L 50 50 1 1 B -X I3/CLK3 89 1350 -1300 150 L 50 50 1 1 I -X IO6/0B12 9 -1350 2500 150 R 50 50 1 1 B -X Vcc 90 50 3400 150 D 50 50 1 1 W -X GND 91 250 -3400 150 U 50 50 1 1 W -X I1/CLK1 92 1350 -1100 150 L 50 50 1 1 I -X IO65/3B2 93 1350 -1000 150 L 50 50 1 1 B -X IO66/3B3 94 1350 -900 150 L 50 50 1 1 B -X IO67/3B4 95 1350 -800 150 L 50 50 1 1 B -X IO68/3B5 96 1350 -700 150 L 50 50 1 1 B -X GND 97 350 -3400 150 U 50 50 1 1 W -X IO69/3B8 98 1350 -600 150 L 50 50 1 1 B -X IO70/3B11 99 1350 -500 150 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# SLG46620G -# -DEF SLG46620G U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "SLG46620G" 0 -600 50 H V C CNN -F2 "stdpads:TSSOP-20_4.4mm" 0 -700 50 H I C CNN -F3 "" -50 550 50 H I C CNN -DRAW -S -600 550 600 -550 0 1 10 f -X Vdd 1 -750 450 150 R 50 50 1 1 W -X IOZ4/AC01234- 10 -750 -450 150 R 50 50 1 1 T -X GND 11 750 -450 150 L 50 50 1 1 W -X IO4/AC1+ 12 750 -350 150 L 50 50 1 1 T -X IOZ/AC23+ 13 750 -250 150 L 50 50 1 1 C -X IOZ/AC2- 14 750 -150 150 L 50 50 1 1 I -X IO/AC34+ 15 750 -50 150 L 50 50 1 1 B -X IOZ/AIN/CNT 16 750 50 150 L 50 50 1 1 B -X IO/Vref 17 750 150 150 L 50 50 1 1 B -X IOZ/VrefO2 18 750 250 150 L 50 50 1 1 B -X IOZ/VrefO1 19 750 350 150 L 50 50 1 1 B -X GPI/RST/CLK 2 -750 350 150 R 50 50 1 1 I -X IO 20 750 450 150 L 50 50 1 1 B -X IOZ/AC4+ 3 -750 250 150 R 50 50 1 1 B -X IO/AC5+ 4 -750 150 150 R 50 50 1 1 B -X IOZ/AC5- 5 -750 50 150 R 50 50 1 1 B -X IO/AC01234+ 6 -750 -50 150 R 50 50 1 1 B -X IOZ/AC01-/PGA 7 -750 -150 150 R 50 50 1 1 B -X IO/POR/PGA+ 8 -750 -250 150 R 50 50 1 1 W -X IOZ/PGA- 9 -750 -350 150 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# SLG46826G -# -DEF SLG46826G U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "SLG46826G" 0 -600 50 H V C CNN -F2 "stdpads:TSSOP-20_4.4mm" 0 -700 50 H I C CNN -F3 "" -50 550 50 H I C CNN -DRAW -S -250 550 250 -550 0 1 10 f -X IOZ14 1 -400 450 150 R 50 50 1 1 B -X GND 10 -400 -450 150 R 50 50 1 1 W -X O6 11 400 -450 150 L 50 50 1 1 T -X SDA 12 400 -350 150 L 50 50 1 1 C -X SCL 13 400 -250 150 L 50 50 1 1 I -X IOZ5 14 400 -150 150 L 50 50 1 1 B -X IOZ4 15 400 -50 150 L 50 50 1 1 B -X IO3 16 400 50 150 L 50 50 1 1 B -X IO2 17 400 150 150 L 50 50 1 1 B -X IOZ1 18 400 250 150 L 50 50 1 1 B -X IO0 19 400 350 150 L 50 50 1 1 B -X IOZ13 2 -400 350 150 R 50 50 1 1 B -X Vdd 20 400 450 150 L 50 50 1 1 W -X IOZ12 3 -400 250 150 R 50 50 1 1 B -X IOZ11 4 -400 150 150 R 50 50 1 1 B -X IOZ10 5 -400 50 150 R 50 50 1 1 B -X IOZ9 6 -400 -50 150 R 50 50 1 1 B -X Vdd2 7 -400 -150 150 R 50 50 1 1 W -X IOZ8 8 -400 -250 150 R 50 50 1 1 B -X O7 9 -400 -350 150 R 50 50 1 1 T -ENDDRAW -ENDDEF -# -# iCE40HX1K-VQ100 -# -DEF iCE40HX1K-VQ100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "iCE40HX1K-VQ100" 0 0 40 H V C TNN -F2 "stdpads:TQFP-100" 0 -100 40 H I C CNN -F3 "" 0 100 50 H I C CNN -DRAW -S -850 2200 850 -2200 0 1 10 f -X IOL_1A 1 -1050 1800 200 R 50 50 1 1 B -X IOL_5B 10 -1050 1100 200 R 50 50 1 1 B -X IOT_95 100 1050 1900 200 L 50 50 1 1 B -X Vcc 11 450 2400 200 D 50 50 1 1 W -X IOL_6A 12 -1050 1000 200 R 50 50 1 1 B -X IOL_6B_GBIN7 13 -1050 900 200 R 50 50 1 1 B -X VccIO3 14 250 2400 200 D 50 50 1 1 W -X IOL_7A_GBIN6 15 -1050 800 200 R 50 50 1 1 B -X IOL_7B 16 -1050 700 200 R 50 50 1 1 B -X GND 17 300 -2400 200 U 50 50 1 1 W -X IOL_8A 18 -1050 600 200 R 50 50 1 1 B -X IOL_8B 19 -1050 500 200 R 50 50 1 1 B -X IOL_1B 2 -1050 1700 200 R 50 50 1 1 B -X IOL_10A 20 -1050 400 200 R 50 50 1 1 B -X IOL_10B 21 -1050 300 200 R 50 50 1 1 B -X VccIO3 22 350 2400 200 D 50 50 1 1 W -X GND 23 400 -2400 200 U 50 50 1 1 W -X IOL_12A 24 -1050 200 200 R 50 50 1 1 B -X IOL_12B 25 -1050 100 200 R 50 50 1 1 B -X IOB_26 26 -1050 -100 200 R 50 50 1 1 B -X IOB_27 27 -1050 -200 200 R 50 50 1 1 B -X IOB_28 28 -1050 -300 200 R 50 50 1 1 B -X IOB_29 29 -1050 -400 200 R 50 50 1 1 B -X IOL_2A 3 -1050 1600 200 R 50 50 1 1 B -X IOB_30 30 -1050 -500 200 R 50 50 1 1 B -X VccIO2 31 -50 2400 200 D 50 50 1 1 W -X GND 32 -300 -2400 200 U 50 50 1 1 W -X IOB_35_GBIN5 33 -1050 -600 200 R 50 50 1 1 B -X IOB_36_GBIN4 34 -1050 -700 200 R 50 50 1 1 B -X Vcc 35 550 2400 200 D 50 50 1 1 W -X IOB_34 36 -1050 -800 200 R 50 50 1 1 B -X IOB_37 37 -1050 -900 200 R 50 50 1 1 B -X VccIO2 38 50 2400 200 D 50 50 1 1 W -X GND 39 -200 -2400 200 U 50 50 1 1 W -X IOL_2B 4 -1050 1500 200 R 50 50 1 1 B -X IOB_41 40 -1050 -1000 200 R 50 50 1 1 B -X IOB_42_CBSEL0 41 -1050 -1100 200 R 50 50 1 1 B -X IOB_43_CBSEL1 42 -1050 -1200 200 R 50 50 1 1 B -X CDONE 43 -1050 -1900 200 R 50 50 1 1 I -X ~CRST~ 44 -1050 -2100 200 R 50 50 1 1 I -X IOB_44_SDO 45 -1050 -1400 200 R 50 50 1 1 B -X IOB_45_SDI 46 -1050 -1500 200 R 50 50 1 1 B -X GND 47 -100 -2400 200 U 50 50 1 1 W -X IOB_46_SCK 48 -1050 -1600 200 R 50 50 1 1 B -X IOB_47_SS 49 -1050 -1700 200 R 50 50 1 1 B -X GND 5 -400 -2400 200 U 50 50 1 1 W -X VccSPI 50 -550 2400 200 D 50 50 1 1 W -X IOR_52 51 1050 -1900 200 L 50 50 1 1 B -X IOR_53 52 1050 -1800 200 L 50 50 1 1 B -X IOR_54 53 1050 -1700 200 L 50 50 1 1 B -X IOR_55 54 1050 -1600 200 L 50 50 1 1 B -X GND 55 0 -2400 200 U 50 50 1 1 W -X IOR_56 56 1050 -1500 200 L 50 50 1 1 B -X IOR_57 57 1050 -1400 200 L 50 50 1 1 B -X VccIO1 58 -250 2400 200 D 50 50 1 1 W -X IOR_58 59 1050 -1300 200 L 50 50 1 1 B -X VccIO3 6 150 2400 200 D 50 50 1 1 W -X IOR_59 60 1050 -1200 200 L 50 50 1 1 B -X Vcc 61 650 2400 200 D 50 50 1 1 W -X IOR_60_GBIN3 62 1050 -1100 200 L 50 50 1 1 B -X IOR_61_GBIN2 63 1050 -1000 200 L 50 50 1 1 B -X IOR_63 64 1050 -900 200 L 50 50 1 1 B -X IOR_64 65 1050 -800 200 L 50 50 1 1 B -X IOR_65 66 1050 -700 200 L 50 50 1 1 B -X VccIO1 67 -150 2400 200 D 50 50 1 1 W -X IOR_67 68 1050 -600 200 L 50 50 1 1 B -X IOR_68 69 1050 -500 200 L 50 50 1 1 B -X IOL_3A 7 -1050 1400 200 R 50 50 1 1 B -X GND 70 100 -2400 200 U 50 50 1 1 W -X IOR_69 71 1050 -400 200 L 50 50 1 1 B -X IOR_70 72 1050 -300 200 L 50 50 1 1 B -X IOR_71 73 1050 -200 200 L 50 50 1 1 B -X IOR_72 74 1050 -100 200 L 50 50 1 1 B -X Vpp2V5 75 -750 2400 200 D 50 50 1 1 W -X VppFast 76 -650 2400 200 D 50 50 1 1 W -X Vcc 77 750 2400 200 D 50 50 1 1 W -X IOT_73 78 1050 100 200 L 50 50 1 1 B -X IOT_74 79 1050 200 200 L 50 50 1 1 B -X IOL_3B 8 -1050 1300 200 R 50 50 1 1 B -X IOT_75 80 1050 300 200 L 50 50 1 1 B -X IOT_77 81 1050 400 200 L 50 50 1 1 B -X IOT_78 82 1050 500 200 L 50 50 1 1 B -X IOT_79 83 1050 600 200 L 50 50 1 1 B -X GND 84 500 -2400 200 U 50 50 1 1 W -X IOT_80 85 1050 700 200 L 50 50 1 1 B -X IOT_81 86 1050 800 200 L 50 50 1 1 B -X IOT_82 87 1050 900 200 L 50 50 1 1 B -X VccIO0 88 -350 2400 200 D 50 50 1 1 W -X IOT_84_GBIN1 89 1050 1000 200 L 50 50 1 1 B -X IOL_5A 9 -1050 1200 200 R 50 50 1 1 B -X IOT_85_GBIN0 90 1050 1100 200 L 50 50 1 1 B -X IOT_86 91 1050 1200 200 L 50 50 1 1 B -X VccIO0 92 -450 2400 200 D 50 50 1 1 W -X IOT_87 93 1050 1300 200 L 50 50 1 1 B -X IOT_88 94 1050 1400 200 L 50 50 1 1 B -X IOT_89 95 1050 1500 200 L 50 50 1 1 B -X IOT_90 96 1050 1600 200 L 50 50 1 1 B -X IOT_91 97 1050 1700 200 L 50 50 1 1 B -X GND 98 200 -2400 200 U 50 50 1 1 W -X IOT_93 99 1050 1800 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# iCE40HX4K-TQFP-144 -# -DEF iCE40HX4K-TQFP-144 U 0 40 Y Y 7 L N -F0 "U" 0 750 50 H V C CNN -F1 "iCE40HX4K-TQFP-144" -150 650 50 V V R CNN -F2 "stdpads:TQFP-144" -250 650 50 V I R TNN -F3 "" 0 0 50 H I C CNN -DRAW -S 500 700 -100 -2800 1 1 10 f -S -100 700 500 -1800 2 1 10 f -S -100 700 500 -3100 3 1 10 f -S -100 700 500 -2900 4 1 10 f -S 600 700 -100 -300 5 1 10 f -S -100 700 300 -1300 6 1 10 f -S 100 700 -100 -100 7 1 10 f -X IOL2A 1 700 300 200 L 50 50 1 1 B -X IOL5B 10 700 -400 200 L 50 50 1 1 B -X IOL8A 11 700 -500 200 L 50 50 1 1 B -X IOL8B 12 700 -600 200 L 50 50 1 1 B -X IOL10A 15 700 -800 200 L 50 50 1 1 B -X IOL10B 16 700 -900 200 L 50 50 1 1 B -X IOL12A 17 700 -1000 200 L 50 50 1 1 B -X IOL12B 18 700 -1100 200 L 50 50 1 1 B -X IOL13A 19 700 -1200 200 L 50 50 1 1 B -X IOL2B 2 700 200 200 L 50 50 1 1 B -X IOL13B/GBIN7 20 700 -1300 200 L 50 50 1 1 B -X IOL14A/GBIN6 21 700 -1400 200 L 50 50 1 1 B -X IOL14B 22 700 -1500 200 L 50 50 1 1 B -X IOL17A 23 700 -1600 200 L 50 50 1 1 B -X IOL17B 24 700 -1700 200 L 50 50 1 1 B -X IOL18A 25 700 -1800 200 L 50 50 1 1 B -X IOL18B 26 700 -1900 200 L 50 50 1 1 B -X IOL23A 28 700 -2100 200 L 50 50 1 1 B -X IOL23B 29 700 -2200 200 L 50 50 1 1 B -X IOL3A 3 700 100 200 L 50 50 1 1 B -X VccIO3 30 700 500 200 L 50 50 1 1 W -X IOL24A 31 700 -2400 200 L 50 50 1 1 B -X IOL24B 32 700 -2500 200 L 50 50 1 1 B -X IOL25A 33 700 -2600 200 L 50 50 1 1 B -X IOL25B 34 700 -2700 200 L 50 50 1 1 B -X IOL3B 4 700 0 200 L 50 50 1 1 B -X VccIO3 6 700 600 200 L 50 50 1 1 W -X IOL4A 7 700 -100 200 L 50 50 1 1 B -X IOL4B 8 700 -200 200 L 50 50 1 1 B -X IOL5A 9 700 -300 200 L 50 50 1 1 B -X IOB56 37 700 300 200 L 50 50 2 1 B -X IOB57 38 700 200 200 L 50 50 2 1 B -X IOB61 39 700 100 200 L 50 50 2 1 B -X IOB63 41 700 -100 200 L 50 50 2 1 B -X IOB64 42 700 -200 200 L 50 50 2 1 B -X IOB71 43 700 -300 200 L 50 50 2 1 B -X IOB72 44 700 -400 200 L 50 50 2 1 B -X IOB73 45 700 -500 200 L 50 50 2 1 B -X VccIO2 46 700 600 200 L 50 50 2 1 B -X IOB79 47 700 -700 200 L 50 50 2 1 B -X IOB80 48 700 -800 200 L 50 50 2 1 B -X IOB81/GBIN5 49 700 -900 200 L 50 50 2 1 B -X IOB82/GBIN4 52 700 -1000 200 L 50 50 2 1 B -X IOB91 55 700 -1200 200 L 50 50 2 1 B -X IOB94 56 700 -1300 200 L 50 50 2 1 B -X VccIO2 57 700 500 200 L 50 50 2 1 B -X IOB95 60 700 -1500 200 L 50 50 2 1 B -X IOB96 61 700 -1600 200 L 50 50 2 1 B -X IOB102 62 700 -1700 200 L 50 50 2 1 B -X VccIO1 100 700 500 200 L 50 50 3 1 B -X IOR160 101 700 -2400 200 L 50 50 3 1 B -X IOR161 102 700 -2500 200 L 50 50 3 1 B -X IOR164 104 700 -2700 200 L 50 50 3 1 B -X IOR165 105 700 -2800 200 L 50 50 3 1 B -X IOR166 106 700 -2900 200 L 50 50 3 1 B -X IOR167 107 700 -3000 200 L 50 50 3 1 B -X IOR109 73 700 300 200 L 50 50 3 1 B -X IOR110 74 700 200 200 L 50 50 3 1 B -X IOR111 75 700 100 200 L 50 50 3 1 B -X IOR112 76 700 0 200 L 50 50 3 1 B -X IOR114 78 700 -100 200 L 50 50 3 1 B -X IOR115 79 700 -200 200 L 50 50 3 1 B -X IOR116 80 700 -300 200 L 50 50 3 1 B -X IOR117 81 700 -400 200 L 50 50 3 1 B -X IOR118 82 700 -500 200 L 50 50 3 1 B -X IOR119 83 700 -600 200 L 50 50 3 1 B -X IOR120 84 700 -700 200 L 50 50 3 1 B -X IOR128 85 700 -800 200 L 50 50 3 1 B -X IOR136 87 700 -1000 200 L 50 50 3 1 B -X IOR137 88 700 -1100 200 L 50 50 3 1 B -X VccIO1 89 700 600 200 L 50 50 3 1 B -X IOR138 90 700 -1300 200 L 50 50 3 1 B -X IOR139 91 700 -1400 200 L 50 50 3 1 B -X IOR140/GBIN3 93 700 -1600 200 L 50 50 3 1 B -X IOR141/GBIN2 94 700 -1700 200 L 50 50 3 1 B -X IOR144 95 700 -1800 200 L 50 50 3 1 B -X IOR146 96 700 -1900 200 L 50 50 3 1 B -X IOR147 97 700 -2000 200 L 50 50 3 1 B -X IOR148 98 700 -2100 200 L 50 50 3 1 B -X IOR152 99 700 -2200 200 L 50 50 3 1 B -X IOT168 110 700 300 200 L 50 50 4 1 B -X IOT169 112 700 100 200 L 50 50 4 1 B -X IOT170 113 700 0 200 L 50 50 4 1 B -X IOT171 114 700 -100 200 L 50 50 4 1 B -X IOT172 115 700 -200 200 L 50 50 4 1 B -X IOT173 116 700 -300 200 L 50 50 4 1 B -X IOT174 117 700 -400 200 L 50 50 4 1 B -X IOT177 118 700 -500 200 L 50 50 4 1 B -X IOT178 119 700 -600 200 L 50 50 4 1 B -X IOT179 120 700 -700 200 L 50 50 4 1 B -X IOT181 121 700 -800 200 L 50 50 4 1 B -X IOT190 122 700 -900 200 L 50 50 4 1 B -X VccIO0 123 700 600 200 L 50 50 4 1 W -X IOT191 124 700 -1100 200 L 50 50 4 1 B -X IOT192 125 700 -1200 200 L 50 50 4 1 B -X IOT197/GBIN1 128 700 -1400 200 L 50 50 4 1 B -X IOT198/GBIN0 129 700 -1500 200 L 50 50 4 1 B -X IOT206 130 700 -1600 200 L 50 50 4 1 B -X VccIO0 131 700 500 200 L 50 50 4 1 W -X IOT212 134 700 -1800 200 L 50 50 4 1 B -X IOT213 135 700 -1900 200 L 50 50 4 1 B -X IOT214 136 700 -2000 200 L 50 50 4 1 B -X IOT215 137 700 -2100 200 L 50 50 4 1 B -X IOT216 138 700 -2200 200 L 50 50 4 1 B -X IOT217 139 700 -2300 200 L 50 50 4 1 B -X IOT219 141 700 -2500 200 L 50 50 4 1 B -X IOT220 142 700 -2600 200 L 50 50 4 1 B -X IOT221 143 700 -2700 200 L 50 50 4 1 B -X IOT222 144 700 -2800 200 L 50 50 4 1 B -X IOB103/CBSEL0 63 800 500 200 L 50 50 5 1 I -X IOB104/CBSEL1 64 800 400 200 L 50 50 5 1 I -X CDONE 65 800 300 200 L 50 50 5 1 O -X CRESET_B 66 800 200 200 L 50 50 5 1 I -X IOB105/SDO 67 800 100 200 L 50 50 5 1 O -X IOB106/SDI 68 800 0 200 L 50 50 5 1 I -X IOB107/SCK 70 800 -100 200 L 50 50 5 1 B -X IOB108/~SS~ 71 800 -200 200 L 50 50 5 1 I -X VccSPI 72 800 600 200 L 50 50 5 1 W -X GND 103 500 -500 200 L 50 50 6 1 W -X Vpp2V5 108 500 -600 200 L 50 50 6 1 W -X VppFast 109 500 -700 200 L 50 50 6 1 W -X Vcc 111 500 -800 200 L 50 50 6 1 W -X VccPLL1 126 500 -900 200 L 50 50 6 1 W -X GNDPLL1 127 500 -1000 200 L 50 50 6 1 W -X GND 13 500 500 200 L 50 50 6 1 W -X GND 132 500 -1100 200 L 50 50 6 1 W -X GND 14 500 400 200 L 50 50 6 1 W -X GND 140 500 -1200 200 L 50 50 6 1 W -X Vcc 27 500 300 200 L 50 50 6 1 W -X Vcc 40 500 200 200 L 50 50 6 1 W -X GND 5 500 600 200 L 50 50 6 1 W -X GNDPLL0 53 500 100 200 L 50 50 6 1 W -X VccPLL0 54 500 0 200 L 50 50 6 1 W -X GND 59 500 -100 200 L 50 50 6 1 W -X GND 69 500 -200 200 L 50 50 6 1 W -X GND 86 500 -300 200 L 50 50 6 1 W -X Vcc 92 500 -400 200 L 50 50 6 1 W -X NC 133 300 0 200 L 50 50 7 1 N -X NC 35 300 600 200 L 50 50 7 1 N -X NC 36 300 500 200 L 50 50 7 1 N -X NC 50 300 400 200 L 50 50 7 1 N -X NC 51 300 300 200 L 50 50 7 1 N -X NC 58 300 200 200 L 50 50 7 1 N -X NC 77 300 100 200 L 50 50 7 1 N -ENDDRAW -ENDDEF -# -#End Library diff --git a/GW_Power.kicad_sym b/GW_Power.kicad_sym new file mode 100644 index 0000000..250986d --- /dev/null +++ b/GW_Power.kicad_sym @@ -0,0 +1,1496 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "0ZCH0075AF2E" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "F" (id 0) (at -1.905 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "0ZCH0075AF2E" (id 1) (at 1.905 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:BelFuse_1210" (id 2) (at 3.81 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "0ZCH0075AF2E_0_1" + (rectangle (start -0.508 1.27) (end 0.508 -1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 1.27) + (xy -1.016 0.762) + (xy 1.016 -0.762) + (xy 1.016 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "0ZCH0075AF2E_1_1" + (pin passive line (at 0 2.54 270) (length 0.635) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.635) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "0ZCJ0010FF2E" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "F" (id 0) (at -1.905 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "0ZCJ0010FF2E" (id 1) (at 1.905 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:BelFuse_1206" (id 2) (at 3.81 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "0ZCJ0010FF2E_0_1" + (rectangle (start -0.508 1.27) (end 0.508 -1.27) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 1.27) + (xy -1.016 0.762) + (xy 1.016 -0.762) + (xy 1.016 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "0ZCJ0010FF2E_1_1" + (pin passive line (at 0 2.54 270) (length 0.635) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.635) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "AP1117-18" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP1117-18" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive obsolete" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 1.8V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AP1117-18_0_1" + (rectangle (start -5.08 -5.08) (end 5.08 1.905) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "AP1117-18_1_1" + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "AMS1117-1.5" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AMS1117-1.5" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 1.5V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AMS1117-1.8" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AMS1117-1.8" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 1.8V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AMS1117-2.5" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AMS1117-2.5" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 2.5V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AMS1117-2.85" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AMS1117-2.85" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 2.85V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AMS1117-3.3" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AMS1117-3.3" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AMS1117-5.0" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AMS1117-5.0" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 5.0V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AP1117-25" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP1117-25" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive obsolete" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 2.5V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AP1117-33" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP1117-33" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive obsolete" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AP1117-50" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP1117-50" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive obsolete" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 5.0V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "LD1117S12TR_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LD1117S12TR_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 1.2V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 1.2V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "LD1117S18TR_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LD1117S18TR_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 1.8V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 1.8V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "LD1117S25TR_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LD1117S25TR_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 2.5V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 2.5V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "LD1117S33TR_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LD1117S33TR_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 3.3V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "LD1117S50TR_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LD1117S50TR_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 5.0V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 5.0V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "NCP1117-1.5_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP1117-1.5_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.onsemi.com/pub_link/Collateral/NCP1117-D.PDF" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 1.5V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low drop-out regulator, Fixed Output 1.5V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "NCP1117-1.8_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP1117-1.8_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.onsemi.com/pub_link/Collateral/NCP1117-D.PDF" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 1.8V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low drop-out regulator, Fixed Output 1.8V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "NCP1117-12_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP1117-12_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.onsemi.com/pub_link/Collateral/NCP1117-D.PDF" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 12V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low drop-out regulator, Fixed Output 12V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "NCP1117-2.0_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP1117-2.0_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.onsemi.com/pub_link/Collateral/NCP1117-D.PDF" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 2V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low drop-out regulator, Fixed Output 2V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "NCP1117-2.5_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP1117-2.5_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.onsemi.com/pub_link/Collateral/NCP1117-D.PDF" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 2.5V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low drop-out regulator, Fixed Output 2.5V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "NCP1117-2.85_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP1117-2.85_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.onsemi.com/pub_link/Collateral/NCP1117-D.PDF" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 2.85V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low drop-out regulator, Fixed Output 2.85V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "NCP1117-3.3_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP1117-3.3_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.onsemi.com/pub_link/Collateral/NCP1117-D.PDF" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 3.3V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low drop-out regulator, Fixed Output 3.3V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "NCP1117-5.0_SOT223" (extends "AP1117-18") + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NCP1117-5.0_SOT223" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.onsemi.com/pub_link/Collateral/NCP1117-D.PDF" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 5V" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low drop-out regulator, Fixed Output 5V, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AP2125" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP2125" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-23" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "AP2125_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "AP2125_1_1" + (pin power_in line (at -11.43 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 2.54 180) (length 5.08) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 2.54 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "AP3417C" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP3417C" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Diodes_SOT-23-5" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "AP3417C_0_1" + (rectangle (start -5.08 5.08) (end 5.08 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "AP3417C_1_1" + (pin input line (at -10.16 0 0) (length 5.08) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 2.54 180) (length 5.08) + (name "LX" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 2.54 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 5.08) + (name "FB" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "AZ1117CH2" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AZ1117CH2" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive obsolete" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 1.5V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AZ1117CH2_0_1" + (rectangle (start -5.08 -5.08) (end 5.08 1.905) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "AZ1117CH2_1_1" + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "BQ21040DBVR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "BQ21040DBVR" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-23-6" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -3.81 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "BQ21040DBVR_0_1" + (rectangle (start -6.35 3.81) (end 6.35 -6.35) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "BQ21040DBVR_1_1" + (pin unspecified line (at 11.43 -1.27 180) (length 5.08) + (name "TS" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 1.27 180) (length 5.08) + (name "Out" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -11.43 -1.27 0) (length 5.08) + (name "~{CHG}" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 11.43 -3.81 180) (length 5.08) + (name "ISet" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -3.81 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 1.27 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MAX40200-SOT23" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MAX40200-SOT23" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (effects (font (size 0.508 0.508))) + ) + (property "Datasheet" "" (id 3) (at 0 -2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "MAX40200-SOT23_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MAX40200-SOT23_1_1" + (pin power_in line (at -11.43 2.54 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 0 0) (length 5.08) + (name "En" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 -2.54 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 2.54 180) (length 5.08) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MIC2005A" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MIC2005A" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Micrel_SOT-23-6" (id 2) (at 0 -7.62 0) + (effects (font (size 0.508 0.508))) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "MIC2005A_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MIC2005A_1_1" + (pin power_in line (at -11.43 2.54 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 0 0) (length 5.08) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 11.43 -2.54 180) (length 5.08) + (name "~{FLT}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 11.43 0 180) (length 5.08) + (name "Cslew" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 2.54 180) (length 5.08) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MIC5353YMT" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MIC5353YMT" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Micrel_TMLF-6_1.6x1.6mm" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -3.81 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "MIC5353YMT_0_1" + (rectangle (start -6.35 3.81) (end 6.35 -6.35) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MIC5353YMT_1_1" + (pin input line (at -11.43 -1.27 0) (length 5.08) + (name "En" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -3.81 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 1.27 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 1.27 180) (length 5.08) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 11.43 -1.27 180) (length 5.08) + (name "Adj" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 -3.81 180) (length 5.08) + (name "BYP" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -3.81 0) (length 5.08) hide + (name "pad" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MIC5365-1.2YC5" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MIC5365-1.2YC5" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Micrel_SOT-353" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -3.81 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "MIC5365-1.2YC5_0_1" + (rectangle (start -6.35 3.81) (end 6.35 -6.35) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MIC5365-1.2YC5_1_1" + (pin power_in line (at -11.43 1.27 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -3.81 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 -1.27 0) (length 5.08) + (name "En" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 -3.81 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 1.27 180) (length 5.08) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MIC5365-1.8YC5" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MIC5365-1.8YC5" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Micrel_SOT-353" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -3.81 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "MIC5365-1.8YC5_0_1" + (rectangle (start -6.35 3.81) (end 6.35 -6.35) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MIC5365-1.8YC5_1_1" + (pin power_in line (at -11.43 1.27 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -3.81 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 -1.27 0) (length 5.08) + (name "En" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 -3.81 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 1.27 180) (length 5.08) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MP2451" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MP2451" (id 1) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-23-6" (id 2) (at 0 -6.35 0) + (effects (font (size 1.016 1.016)) (justify left) hide) + ) + (property "Datasheet" "http://aosmd.com/res/data_sheets/AOZ1280CI.pdf" (id 3) (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "switching buck converter" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1.2 A Simple Buck Regulator, 3-26V input, 1.5Mhz" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MP2451_0_1" + (rectangle (start -7.62 5.08) (end 7.62 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MP2451_1_1" + (pin input line (at 10.16 2.54 180) (length 2.54) + (name "BST" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 2.54) + (name "FB" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 2.54 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 0 180) (length 2.54) + (name "LX" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "AOZ1282CI" (extends "MP2451") + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AOZ1282CI" (id 1) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-23-6" (id 2) (at 0 -6.35 0) + (effects (font (size 1.016 1.016)) (justify left) hide) + ) + (property "Datasheet" "" (id 3) (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "switching buck converter" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1.2 A Simple Buck Regulator, 3-26V input, 1.5Mhz" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "AOZ1282CI-1" (extends "MP2451") + (property "Reference" "U" (id 0) (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AOZ1282CI-1" (id 1) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-23-6" (id 2) (at 0 -6.35 0) + (effects (font (size 1.016 1.016)) (justify left) hide) + ) + (property "Datasheet" "" (id 3) (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "switching buck converter" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1.2 A Simple Buck Regulator, 3-26V input, 1.5Mhz" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Si3437DV" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (id 0) (at -1.27 1.27 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "Si3437DV" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Vishay_SOT-23-6_TSOP-6_AN826" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Si3437DV_0_1" + (polyline + (pts + (xy 0.0508 0) + (xy 0.254 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.778) + (xy 2.54 -1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.27) + (xy 0.762 -2.286) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 0) + (xy 2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 0.508) + (xy 0.762 -0.508) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 1.778) + (xy 2.54 1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 2.286) + (xy 0.762 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -1.778) + (xy 2.54 -2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -1.778) + (xy 2.54 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 2.54) + (xy 2.54 1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.254 1.905) + (xy 0.254 -1.905) + (xy 0.254 -1.905) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 0) + (xy 1.27 -0.381) + (xy 1.27 0.381) + (xy 2.286 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (polyline + (pts + (xy 2.54 -1.778) + (xy 3.302 -1.778) + (xy 3.302 1.778) + (xy 2.54 1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.794 -0.508) + (xy 2.921 -0.381) + (xy 3.683 -0.381) + (xy 3.81 -0.254) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.302 -0.381) + (xy 2.921 0.254) + (xy 3.683 0.254) + (xy 3.302 -0.381) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 1.651 0) (radius 2.8194) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 2.54 -1.778) (radius 0.2794) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + (circle (center 2.54 1.778) (radius 0.2794) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type outline)) + ) + ) + (symbol "Si3437DV_1_1" + (pin open_collector line (at 2.54 5.08 270) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 2.54 5.08 270) (length 2.54) hide + (name "D" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 0 0) (length 5.08) + (name "G" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin open_emitter line (at 2.54 -5.08 90) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 2.54 5.08 270) (length 2.54) hide + (name "D" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 2.54 5.08 270) (length 2.54) hide + (name "D" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TPS71745DCK" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TPS71745DCK" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (effects (font (size 0.508 0.508))) + ) + (property "Datasheet" "" (id 3) (at 0 -2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "TPS71745DCK_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "TPS71745DCK_1_1" + (pin power_in line (at -11.43 2.54 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 0 0) (length 5.08) + (name "En" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 11.43 -2.54 180) (length 5.08) + (name "NR" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 2.54 180) (length 5.08) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TPS73701" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TPS73701" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-223-6" (id 2) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -3.81 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "TPS73701_0_1" + (rectangle (start -6.35 3.81) (end 6.35 -6.35) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "TPS73701_1_1" + (pin power_in line (at -11.43 1.27 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 1.27 180) (length 5.08) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -3.81 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 11.43 -3.81 180) (length 5.08) + (name "FB" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 -1.27 0) (length 5.08) + (name "En" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -3.81 0) (length 5.08) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TS30011-M000QFN" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 16.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TS30011-M000QFN" (id 1) (at 0 -16.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Semtech_QFN-16" (id 2) (at 0 -17.78 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 1.27 1.27 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "TS30011-M000QFN_0_1" + (rectangle (start -6.35 15.24) (end 6.35 -15.24) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "TS30011-M000QFN_1_1" + (pin power_out line (at 11.43 7.62 180) (length 5.08) + (name "Vsw" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 11.43 12.7 180) (length 5.08) + (name "BST" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 7.62 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 5.08 180) (length 5.08) + (name "Vsw" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 2.54 180) (length 5.08) + (name "Vsw" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 0 0) (length 5.08) + (name "PGND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -2.54 0) (length 5.08) + (name "PGND" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 0 180) (length 5.08) + (name "Vsw" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -5.08 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 12.7 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 10.16 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 11.43 -2.54 180) (length 5.08) + (name "FB" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 -5.08 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 11.43 -7.62 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 11.43 -12.7 180) (length 5.08) + (name "PG" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 -12.7 0) (length 5.08) + (name "En" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_Power.lib b/GW_Power.lib deleted file mode 100644 index 8011011..0000000 --- a/GW_Power.lib +++ /dev/null @@ -1,332 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# 0ZCH0075AF2E -# -DEF 0ZCH0075AF2E F 0 0 N Y 1 F N -F0 "F" -75 0 50 V V C CNN -F1 "0ZCH0075AF2E" 75 0 50 V V C CNN -F2 "stdpads:BelFuse_1210" 150 0 50 V I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -20 50 20 -50 0 1 0 N -P 2 0 1 0 0 100 0 -100 N -P 4 0 1 0 -40 50 -40 30 40 -30 40 -50 N -X ~ 1 0 100 25 D 50 50 1 1 P -X ~ 2 0 -100 25 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# 0ZCJ0010FF2E -# -DEF 0ZCJ0010FF2E F 0 0 N Y 1 F N -F0 "F" -75 0 50 V V C CNN -F1 "0ZCJ0010FF2E" 75 0 50 V V C CNN -F2 "stdpads:BelFuse_1206" 150 0 50 V I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -20 50 20 -50 0 1 0 N -P 2 0 1 0 0 100 0 -100 N -P 4 0 1 0 -40 50 -40 30 40 -30 40 -50 N -X ~ 1 0 100 25 D 50 50 1 1 P -X ~ 2 0 -100 25 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# AP1117-18 -# -DEF AP1117-18 U 0 10 Y Y 1 F N -F0 "U" -150 125 50 H V C CNN -F1 "AP1117-18" 0 125 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN -F3 "" 100 -250 50 H I C CNN -ALIAS AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0 -$FPLIST - SOT?223*TabPin2* -$ENDFPLIST -DRAW -S -200 -200 200 75 0 1 10 f -X GND 1 0 -300 100 U 50 50 1 1 W -X VO 2 300 0 100 L 50 50 1 1 w -X VI 3 -300 0 100 R 50 50 1 1 W -ENDDRAW -ENDDEF -# -# AP2125 -# -DEF AP2125 U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "AP2125" 0 -250 50 H V C CNN -F2 "stdpads:SOT-23" 0 -300 50 H I C TNN -F3 "" 0 -100 60 H I C CNN -DRAW -S -250 200 250 -200 0 1 10 f -X GND 1 -450 -100 200 R 50 50 1 1 W -X Vout 2 450 100 200 L 50 50 1 1 w -X Vin 3 -450 100 200 R 50 50 1 1 W -ENDDRAW -ENDDEF -# -# AP3417C -# -DEF AP3417C U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "AP3417C" 0 -250 50 H V C CNN -F2 "stdpads:Diodes_SOT-23-5" 0 -300 50 H I C TNN -F3 "" 0 0 60 H I C CNN -DRAW -S -200 200 200 -200 0 1 10 f -X EN 1 -400 0 200 R 50 50 1 1 I -X GND 2 -400 -100 200 R 50 50 1 1 W -X LX 3 400 100 200 L 50 50 1 1 w -X Vin 4 -400 100 200 R 50 50 1 1 W -X FB 5 400 -100 200 L 50 50 1 1 I -ENDDRAW -ENDDEF -# -# AZ1117CH2 -# -DEF AZ1117CH2 U 0 10 Y Y 1 F N -F0 "U" -150 125 50 H V C CNN -F1 "AZ1117CH2" 0 125 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN -F3 "" 100 -250 50 H I C CNN -$FPLIST - SOT?223*TabPin2* -$ENDFPLIST -DRAW -S -200 -200 200 75 0 1 10 f -X VI 1 -300 0 100 R 50 50 1 1 W -X GND 2 0 -300 100 U 50 50 1 1 W -X VO 3 300 0 100 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# BQ21040DBVR -# -DEF BQ21040DBVR U 0 40 Y Y 1 F N -F0 "U" 0 200 50 H V C CNN -F1 "BQ21040DBVR" 0 -300 50 H V C CNN -F2 "stdpads:SOT-23-6" 0 -350 50 H I C TNN -F3 "" 0 -150 60 H I C CNN -DRAW -S -250 150 250 -250 0 1 10 f -X TS 1 450 -50 200 L 50 50 1 1 U -X Out 2 450 50 200 L 50 50 1 1 w -X ~CHG~ 3 -450 -50 200 R 50 50 1 1 T -X ISet 4 450 -150 200 L 50 50 1 1 U -X GND 5 -450 -150 200 R 50 50 1 1 W -X Vin 6 -450 50 200 R 50 50 1 1 W -ENDDRAW -ENDDEF -# -# MAX40200-SOT23 -# -DEF MAX40200-SOT23 U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "MAX40200-SOT23" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 20 H V C CNN -F3 "" 0 -100 60 H I C CNN -DRAW -S -250 200 250 -200 0 1 10 f -X Vin 1 -450 100 200 R 50 50 1 1 W -X GND 2 -450 -100 200 R 50 50 1 1 W -X En 3 -450 0 200 R 50 50 1 1 I -X NC 4 450 -100 200 L 50 50 1 1 N -X Vout 5 450 100 200 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# MIC2005A -# -DEF MIC2005A U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "MIC2005A" 0 -250 50 H V C CNN -F2 "stdpads:Micrel_SOT-23-6" 0 -300 20 H V C CNN -F3 "" 0 0 60 H I C CNN -DRAW -S -250 200 250 -200 0 1 10 f -X Vin 1 -450 100 200 R 50 50 1 1 W -X GND 2 -450 -100 200 R 50 50 1 1 W -X EN 3 -450 0 200 R 50 50 1 1 I -X ~FLT~ 4 450 -100 200 L 50 50 1 1 I -X Cslew 5 450 0 200 L 50 50 1 1 P -X Vout 6 450 100 200 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# MIC5353YMT -# -DEF MIC5353YMT U 0 40 Y Y 1 F N -F0 "U" 0 200 50 H V C CNN -F1 "MIC5353YMT" 0 -300 50 H V C CNN -F2 "stdpads:Micrel_TMLF-6_1.6x1.6mm" 0 -350 50 H I C TNN -F3 "" 0 -150 60 H I C CNN -DRAW -S -250 150 250 -250 0 1 10 f -X En 1 -450 -50 200 R 50 50 1 1 I -X GND 2 -450 -150 200 R 50 50 1 1 W -X Vin 3 -450 50 200 R 50 50 1 1 W -X Vout 4 450 50 200 L 50 50 1 1 w -X Adj 5 450 -50 200 L 50 50 1 1 I -X BYP 6 450 -150 200 L 50 50 1 1 w -X pad 7 -450 -150 200 R 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# MIC5365-1.2YC5 -# -DEF MIC5365-1.2YC5 U 0 40 Y Y 1 F N -F0 "U" 0 200 50 H V C CNN -F1 "MIC5365-1.2YC5" 0 -300 50 H V C CNN -F2 "stdpads:Micrel_SOT-353" 0 -350 50 H I C TNN -F3 "" 0 -150 60 H I C CNN -DRAW -S -250 150 250 -250 0 1 10 f -X Vin 1 -450 50 200 R 50 50 1 1 W -X GND 2 -450 -150 200 R 50 50 1 1 W -X En 3 -450 -50 200 R 50 50 1 1 I -X NC 4 450 -150 200 L 50 50 1 1 N -X Vout 5 450 50 200 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# MIC5365-1.8YC5 -# -DEF MIC5365-1.8YC5 U 0 40 Y Y 1 F N -F0 "U" 0 200 50 H V C CNN -F1 "MIC5365-1.8YC5" 0 -300 50 H V C CNN -F2 "stdpads:Micrel_SOT-353" 0 -350 50 H I C TNN -F3 "" 0 -150 60 H I C CNN -DRAW -S -250 150 250 -250 0 1 10 f -X Vin 1 -450 50 200 R 50 50 1 1 W -X GND 2 -450 -150 200 R 50 50 1 1 W -X En 3 -450 -50 200 R 50 50 1 1 I -X NC 4 450 -150 200 L 50 50 1 1 N -X Vout 5 450 50 200 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# MP2451 -# -DEF MP2451 U 0 20 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "MP2451" 0 250 50 H V C CNN -F2 "stdpads:SOT-23-6" 0 -250 40 H I L CNN -F3 "" 0 50 50 H I C CNN -ALIAS AOZ1282CI AOZ1282CI-1 -$FPLIST - SOT?23* -$ENDFPLIST -DRAW -S -300 200 300 -200 0 1 10 f -X BST 1 400 100 100 L 50 50 1 1 I -X GND 2 0 -300 100 U 50 50 1 1 W -X FB 3 400 -100 100 L 50 50 1 1 I -X EN 4 -400 -100 100 R 50 50 1 1 I -X VIN 5 -400 100 100 R 50 50 1 1 W -X LX 6 400 0 100 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# Si3437DV -# -DEF Si3437DV Q 0 0 Y N 1 F N -F0 "Q" -50 50 50 H V R CNN -F1 "Si3437DV" 0 -250 50 H V C CNN -F2 "stdpads:Vishay_SOT-23-6_TSOP-6_AN826" 0 -300 50 H I C TNN -F3 "" 0 0 50 H I C CNN -DRAW -C 65 0 111 0 1 10 N -C 100 -70 11 0 1 0 F -C 100 70 11 0 1 0 F -P 2 0 1 0 2 0 10 0 N -P 2 0 1 0 30 -70 100 -70 N -P 2 0 1 10 30 -50 30 -90 N -P 2 0 1 0 30 0 100 0 N -P 2 0 1 10 30 20 30 -20 N -P 2 0 1 0 30 70 100 70 N -P 2 0 1 10 30 90 30 50 N -P 2 0 1 0 100 -70 100 -100 N -P 2 0 1 0 100 -70 100 0 N -P 2 0 1 0 100 100 100 70 N -P 3 0 1 10 10 75 10 -75 10 -75 N -P 4 0 1 0 90 0 50 -15 50 15 90 0 F -P 4 0 1 0 100 -70 130 -70 130 70 100 70 N -P 4 0 1 0 110 -20 115 -15 145 -15 150 -10 N -P 4 0 1 0 130 -15 115 10 145 10 130 -15 N -X D 1 100 200 100 D 50 50 1 1 C -X D 2 100 200 100 D 50 50 1 1 C N -X G 3 -200 0 200 R 50 50 1 1 I -X S 4 100 -200 100 U 50 50 1 1 E -X D 5 100 200 100 D 50 50 1 1 C N -X D 6 100 200 100 D 50 50 1 1 C N -ENDDRAW -ENDDEF -# -# TPS71745DCK -# -DEF TPS71745DCK U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "TPS71745DCK" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 20 H V C CNN -F3 "" 0 -100 60 H I C CNN -DRAW -S -250 200 250 -200 0 1 10 f -X Vin 1 -450 100 200 R 50 50 1 1 W -X GND 2 -450 -100 200 R 50 50 1 1 W -X En 3 -450 0 200 R 50 50 1 1 I -X NR 4 450 -100 200 L 50 50 1 1 I -X Vout 5 450 100 200 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# TPS73701 -# -DEF TPS73701 U 0 40 Y Y 1 F N -F0 "U" 0 200 50 H V C CNN -F1 "TPS73701" 0 -300 50 H V C CNN -F2 "stdpads:SOT-223-6" 0 -350 50 H I C TNN -F3 "" 0 -150 60 H I C CNN -DRAW -S -250 150 250 -250 0 1 10 f -X Vin 1 -450 50 200 R 50 50 1 1 W -X Vout 2 450 50 200 L 50 50 1 1 w -X GND 3 -450 -150 200 R 50 50 1 1 W -X FB 4 450 -150 200 L 50 50 1 1 I -X En 5 -450 -50 200 R 50 50 1 1 I -X GND 6 -450 -150 200 R 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# TS30011-M000QFN -# -DEF TS30011-M000QFN U 0 40 Y Y 1 F N -F0 "U" 0 650 50 H V C CNN -F1 "TS30011-M000QFN" 0 -650 50 H V C CNN -F2 "stdpads:Semtech_QFN-16" 0 -700 50 H I C TNN -F3 "" 50 50 60 H I C CNN -DRAW -S -250 600 250 -600 0 1 10 f -X Vsw 1 450 300 200 L 50 50 1 1 w -X BST 10 450 500 200 L 50 50 1 1 U -X Vcc 11 -450 300 200 R 50 50 1 1 W -X Vsw 12 450 200 200 L 50 50 1 1 w -X Vsw 13 450 100 200 L 50 50 1 1 w -X PGND 14 -450 0 200 R 50 50 1 1 W -X PGND 15 -450 -100 200 R 50 50 1 1 W -X Vsw 16 450 0 200 L 50 50 1 1 W -X GND 17 -450 -200 200 R 50 50 1 1 W -X Vcc 2 -450 500 200 R 50 50 1 1 W -X Vcc 3 -450 400 200 R 50 50 1 1 W -X GND 4 -450 100 200 R 50 50 1 1 W -X FB 5 450 -100 200 L 50 50 1 1 I -X NC 6 450 -200 200 L 50 50 1 1 N -X NC 7 450 -300 200 L 50 50 1 1 N -X PG 8 450 -500 200 L 50 50 1 1 C -X En 9 -450 -500 200 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -#End Library diff --git a/GW_RAM.kicad_sym b/GW_RAM.kicad_sym new file mode 100644 index 0000000..9e2fefe --- /dev/null +++ b/GW_RAM.kicad_sym @@ -0,0 +1,3385 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "DRAM-16Mx1-SOP-24" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DRAM-16Mx1-SOP-24" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-24-26-300mil" (id 2) (at 0 -17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -13.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DRAM-16Mx1-SOP-24_0_1" + (rectangle (start -7.62 16.51) (end 7.62 -16.51) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "DRAM-16Mx1-SOP-24_1_1" + (pin power_in line (at 10.16 13.97 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 11.43 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 13.97 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -13.97 180) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 11.43 180) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 2.54) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 8.89 180) (length 2.54) + (name "Q" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -13.97 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 3.81 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -11.43 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -13.97 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 2.54 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -11.43 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 13.97 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "DRAM-16Mx4-SOP-32" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DRAM-16Mx4-SOP-32" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-32-400mil" (id 2) (at 0 -19.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -15.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DRAM-16Mx4-SOP-32_0_1" + (rectangle (start -7.62 17.78) (end 7.62 -17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "DRAM-16Mx4-SOP-32_1_1" + (pin power_in line (at 10.16 15.24 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 15.24 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 15.24 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -16.51 180) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -15.24 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -10.16 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 2.54) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 12.7 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 13.97 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 11.43 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -16.51 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -13.97 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -12.7 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -7.62 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -5.08 180) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "DRAM-1Mx16-SOP-42" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DRAM-1Mx16-SOP-42" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-42_400mil" (id 2) (at 0 -24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DRAM-1Mx16-SOP-42_0_1" + (rectangle (start -7.62 22.86) (end 7.62 -22.86) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "DRAM-1Mx16-SOP-42_1_1" + (pin power_in line (at -10.16 20.32 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -15.24 0) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 21.59 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -21.59 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 17.78 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 15.24 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 20.32 180) (length 2.54) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 20.32 0) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -20.32 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -17.78 0) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 17.78 180) (length 2.54) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "~{UCAS}" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "~{LCAS}" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -2.54 180) (length 2.54) + (name "DQ8" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -5.08 180) (length 2.54) + (name "DQ9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -7.62 180) (length 2.54) + (name "DQ10" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -10.16 180) (length 2.54) + (name "DQ11" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -20.32 0) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -12.7 180) (length 2.54) + (name "DQ12" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -15.24 180) (length 2.54) + (name "DQ13" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 15.24 180) (length 2.54) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -17.78 180) (length 2.54) + (name "DQ14" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -20.32 180) (length 2.54) + (name "DQ15" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -20.32 0) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 12.7 180) (length 2.54) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 20.32 0) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "DRAM-1Mx4-SOP-24" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 16.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DRAM-1Mx4-SOP-24" (id 1) (at 0 -1.27 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-24-26-300mil" (id 2) (at 0 -15.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://shop.micross.com/pdf/AS4C4M4.pdf" (id 3) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DRAM-1Mx4-SOP-24_0_1" + (rectangle (start -7.62 13.97) (end 7.62 -13.97) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "DRAM-1Mx4-SOP-24_1_1" + (pin bidirectional line (at 10.16 8.89 180) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -1.27 180) (length 2.54) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -11.43 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -3.81 180) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -11.43 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 11.43 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "DRAM-2Mx8-SOP-28" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DRAM-2Mx8-SOP-28" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-24-26-300mil" (id 2) (at 0 -21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -13.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DRAM-2Mx8-SOP-28_0_1" + (rectangle (start -7.62 17.78) (end 7.62 -17.78) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "DRAM-2Mx8-SOP-28_1_1" + (pin power_in line (at 10.16 15.24 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 15.24 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 15.24 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -15.24 0) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 12.7 180) (length 2.54) + (name "I/O0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -15.24 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -7.62 180) (length 2.54) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "I/O4" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 0 180) (length 2.54) + (name "I/O5" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -2.54 180) (length 2.54) + (name "I/O6" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -5.08 180) (length 2.54) + (name "I/O7" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -15.24 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "I/O1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "I/O2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "I/O3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -12.7 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -10.16 180) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "A11/NC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "DRAM-4Mx1-SOP-20" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 16.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DRAM-4Mx1-SOP-20" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-24-26-300mil" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -15.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DRAM-4Mx1-SOP-20_0_1" + (rectangle (start -7.62 15.24) (end 7.62 -15.24) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "DRAM-4Mx1-SOP-20_1_1" + (pin input line (at 10.16 10.16 180) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 12.7 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -7.62 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 2.54) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 7.62 180) (length 2.54) + (name "Q" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -12.7 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -5.08 180) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "DRAM-4Mx16-SOP-50" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DRAM-4Mx16-SOP-50" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-50_400mil" (id 2) (at 0 -27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DRAM-4Mx16-SOP-50_0_1" + (rectangle (start -7.62 26.67) (end 7.62 -26.67) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "DRAM-4Mx16-SOP-50_1_1" + (pin power_in line (at 10.16 24.13 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 2.54) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -10.16 -6.35 0) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 24.13 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -21.59 0) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -19.05 0) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -10.16 -7.62 0) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -10.16 -8.89 0) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -10.16 -10.16 0) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -10.16 -11.43 0) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 24.13 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 21.59 180) (length 2.54) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 21.59 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 19.05 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 16.51 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 13.97 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 11.43 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 24.13 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -24.13 180) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 19.05 180) (length 2.54) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -22.86 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -21.59 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -20.32 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -24.13 0) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -16.51 0) (length 2.54) + (name "~{UCAS}" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -13.97 0) (length 2.54) + (name "~{LCAS}" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -24.13 180) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 16.51 180) (length 2.54) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -19.05 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 2.54) + (name "DQ8" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 2.54) + (name "DQ9" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 2.54) + (name "DQ10" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 2.54) + (name "DQ11" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -24.13 180) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 2.54) + (name "DQ12" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 2.54) + (name "DQ13" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -13.97 180) (length 2.54) + (name "DQ14" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -16.51 180) (length 2.54) + (name "DQ15" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 13.97 180) (length 2.54) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -24.13 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 24.13 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 11.43 180) (length 2.54) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 8.89 180) (length 2.54) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 2.54) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "DRAM-4Mx4-SOP-24" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 16.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DRAM-4Mx4-SOP-24" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-24-26-300mil" (id 2) (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -15.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DRAM-4Mx4-SOP-24_0_1" + (rectangle (start -7.62 15.24) (end 7.62 -15.24) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "DRAM-4Mx4-SOP-24_1_1" + (pin power_in line (at 10.16 12.7 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 12.7 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -12.7 180) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -10.16 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 2.54) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -12.7 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -7.62 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -5.08 180) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Flash-4Mx16-TSOP1-48" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Flash-4Mx16-TSOP1-48" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/25022B.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Flash-4Mx16-TSOP1-48_0_0" + (pin power_in line (at -12.7 -40.64 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "Flash-4Mx16-TSOP1-48_0_1" + (rectangle (start -7.62 25.4) (end 7.62 -43.18) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Flash-4Mx16-TSOP1-48_1_1" + (pin input line (at -12.7 -20.32 0) (length 5.08) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -33.02 0) (length 5.08) + (name "A20" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input input_low (at 12.7 -38.1 180) (length 5.08) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input input_low (at 12.7 -20.32 180) (length 5.08) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -35.56 0) (length 5.08) + (name "A21" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input input_low (at 12.7 -27.94 180) (length 5.08) + (name "~{WP}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input input_low (at 12.7 -30.48 180) (length 5.08) + (name "~{BY}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -27.94 0) (length 5.08) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -25.4 0) (length 5.08) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 0 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 2.54 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -17.78 0) (length 5.08) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 5.08 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 7.62 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 10.16 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 12.7 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 15.24 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 17.78 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input input_low (at 12.7 -35.56 180) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input input_low (at 12.7 -40.64 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 22.86 180) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -15.24 0) (length 5.08) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 5.08) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 20.32 180) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 0 180) (length 5.08) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 17.78 180) (length 5.08) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 5.08) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 15.24 180) (length 5.08) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 5.08) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 12.7 180) (length 5.08) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 5.08) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -12.7 0) (length 5.08) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 5.08) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 5.08) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 5.08) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 5.08) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 5.08) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -15.24 180) (length 5.08) + (name "D15/A22" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -40.64 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin input input_low (at 12.7 -22.86 180) (length 5.08) + (name "~{BYTE}" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -22.86 0) (length 5.08) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -10.16 0) (length 5.08) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -7.62 0) (length 5.08) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -5.08 0) (length 5.08) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 5.08) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -30.48 0) (length 5.08) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Flash-512Kx8-PLCC-32" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Flash-512Kx8-PLCC-32" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:PLCC-32_SMDSocket" (id 2) (at 0 -26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20005022C.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Flash-512Kx8-PLCC-32_0_0" + (pin power_in line (at 10.16 -22.86 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 22.86 180) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "Flash-512Kx8-PLCC-32_0_1" + (rectangle (start -7.62 25.4) (end 7.62 -25.4) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Flash-512Kx8-PLCC-32_1_1" + (pin input line (at -10.16 -22.86 0) (length 2.54) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 17.78 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 20.32 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 22.86 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 17.78 180) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 15.24 180) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 12.7 180) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -17.78 0) (length 2.54) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 0 180) (length 2.54) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -10.16 180) (length 2.54) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -15.24 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -15.24 0) (length 2.54) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -20.32 0) (length 2.54) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -12.7 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 15.24 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SDRAM-16Mx16-TSOP2-54" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 29.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SDRAM-16Mx16-TSOP2-54" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Winbond_TSOPII-54" (id 2) (at 0 -41.91 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_0_1" + (rectangle (start -7.62 27.94) (end 7.62 -35.56) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_1_1" + (pin power_in line (at -12.7 25.4 0) (length 5.08) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 12.7 180) (length 5.08) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 5.08) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 5.08) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -15.24 180) (length 5.08) + (name "DQML" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -27.94 180) (length 5.08) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -30.48 180) (length 5.08) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -33.02 180) (length 5.08) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -25.4 180) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 25.4 180) (length 5.08) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -15.24 0) (length 5.08) + (name "BA0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -17.78 0) (length 5.08) + (name "BA1" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -7.62 0) (length 5.08) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 17.78 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 15.24 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 12.7 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 10.16 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 7.62 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 5.08 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 2.54 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 0 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 5.08) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -5.08 0) (length 5.08) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -10.16 0) (length 5.08) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -12.7 0) (length 5.08) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -22.86 0) (length 5.08) + (name "CKE" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -25.4 0) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -17.78 180) (length 5.08) + (name "DQMH" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 22.86 180) (length 5.08) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 5.08) + (name "DQ8" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 5.08) + (name "DQ9" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 0 180) (length 5.08) + (name "DQ10" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 5.08) + (name "DQ11" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 5.08) + (name "DQ12" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 20.32 180) (length 5.08) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 5.08) + (name "DQ13" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 5.08) + (name "DQ14" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 5.08) + (name "DQ15" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 17.78 180) (length 5.08) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 15.24 180) (length 5.08) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SPIFlash-SO-8" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SPIFlash-SO-8" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:stdpads:SOIC-8_5.3mm" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (symbol "SPIFlash-SO-8_0_1" + (rectangle (start -8.89 7.62) (end 8.89 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SPIFlash-SO-8_1_1" + (pin input line (at -13.97 5.08 0) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 2.54 0) (length 5.08) + (name "DO/IO1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 0 0) (length 5.08) + (name "~{WP}/IO2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 -2.54 180) (length 5.08) + (name "DI/IO0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 13.97 0 180) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 2.54 180) (length 5.08) + (name "~{HLD}/IO3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SPIFlash-SON-8-1EP" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SPIFlash-SON-8-1EP" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SON-8-1EP_3x2mm_P0.5mm_EP0.25x1.65mm" (id 2) (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (symbol "SPIFlash-SON-8-1EP_0_1" + (rectangle (start -8.89 7.62) (end 8.89 -5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SPIFlash-SON-8-1EP_1_1" + (pin input line (at -13.97 5.08 0) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 2.54 0) (length 5.08) + (name "DO/IO1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 0 0) (length 5.08) + (name "~{WP}/IO2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 -2.54 180) (length 5.08) + (name "DI/IO0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 13.97 0 180) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 2.54 180) (length 5.08) + (name "~{HLD}/IO3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -2.54 0) (length 5.08) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SRAM-128Kx8-SOP-32" (in_bom yes) (on_board yes) + (property "Reference" "U?" (id 0) (at 0 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SRAM-128Kx8-SOP-32" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-32_P1.27mm" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.futurlec.com/Datasheet/Memory/628128.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "RAM SRAM CMOS MEMORY" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "128K x 8 High-Speed CMOS Static RAM, 55/70ns, TSOP-I-32" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "TSOP?I*11.8x8mm*P0.5mm* TSOP?I*18.4x8mm*P0.5mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SRAM-128Kx8-SOP-32_1_1" + (rectangle (start -7.62 22.86) (end 7.62 -22.86) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin no_connect line (at 10.16 -5.08 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 15.24 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 17.78 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 20.32 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 15.24 180) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 12.7 180) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -20.32 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -20.32 0) (length 2.54) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 0 180) (length 2.54) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -2.54 180) (length 2.54) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -7.62 180) (length 2.54) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -15.24 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -12.7 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -15.24 0) (length 2.54) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -10.16 180) (length 2.54) + (name "CS" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -17.78 0) (length 2.54) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 20.32 180) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SRAM-128Kx8-TSOP1-32" (in_bom yes) (on_board yes) + (property "Reference" "U?" (id 0) (at 0 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SRAM-128Kx8-TSOP1-32" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSOP-I-32_18.4x8mm_P0.5mm" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.futurlec.com/Datasheet/Memory/628128.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "RAM SRAM CMOS MEMORY" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "128K x 8 High-Speed CMOS Static RAM, 55/70ns, TSOP-I-32" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "TSOP?I*11.8x8mm*P0.5mm* TSOP?I*18.4x8mm*P0.5mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SRAM-128Kx8-TSOP1-32_0_0" + (pin power_in line (at 10.16 -20.32 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 20.32 180) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "SRAM-128Kx8-TSOP1-32_0_1" + (rectangle (start -7.62 22.86) (end 7.62 -22.86) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SRAM-128Kx8-TSOP1-32_1_1" + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -20.32 0) (length 2.54) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -15.24 0) (length 2.54) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 15.24 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 17.78 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 20.32 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 15.24 180) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 12.7 180) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 0 180) (length 2.54) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -2.54 180) (length 2.54) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -7.62 180) (length 2.54) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -15.24 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -12.7 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -10.16 180) (length 2.54) + (name "CS" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -17.78 0) (length 2.54) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -5.08 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SRAM-1Mx8-TSOP2-44" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SRAM-1Mx8-TSOP2-44" (id 1) (at 0 1.27 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSOP-II-44_400mil_P0.8mm" (id 2) (at 0 -27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C8008.pdf" (id 3) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "SRAM MEMORY" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "512K x 8 HIGH-SPEED CMOS STATIC RAM, 10ns, SOJ-36" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SRAM-1Mx8-TSOP2-44_0_1" + (rectangle (start -7.62 26.67) (end 7.62 -26.67) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SRAM-1Mx8-TSOP2-44_1_1" + (pin input line (at -10.16 13.97 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 16.51 180) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 24.13 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -24.13 180) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 13.97 180) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 11.43 180) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 20.32 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -16.51 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -24.13 0) (length 2.54) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -21.59 0) (length 2.54) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 16.51 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -19.05 0) (length 2.54) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -16.51 0) (length 2.54) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -13.97 0) (length 2.54) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -11.43 0) (length 2.54) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 2.54) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 19.05 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -2.54 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 8.89 180) (length 2.54) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 2.54) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 24.13 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -24.13 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 2.54) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 2.54) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -3.81 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -5.08 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 21.59 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 2.54) + (name "CE2" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -19.05 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 11.43 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 24.13 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 2.54) + (name "~{CE}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 22.86 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 21.59 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 19.05 180) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SRAM-2Mx8-TSOP2-44" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 29.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SRAM-2Mx8-TSOP2-44" (id 1) (at 0 2.54 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSOP-II-44_400mil_P0.8mm" (id 2) (at 0 -26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C8008.pdf" (id 3) (at 0 -2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "SRAM MEMORY" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "512K x 8 HIGH-SPEED CMOS STATIC RAM, 10ns, SOJ-36" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SRAM-2Mx8-TSOP2-44_0_1" + (rectangle (start -7.62 27.94) (end 7.62 -27.94) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SRAM-2Mx8-TSOP2-44_1_1" + (pin input line (at -10.16 15.24 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 17.78 180) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 25.4 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -25.4 180) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 15.24 180) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 12.7 180) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -25.4 0) (length 2.54) + (name "A20" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 21.59 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -15.24 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -22.86 0) (length 2.54) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -20.32 0) (length 2.54) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 17.78 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -17.78 0) (length 2.54) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -15.24 0) (length 2.54) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 20.32 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -1.27 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 25.4 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -25.4 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -2.54 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -3.81 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 22.86 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -5.08 180) (length 2.54) + (name "CE2" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -17.78 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 25.4 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -7.62 180) (length 2.54) + (name "~{CE}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 24.13 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 22.86 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 20.32 180) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SRAM-512Kx16-SOJ-44" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SRAM-512Kx16-SOJ-44" (id 1) (at 0 1.27 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOJ-44_400mil" (id 2) (at 0 -30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C8008.pdf" (id 3) (at 0 -33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "SRAM MEMORY" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "512K x 8 HIGH-SPEED CMOS STATIC RAM, 10ns, SOJ-36" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SRAM-512Kx16-SOJ-44_0_1" + (rectangle (start -7.62 29.21) (end 7.62 -29.21) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SRAM-512Kx16-SOJ-44_1_1" + (pin input line (at -10.16 24.13 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 19.05 180) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 26.67 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -26.67 0) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 16.51 180) (length 2.54) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 13.97 180) (length 2.54) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 11.43 180) (length 2.54) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 8.89 180) (length 2.54) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -24.13 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -16.51 0) (length 2.54) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -13.97 0) (length 2.54) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 21.59 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -11.43 0) (length 2.54) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 2.54) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -19.05 0) (length 2.54) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -21.59 0) (length 2.54) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 2.54) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 19.05 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 2.54) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 2.54) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 2.54) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 26.67 0) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -26.67 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 2.54) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 2.54) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 2.54) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 2.54) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -21.59 180) (length 2.54) + (name "~{LB}" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 16.51 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -19.05 180) (length 2.54) + (name "~{UB}" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -26.67 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 11.43 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 13.97 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -16.51 180) (length 2.54) + (name "~{CE}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 26.67 180) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 24.13 180) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 21.59 180) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SRAM-512Kx16-TSOP2-44" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SRAM-512Kx16-TSOP2-44" (id 1) (at 0 1.27 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSOP-II-44_400mil_P0.8mm" (id 2) (at 0 -30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C8008.pdf" (id 3) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "SRAM MEMORY" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "512K x 8 HIGH-SPEED CMOS STATIC RAM, 10ns, SOJ-36" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SRAM-512Kx16-TSOP2-44_0_1" + (rectangle (start -7.62 29.21) (end 7.62 -29.21) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "SRAM-512Kx16-TSOP2-44_1_1" + (pin input line (at -10.16 13.97 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 19.05 180) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 26.67 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -26.67 0) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 16.51 180) (length 2.54) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 13.97 180) (length 2.54) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 11.43 180) (length 2.54) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 8.89 180) (length 2.54) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -24.13 180) (length 2.54) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -16.51 0) (length 2.54) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -13.97 0) (length 2.54) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 16.51 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -11.43 0) (length 2.54) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 2.54) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -19.05 0) (length 2.54) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -21.59 0) (length 2.54) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 2.54) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 19.05 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 2.54) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 2.54) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 2.54) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 26.67 0) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -26.67 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 2.54) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 2.54) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 2.54) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 2.54) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -21.59 180) (length 2.54) + (name "~{LB}" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 21.59 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -19.05 180) (length 2.54) + (name "~{UB}" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -26.67 180) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 11.43 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 24.13 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -16.51 180) (length 2.54) + (name "~{CE}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 26.67 180) (length 2.54) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 24.13 180) (length 2.54) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 21.59 180) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VRAM-128kx8-SOP-40" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "VRAM-128kx8-SOP-40" (id 1) (at 1.27 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-24-26-300mil" (id 2) (at 0 -26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VRAM-128kx8-SOP-40_0_1" + (rectangle (start -7.62 25.4) (end 7.62 -25.4) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "VRAM-128kx8-SOP-40_1_1" + (pin input line (at -10.16 -20.32 0) (length 2.54) + (name "SC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 22.86 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "~{ME}/~{WE}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -5.08 180) (length 2.54) + (name "SQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 22.86 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 15.24 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 17.78 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 20.32 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 22.86 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -10.16 -15.24 0) (length 2.54) + (name "QSF" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "DSF" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -7.62 180) (length 2.54) + (name "SQ1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -22.86 0) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 0 180) (length 2.54) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "~{SE}" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -15.24 180) (length 2.54) + (name "SQ4" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -17.78 180) (length 2.54) + (name "SQ5" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -20.32 180) (length 2.54) + (name "SQ6" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -22.86 180) (length 2.54) + (name "SQ7" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -10.16 180) (length 2.54) + (name "SQ2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -22.86 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -12.7 180) (length 2.54) + (name "SQ3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "~{TR}/~{OE}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 17.78 180) (length 2.54) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 15.24 180) (length 2.54) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 12.7 180) (length 2.54) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VRAM-256kx8-SOP-40" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "VRAM-256kx8-SOP-40" (id 1) (at 1.27 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOP-24-26-300mil" (id 2) (at 0 -26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VRAM-256kx8-SOP-40_0_1" + (rectangle (start -7.62 25.4) (end 7.62 -25.4) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "VRAM-256kx8-SOP-40_1_1" + (pin power_in line (at 10.16 22.86 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 12.7 180) (length 2.54) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 10.16 180) (length 2.54) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -22.86 0) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "~{ME}/~{WE}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 2.54 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 5.08 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 10.16 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 12.7 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -20.32 0) (length 2.54) + (name "SC" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 22.86 180) (length 2.54) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -22.86 0) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 15.24 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 17.78 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 20.32 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 22.86 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -10.16 -15.24 0) (length 2.54) + (name "QSF" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 2.54) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -12.7 0) (length 2.54) + (name "DSF" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -5.08 180) (length 2.54) + (name "SQ0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -22.86 0) (length 2.54) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 7.62 180) (length 2.54) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 5.08 180) (length 2.54) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 2.54 180) (length 2.54) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 0 180) (length 2.54) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -10.16 0) (length 2.54) + (name "~{SE}" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -15.24 180) (length 2.54) + (name "SQ4" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -17.78 180) (length 2.54) + (name "SQ5" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -20.32 180) (length 2.54) + (name "SQ6" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -22.86 180) (length 2.54) + (name "SQ7" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -7.62 180) (length 2.54) + (name "SQ1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -22.86 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -10.16 180) (length 2.54) + (name "SQ2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -12.7 180) (length 2.54) + (name "SQ3" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -7.62 0) (length 2.54) + (name "~{TR}/~{OE}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 17.78 180) (length 2.54) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 15.24 180) (length 2.54) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_RAM.lib b/GW_RAM.lib deleted file mode 100644 index 1952962..0000000 --- a/GW_RAM.lib +++ /dev/null @@ -1,882 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# DRAM-16Mx1-SOP-24 -# -DEF DRAM-16Mx1-SOP-24 U 0 20 Y Y 1 F N -F0 "U" 0 700 50 H V C CNN -F1 "DRAM-16Mx1-SOP-24" 0 0 50 V V C CNN -F2 "stdpads:SOP-24-26-300mil" 0 -700 50 H I C CNN -F3 "" 0 -550 50 H I C CNN -$FPLIST - SOJ*10.16x23.49mm*P1.27mm* -$ENDFPLIST -DRAW -S -300 650 300 -650 0 1 10 f -X VDD 1 400 550 100 L 50 50 1 1 W -X A1 10 -400 450 100 R 50 50 1 1 I -X A2 11 -400 350 100 R 50 50 1 1 I -X A3 12 -400 250 100 R 50 50 1 1 I -X VDD 13 400 550 100 L 50 50 1 1 W N -X GND 14 400 -550 100 L 50 50 1 1 W N -X A4 15 -400 150 100 R 50 50 1 1 I -X A5 16 -400 50 100 R 50 50 1 1 I -X A6 17 -400 -50 100 R 50 50 1 1 I -X A7 18 -400 -150 100 R 50 50 1 1 I -X A8 19 -400 -250 100 R 50 50 1 1 I -X D 2 400 450 100 L 50 50 1 1 B -X NC 20 400 50 100 L 50 50 1 1 N N -X A9 21 -400 -350 100 R 50 50 1 1 I -X NC 22 400 0 100 L 50 50 1 1 N N -X ~CAS~ 23 400 -250 100 L 50 50 1 1 I -X NC 24 400 -50 100 L 50 50 1 1 N N -X Q 25 400 350 100 L 50 50 1 1 B -X GND 26 400 -550 100 L 50 50 1 1 W -X NC 3 400 150 100 L 50 50 1 1 N N -X ~WE~ 4 400 -450 100 L 50 50 1 1 I -X ~RAS~ 5 400 -350 100 L 50 50 1 1 I -X A11 6 -400 -550 100 R 50 50 1 1 I -X NC 7 400 100 100 L 50 50 1 1 N N -X A10 8 -400 -450 100 R 50 50 1 1 I -X A0 9 -400 550 100 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# DRAM-16Mx4-SOP-32 -# -DEF DRAM-16Mx4-SOP-32 U 0 20 Y Y 1 F N -F0 "U" 0 750 50 H V C CNN -F1 "DRAM-16Mx4-SOP-32" 0 0 50 V V C CNN -F2 "stdpads:SOP-32-400mil" 0 -750 50 H I C CNN -F3 "" 0 -600 50 H I C CNN -$FPLIST - SOJ*10.16x23.49mm*P1.27mm* -$ENDFPLIST -DRAW -S -300 700 300 -700 0 1 10 f -X VDD 1 400 600 100 L 50 50 1 1 W -X A0 10 -400 600 100 R 50 50 1 1 I -X A1 11 -400 500 100 R 50 50 1 1 I -X A2 12 -400 400 100 R 50 50 1 1 I -X A3 13 -400 300 100 R 50 50 1 1 I -X A4 14 -400 200 100 R 50 50 1 1 I -X A5 15 -400 100 100 R 50 50 1 1 I -X VDD 16 400 600 100 L 50 50 1 1 W N -X GND 17 400 -650 100 L 50 50 1 1 W N -X A6 18 -400 0 100 R 50 50 1 1 I -X A7 19 -400 -100 100 R 50 50 1 1 I -X DQ0 2 400 400 100 L 50 50 1 1 B -X A8 20 -400 -200 100 R 50 50 1 1 I -X A9 21 -400 -300 100 R 50 50 1 1 I -X A10 22 -400 -400 100 R 50 50 1 1 I -X A11 23 -400 -500 100 R 50 50 1 1 I -X A12 24 -400 -600 100 R 50 50 1 1 I -X ~OE~ 25 400 -400 100 L 50 50 1 1 I -X ~CAS~ 26 400 -100 100 L 50 50 1 1 I -X NC 27 400 500 100 L 50 50 1 1 N N -X NC 28 400 550 100 L 50 50 1 1 N N -X NC 29 400 450 100 L 50 50 1 1 N N -X DQ1 3 400 300 100 L 50 50 1 1 B -X DQ2 30 400 200 100 L 50 50 1 1 B -X DQ3 31 400 100 100 L 50 50 1 1 B -X GND 32 400 -650 100 L 50 50 1 1 W -X NC 4 400 -50 100 L 50 50 1 1 N N -X NC 5 400 -550 100 L 50 50 1 1 N N -X NC 6 400 -500 100 L 50 50 1 1 N N -X NC 7 400 50 100 L 50 50 1 1 N N -X ~WE~ 8 400 -300 100 L 50 50 1 1 I -X ~RAS~ 9 400 -200 100 L 50 50 1 1 I -ENDDRAW -ENDDEF -# -# DRAM-1Mx16-SOP-42 -# -DEF DRAM-1Mx16-SOP-42 U 0 20 Y Y 1 F N -F0 "U" 0 950 50 H V C CNN -F1 "DRAM-1Mx16-SOP-42" 0 0 50 V V C CNN -F2 "stdpads:SOP-42_400mil" 0 -950 50 H I C CNN -F3 "" 0 -350 50 H I C CNN -DRAW -S -300 900 300 -900 0 1 10 f -X VDD 1 -400 800 100 R 50 50 1 1 W -X DQ7 10 400 100 100 L 50 50 1 1 B -X NC 11 400 50 100 L 50 50 1 1 N N -X NC 12 400 0 100 L 50 50 1 1 N N -X ~WE~ 13 -400 -600 100 R 50 50 1 1 I -X ~RAS~ 14 -400 -500 100 R 50 50 1 1 I -X NC 15 400 850 100 L 50 50 1 1 N N -X NC 16 400 -850 100 L 50 50 1 1 N N -X A0 17 -400 700 100 R 50 50 1 1 I -X A1 18 -400 600 100 R 50 50 1 1 I -X A2 19 -400 500 100 R 50 50 1 1 I -X DQ0 2 400 800 100 L 50 50 1 1 B -X A3 20 -400 400 100 R 50 50 1 1 I -X VDD 21 -400 800 100 R 50 50 1 1 W N -X GND 22 -400 -800 100 R 50 50 1 1 W -X A4 23 -400 300 100 R 50 50 1 1 I -X A5 24 -400 200 100 R 50 50 1 1 I -X A6 25 -400 100 100 R 50 50 1 1 I -X A7 26 -400 0 100 R 50 50 1 1 I -X A8 27 -400 -100 100 R 50 50 1 1 I -X A9 28 -400 -200 100 R 50 50 1 1 I -X ~OE~ 29 -400 -700 100 R 50 50 1 1 I -X DQ1 3 400 700 100 L 50 50 1 1 B -X ~UCAS~ 30 -400 -400 100 R 50 50 1 1 I -X ~LCAS~ 31 -400 -300 100 R 50 50 1 1 I -X NC 32 400 -50 100 L 50 50 1 1 N N -X DQ8 33 400 -100 100 L 50 50 1 1 B -X DQ9 34 400 -200 100 L 50 50 1 1 B -X DQ10 35 400 -300 100 L 50 50 1 1 B -X DQ11 36 400 -400 100 L 50 50 1 1 B -X GND 37 -400 -800 100 R 50 50 1 1 W N -X DQ12 38 400 -500 100 L 50 50 1 1 B -X DQ13 39 400 -600 100 L 50 50 1 1 B -X DQ2 4 400 600 100 L 50 50 1 1 B -X DQ14 40 400 -700 100 L 50 50 1 1 B -X DQ15 41 400 -800 100 L 50 50 1 1 B -X GND 42 -400 -800 100 R 50 50 1 1 W N -X DQ3 5 400 500 100 L 50 50 1 1 B -X VDD 6 -400 800 100 R 50 50 1 1 W N -X DQ4 7 400 400 100 L 50 50 1 1 B -X DQ5 8 400 300 100 L 50 50 1 1 B -X DQ6 9 400 200 100 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# DRAM-1Mx4-SOP-24 -# -DEF DRAM-1Mx4-SOP-24 U 0 20 Y Y 1 F N -F0 "U" 0 650 50 H V C CNN -F1 "DRAM-1Mx4-SOP-24" 0 -50 50 V V C CNN -F2 "stdpads:SOP-24-26-300mil" 0 -600 50 H I C CNN -F3 "" 0 -650 50 H I C CNN -$FPLIST - SOJ*10.16x23.49mm*P1.27mm* -$ENDFPLIST -DRAW -S -300 550 300 -550 0 1 10 f -X D0 1 400 350 100 L 50 50 1 1 B -X A1 10 -400 350 100 R 50 50 1 1 I -X A2 11 -400 250 100 R 50 50 1 1 I -X A3 12 -400 150 100 R 50 50 1 1 I -X VDD 13 400 450 100 L 50 50 1 1 W -X A4 14 -400 50 100 R 50 50 1 1 I -X A5 15 -400 -50 100 R 50 50 1 1 I -X A6 16 -400 -150 100 R 50 50 1 1 I -X A7 17 -400 -250 100 R 50 50 1 1 I -X A8 18 -400 -350 100 R 50 50 1 1 I -X D1 2 400 250 100 L 50 50 1 1 B -X NC 20 400 0 100 L 50 50 1 1 N N -X ~OE~ 22 400 -350 100 L 50 50 1 1 I -X ~CAS~ 23 400 -50 100 L 50 50 1 1 I -X D2 24 400 150 100 L 50 50 1 1 B -X D3 25 400 50 100 L 50 50 1 1 B -X GND 26 400 -450 100 L 50 50 1 1 W -X ~WE~ 3 400 -250 100 L 50 50 1 1 I -X ~RAS~ 4 400 -150 100 L 50 50 1 1 I -X A9 5 -400 -450 100 R 50 50 1 1 I -X NC 7 400 0 100 L 50 50 1 1 N N -X A0 9 -400 450 100 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# DRAM-2Mx8-SOP-28 -# -DEF DRAM-2Mx8-SOP-28 U 0 20 Y Y 1 F N -F0 "U" 0 750 50 H V C CNN -F1 "DRAM-2Mx8-SOP-28" 0 0 50 V V C CNN -F2 "stdpads:SOP-24-26-300mil" 0 -850 50 H I C CNN -F3 "" 0 -550 50 H I C CNN -DRAW -S -300 700 300 -700 0 1 10 f -X VDD 1 400 600 100 L 50 50 1 1 W -X A0 10 -400 600 100 R 50 50 1 1 I -X A1 11 -400 500 100 R 50 50 1 1 I -X A2 12 -400 400 100 R 50 50 1 1 I -X A3 13 -400 300 100 R 50 50 1 1 I -X VDD 14 400 600 100 L 50 50 1 1 W N -X GND 15 -400 -600 100 R 50 50 1 1 W N -X A4 16 -400 200 100 R 50 50 1 1 I -X A5 17 -400 100 100 R 50 50 1 1 I -X A6 18 -400 0 100 R 50 50 1 1 I -X A7 19 -400 -100 100 R 50 50 1 1 I -X I/O0 2 400 500 100 L 50 50 1 1 B -X A8 20 -400 -200 100 R 50 50 1 1 I -X A9 21 -400 -300 100 R 50 50 1 1 I -X ~OE~ 22 400 -600 100 L 50 50 1 1 I -X ~CAS~ 23 400 -300 100 L 50 50 1 1 I -X I/O4 24 400 100 100 L 50 50 1 1 B -X I/O5 25 400 0 100 L 50 50 1 1 B -X I/O6 26 400 -100 100 L 50 50 1 1 B -X I/O7 27 400 -200 100 L 50 50 1 1 B -X GND 28 -400 -600 100 R 50 50 1 1 W -X I/O1 3 400 400 100 L 50 50 1 1 B -X I/O2 4 400 300 100 L 50 50 1 1 B -X I/O3 5 400 200 100 L 50 50 1 1 B -X ~WE~ 6 400 -500 100 L 50 50 1 1 I -X ~RAS~ 7 400 -400 100 L 50 50 1 1 I -X A11/NC 8 -400 -500 100 R 50 50 1 1 I -X A10 9 -400 -400 100 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# DRAM-4Mx1-SOP-20 -# -DEF DRAM-4Mx1-SOP-20 U 0 20 Y Y 1 F N -F0 "U" 0 650 50 H V C CNN -F1 "DRAM-4Mx1-SOP-20" 0 0 50 V V C CNN -F2 "stdpads:SOP-24-26-300mil" 0 -650 50 H I C CNN -F3 "" 0 -600 50 H I C CNN -$FPLIST - SOJ*10.16x23.49mm*P1.27mm* -$ENDFPLIST -DRAW -S -300 600 300 -600 0 1 10 f -X D 1 400 400 100 L 50 50 1 1 I -X A1 10 -400 400 100 R 50 50 1 1 I -X A2 11 -400 300 100 R 50 50 1 1 I -X A3 12 -400 200 100 R 50 50 1 1 I -X VDD 13 400 500 100 L 50 50 1 1 W -X A4 14 -400 100 100 R 50 50 1 1 I -X A5 15 -400 0 100 R 50 50 1 1 I -X A6 16 -400 -100 100 R 50 50 1 1 I -X A7 17 -400 -200 100 R 50 50 1 1 I -X A8 18 -400 -300 100 R 50 50 1 1 I -X ~WE~ 2 400 -300 100 L 50 50 1 1 I -X A9 22 -400 -400 100 R 50 50 1 1 I -X NC 23 400 0 100 L 50 50 1 1 N N -X ~CAS~ 24 400 -100 100 L 50 50 1 1 I -X Q 25 400 300 100 L 50 50 1 1 T -X GND 26 400 -500 100 L 50 50 1 1 W -X ~RAS~ 3 400 -200 100 L 50 50 1 1 I -X NC 4 400 50 100 L 50 50 1 1 N N -X A10 5 -400 -500 100 R 50 50 1 1 I -X A0 9 -400 500 100 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# DRAM-4Mx4-SOP-24 -# -DEF DRAM-4Mx4-SOP-24 U 0 20 Y Y 1 F N -F0 "U" 0 650 50 H V C CNN -F1 "DRAM-4Mx4-SOP-24" 0 0 50 V V C CNN -F2 "stdpads:SOP-24-26-300mil" 0 -650 50 H I C CNN -F3 "" 0 -600 50 H I C CNN -$FPLIST - SOJ*10.16x23.49mm*P1.27mm* -$ENDFPLIST -DRAW -S -300 600 300 -600 0 1 10 f -X VDD 1 400 500 100 L 50 50 1 1 W -X A1 10 -400 400 100 R 50 50 1 1 I -X A2 11 -400 300 100 R 50 50 1 1 I -X A3 12 -400 200 100 R 50 50 1 1 I -X VDD 13 400 500 100 L 50 50 1 1 W N -X GND 14 400 -500 100 L 50 50 1 1 W N -X A4 15 -400 100 100 R 50 50 1 1 I -X A5 16 -400 0 100 R 50 50 1 1 I -X A6 17 -400 -100 100 R 50 50 1 1 I -X A7 18 -400 -200 100 R 50 50 1 1 I -X A8 19 -400 -300 100 R 50 50 1 1 I -X D0 2 400 400 100 L 50 50 1 1 B -X NC 20 400 0 100 L 50 50 1 1 N N -X A9 21 -400 -400 100 R 50 50 1 1 I -X ~OE~ 22 400 -400 100 L 50 50 1 1 I -X ~CAS~ 23 400 -100 100 L 50 50 1 1 I -X D2 24 400 200 100 L 50 50 1 1 B -X D3 25 400 100 100 L 50 50 1 1 B -X GND 26 400 -500 100 L 50 50 1 1 W -X D1 3 400 300 100 L 50 50 1 1 B -X ~WE~ 4 400 -300 100 L 50 50 1 1 I -X ~RAS~ 5 400 -200 100 L 50 50 1 1 I -X NC 7 400 0 100 L 50 50 1 1 N N -X A10 8 -400 -500 100 R 50 50 1 1 I -X A0 9 -400 500 100 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# Flash-4Mx16-TSOP1-48 -# -DEF Flash-4Mx16-TSOP1-48 U 0 20 Y Y 1 F N -F0 "U" 0 1050 50 H V C CNN -F1 "Flash-4Mx16-TSOP1-48" 0 0 50 V V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -300 1000 300 -1700 0 1 10 f -X GND 27 -500 -1600 200 R 50 50 0 0 W -X VCC 37 -500 900 200 R 50 50 0 0 W -X A15 1 -500 -800 200 R 50 50 1 1 I -X A20 10 -500 -1300 200 R 50 50 1 1 I -X ~WE~ 11 500 -1500 200 L 50 50 1 1 I L -X ~RESET~ 12 500 -800 200 L 50 50 1 1 I L -X A21 13 -500 -1400 200 R 50 50 1 1 I -X ~WP~ 14 500 -1100 200 L 50 50 1 1 I L -X ~BY~ 15 500 -1200 200 L 50 50 1 1 I L -X A18 16 -500 -1100 200 R 50 50 1 1 I -X A17 17 -500 -1000 200 R 50 50 1 1 I -X A7 18 -500 0 200 R 50 50 1 1 I -X A6 19 -500 100 200 R 50 50 1 1 I -X A14 2 -500 -700 200 R 50 50 1 1 I -X A5 20 -500 200 200 R 50 50 1 1 I -X A4 21 -500 300 200 R 50 50 1 1 I -X A3 22 -500 400 200 R 50 50 1 1 I -X A2 23 -500 500 200 R 50 50 1 1 I -X A1 24 -500 600 200 R 50 50 1 1 I -X A0 25 -500 700 200 R 50 50 1 1 I -X ~CS~ 26 500 -1400 200 L 50 50 1 1 I L -X ~OE~ 28 500 -1600 200 L 50 50 1 1 I L -X D0 29 500 900 200 L 50 50 1 1 B -X A13 3 -500 -600 200 R 50 50 1 1 I -X D8 30 500 100 200 L 50 50 1 1 B -X D1 31 500 800 200 L 50 50 1 1 B -X D9 32 500 0 200 L 50 50 1 1 B -X D2 33 500 700 200 L 50 50 1 1 B -X D10 34 500 -100 200 L 50 50 1 1 B -X D3 35 500 600 200 L 50 50 1 1 B -X D11 36 500 -200 200 L 50 50 1 1 B -X D4 38 500 500 200 L 50 50 1 1 B -X D12 39 500 -300 200 L 50 50 1 1 B -X A12 4 -500 -500 200 R 50 50 1 1 I -X D5 40 500 400 200 L 50 50 1 1 B -X D13 41 500 -400 200 L 50 50 1 1 B -X D6 42 500 300 200 L 50 50 1 1 B -X D14 43 500 -500 200 L 50 50 1 1 B -X D7 44 500 200 200 L 50 50 1 1 B -X D15/A22 45 500 -600 200 L 50 50 1 1 B -X GND 46 -500 -1600 200 R 50 50 1 1 W -X ~BYTE~ 47 500 -900 200 L 50 50 1 1 I L -X A16 48 -500 -900 200 R 50 50 1 1 I -X A11 5 -500 -400 200 R 50 50 1 1 I -X A10 6 -500 -300 200 R 50 50 1 1 I -X A9 7 -500 -200 200 R 50 50 1 1 I -X A8 8 -500 -100 200 R 50 50 1 1 I -X A19 9 -500 -1200 200 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# Flash-512Kx8-PLCC-32 -# -DEF Flash-512Kx8-PLCC-32 U 0 20 Y Y 1 F N -F0 "U" 0 1050 50 H V C CNN -F1 "Flash-512Kx8-PLCC-32" 0 0 50 V V C CNN -F2 "stdpads:PLCC-32_SMDSocket" 0 -1050 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -300 1000 300 -1000 0 1 10 f -X GND 16 400 -900 100 L 50 50 0 0 W -X VCC 32 400 900 100 L 50 50 0 0 W -X A18 1 -400 -900 100 R 50 50 1 1 I -X A2 10 -400 700 100 R 50 50 1 1 I -X A1 11 -400 800 100 R 50 50 1 1 I -X A0 12 -400 900 100 R 50 50 1 1 I -X D0 13 400 700 100 L 50 50 1 1 B -X D1 14 400 600 100 L 50 50 1 1 B -X D2 15 400 500 100 L 50 50 1 1 B -X D3 17 400 400 100 L 50 50 1 1 B -X D4 18 400 300 100 L 50 50 1 1 B -X D5 19 400 200 100 L 50 50 1 1 B -X A16 2 -400 -700 100 R 50 50 1 1 I -X D6 20 400 100 100 L 50 50 1 1 B -X D7 21 400 0 100 L 50 50 1 1 B -X ~CS~ 22 400 -400 100 L 50 50 1 1 I -X A10 23 -400 -100 100 R 50 50 1 1 I -X ~OE~ 24 400 -600 100 L 50 50 1 1 I -X A11 25 -400 -200 100 R 50 50 1 1 I -X A9 26 -400 0 100 R 50 50 1 1 I -X A8 27 -400 100 100 R 50 50 1 1 I -X A13 28 -400 -400 100 R 50 50 1 1 I -X A14 29 -400 -500 100 R 50 50 1 1 I -X A15 3 -400 -600 100 R 50 50 1 1 I -X A17 30 -400 -800 100 R 50 50 1 1 I -X ~WE~ 31 400 -500 100 L 50 50 1 1 I -X A12 4 -400 -300 100 R 50 50 1 1 I -X A7 5 -400 200 100 R 50 50 1 1 I -X A6 6 -400 300 100 R 50 50 1 1 I -X A5 7 -400 400 100 R 50 50 1 1 I -X A4 8 -400 500 100 R 50 50 1 1 I -X A3 9 -400 600 100 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# SDRAM-16Mx16-TSOP2-54 -# -DEF SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N -F0 "U" 0 1150 50 H V C CNN -F1 "SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN -F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN -F3 "" 0 -250 50 H I C CNN -DRAW -S -300 1100 300 -1400 0 1 10 f -X VDD 1 -500 1000 200 R 50 50 1 1 W -X DQ5 10 500 500 200 L 50 50 1 1 B -X DQ6 11 500 400 200 L 50 50 1 1 B -X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N -X DQ7 13 500 300 200 L 50 50 1 1 B -X VDD 14 -500 1000 200 R 50 50 1 1 W N -X DQML 15 500 -600 200 L 50 50 1 1 I -X ~WE~ 16 500 -1100 200 L 50 50 1 1 I -X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I -X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I -X ~CS~ 19 500 -1000 200 L 50 50 1 1 I -X DQ0 2 500 1000 200 L 50 50 1 1 B -X BA0 20 -500 -600 200 R 50 50 1 1 I -X BA1 21 -500 -700 200 R 50 50 1 1 I -X A10 22 -500 -300 200 R 50 50 1 1 I -X A0 23 -500 700 200 R 50 50 1 1 I -X A1 24 -500 600 200 R 50 50 1 1 I -X A2 25 -500 500 200 R 50 50 1 1 I -X A3 26 -500 400 200 R 50 50 1 1 I -X VDD 27 -500 1000 200 R 50 50 1 1 W N -X VSS 28 -500 -1200 200 R 50 50 1 1 W -X A4 29 -500 300 200 R 50 50 1 1 I -X VDDQ 3 -500 900 200 R 50 50 1 1 W -X A5 30 -500 200 200 R 50 50 1 1 I -X A6 31 -500 100 200 R 50 50 1 1 I -X A7 32 -500 0 200 R 50 50 1 1 I -X A8 33 -500 -100 200 R 50 50 1 1 I -X A9 34 -500 -200 200 R 50 50 1 1 I -X A11 35 -500 -400 200 R 50 50 1 1 I -X A12 36 -500 -500 200 R 50 50 1 1 I -X CKE 37 -500 -900 200 R 50 50 1 1 I -X CLK 38 -500 -1000 200 R 50 50 1 1 I -X DQMH 39 500 -700 200 L 50 50 1 1 I -X DQ1 4 500 900 200 L 50 50 1 1 B -X VSS 41 -500 -1200 200 R 50 50 1 1 W N -X DQ8 42 500 200 200 L 50 50 1 1 B -X VDDQ 43 -500 900 200 R 50 50 1 1 W N -X DQ9 44 500 100 200 L 50 50 1 1 B -X DQ10 45 500 0 200 L 50 50 1 1 B -X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N -X DQ11 47 500 -100 200 L 50 50 1 1 B -X DQ12 48 500 -200 200 L 50 50 1 1 B -X VDDQ 49 -500 900 200 R 50 50 1 1 W N -X DQ2 5 500 800 200 L 50 50 1 1 B -X DQ13 50 500 -300 200 L 50 50 1 1 B -X DQ14 51 500 -400 200 L 50 50 1 1 B -X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N -X DQ15 53 500 -500 200 L 50 50 1 1 B -X VSS 54 -500 -1200 200 R 50 50 1 1 W N -X VSSQ 6 -500 -1300 200 R 50 50 1 1 W -X DQ3 7 500 700 200 L 50 50 1 1 B -X DQ4 8 500 600 200 L 50 50 1 1 B -X VDDQ 9 -500 900 200 R 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# SPIFlash-SO-8 -# -DEF SPIFlash-SO-8 U 0 40 Y Y 1 F N -F0 "U" 0 350 50 H V C CNN -F1 "SPIFlash-SO-8" 0 -250 50 H V C CNN -F2 "stdpads:stdpads:SOIC-8_5.3mm" 0 -300 50 H I C TNN -F3 "" 0 0 50 H I C TNN -DRAW -S -350 300 350 -200 0 1 10 f -X ~CS~ 1 -550 200 200 R 50 50 1 1 I -X DO/IO1 2 -550 100 200 R 50 50 1 1 B -X ~WP~/IO2 3 -550 0 200 R 50 50 1 1 B -X GND 4 -550 -100 200 R 50 50 1 1 W -X DI/IO0 5 550 -100 200 L 50 50 1 1 B -X CLK 6 550 0 200 L 50 50 1 1 I -X ~HLD~/IO3 7 550 100 200 L 50 50 1 1 B -X Vcc 8 550 200 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# SPIFlash-SON-8-1EP -# -DEF SPIFlash-SON-8-1EP U 0 40 Y Y 1 F N -F0 "U" 0 350 50 H V C CNN -F1 "SPIFlash-SON-8-1EP" 0 -250 50 H V C CNN -F2 "stdpads:SON-8-1EP_3x2mm_P0.5mm_EP0.25x1.65mm" 0 -300 50 H I C TNN -F3 "" 0 0 50 H I C TNN -DRAW -S -350 300 350 -200 0 1 10 f -X ~CS~ 1 -550 200 200 R 50 50 1 1 I -X DO/IO1 2 -550 100 200 R 50 50 1 1 B -X ~WP~/IO2 3 -550 0 200 R 50 50 1 1 B -X GND 4 -550 -100 200 R 50 50 1 1 W -X DI/IO0 5 550 -100 200 L 50 50 1 1 B -X CLK 6 550 0 200 L 50 50 1 1 I -X ~HLD~/IO3 7 550 100 200 L 50 50 1 1 B -X Vcc 8 550 200 200 L 50 50 1 1 W -X GND 9 -550 -100 200 R 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# SRAM-128Kx8-SOP-32 -# -DEF SRAM-128Kx8-SOP-32 U? 0 20 Y Y 1 F N -F0 "U?" 0 950 50 H V C CNN -F1 "SRAM-128Kx8-SOP-32" 0 0 50 V V C CNN -F2 "stdpads:SOP-32_P1.27mm" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - TSOP?I*11.8x8mm*P0.5mm* - TSOP?I*18.4x8mm*P0.5mm* -$ENDFPLIST -DRAW -S -300 900 300 -900 1 1 10 f -X NC 1 400 -200 100 L 50 50 1 1 N N -X A2 10 -400 600 100 R 50 50 1 1 I -X A1 11 -400 700 100 R 50 50 1 1 I -X A0 12 -400 800 100 R 50 50 1 1 I -X D0 13 400 600 100 L 50 50 1 1 B -X D1 14 400 500 100 L 50 50 1 1 B -X D2 15 400 400 100 L 50 50 1 1 B -X GND 16 400 -800 100 L 50 50 1 1 W -X D3 17 400 300 100 L 50 50 1 1 B -X D4 18 400 200 100 L 50 50 1 1 B -X D5 19 400 100 100 L 50 50 1 1 B -X A16 2 -400 -800 100 R 50 50 1 1 I -X D6 20 400 0 100 L 50 50 1 1 B -X D7 21 400 -100 100 L 50 50 1 1 B -X ~CS~ 22 400 -300 100 L 50 50 1 1 I -X A10 23 -400 -200 100 R 50 50 1 1 I -X ~OE 24 400 -600 100 L 50 50 1 1 I -X A11 25 -400 -300 100 R 50 50 1 1 I -X A9 26 -400 -100 100 R 50 50 1 1 I -X A8 27 -400 0 100 R 50 50 1 1 I -X A13 28 -400 -500 100 R 50 50 1 1 I -X ~WE 29 400 -500 100 L 50 50 1 1 I -X A14 3 -400 -600 100 R 50 50 1 1 I -X CS 30 400 -400 100 L 50 50 1 1 I -X A15 31 -400 -700 100 R 50 50 1 1 I -X VCC 32 400 800 100 L 50 50 1 1 W -X A12 4 -400 -400 100 R 50 50 1 1 I -X A7 5 -400 100 100 R 50 50 1 1 I -X A6 6 -400 200 100 R 50 50 1 1 I -X A5 7 -400 300 100 R 50 50 1 1 I -X A4 8 -400 400 100 R 50 50 1 1 I -X A3 9 -400 500 100 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# SRAM-128Kx8-TSOP1-32 -# -DEF SRAM-128Kx8-TSOP1-32 U? 0 20 Y Y 1 F N -F0 "U?" 0 950 50 H V C CNN -F1 "SRAM-128Kx8-TSOP1-32" 0 0 50 V V C CNN -F2 "stdpads:TSOP-I-32_18.4x8mm_P0.5mm" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - TSOP?I*11.8x8mm*P0.5mm* - TSOP?I*18.4x8mm*P0.5mm* -$ENDFPLIST -DRAW -S -300 900 300 -900 0 1 10 f -X GND 24 400 -800 100 L 50 50 0 0 W -X VCC 8 400 800 100 L 50 50 0 0 W -X A11 1 -400 -300 100 R 50 50 1 1 I -X A16 10 -400 -800 100 R 50 50 1 1 I -X A14 11 -400 -600 100 R 50 50 1 1 I -X A12 12 -400 -400 100 R 50 50 1 1 I -X A7 13 -400 100 100 R 50 50 1 1 I -X A6 14 -400 200 100 R 50 50 1 1 I -X A5 15 -400 300 100 R 50 50 1 1 I -X A4 16 -400 400 100 R 50 50 1 1 I -X A3 17 -400 500 100 R 50 50 1 1 I -X A2 18 -400 600 100 R 50 50 1 1 I -X A1 19 -400 700 100 R 50 50 1 1 I -X A9 2 -400 -100 100 R 50 50 1 1 I -X A0 20 -400 800 100 R 50 50 1 1 I -X D0 21 400 600 100 L 50 50 1 1 B -X D1 22 400 500 100 L 50 50 1 1 B -X D2 23 400 400 100 L 50 50 1 1 B -X D3 25 400 300 100 L 50 50 1 1 B -X D4 26 400 200 100 L 50 50 1 1 B -X D5 27 400 100 100 L 50 50 1 1 B -X D6 28 400 0 100 L 50 50 1 1 B -X D7 29 400 -100 100 L 50 50 1 1 B -X A8 3 -400 0 100 R 50 50 1 1 I -X ~CS~ 30 400 -300 100 L 50 50 1 1 I -X A10 31 -400 -200 100 R 50 50 1 1 I -X ~OE 32 400 -600 100 L 50 50 1 1 I -X A13 4 -400 -500 100 R 50 50 1 1 I -X ~WE 5 400 -500 100 L 50 50 1 1 I -X CS 6 400 -400 100 L 50 50 1 1 I -X A15 7 -400 -700 100 R 50 50 1 1 I -X NC 9 400 -200 100 L 50 50 1 1 N N -ENDDRAW -ENDDEF -# -# SRAM-1Mx8-TSOP2-44 -# -DEF SRAM-1Mx8-TSOP2-44 U 0 20 Y Y 1 F N -F0 "U" 0 1100 50 H V C CNN -F1 "SRAM-1Mx8-TSOP2-44" 0 50 50 V V C CNN -F2 "stdpads:TSOP-II-44_400mil_P0.8mm" 0 -1100 50 H I C CNN -F3 "" 0 -150 50 H I C CNN -$FPLIST - SOJ*10.16x23.49mm*P1.27mm* -$ENDFPLIST -DRAW -S -300 1050 300 -1050 0 1 10 f -X A4 1 -400 550 100 R 50 50 1 1 I -X D1 10 400 650 100 L 50 50 1 1 B -X VDD 11 400 950 100 L 50 50 1 1 W -X GND 12 400 -950 100 L 50 50 1 1 P N -X D2 13 400 550 100 L 50 50 1 1 B -X D3 14 400 450 100 L 50 50 1 1 B -X NC 15 400 0 100 L 50 50 1 1 N N -X NC 16 400 800 100 L 50 50 1 1 N N -X ~WE~ 17 400 -650 100 L 50 50 1 1 I -X A19 18 -400 -950 100 R 50 50 1 1 I -X A18 19 -400 -850 100 R 50 50 1 1 I -X A3 2 -400 650 100 R 50 50 1 1 I -X A17 20 -400 -750 100 R 50 50 1 1 I -X A16 21 -400 -650 100 R 50 50 1 1 I -X A15 22 -400 -550 100 R 50 50 1 1 I -X A14 23 -400 -450 100 R 50 50 1 1 I -X A13 24 -400 -350 100 R 50 50 1 1 I -X A12 25 -400 -250 100 R 50 50 1 1 I -X A11 26 -400 -150 100 R 50 50 1 1 I -X A10 27 -400 -50 100 R 50 50 1 1 I -X A9 28 -400 50 100 R 50 50 1 1 I -X NC 29 400 -50 100 L 50 50 1 1 N N -X A2 3 -400 750 100 R 50 50 1 1 I -X NC 30 400 -100 100 L 50 50 1 1 N N -X D4 31 400 350 100 L 50 50 1 1 B -X D5 32 400 250 100 L 50 50 1 1 B -X VDD 33 400 950 100 L 50 50 1 1 W N -X GND 34 400 -950 100 L 50 50 1 1 W -X D6 35 400 150 100 L 50 50 1 1 B -X D7 36 400 50 100 L 50 50 1 1 B -X NC 37 400 -150 100 L 50 50 1 1 N N -X NC 38 400 -200 100 L 50 50 1 1 N N -X A8 39 -400 150 100 R 50 50 1 1 I -X A1 4 -400 850 100 R 50 50 1 1 I -X CE2 40 400 -250 100 L 50 50 1 1 I -X ~OE~ 41 400 -750 100 L 50 50 1 1 I -X A7 42 -400 250 100 R 50 50 1 1 I -X A6 43 -400 350 100 R 50 50 1 1 I -X A5 44 -400 450 100 R 50 50 1 1 I -X A0 5 -400 950 100 R 50 50 1 1 I -X ~CE~ 6 400 -350 100 L 50 50 1 1 I -X NC 7 400 900 100 L 50 50 1 1 N N -X NC 8 400 850 100 L 50 50 1 1 N N -X D0 9 400 750 100 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# SRAM-2Mx8-TSOP2-44 -# -DEF SRAM-2Mx8-TSOP2-44 U 0 20 Y Y 1 F N -F0 "U" 0 1150 50 H V C CNN -F1 "SRAM-2Mx8-TSOP2-44" 0 100 50 V V C CNN -F2 "stdpads:TSOP-II-44_400mil_P0.8mm" 0 -1050 50 H I C CNN -F3 "" 0 -100 50 H I C CNN -$FPLIST - SOJ*10.16x23.49mm*P1.27mm* -$ENDFPLIST -DRAW -S -300 1100 300 -1100 0 1 10 f -X A4 1 -400 600 100 R 50 50 1 1 I -X D1 10 400 700 100 L 50 50 1 1 B -X VDD 11 400 1000 100 L 50 50 1 1 W -X GND 12 400 -1000 100 L 50 50 1 1 P N -X D2 13 400 600 100 L 50 50 1 1 B -X D3 14 400 500 100 L 50 50 1 1 B -X NC 15 400 50 100 L 50 50 1 1 N N -X A20 16 -400 -1000 100 R 50 50 1 1 I -X NC 16 400 850 100 L 50 50 1 1 N N -X ~WE~ 17 400 -600 100 L 50 50 1 1 I -X A19 18 -400 -900 100 R 50 50 1 1 I -X A18 19 -400 -800 100 R 50 50 1 1 I -X A3 2 -400 700 100 R 50 50 1 1 I -X A17 20 -400 -700 100 R 50 50 1 1 I -X A16 21 -400 -600 100 R 50 50 1 1 I -X A15 22 -400 -500 100 R 50 50 1 1 I -X A14 23 -400 -400 100 R 50 50 1 1 I -X A13 24 -400 -300 100 R 50 50 1 1 I -X A12 25 -400 -200 100 R 50 50 1 1 I -X A11 26 -400 -100 100 R 50 50 1 1 I -X A10 27 -400 0 100 R 50 50 1 1 I -X A9 28 -400 100 100 R 50 50 1 1 I -X NC 29 400 0 100 L 50 50 1 1 N N -X A2 3 -400 800 100 R 50 50 1 1 I -X NC 30 400 -50 100 L 50 50 1 1 N N -X D4 31 400 400 100 L 50 50 1 1 B -X D5 32 400 300 100 L 50 50 1 1 B -X VDD 33 400 1000 100 L 50 50 1 1 W N -X GND 34 400 -1000 100 L 50 50 1 1 W -X D6 35 400 200 100 L 50 50 1 1 B -X D7 36 400 100 100 L 50 50 1 1 B -X NC 37 400 -100 100 L 50 50 1 1 N N -X NC 38 400 -150 100 L 50 50 1 1 N N -X A8 39 -400 200 100 R 50 50 1 1 I -X A1 4 -400 900 100 R 50 50 1 1 I -X CE2 40 400 -200 100 L 50 50 1 1 I -X ~OE~ 41 400 -700 100 L 50 50 1 1 I -X A7 42 -400 300 100 R 50 50 1 1 I -X A6 43 -400 400 100 R 50 50 1 1 I -X A5 44 -400 500 100 R 50 50 1 1 I -X A0 5 -400 1000 100 R 50 50 1 1 I -X ~CE~ 6 400 -300 100 L 50 50 1 1 I -X NC 7 400 950 100 L 50 50 1 1 N N -X NC 8 400 900 100 L 50 50 1 1 N N -X D0 9 400 800 100 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# SRAM-512Kx16-TSOP2-44 -# -DEF SRAM-512Kx16-TSOP2-44 U 0 20 Y Y 1 F N -F0 "U" 0 1200 50 H V C CNN -F1 "SRAM-512Kx16-TSOP2-44" 0 50 50 V V C CNN -F2 "stdpads:TSOP-II-44_400mil_P0.8mm" 0 -1200 50 H I C CNN -F3 "" 0 -150 50 H I C CNN -$FPLIST - SOJ*10.16x23.49mm*P1.27mm* -$ENDFPLIST -DRAW -S -300 1150 300 -1150 0 1 10 f -X A4 1 -400 550 100 R 50 50 1 1 I -X D3 10 400 750 100 L 50 50 1 1 B -X VDD 11 -400 1050 100 R 50 50 1 1 W -X GND 12 -400 -1050 100 R 50 50 1 1 P N -X D4 13 400 650 100 L 50 50 1 1 B -X D5 14 400 550 100 L 50 50 1 1 B -X D6 15 400 450 100 L 50 50 1 1 B -X D7 16 400 350 100 L 50 50 1 1 B -X ~WE~ 17 400 -950 100 L 50 50 1 1 I -X A16 18 -400 -650 100 R 50 50 1 1 I -X A15 19 -400 -550 100 R 50 50 1 1 I -X A3 2 -400 650 100 R 50 50 1 1 I -X A14 20 -400 -450 100 R 50 50 1 1 I -X A13 21 -400 -350 100 R 50 50 1 1 I -X A12 22 -400 -250 100 R 50 50 1 1 I -X A17 23 -400 -750 100 R 50 50 1 1 I -X A11 24 -400 -150 100 R 50 50 1 1 I -X A10 25 -400 -50 100 R 50 50 1 1 I -X A9 26 -400 50 100 R 50 50 1 1 I -X A8 27 -400 150 100 R 50 50 1 1 I -X A18 28 -400 -850 100 R 50 50 1 1 I -X D8 29 400 250 100 L 50 50 1 1 B -X A2 3 -400 750 100 R 50 50 1 1 I -X D9 30 400 150 100 L 50 50 1 1 B -X D10 31 400 50 100 L 50 50 1 1 B -X D11 32 400 -50 100 L 50 50 1 1 B -X VDD 33 -400 1050 100 R 50 50 1 1 W N -X GND 34 -400 -1050 100 R 50 50 1 1 W -X D12 35 400 -150 100 L 50 50 1 1 B -X D13 36 400 -250 100 L 50 50 1 1 B -X D14 37 400 -350 100 L 50 50 1 1 B -X D15 38 400 -450 100 L 50 50 1 1 B -X ~LB~ 39 400 -850 100 L 50 50 1 1 I -X A1 4 -400 850 100 R 50 50 1 1 I -X ~UB~ 40 400 -750 100 L 50 50 1 1 I -X ~OE~ 41 400 -1050 100 L 50 50 1 1 I -X A7 42 -400 250 100 R 50 50 1 1 I -X A6 43 -400 350 100 R 50 50 1 1 I -X A5 44 -400 450 100 R 50 50 1 1 I -X A0 5 -400 950 100 R 50 50 1 1 I -X ~CE~ 6 400 -650 100 L 50 50 1 1 I -X D0 7 400 1050 100 L 50 50 1 1 B -X D1 8 400 950 100 L 50 50 1 1 B -X D2 9 400 850 100 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# VRAM-128kx8-SOP-40 -# -DEF VRAM-128kx8-SOP-40 U 0 20 Y Y 1 F N -F0 "U" 0 1050 50 H V C CNN -F1 "VRAM-128kx8-SOP-40" 50 0 50 V V C CNN -F2 "stdpads:SOP-24-26-300mil" 0 -1050 50 H I C CNN -F3 "" 0 -250 50 H I C CNN -DRAW -S -300 1000 300 -1000 0 1 10 f -X SC 1 -400 -800 100 R 50 50 1 1 I -X DQ3 10 400 400 100 L 50 50 1 1 B -X VDD 11 400 900 100 L 50 50 1 1 W -X ~ME~/~WE~ 12 -400 -200 100 R 50 50 1 1 I -X ~RAS~ 14 -400 -100 100 R 50 50 1 1 I -X A8 16 -400 100 100 R 50 50 1 1 I -X A6 17 -400 300 100 R 50 50 1 1 I -X A5 18 -400 400 100 R 50 50 1 1 I -X A4 19 -400 500 100 R 50 50 1 1 I -X SQ0 2 400 -200 100 L 50 50 1 1 B -X VDD 20 400 900 100 L 50 50 1 1 W N -X A7 21 -400 200 100 R 50 50 1 1 I -X A3 22 -400 600 100 R 50 50 1 1 I -X A2 23 -400 700 100 R 50 50 1 1 I -X A1 24 -400 800 100 R 50 50 1 1 I -X A0 25 -400 900 100 R 50 50 1 1 I -X QSF 26 -400 -600 100 R 50 50 1 1 O -X ~CAS~ 27 -400 0 100 R 50 50 1 1 I -X DSF 29 -400 -500 100 R 50 50 1 1 I -X SQ1 3 400 -300 100 L 50 50 1 1 B -X GND 30 -400 -900 100 R 50 50 1 1 W N -X DQ4 31 400 300 100 L 50 50 1 1 B -X DQ5 32 400 200 100 L 50 50 1 1 B -X DQ6 33 400 100 100 L 50 50 1 1 B -X DQ7 34 400 0 100 L 50 50 1 1 B -X ~SE~ 35 -400 -400 100 R 50 50 1 1 I -X SQ4 36 400 -600 100 L 50 50 1 1 B -X SQ5 37 400 -700 100 L 50 50 1 1 B -X SQ6 38 400 -800 100 L 50 50 1 1 B -X SQ7 39 400 -900 100 L 50 50 1 1 B -X SQ2 4 400 -400 100 L 50 50 1 1 B -X GND 40 -400 -900 100 R 50 50 1 1 W -X SQ3 5 400 -500 100 L 50 50 1 1 B -X ~TR~/~OE~ 6 -400 -300 100 R 50 50 1 1 I -X DQ0 7 400 700 100 L 50 50 1 1 B -X DQ1 8 400 600 100 L 50 50 1 1 B -X DQ2 9 400 500 100 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# VRAM-256kx8-SOP-40 -# -DEF VRAM-256kx8-SOP-40 U 0 20 Y Y 1 F N -F0 "U" 0 1050 50 H V C CNN -F1 "VRAM-256kx8-SOP-40" 50 0 50 V V C CNN -F2 "stdpads:SOP-24-26-300mil" 0 -1050 50 H I C CNN -F3 "" 0 -250 50 H I C CNN -DRAW -S -300 1000 300 -1000 0 1 10 f -X VDD 1 400 900 100 L 50 50 1 1 W -X DQ2 10 400 500 100 L 50 50 1 1 B -X DQ3 11 400 400 100 L 50 50 1 1 B -X GND 12 -400 -900 100 R 50 50 1 1 W N -X ~ME~/~WE~ 13 -400 -200 100 R 50 50 1 1 I -X ~RAS~ 14 -400 -100 100 R 50 50 1 1 I -X A8 15 -400 100 100 R 50 50 1 1 I -X A7 16 -400 200 100 R 50 50 1 1 I -X A6 17 -400 300 100 R 50 50 1 1 I -X A5 18 -400 400 100 R 50 50 1 1 I -X A4 19 -400 500 100 R 50 50 1 1 I -X SC 2 -400 -800 100 R 50 50 1 1 I -X VDD 20 400 900 100 L 50 50 1 1 W N -X GND 21 -400 -900 100 R 50 50 1 1 W N -X A3 22 -400 600 100 R 50 50 1 1 I -X A2 23 -400 700 100 R 50 50 1 1 I -X A1 24 -400 800 100 R 50 50 1 1 I -X A0 25 -400 900 100 R 50 50 1 1 I -X QSF 26 -400 -600 100 R 50 50 1 1 O -X ~CAS~ 27 -400 0 100 R 50 50 1 1 I -X DSF 29 -400 -500 100 R 50 50 1 1 I -X SQ0 3 400 -200 100 L 50 50 1 1 B -X GND 30 -400 -900 100 R 50 50 1 1 W N -X DQ4 31 400 300 100 L 50 50 1 1 B -X DQ5 32 400 200 100 L 50 50 1 1 B -X DQ6 33 400 100 100 L 50 50 1 1 B -X DQ7 34 400 0 100 L 50 50 1 1 B -X ~SE~ 35 -400 -400 100 R 50 50 1 1 I -X SQ4 36 400 -600 100 L 50 50 1 1 B -X SQ5 37 400 -700 100 L 50 50 1 1 B -X SQ6 38 400 -800 100 L 50 50 1 1 B -X SQ7 39 400 -900 100 L 50 50 1 1 B -X SQ1 4 400 -300 100 L 50 50 1 1 B -X GND 40 -400 -900 100 R 50 50 1 1 W -X SQ2 5 400 -400 100 L 50 50 1 1 B -X SQ3 6 400 -500 100 L 50 50 1 1 B -X ~TR~/~OE~ 7 -400 -300 100 R 50 50 1 1 I -X DQ0 8 400 700 100 L 50 50 1 1 B -X DQ1 9 400 600 100 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -#End Library diff --git a/GW_RFModule.kicad_sym b/GW_RFModule.kicad_sym new file mode 100644 index 0000000..14e8b0d --- /dev/null +++ b/GW_RFModule.kicad_sym @@ -0,0 +1,394 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "ESP-WROOM-32" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 31.75 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "ESP-WROOM-32" (id 1) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:ESP-WROOM-32" (id 2) (at 0 -34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -11.43 11.43 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "ESP-WROOM-32_0_1" + (rectangle (start -17.78 30.48) (end 17.78 -20.32) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (polyline + (pts + (xy -12.7 16.51) + (xy -12.7 27.94) + (xy -7.62 27.94) + (xy -7.62 21.59) + (xy -2.54 21.59) + (xy -2.54 27.94) + (xy 2.54 27.94) + (xy 2.54 21.59) + (xy 7.62 21.59) + (xy 7.62 27.94) + (xy 12.7 27.94) + (xy 12.7 21.59) + ) + (stroke (width 0.889) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "ESP-WROOM-32_1_1" + (pin power_out line (at -22.86 15.24 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -7.62 0) (length 5.08) + (name "IO25" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -10.16 0) (length 5.08) + (name "IO26" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -12.7 0) (length 5.08) + (name "IO27" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -15.24 0) (length 5.08) + (name "IO14" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -17.78 0) (length 5.08) + (name "IO12" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -25.4 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -7.62 -25.4 90) (length 5.08) + (name "IO13" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -5.08 -25.4 90) (length 5.08) + (name "SD2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -25.4 90) (length 5.08) + (name "SD3" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 0 -25.4 90) (length 5.08) + (name "CMD" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 12.7 0) (length 5.08) + (name "3V3" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 2.54 -25.4 90) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 5.08 -25.4 90) (length 5.08) + (name "SDO" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 7.62 -25.4 90) (length 5.08) + (name "SD1" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -25.4 90) (length 5.08) + (name "IO15" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -25.4 90) (length 5.08) + (name "IO2" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -17.78 180) (length 5.08) + (name "IO0" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 22.86 -15.24 180) (length 5.08) + (name "IO4" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -12.7 180) (length 5.08) + (name "IO16" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -10.16 180) (length 5.08) + (name "IO17" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -7.62 180) (length 5.08) + (name "IO5" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 10.16 0) (length 5.08) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 22.86 -5.08 180) (length 5.08) + (name "IO18" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -2.54 180) (length 5.08) + (name "IO19" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 22.86 0 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 2.54 180) (length 5.08) + (name "IO21" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 5.08 180) (length 5.08) + (name "RXD0" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 7.62 180) (length 5.08) + (name "TXD0" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 10.16 180) (length 5.08) + (name "IO22" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 12.7 180) (length 5.08) + (name "IO23" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 22.86 15.24 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 22.86 17.78 180) (length 5.08) + (name "GND-PAD" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 7.62 0) (length 5.08) + (name "SENSOR_VP" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 5.08 0) (length 5.08) + (name "SENSOR_VN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 2.54 0) (length 5.08) + (name "IO34" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 0 0) (length 5.08) + (name "IO35" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -2.54 0) (length 5.08) + (name "IO32" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -5.08 0) (length 5.08) + (name "IO33" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "ESP-WROVER-32" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at 0 31.75 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "ESP-WROVER-32" (id 1) (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:ESP-WROVER-32" (id 2) (at 0 -34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at -11.43 11.43 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "ESP-WROVER-32_0_1" + (rectangle (start -17.78 30.48) (end 17.78 -33.02) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (polyline + (pts + (xy -12.7 16.51) + (xy -12.7 27.94) + (xy -7.62 27.94) + (xy -7.62 21.59) + (xy -2.54 21.59) + (xy -2.54 27.94) + (xy 2.54 27.94) + (xy 2.54 21.59) + (xy 7.62 21.59) + (xy 7.62 27.94) + (xy 12.7 27.94) + (xy 12.7 21.59) + ) + (stroke (width 0.889) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "ESP-WROVER-32_1_1" + (pin power_out line (at -22.86 15.24 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -7.62 0) (length 5.08) + (name "IO25" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -10.16 0) (length 5.08) + (name "IO26" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -12.7 0) (length 5.08) + (name "IO27" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -15.24 0) (length 5.08) + (name "IO14" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -17.78 0) (length 5.08) + (name "IO12" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 -20.32 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -22.86 0) (length 5.08) + (name "IO13" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -25.4 0) (length 5.08) + (name "SD2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -27.94 0) (length 5.08) + (name "SD3" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -30.48 0) (length 5.08) + (name "CMD" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -22.86 12.7 0) (length 5.08) + (name "3V3" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 22.86 -30.48 180) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -27.94 180) (length 5.08) + (name "SDO" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -25.4 180) (length 5.08) + (name "SD1" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -22.86 180) (length 5.08) + (name "IO15" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -20.32 180) (length 5.08) + (name "IO2" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -17.78 180) (length 5.08) + (name "IO0" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 22.86 -15.24 180) (length 5.08) + (name "IO4" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 22.86 -12.7 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 22.86 -10.16 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -7.62 180) (length 5.08) + (name "IO5" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 10.16 0) (length 5.08) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 22.86 -5.08 180) (length 5.08) + (name "IO18" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -2.54 180) (length 5.08) + (name "IO19" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 22.86 0 180) (length 5.08) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 2.54 180) (length 5.08) + (name "IO21" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 5.08 180) (length 5.08) + (name "RXD0" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 7.62 180) (length 5.08) + (name "TXD0" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 10.16 180) (length 5.08) + (name "IO22" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 12.7 180) (length 5.08) + (name "IO23" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 22.86 15.24 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 22.86 17.78 180) (length 5.08) + (name "GND-PAD" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 7.62 0) (length 5.08) + (name "SENSOR_VP" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 5.08 0) (length 5.08) + (name "SENSOR_VN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 2.54 0) (length 5.08) + (name "IO34" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 0 0) (length 5.08) + (name "IO35" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -2.54 0) (length 5.08) + (name "IO32" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -22.86 -5.08 0) (length 5.08) + (name "IO33" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/GW_RFModule.lib b/GW_RFModule.lib deleted file mode 100644 index d8c8125..0000000 --- a/GW_RFModule.lib +++ /dev/null @@ -1,108 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# ESP-WROOM-32 -# -DEF ESP-WROOM-32 U 0 40 Y Y 1 F N -F0 "U" 0 1250 50 H V C CNN -F1 "ESP-WROOM-32" 0 0 50 H V C CNN -F2 "stdpads:ESP-WROOM-32" 0 -1350 50 H I C CNN -F3 "" -450 450 60 H I C CNN -DRAW -S -700 1200 700 -800 0 1 10 f -P 12 0 1 35 -500 650 -500 1100 -300 1100 -300 850 -100 850 -100 1100 100 1100 100 850 300 850 300 1100 500 1100 500 850 N -X GND 1 -900 600 200 R 50 50 1 1 w -X IO25 10 -900 -300 200 R 50 50 1 1 B -X IO26 11 -900 -400 200 R 50 50 1 1 B -X IO27 12 -900 -500 200 R 50 50 1 1 B -X IO14 13 -900 -600 200 R 50 50 1 1 B -X IO12 14 -900 -700 200 R 50 50 1 1 B -X GND 15 -400 -1000 200 U 50 50 1 1 W -X IO13 16 -300 -1000 200 U 50 50 1 1 B -X SD2 17 -200 -1000 200 U 50 50 1 1 B -X SD3 18 -100 -1000 200 U 50 50 1 1 B -X CMD 19 0 -1000 200 U 50 50 1 1 B -X 3V3 2 -900 500 200 R 50 50 1 1 W -X CLK 20 100 -1000 200 U 50 50 1 1 I -X SDO 21 200 -1000 200 U 50 50 1 1 B -X SD1 22 300 -1000 200 U 50 50 1 1 B -X IO15 23 400 -1000 200 U 50 50 1 1 B -X IO2 24 500 -1000 200 U 50 50 1 1 B -X IO0 25 900 -700 200 L 50 50 1 1 B -X IO4 26 900 -600 200 L 50 50 1 1 I -X IO16 27 900 -500 200 L 50 50 1 1 B -X IO17 28 900 -400 200 L 50 50 1 1 B -X IO5 29 900 -300 200 L 50 50 1 1 B -X EN 3 -900 400 200 R 50 50 1 1 I -X IO18 30 900 -200 200 L 50 50 1 1 I -X IO19 31 900 -100 200 L 50 50 1 1 B -X NC 32 900 0 200 L 50 50 1 1 N -X IO21 33 900 100 200 L 50 50 1 1 B -X RXD0 34 900 200 200 L 50 50 1 1 B -X TXD0 35 900 300 200 L 50 50 1 1 B -X IO22 36 900 400 200 L 50 50 1 1 B -X IO23 37 900 500 200 L 50 50 1 1 B -X GND 38 900 600 200 L 50 50 1 1 W -X GND-PAD 39 900 700 200 L 50 50 1 1 W -X SENSOR_VP 4 -900 300 200 R 50 50 1 1 I -X SENSOR_VN 5 -900 200 200 R 50 50 1 1 I -X IO34 6 -900 100 200 R 50 50 1 1 B -X IO35 7 -900 0 200 R 50 50 1 1 B -X IO32 8 -900 -100 200 R 50 50 1 1 B -X IO33 9 -900 -200 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# ESP-WROVER-32 -# -DEF ESP-WROVER-32 U 0 40 Y Y 1 F N -F0 "U" 0 1250 50 H V C CNN -F1 "ESP-WROVER-32" 0 0 50 H V C CNN -F2 "stdpads:ESP-WROVER-32" 0 -1350 50 H I C CNN -F3 "" -450 450 60 H I C CNN -DRAW -S -700 1200 700 -1300 0 1 10 f -P 12 0 1 35 -500 650 -500 1100 -300 1100 -300 850 -100 850 -100 1100 100 1100 100 850 300 850 300 1100 500 1100 500 850 N -X GND 1 -900 600 200 R 50 50 1 1 w -X IO25 10 -900 -300 200 R 50 50 1 1 B -X IO26 11 -900 -400 200 R 50 50 1 1 B -X IO27 12 -900 -500 200 R 50 50 1 1 B -X IO14 13 -900 -600 200 R 50 50 1 1 B -X IO12 14 -900 -700 200 R 50 50 1 1 B -X GND 15 -900 -800 200 R 50 50 1 1 W -X IO13 16 -900 -900 200 R 50 50 1 1 B -X SD2 17 -900 -1000 200 R 50 50 1 1 B -X SD3 18 -900 -1100 200 R 50 50 1 1 B -X CMD 19 -900 -1200 200 R 50 50 1 1 B -X 3V3 2 -900 500 200 R 50 50 1 1 W -X CLK 20 900 -1200 200 L 50 50 1 1 I -X SDO 21 900 -1100 200 L 50 50 1 1 B -X SD1 22 900 -1000 200 L 50 50 1 1 B -X IO15 23 900 -900 200 L 50 50 1 1 B -X IO2 24 900 -800 200 L 50 50 1 1 B -X IO0 25 900 -700 200 L 50 50 1 1 B -X IO4 26 900 -600 200 L 50 50 1 1 I -X NC 27 900 -500 200 L 50 50 1 1 N -X NC 28 900 -400 200 L 50 50 1 1 N -X IO5 29 900 -300 200 L 50 50 1 1 B -X EN 3 -900 400 200 R 50 50 1 1 I -X IO18 30 900 -200 200 L 50 50 1 1 I -X IO19 31 900 -100 200 L 50 50 1 1 B -X NC 32 900 0 200 L 50 50 1 1 N -X IO21 33 900 100 200 L 50 50 1 1 B -X RXD0 34 900 200 200 L 50 50 1 1 B -X TXD0 35 900 300 200 L 50 50 1 1 B -X IO22 36 900 400 200 L 50 50 1 1 B -X IO23 37 900 500 200 L 50 50 1 1 B -X GND 38 900 600 200 L 50 50 1 1 W -X GND-PAD 39 900 700 200 L 50 50 1 1 W -X SENSOR_VP 4 -900 300 200 R 50 50 1 1 I -X SENSOR_VN 5 -900 200 200 R 50 50 1 1 I -X IO34 6 -900 100 200 R 50 50 1 1 B -X IO35 7 -900 0 200 R 50 50 1 1 B -X IO32 8 -900 -100 200 R 50 50 1 1 B -X IO33 9 -900 -200 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -#End Library diff --git a/bom_jlc.py b/bom_jlc.py new file mode 100644 index 0000000..5f875e5 --- /dev/null +++ b/bom_jlc.py @@ -0,0 +1,77 @@ +# +# Example python script to generate a BOM from a KiCad generic netlist +# +# Example: Sorted and Grouped CSV BOM +# + +""" + @package + Output: CSV (comma-separated) + Grouped By: Value, Footprint + Sorted By: Ref + Fields: Ref, Qnty, Value, Cmp name, Footprint, Description, Vendor + + Command line: + python "pathToFile/bom_csv_grouped_by_value_with_fp.py" "%I" "%O.csv" +""" + +# Import the KiCad python helper module and the csv formatter +import kicad_netlist_reader +import kicad_utils +import csv +import sys + +# A helper function to filter/convert a string read in netlist +#currently: do nothing +def fromNetlistText( aText ): + return aText + +# Generate an instance of a generic netlist, and load the netlist tree from +# the command line option. If the file doesn't exist, execution will stop +net = kicad_netlist_reader.netlist(sys.argv[1]) + +# Open a file to write to, if the file cannot be opened output to stdout +# instead +try: + f = kicad_utils.open_file_writeUTF8(sys.argv[2], 'w') +except IOError: + e = "Can't open output file for writing: " + sys.argv[2] + print(__file__, ":", e, sys.stderr) + f = sys.stdout + +# Create a new csv writer object to use as the output formatter +out = csv.writer(f, lineterminator='\n', delimiter=',', quotechar='\"', quoting=csv.QUOTE_ALL) + +# Output a set of rows for a header providing general information +out.writerow(['Source:', net.getSource()]) +out.writerow(['Date:', net.getDate()]) +out.writerow(['Tool:', net.getTool()]) +out.writerow( ['Generator:', sys.argv[0]] ) +out.writerow(['Component Count:', len(net.components)]) +out.writerow(['Ref', 'Qnty', 'Value', 'Cmp name', 'Footprint', 'Description', 'Vendor', 'LCSC Part']) + + +# Get all of the components in groups of matching parts + values +# (see ky_generic_netlist_reader.py) +grouped = net.groupComponents() + +# Output all of the component information +for group in grouped: + refs = "" + + # Add the reference of every component in the group and keep a reference + # to the component so that the other data can be filled in once per group + for component in group: + refs += fromNetlistText( component.getRef() ) + ", " + c = component + + # Fill in the component groups common data + out.writerow([refs, len(group), + fromNetlistText( c.getValue() ), + fromNetlistText( c.getPartName() ), + fromNetlistText( c.getFootprint() ), + fromNetlistText( c.getDescription() ), + fromNetlistText( c.getField("Vendor") ), + fromNetlistText( c.getField("LCSC Part") )]) + +