Add 30-pin SIMM adapter

This commit is contained in:
Zane Kaminski 2021-12-06 12:42:51 -05:00
parent 9cb354e7c4
commit 1413e4c9fd
23 changed files with 56490 additions and 0 deletions

View File

@ -0,0 +1,283 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_01x30
#
DEF Connector_Generic_Conn_01x30 J 0 40 Y N 1 F N
F0 "J" 0 1500 50 H V C CNN
F1 "Connector_Generic_Conn_01x30" 0 -1600 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -1495 0 -1505 1 1 6 N
S -50 -1395 0 -1405 1 1 6 N
S -50 -1295 0 -1305 1 1 6 N
S -50 -1195 0 -1205 1 1 6 N
S -50 -1095 0 -1105 1 1 6 N
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 1005 0 995 1 1 6 N
S -50 1105 0 1095 1 1 6 N
S -50 1205 0 1195 1 1 6 N
S -50 1305 0 1295 1 1 6 N
S -50 1405 0 1395 1 1 6 N
S -50 1450 50 -1550 1 1 10 f
X Pin_1 1 -200 1400 150 R 50 50 1 1 P
X Pin_10 10 -200 500 150 R 50 50 1 1 P
X Pin_11 11 -200 400 150 R 50 50 1 1 P
X Pin_12 12 -200 300 150 R 50 50 1 1 P
X Pin_13 13 -200 200 150 R 50 50 1 1 P
X Pin_14 14 -200 100 150 R 50 50 1 1 P
X Pin_15 15 -200 0 150 R 50 50 1 1 P
X Pin_16 16 -200 -100 150 R 50 50 1 1 P
X Pin_17 17 -200 -200 150 R 50 50 1 1 P
X Pin_18 18 -200 -300 150 R 50 50 1 1 P
X Pin_19 19 -200 -400 150 R 50 50 1 1 P
X Pin_2 2 -200 1300 150 R 50 50 1 1 P
X Pin_20 20 -200 -500 150 R 50 50 1 1 P
X Pin_21 21 -200 -600 150 R 50 50 1 1 P
X Pin_22 22 -200 -700 150 R 50 50 1 1 P
X Pin_23 23 -200 -800 150 R 50 50 1 1 P
X Pin_24 24 -200 -900 150 R 50 50 1 1 P
X Pin_25 25 -200 -1000 150 R 50 50 1 1 P
X Pin_26 26 -200 -1100 150 R 50 50 1 1 P
X Pin_27 27 -200 -1200 150 R 50 50 1 1 P
X Pin_28 28 -200 -1300 150 R 50 50 1 1 P
X Pin_29 29 -200 -1400 150 R 50 50 1 1 P
X Pin_3 3 -200 1200 150 R 50 50 1 1 P
X Pin_30 30 -200 -1500 150 R 50 50 1 1 P
X Pin_4 4 -200 1100 150 R 50 50 1 1 P
X Pin_5 5 -200 1000 150 R 50 50 1 1 P
X Pin_6 6 -200 900 150 R 50 50 1 1 P
X Pin_7 7 -200 800 150 R 50 50 1 1 P
X Pin_8 8 -200 700 150 R 50 50 1 1 P
X Pin_9 9 -200 600 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x32_Counter_Clockwise
#
DEF Connector_Generic_Conn_02x32_Counter_Clockwise J 0 40 Y N 1 F N
F0 "J" 50 1600 50 H V C CNN
F1 "Connector_Generic_Conn_02x32_Counter_Clockwise" 50 -1700 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -1595 0 -1605 1 1 6 N
S -50 -1495 0 -1505 1 1 6 N
S -50 -1395 0 -1405 1 1 6 N
S -50 -1295 0 -1305 1 1 6 N
S -50 -1195 0 -1205 1 1 6 N
S -50 -1095 0 -1105 1 1 6 N
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 1005 0 995 1 1 6 N
S -50 1105 0 1095 1 1 6 N
S -50 1205 0 1195 1 1 6 N
S -50 1305 0 1295 1 1 6 N
S -50 1405 0 1395 1 1 6 N
S -50 1505 0 1495 1 1 6 N
S -50 1550 150 -1650 1 1 10 f
S 150 -1595 100 -1605 1 1 6 N
S 150 -1495 100 -1505 1 1 6 N
S 150 -1395 100 -1405 1 1 6 N
S 150 -1295 100 -1305 1 1 6 N
S 150 -1195 100 -1205 1 1 6 N
S 150 -1095 100 -1105 1 1 6 N
S 150 -995 100 -1005 1 1 6 N
S 150 -895 100 -905 1 1 6 N
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
S 150 905 100 895 1 1 6 N
S 150 1005 100 995 1 1 6 N
S 150 1105 100 1095 1 1 6 N
S 150 1205 100 1195 1 1 6 N
S 150 1305 100 1295 1 1 6 N
S 150 1405 100 1395 1 1 6 N
S 150 1505 100 1495 1 1 6 N
X Pin_1 1 -200 1500 150 R 50 50 1 1 P
X Pin_10 10 -200 600 150 R 50 50 1 1 P
X Pin_11 11 -200 500 150 R 50 50 1 1 P
X Pin_12 12 -200 400 150 R 50 50 1 1 P
X Pin_13 13 -200 300 150 R 50 50 1 1 P
X Pin_14 14 -200 200 150 R 50 50 1 1 P
X Pin_15 15 -200 100 150 R 50 50 1 1 P
X Pin_16 16 -200 0 150 R 50 50 1 1 P
X Pin_17 17 -200 -100 150 R 50 50 1 1 P
X Pin_18 18 -200 -200 150 R 50 50 1 1 P
X Pin_19 19 -200 -300 150 R 50 50 1 1 P
X Pin_2 2 -200 1400 150 R 50 50 1 1 P
X Pin_20 20 -200 -400 150 R 50 50 1 1 P
X Pin_21 21 -200 -500 150 R 50 50 1 1 P
X Pin_22 22 -200 -600 150 R 50 50 1 1 P
X Pin_23 23 -200 -700 150 R 50 50 1 1 P
X Pin_24 24 -200 -800 150 R 50 50 1 1 P
X Pin_25 25 -200 -900 150 R 50 50 1 1 P
X Pin_26 26 -200 -1000 150 R 50 50 1 1 P
X Pin_27 27 -200 -1100 150 R 50 50 1 1 P
X Pin_28 28 -200 -1200 150 R 50 50 1 1 P
X Pin_29 29 -200 -1300 150 R 50 50 1 1 P
X Pin_3 3 -200 1300 150 R 50 50 1 1 P
X Pin_30 30 -200 -1400 150 R 50 50 1 1 P
X Pin_31 31 -200 -1500 150 R 50 50 1 1 P
X Pin_32 32 -200 -1600 150 R 50 50 1 1 P
X Pin_33 33 300 -1600 150 L 50 50 1 1 P
X Pin_34 34 300 -1500 150 L 50 50 1 1 P
X Pin_35 35 300 -1400 150 L 50 50 1 1 P
X Pin_36 36 300 -1300 150 L 50 50 1 1 P
X Pin_37 37 300 -1200 150 L 50 50 1 1 P
X Pin_38 38 300 -1100 150 L 50 50 1 1 P
X Pin_39 39 300 -1000 150 L 50 50 1 1 P
X Pin_4 4 -200 1200 150 R 50 50 1 1 P
X Pin_40 40 300 -900 150 L 50 50 1 1 P
X Pin_41 41 300 -800 150 L 50 50 1 1 P
X Pin_42 42 300 -700 150 L 50 50 1 1 P
X Pin_43 43 300 -600 150 L 50 50 1 1 P
X Pin_44 44 300 -500 150 L 50 50 1 1 P
X Pin_45 45 300 -400 150 L 50 50 1 1 P
X Pin_46 46 300 -300 150 L 50 50 1 1 P
X Pin_47 47 300 -200 150 L 50 50 1 1 P
X Pin_48 48 300 -100 150 L 50 50 1 1 P
X Pin_49 49 300 0 150 L 50 50 1 1 P
X Pin_5 5 -200 1100 150 R 50 50 1 1 P
X Pin_50 50 300 100 150 L 50 50 1 1 P
X Pin_51 51 300 200 150 L 50 50 1 1 P
X Pin_52 52 300 300 150 L 50 50 1 1 P
X Pin_53 53 300 400 150 L 50 50 1 1 P
X Pin_54 54 300 500 150 L 50 50 1 1 P
X Pin_55 55 300 600 150 L 50 50 1 1 P
X Pin_56 56 300 700 150 L 50 50 1 1 P
X Pin_57 57 300 800 150 L 50 50 1 1 P
X Pin_58 58 300 900 150 L 50 50 1 1 P
X Pin_59 59 300 1000 150 L 50 50 1 1 P
X Pin_6 6 -200 1000 150 R 50 50 1 1 P
X Pin_60 60 300 1100 150 L 50 50 1 1 P
X Pin_61 61 300 1200 150 L 50 50 1 1 P
X Pin_62 62 300 1300 150 L 50 50 1 1 P
X Pin_63 63 300 1400 150 L 50 50 1 1 P
X Pin_64 64 300 1500 150 L 50 50 1 1 P
X Pin_7 7 -200 900 150 R 50 50 1 1 P
X Pin_8 8 -200 800 150 R 50 50 1 1 P
X Pin_9 9 -200 700 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole
#
DEF Mechanical_MountingHole H 0 40 Y Y 1 F N
F0 "H" 0 200 50 H V C CNN
F1 "Mechanical_MountingHole" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*
$ENDFPLIST
DRAW
C 0 0 50 0 1 50 N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Binary file not shown.

File diff suppressed because it is too large Load Diff

261
Adapter-30/Adapter-30.pro Normal file
View File

@ -0,0 +1,261 @@
update=Monday, December 06, 2021 at 12:40:59 PM
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=Pcbnew
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=Adapter-30.net
CopperLayerCount=4
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.127
MinViaDiameter=0.508
MinViaDrill=0.2
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.1524
TrackWidth2=0.1524
TrackWidth3=0.254
TrackWidth4=0.508
TrackWidth5=0.6
TrackWidth6=0.762
TrackWidth7=0.8
TrackWidth8=1
TrackWidth9=1.27
TrackWidth10=1.524
ViaDiameter1=0.508
ViaDrill1=0.2
ViaDiameter2=0.6
ViaDrill2=0.3
ViaDiameter3=0.8
ViaDrill3=0.4
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.15
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.15
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.07619999999999999
SolderMaskMinWidth=0.127
SolderPasteClearance=-0.03809999999999999
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=1
Enabled=1
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=1
Enabled=1
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.1524
TrackWidth=0.1524
ViaDiameter=0.508
ViaDrill=0.2
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

601
Adapter-30/Adapter-30.sch Normal file
View File

@ -0,0 +1,601 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr USLetter 11000 8500
encoding utf-8
Sheet 1 1
Title "GW4192A"
Date "2021-06-19"
Rev "1.0"
Comp "Garrett's Workshop"
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Connector_Generic:Conn_01x30 J1
U 1 1 5C2E1E12
P 1300 2550
F 0 "J1" V 1424 2496 50 0000 C CNN
F 1 "DRAM-SIMM-30" V 1515 2496 50 0000 C CNN
F 2 "stdpads:SIMM-30_Edge" H 1300 2550 50 0001 C CNN
F 3 "~" H 1300 2550 50 0001 C CNN
1 1300 2550
-1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR0101
U 1 1 5C2E1ED2
P 1500 4050
F 0 "#PWR0101" H 1500 3900 50 0001 C CNN
F 1 "+5V" H 1500 4200 50 0000 C CNN
F 2 "" H 1500 4050 50 0001 C CNN
F 3 "" H 1500 4050 50 0001 C CNN
1 1500 4050
0 1 1 0
$EndComp
$Comp
L power:+5V #PWR0102
U 1 1 5C2E1F89
P 1500 1150
F 0 "#PWR0102" H 1500 1000 50 0001 C CNN
F 1 "+5V" H 1500 1300 50 0000 C CNN
F 2 "" H 1500 1150 50 0001 C CNN
F 3 "" H 1500 1150 50 0001 C CNN
1 1500 1150
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0103
U 1 1 5C2E2010
P 1500 3250
F 0 "#PWR0103" H 1500 3000 50 0001 C CNN
F 1 "GND" H 1500 3100 50 0000 C CNN
F 2 "" H 1500 3250 50 0001 C CNN
F 3 "" H 1500 3250 50 0001 C CNN
1 1500 3250
0 -1 -1 0
$EndComp
$Comp
L power:GND #PWR0104
U 1 1 5C2E2033
P 1500 1950
F 0 "#PWR0104" H 1500 1700 50 0001 C CNN
F 1 "GND" H 1500 1800 50 0000 C CNN
F 2 "" H 1500 1950 50 0001 C CNN
F 3 "" H 1500 1950 50 0001 C CNN
1 1500 1950
0 -1 -1 0
$EndComp
Text Label 1500 1250 0 50 ~ 0
~CAS~
Text Label 1500 1350 0 50 ~ 0
D0
Text Label 1500 1450 0 50 ~ 0
A0
Text Label 1500 1550 0 50 ~ 0
A1
Text Label 1500 1650 0 50 ~ 0
D1
Text Label 1500 1750 0 50 ~ 0
A2
Text Label 1500 1850 0 50 ~ 0
A3
Text Label 1500 2050 0 50 ~ 0
D2
Text Label 1500 2150 0 50 ~ 0
A4
Text Label 1500 2250 0 50 ~ 0
A5
Text Label 1500 2350 0 50 ~ 0
D3
Text Label 1500 2450 0 50 ~ 0
A6
Text Label 1500 2550 0 50 ~ 0
A7
Text Label 1500 2650 0 50 ~ 0
D4
Text Label 1500 2750 0 50 ~ 0
A8
Text Label 1500 2850 0 50 ~ 0
A9
Text Label 1500 2950 0 50 ~ 0
A10
Text Label 1500 3050 0 50 ~ 0
D5
Text Label 1500 3150 0 50 ~ 0
~WE~
Text Label 1500 3350 0 50 ~ 0
D6
Text Label 1500 3450 0 50 ~ 0
A11
Text Label 1500 3550 0 50 ~ 0
D7
Text Label 1500 3650 0 50 ~ 0
QP
Text Label 1500 3750 0 50 ~ 0
~RAS~
Text Label 1500 3850 0 50 ~ 0
~CASP~
Text Label 1500 3950 0 50 ~ 0
DP
$Comp
L Device:C_Small C1
U 1 1 5C2E290A
P 2900 6250
F 0 "C1" H 2992 6296 50 0000 L CNN
F 1 "2u2" H 2992 6205 50 0000 L CNN
F 2 "stdpads:C_0805" H 2900 6250 50 0001 C CNN
F 3 "~" H 2900 6250 50 0001 C CNN
1 2900 6250
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C2
U 1 1 5C2E296A
P 3300 6250
F 0 "C2" H 3392 6296 50 0000 L CNN
F 1 "2u2" H 3392 6205 50 0000 L CNN
F 2 "stdpads:C_0805" H 3300 6250 50 0001 C CNN
F 3 "~" H 3300 6250 50 0001 C CNN
1 3300 6250
1 0 0 -1
$EndComp
Wire Wire Line
3300 6350 2900 6350
$Comp
L power:+5V #PWR0113
U 1 1 5C2E299D
P 2900 6150
F 0 "#PWR0113" H 2900 6000 50 0001 C CNN
F 1 "+5V" H 2900 6300 50 0000 C CNN
F 2 "" H 2900 6150 50 0001 C CNN
F 3 "" H 2900 6150 50 0001 C CNN
1 2900 6150
1 0 0 -1
$EndComp
Connection ~ 2900 6150
Wire Wire Line
2900 6150 3300 6150
$Comp
L Device:C_Small C3
U 1 1 5C2EDC35
P 3700 6250
F 0 "C3" H 3792 6296 50 0000 L CNN
F 1 "2u2" H 3792 6205 50 0000 L CNN
F 2 "stdpads:C_0805" H 3700 6250 50 0001 C CNN
F 3 "~" H 3700 6250 50 0001 C CNN
1 3700 6250
1 0 0 -1
$EndComp
Wire Wire Line
3700 6150 3300 6150
Connection ~ 3300 6150
Wire Wire Line
3300 6350 3700 6350
Connection ~ 3300 6350
Connection ~ 3700 6350
Wire Wire Line
3700 6350 4100 6350
Connection ~ 4100 6350
Wire Wire Line
4100 6150 3700 6150
Connection ~ 3700 6150
$Comp
L Device:C_Small C4
U 1 1 5D1301A9
P 4100 6250
F 0 "C4" H 4192 6296 50 0000 L CNN
F 1 "2u2" H 4192 6205 50 0000 L CNN
F 2 "stdpads:C_0805" H 4100 6250 50 0001 C CNN
F 3 "~" H 4100 6250 50 0001 C CNN
1 4100 6250
1 0 0 -1
$EndComp
Wire Wire Line
4100 6350 4500 6350
Connection ~ 4500 6350
Wire Wire Line
4500 6150 4100 6150
$Comp
L Device:C_Small C5
U 1 1 5D3FC322
P 4500 6250
F 0 "C5" H 4592 6296 50 0000 L CNN
F 1 "2u2" H 4592 6205 50 0000 L CNN
F 2 "stdpads:C_0805" H 4500 6250 50 0001 C CNN
F 3 "~" H 4500 6250 50 0001 C CNN
1 4500 6250
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole H1
U 1 1 60BD711B
P 8750 5050
F 0 "H1" H 8850 5096 50 0000 L CNN
F 1 "MountingHole" H 8850 5005 50 0000 L CNN
F 2 "stdpads:PasteHole_1.152mm_NPTH" H 8750 5050 50 0001 C CNN
F 3 "~" H 8750 5050 50 0001 C CNN
1 8750 5050
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole H2
U 1 1 60BD7A89
P 8750 5250
F 0 "H2" H 8850 5296 50 0000 L CNN
F 1 "MountingHole" H 8850 5205 50 0000 L CNN
F 2 "stdpads:PasteHole_1.152mm_NPTH" H 8750 5250 50 0001 C CNN
F 3 "~" H 8750 5250 50 0001 C CNN
1 8750 5250
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole H3
U 1 1 60BDD2BC
P 8750 5450
F 0 "H3" H 8850 5496 50 0000 L CNN
F 1 "MountingHole" H 8850 5405 50 0000 L CNN
F 2 "stdpads:PasteHole_1.152mm_NPTH" H 8750 5450 50 0001 C CNN
F 3 "~" H 8750 5450 50 0001 C CNN
1 8750 5450
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole H4
U 1 1 60BDD2C2
P 8750 5650
F 0 "H4" H 8850 5696 50 0000 L CNN
F 1 "MountingHole" H 8850 5605 50 0000 L CNN
F 2 "stdpads:PasteHole_1.152mm_NPTH" H 8750 5650 50 0001 C CNN
F 3 "~" H 8750 5650 50 0001 C CNN
1 8750 5650
1 0 0 -1
$EndComp
NoConn ~ -1700 1750
Connection ~ 4100 6150
$Comp
L Connector_Generic:Conn_02x32_Counter_Clockwise J2
U 1 1 5EBE7CAB
P 8200 2550
F 0 "J2" H 8250 4150 50 0000 C CNN
F 1 "IIfxRAM" H 8250 850 50 0000 C CNN
F 2 "stdpads:SIMM-64_Socket_TH_P1.27mm" H 8200 2550 50 0001 C CNN
F 3 "~" H 8200 2550 50 0001 C CNN
1 8200 2550
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0107
U 1 1 60BDDE7D
P 8000 1050
F 0 "#PWR0107" H 8000 800 50 0001 C CNN
F 1 "GND" H 8000 900 50 0000 C CNN
F 2 "" H 8000 1050 50 0001 C CNN
F 3 "" H 8000 1050 50 0001 C CNN
1 8000 1050
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR0108
U 1 1 60BDE5F5
P 8000 2800
F 0 "#PWR0108" H 8000 2550 50 0001 C CNN
F 1 "GND" H 8000 2650 50 0000 C CNN
F 2 "" H 8000 2800 50 0001 C CNN
F 3 "" H 8000 2800 50 0001 C CNN
1 8000 2800
0 1 1 0
$EndComp
Wire Wire Line
8000 2750 8000 2800
$Comp
L power:+5V #PWR0109
U 1 1 60BDF3D6
P 7900 1350
F 0 "#PWR0109" H 7900 1200 50 0001 C CNN
F 1 "+5V" H 7900 1500 50 0000 C CNN
F 2 "" H 7900 1350 50 0001 C CNN
F 3 "" H 7900 1350 50 0001 C CNN
1 7900 1350
1 0 0 -1
$EndComp
Wire Wire Line
7900 1350 8000 1350
Wire Wire Line
8000 1250 8000 1350
Connection ~ 8000 1350
Text Label 8000 1450 2 50 ~ 0
~CAS~
Text Label 8000 1550 2 50 ~ 0
D0
Text Label 8000 1750 2 50 ~ 0
~W~0
$Comp
L power:GND #PWR0111
U 1 1 60BE1609
P 8500 1050
F 0 "#PWR0111" H 8500 800 50 0001 C CNN
F 1 "GND" H 8500 900 50 0000 C CNN
F 2 "" H 8500 1050 50 0001 C CNN
F 3 "" H 8500 1050 50 0001 C CNN
1 8500 1050
-1 0 0 1
$EndComp
$Comp
L power:+5V #PWR0105
U 1 1 60BE1FC9
P 8600 1350
F 0 "#PWR0105" H 8600 1200 50 0001 C CNN
F 1 "+5V" H 8600 1500 50 0000 C CNN
F 2 "" H 8600 1350 50 0001 C CNN
F 3 "" H 8600 1350 50 0001 C CNN
1 8600 1350
-1 0 0 -1
$EndComp
Wire Wire Line
8600 1350 8500 1350
Wire Wire Line
8500 1250 8500 1350
Connection ~ 8500 1350
$Comp
L power:GND #PWR0106
U 1 1 60BE26B9
P 8500 2950
F 0 "#PWR0106" H 8500 2700 50 0001 C CNN
F 1 "GND" H 8500 2800 50 0000 C CNN
F 2 "" H 8500 2950 50 0001 C CNN
F 3 "" H 8500 2950 50 0001 C CNN
1 8500 2950
0 -1 -1 0
$EndComp
Text Label 8000 1850 2 50 ~ 0
A0
Text Label 8000 2050 2 50 ~ 0
A1
Text Label 8000 2150 2 50 ~ 0
D1
Text Label 8000 2250 2 50 ~ 0
Q1
Text Label 8000 2350 2 50 ~ 0
~W~1
Text Label 8000 2450 2 50 ~ 0
A2
Text Label 8000 2650 2 50 ~ 0
A3
Text Label 8000 2950 2 50 ~ 0
D2
Text Label 8000 3050 2 50 ~ 0
Q2
Text Label 8000 3150 2 50 ~ 0
~W~2
Text Label 8000 3250 2 50 ~ 0
A4
Connection ~ 8000 2800
Wire Wire Line
8000 2800 8000 2850
Text Label 8000 3450 2 50 ~ 0
A5
Text Label 8000 3550 2 50 ~ 0
D3
Text Label 8000 3650 2 50 ~ 0
Q3
Text Label 8000 3750 2 50 ~ 0
~W~3
Text Label 8000 3850 2 50 ~ 0
A6
Text Label 8000 4050 2 50 ~ 0
A7
Text Label 8000 4150 2 50 ~ 0
D4
Text Label 8500 4150 0 50 ~ 0
Q4
Text Label 8500 4050 0 50 ~ 0
~W~4
Text Label 8500 3950 0 50 ~ 0
A8
Text Label 8500 3750 0 50 ~ 0
A9
Text Label 8500 3650 0 50 ~ 0
A10
Text Label 8500 3550 0 50 ~ 0
A11
Text Label 8500 3450 0 50 ~ 0
D5
Text Label 8500 3350 0 50 ~ 0
Q5
Text Label 8500 3250 0 50 ~ 0
~W~5
Text Label 8500 2850 0 50 ~ 0
D6
Text Label 8500 2750 0 50 ~ 0
Q6
Text Label 8500 2650 0 50 ~ 0
~W~6
Text Label 8500 2450 0 50 ~ 0
D7
Text Label 8500 2350 0 50 ~ 0
Q7
Text Label 8500 2250 0 50 ~ 0
~W~7
Text Label 8500 2150 0 50 ~ 0
~Q~B
Text Label 8500 1950 0 50 ~ 0
~RAS~
Text Label 8500 1650 0 50 ~ 0
PQ
Text Label 8500 1550 0 50 ~ 0
~WWP~
Text Label 8500 1450 0 50 ~ 0
PDCI
Text Label 8500 1150 0 50 ~ 0
PDCO
Text Label 8000 1650 2 50 ~ 0
Q0
Text Label 7050 1650 0 50 ~ 0
D0
Text Label 7050 1750 0 50 ~ 0
Q0
Wire Wire Line
7250 1650 7250 1750
Text Label 7050 1850 0 50 ~ 0
D1
Text Label 7050 1950 0 50 ~ 0
Q1
Wire Wire Line
7050 1750 7250 1750
Wire Wire Line
7250 1650 7050 1650
Wire Wire Line
7050 1850 7250 1850
Wire Wire Line
7250 1850 7250 1950
Wire Wire Line
7250 1950 7050 1950
Text Label 7050 2050 0 50 ~ 0
D2
Text Label 7050 2150 0 50 ~ 0
Q2
Wire Wire Line
7050 2050 7250 2050
Wire Wire Line
7250 2050 7250 2150
Wire Wire Line
7250 2150 7050 2150
Text Label 7050 2250 0 50 ~ 0
D3
Text Label 7050 2350 0 50 ~ 0
Q3
Wire Wire Line
7050 2250 7250 2250
Wire Wire Line
7250 2250 7250 2350
Wire Wire Line
7250 2350 7050 2350
Text Label 7050 2450 0 50 ~ 0
D4
Wire Wire Line
7050 2450 7250 2450
Wire Wire Line
7250 2450 7250 2550
Wire Wire Line
7250 2550 7050 2550
Text Label 7050 2550 0 50 ~ 0
Q4
Text Label 7050 2650 0 50 ~ 0
D5
Text Label 7050 2750 0 50 ~ 0
Q5
Wire Wire Line
7050 2650 7250 2650
Wire Wire Line
7250 2650 7250 2750
Wire Wire Line
7250 2750 7050 2750
Text Label 7050 2850 0 50 ~ 0
D6
Text Label 7050 2950 0 50 ~ 0
Q6
Wire Wire Line
7050 2850 7250 2850
Wire Wire Line
7250 2850 7250 2950
Wire Wire Line
7250 2950 7050 2950
Text Label 7050 3050 0 50 ~ 0
D7
Text Label 7050 3150 0 50 ~ 0
Q7
Wire Wire Line
7050 3050 7250 3050
Wire Wire Line
7250 3150 7050 3150
Wire Wire Line
7250 3050 7250 3150
Text Label 5000 2150 0 50 ~ 0
~WE~
Text Label 5400 2150 2 50 ~ 0
~W~0
Text Label 5400 2250 2 50 ~ 0
~W~1
Text Label 5400 2350 2 50 ~ 0
~W~2
Text Label 5400 2450 2 50 ~ 0
~W~3
Text Label 5400 2550 2 50 ~ 0
~W~4
Text Label 5400 2650 2 50 ~ 0
~W~5
Text Label 5400 2750 2 50 ~ 0
~W~6
Text Label 5400 2850 2 50 ~ 0
~W~7
Wire Wire Line
5000 2150 5200 2150
Wire Wire Line
5200 2850 5400 2850
Connection ~ 5200 2150
Wire Wire Line
5200 2150 5400 2150
Wire Wire Line
5400 2750 5200 2750
Wire Wire Line
5200 2150 5200 2250
Connection ~ 5200 2750
Wire Wire Line
5200 2750 5200 2850
Wire Wire Line
5200 2650 5400 2650
Wire Wire Line
5400 2550 5200 2550
Wire Wire Line
5200 2450 5400 2450
Wire Wire Line
5400 2350 5200 2350
Wire Wire Line
5200 2250 5400 2250
Connection ~ 5200 2250
Wire Wire Line
5200 2250 5200 2350
Connection ~ 5200 2350
Wire Wire Line
5200 2350 5200 2450
Connection ~ 5200 2450
Wire Wire Line
5200 2450 5200 2550
Connection ~ 5200 2550
Wire Wire Line
5200 2550 5200 2650
Connection ~ 5200 2650
Wire Wire Line
5200 2650 5200 2750
$Comp
L power:GND #PWR?
U 1 1 62EA79D6
P 4900 6350
F 0 "#PWR?" H 4900 6100 50 0001 C CNN
F 1 "GND" H 4900 6200 50 0000 C CNN
F 2 "" H 4900 6350 50 0001 C CNN
F 3 "" H 4900 6350 50 0001 C CNN
1 4900 6350
1 0 0 -1
$EndComp
Wire Wire Line
4500 6350 4900 6350
Connection ~ 4900 6350
Wire Wire Line
4900 6150 4500 6150
$Comp
L Device:C_Small C6
U 1 1 62EA79DF
P 4900 6250
F 0 "C6" H 4992 6296 50 0000 L CNN
F 1 "2u2" H 4992 6205 50 0000 L CNN
F 2 "stdpads:C_0805" H 4900 6250 50 0001 C CNN
F 3 "~" H 4900 6250 50 0001 C CNN
1 4900 6250
1 0 0 -1
$EndComp
Connection ~ 4500 6150
$EndSCHEMATC

3
Adapter-30/fp-lib-table Normal file
View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name stdpads)(type KiCad)(uri "$(KIPRJMOD)/../../stdpads.pretty")(options "")(descr ""))
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,911 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)*
G04 #@! TF.CreationDate,2021-12-06T12:41:19-05:00*
G04 #@! TF.ProjectId,Adapter-30,41646170-7465-4722-9d33-302e6b696361,1.0-SOP*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-12-06 12:41:19*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.203200*%
%ADD11C,1.448000*%
%ADD12C,0.952400*%
%ADD13O,1.852400X1.852400*%
%ADD14C,2.562400*%
%ADD15C,1.422400*%
%ADD16C,1.862400*%
G04 APERTURE END LIST*
D10*
X161017857Y-98665695D02*
X160475990Y-98665695D01*
X161017857Y-99478495D01*
X160475990Y-99478495D01*
X160166352Y-99478495D02*
X160166352Y-98665695D01*
X159701895Y-99478495D02*
X160050238Y-99014038D01*
X159701895Y-98665695D02*
X160166352Y-99130152D01*
X159314847Y-99439790D02*
X159314847Y-99478495D01*
X159353552Y-99555904D01*
X159392257Y-99594609D01*
X160282466Y-100126800D02*
X160359876Y-100088095D01*
X160475990Y-100088095D01*
X160592104Y-100126800D01*
X160669514Y-100204209D01*
X160708219Y-100281619D01*
X160746923Y-100436438D01*
X160746923Y-100552552D01*
X160708219Y-100707371D01*
X160669514Y-100784780D01*
X160592104Y-100862190D01*
X160475990Y-100900895D01*
X160398580Y-100900895D01*
X160282466Y-100862190D01*
X160243761Y-100823485D01*
X160243761Y-100552552D01*
X160398580Y-100552552D01*
X159624485Y-100475142D02*
X159895419Y-100475142D01*
X159895419Y-100900895D02*
X159895419Y-100088095D01*
X159508371Y-100088095D01*
%LPC*%
D11*
X163576000Y-100076000D03*
X79756000Y-100076000D03*
X173736000Y-65024000D03*
G36*
G01*
X82854800Y-100939600D02*
X82854800Y-99212400D01*
G75*
G02*
X83337400Y-98729800I482600J0D01*
G01*
X84302600Y-98729800D01*
G75*
G02*
X84785200Y-99212400I0J-482600D01*
G01*
X84785200Y-100939600D01*
G75*
G02*
X84302600Y-101422200I-482600J0D01*
G01*
X83337400Y-101422200D01*
G75*
G02*
X82854800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X85394800Y-100939600D02*
X85394800Y-99212400D01*
G75*
G02*
X85877400Y-98729800I482600J0D01*
G01*
X86842600Y-98729800D01*
G75*
G02*
X87325200Y-99212400I0J-482600D01*
G01*
X87325200Y-100939600D01*
G75*
G02*
X86842600Y-101422200I-482600J0D01*
G01*
X85877400Y-101422200D01*
G75*
G02*
X85394800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X87934800Y-100939600D02*
X87934800Y-99212400D01*
G75*
G02*
X88417400Y-98729800I482600J0D01*
G01*
X89382600Y-98729800D01*
G75*
G02*
X89865200Y-99212400I0J-482600D01*
G01*
X89865200Y-100939600D01*
G75*
G02*
X89382600Y-101422200I-482600J0D01*
G01*
X88417400Y-101422200D01*
G75*
G02*
X87934800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X90474800Y-100939600D02*
X90474800Y-99212400D01*
G75*
G02*
X90957400Y-98729800I482600J0D01*
G01*
X91922600Y-98729800D01*
G75*
G02*
X92405200Y-99212400I0J-482600D01*
G01*
X92405200Y-100939600D01*
G75*
G02*
X91922600Y-101422200I-482600J0D01*
G01*
X90957400Y-101422200D01*
G75*
G02*
X90474800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X93014800Y-100939600D02*
X93014800Y-99212400D01*
G75*
G02*
X93497400Y-98729800I482600J0D01*
G01*
X94462600Y-98729800D01*
G75*
G02*
X94945200Y-99212400I0J-482600D01*
G01*
X94945200Y-100939600D01*
G75*
G02*
X94462600Y-101422200I-482600J0D01*
G01*
X93497400Y-101422200D01*
G75*
G02*
X93014800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X95554800Y-100939600D02*
X95554800Y-99212400D01*
G75*
G02*
X96037400Y-98729800I482600J0D01*
G01*
X97002600Y-98729800D01*
G75*
G02*
X97485200Y-99212400I0J-482600D01*
G01*
X97485200Y-100939600D01*
G75*
G02*
X97002600Y-101422200I-482600J0D01*
G01*
X96037400Y-101422200D01*
G75*
G02*
X95554800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X98094800Y-100939600D02*
X98094800Y-99212400D01*
G75*
G02*
X98577400Y-98729800I482600J0D01*
G01*
X99542600Y-98729800D01*
G75*
G02*
X100025200Y-99212400I0J-482600D01*
G01*
X100025200Y-100939600D01*
G75*
G02*
X99542600Y-101422200I-482600J0D01*
G01*
X98577400Y-101422200D01*
G75*
G02*
X98094800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X100634800Y-100939600D02*
X100634800Y-99212400D01*
G75*
G02*
X101117400Y-98729800I482600J0D01*
G01*
X102082600Y-98729800D01*
G75*
G02*
X102565200Y-99212400I0J-482600D01*
G01*
X102565200Y-100939600D01*
G75*
G02*
X102082600Y-101422200I-482600J0D01*
G01*
X101117400Y-101422200D01*
G75*
G02*
X100634800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X103174800Y-100939600D02*
X103174800Y-99212400D01*
G75*
G02*
X103657400Y-98729800I482600J0D01*
G01*
X104622600Y-98729800D01*
G75*
G02*
X105105200Y-99212400I0J-482600D01*
G01*
X105105200Y-100939600D01*
G75*
G02*
X104622600Y-101422200I-482600J0D01*
G01*
X103657400Y-101422200D01*
G75*
G02*
X103174800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X105714800Y-100939600D02*
X105714800Y-99212400D01*
G75*
G02*
X106197400Y-98729800I482600J0D01*
G01*
X107162600Y-98729800D01*
G75*
G02*
X107645200Y-99212400I0J-482600D01*
G01*
X107645200Y-100939600D01*
G75*
G02*
X107162600Y-101422200I-482600J0D01*
G01*
X106197400Y-101422200D01*
G75*
G02*
X105714800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X108254800Y-100939600D02*
X108254800Y-99212400D01*
G75*
G02*
X108737400Y-98729800I482600J0D01*
G01*
X109702600Y-98729800D01*
G75*
G02*
X110185200Y-99212400I0J-482600D01*
G01*
X110185200Y-100939600D01*
G75*
G02*
X109702600Y-101422200I-482600J0D01*
G01*
X108737400Y-101422200D01*
G75*
G02*
X108254800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X110794800Y-100939600D02*
X110794800Y-99212400D01*
G75*
G02*
X111277400Y-98729800I482600J0D01*
G01*
X112242600Y-98729800D01*
G75*
G02*
X112725200Y-99212400I0J-482600D01*
G01*
X112725200Y-100939600D01*
G75*
G02*
X112242600Y-101422200I-482600J0D01*
G01*
X111277400Y-101422200D01*
G75*
G02*
X110794800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X113334800Y-100939600D02*
X113334800Y-99212400D01*
G75*
G02*
X113817400Y-98729800I482600J0D01*
G01*
X114782600Y-98729800D01*
G75*
G02*
X115265200Y-99212400I0J-482600D01*
G01*
X115265200Y-100939600D01*
G75*
G02*
X114782600Y-101422200I-482600J0D01*
G01*
X113817400Y-101422200D01*
G75*
G02*
X113334800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X115874800Y-100939600D02*
X115874800Y-99212400D01*
G75*
G02*
X116357400Y-98729800I482600J0D01*
G01*
X117322600Y-98729800D01*
G75*
G02*
X117805200Y-99212400I0J-482600D01*
G01*
X117805200Y-100939600D01*
G75*
G02*
X117322600Y-101422200I-482600J0D01*
G01*
X116357400Y-101422200D01*
G75*
G02*
X115874800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X118414800Y-100939600D02*
X118414800Y-99212400D01*
G75*
G02*
X118897400Y-98729800I482600J0D01*
G01*
X119862600Y-98729800D01*
G75*
G02*
X120345200Y-99212400I0J-482600D01*
G01*
X120345200Y-100939600D01*
G75*
G02*
X119862600Y-101422200I-482600J0D01*
G01*
X118897400Y-101422200D01*
G75*
G02*
X118414800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X120954800Y-100939600D02*
X120954800Y-99212400D01*
G75*
G02*
X121437400Y-98729800I482600J0D01*
G01*
X122402600Y-98729800D01*
G75*
G02*
X122885200Y-99212400I0J-482600D01*
G01*
X122885200Y-100939600D01*
G75*
G02*
X122402600Y-101422200I-482600J0D01*
G01*
X121437400Y-101422200D01*
G75*
G02*
X120954800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X123494800Y-100939600D02*
X123494800Y-99212400D01*
G75*
G02*
X123977400Y-98729800I482600J0D01*
G01*
X124942600Y-98729800D01*
G75*
G02*
X125425200Y-99212400I0J-482600D01*
G01*
X125425200Y-100939600D01*
G75*
G02*
X124942600Y-101422200I-482600J0D01*
G01*
X123977400Y-101422200D01*
G75*
G02*
X123494800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X126034800Y-100939600D02*
X126034800Y-99212400D01*
G75*
G02*
X126517400Y-98729800I482600J0D01*
G01*
X127482600Y-98729800D01*
G75*
G02*
X127965200Y-99212400I0J-482600D01*
G01*
X127965200Y-100939600D01*
G75*
G02*
X127482600Y-101422200I-482600J0D01*
G01*
X126517400Y-101422200D01*
G75*
G02*
X126034800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X128574800Y-100939600D02*
X128574800Y-99212400D01*
G75*
G02*
X129057400Y-98729800I482600J0D01*
G01*
X130022600Y-98729800D01*
G75*
G02*
X130505200Y-99212400I0J-482600D01*
G01*
X130505200Y-100939600D01*
G75*
G02*
X130022600Y-101422200I-482600J0D01*
G01*
X129057400Y-101422200D01*
G75*
G02*
X128574800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X131114800Y-100939600D02*
X131114800Y-99212400D01*
G75*
G02*
X131597400Y-98729800I482600J0D01*
G01*
X132562600Y-98729800D01*
G75*
G02*
X133045200Y-99212400I0J-482600D01*
G01*
X133045200Y-100939600D01*
G75*
G02*
X132562600Y-101422200I-482600J0D01*
G01*
X131597400Y-101422200D01*
G75*
G02*
X131114800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X133654800Y-100939600D02*
X133654800Y-99212400D01*
G75*
G02*
X134137400Y-98729800I482600J0D01*
G01*
X135102600Y-98729800D01*
G75*
G02*
X135585200Y-99212400I0J-482600D01*
G01*
X135585200Y-100939600D01*
G75*
G02*
X135102600Y-101422200I-482600J0D01*
G01*
X134137400Y-101422200D01*
G75*
G02*
X133654800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X136194800Y-100939600D02*
X136194800Y-99212400D01*
G75*
G02*
X136677400Y-98729800I482600J0D01*
G01*
X137642600Y-98729800D01*
G75*
G02*
X138125200Y-99212400I0J-482600D01*
G01*
X138125200Y-100939600D01*
G75*
G02*
X137642600Y-101422200I-482600J0D01*
G01*
X136677400Y-101422200D01*
G75*
G02*
X136194800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X138734800Y-100939600D02*
X138734800Y-99212400D01*
G75*
G02*
X139217400Y-98729800I482600J0D01*
G01*
X140182600Y-98729800D01*
G75*
G02*
X140665200Y-99212400I0J-482600D01*
G01*
X140665200Y-100939600D01*
G75*
G02*
X140182600Y-101422200I-482600J0D01*
G01*
X139217400Y-101422200D01*
G75*
G02*
X138734800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X141274800Y-100939600D02*
X141274800Y-99212400D01*
G75*
G02*
X141757400Y-98729800I482600J0D01*
G01*
X142722600Y-98729800D01*
G75*
G02*
X143205200Y-99212400I0J-482600D01*
G01*
X143205200Y-100939600D01*
G75*
G02*
X142722600Y-101422200I-482600J0D01*
G01*
X141757400Y-101422200D01*
G75*
G02*
X141274800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X143814800Y-100939600D02*
X143814800Y-99212400D01*
G75*
G02*
X144297400Y-98729800I482600J0D01*
G01*
X145262600Y-98729800D01*
G75*
G02*
X145745200Y-99212400I0J-482600D01*
G01*
X145745200Y-100939600D01*
G75*
G02*
X145262600Y-101422200I-482600J0D01*
G01*
X144297400Y-101422200D01*
G75*
G02*
X143814800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X146354800Y-100939600D02*
X146354800Y-99212400D01*
G75*
G02*
X146837400Y-98729800I482600J0D01*
G01*
X147802600Y-98729800D01*
G75*
G02*
X148285200Y-99212400I0J-482600D01*
G01*
X148285200Y-100939600D01*
G75*
G02*
X147802600Y-101422200I-482600J0D01*
G01*
X146837400Y-101422200D01*
G75*
G02*
X146354800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X148894800Y-100939600D02*
X148894800Y-99212400D01*
G75*
G02*
X149377400Y-98729800I482600J0D01*
G01*
X150342600Y-98729800D01*
G75*
G02*
X150825200Y-99212400I0J-482600D01*
G01*
X150825200Y-100939600D01*
G75*
G02*
X150342600Y-101422200I-482600J0D01*
G01*
X149377400Y-101422200D01*
G75*
G02*
X148894800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X151434800Y-100939600D02*
X151434800Y-99212400D01*
G75*
G02*
X151917400Y-98729800I482600J0D01*
G01*
X152882600Y-98729800D01*
G75*
G02*
X153365200Y-99212400I0J-482600D01*
G01*
X153365200Y-100939600D01*
G75*
G02*
X152882600Y-101422200I-482600J0D01*
G01*
X151917400Y-101422200D01*
G75*
G02*
X151434800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X153974800Y-100939600D02*
X153974800Y-99212400D01*
G75*
G02*
X154457400Y-98729800I482600J0D01*
G01*
X155422600Y-98729800D01*
G75*
G02*
X155905200Y-99212400I0J-482600D01*
G01*
X155905200Y-100939600D01*
G75*
G02*
X155422600Y-101422200I-482600J0D01*
G01*
X154457400Y-101422200D01*
G75*
G02*
X153974800Y-100939600I0J482600D01*
G01*
G37*
G36*
G01*
X156514800Y-100939600D02*
X156514800Y-99212400D01*
G75*
G02*
X156997400Y-98729800I482600J0D01*
G01*
X157962600Y-98729800D01*
G75*
G02*
X158445200Y-99212400I0J-482600D01*
G01*
X158445200Y-100939600D01*
G75*
G02*
X157962600Y-101422200I-482600J0D01*
G01*
X156997400Y-101422200D01*
G75*
G02*
X156514800Y-100939600I0J482600D01*
G01*
G37*
D12*
X88900000Y-98806000D03*
X86360000Y-98806000D03*
X83820000Y-98806000D03*
X93980000Y-98806000D03*
X91440000Y-98806000D03*
X96520000Y-98806000D03*
X99060000Y-98806000D03*
X104140000Y-98806000D03*
X101600000Y-98806000D03*
X109220000Y-98806000D03*
X106680000Y-98806000D03*
X111760000Y-98806000D03*
X116840000Y-98806000D03*
X114300000Y-98806000D03*
X119380000Y-98806000D03*
X124460000Y-98806000D03*
X121920000Y-98806000D03*
X127000000Y-98806000D03*
X149860000Y-98806000D03*
X147320000Y-98806000D03*
X152400000Y-98806000D03*
X134620000Y-98806000D03*
X132080000Y-98806000D03*
X137160000Y-98806000D03*
X142240000Y-98806000D03*
X139700000Y-98806000D03*
X144780000Y-98806000D03*
X129540000Y-98806000D03*
X154940000Y-98806000D03*
X157480000Y-98806000D03*
D11*
X67564000Y-65024000D03*
G36*
G01*
X78955000Y-67601200D02*
X77255000Y-67601200D01*
G75*
G02*
X77178800Y-67525000I0J76200D01*
G01*
X77178800Y-65825000D01*
G75*
G02*
X77255000Y-65748800I76200J0D01*
G01*
X78955000Y-65748800D01*
G75*
G02*
X79031200Y-65825000I0J-76200D01*
G01*
X79031200Y-67525000D01*
G75*
G02*
X78955000Y-67601200I-76200J0D01*
G01*
G37*
D13*
X79375000Y-69215000D03*
X80645000Y-66675000D03*
X81915000Y-69215000D03*
X83185000Y-66675000D03*
X84455000Y-69215000D03*
X85725000Y-66675000D03*
X86995000Y-69215000D03*
X88265000Y-66675000D03*
X89535000Y-69215000D03*
X90805000Y-66675000D03*
X92075000Y-69215000D03*
X93345000Y-66675000D03*
X94615000Y-69215000D03*
X95885000Y-66675000D03*
X97155000Y-69215000D03*
X98425000Y-66675000D03*
X99695000Y-69215000D03*
X100965000Y-66675000D03*
X102235000Y-69215000D03*
X103505000Y-66675000D03*
X104775000Y-69215000D03*
X106045000Y-66675000D03*
X107315000Y-69215000D03*
X108585000Y-66675000D03*
X109855000Y-69215000D03*
X111125000Y-66675000D03*
X112395000Y-69215000D03*
X113665000Y-66675000D03*
X114935000Y-69215000D03*
X116205000Y-66675000D03*
X117475000Y-69215000D03*
X123825000Y-66675000D03*
X125095000Y-69215000D03*
X126365000Y-66675000D03*
X127635000Y-69215000D03*
X128905000Y-66675000D03*
X130175000Y-69215000D03*
X131445000Y-66675000D03*
X132715000Y-69215000D03*
X133985000Y-66675000D03*
X135255000Y-69215000D03*
X136525000Y-66675000D03*
X137795000Y-69215000D03*
X139065000Y-66675000D03*
X140335000Y-69215000D03*
X141605000Y-66675000D03*
X142875000Y-69215000D03*
X144145000Y-66675000D03*
X145415000Y-69215000D03*
X146685000Y-66675000D03*
X147955000Y-69215000D03*
X149225000Y-66675000D03*
X150495000Y-69215000D03*
X151765000Y-66675000D03*
X153035000Y-69215000D03*
X154305000Y-66675000D03*
X155575000Y-69215000D03*
X156845000Y-66675000D03*
X158115000Y-69215000D03*
X159385000Y-66675000D03*
X160655000Y-69215000D03*
X161925000Y-66675000D03*
X163195000Y-69215000D03*
D14*
X171450000Y-67945000D03*
D15*
X69850000Y-67945000D03*
D16*
X120650000Y-67945000D03*
M02*

View File

@ -0,0 +1,94 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)*
G04 #@! TF.CreationDate,2021-12-06T12:41:19-05:00*
G04 #@! TF.ProjectId,Adapter-30,41646170-7465-4722-9d33-302e6b696361,1.0-SOP*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-12-06 12:41:19*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,Profile*
%ADD10C,0.150000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Profile*
%ADD11C,0.200000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
X81153000Y-91440000D02*
G75*
G03*
X81153000Y-91440000I-1587500J0D01*
G01*
D11*
X76200000Y-74803000D02*
G75*
G03*
X74676000Y-73279000I-1524000J0D01*
G01*
X66040000Y-71755000D02*
G75*
G03*
X67564000Y-73279000I1524000J0D01*
G01*
X67564000Y-73279000D02*
X74676000Y-73279000D01*
X66040000Y-65024000D02*
X66040000Y-71755000D01*
X173736000Y-73406000D02*
X166624000Y-73406000D01*
X165100000Y-74930000D02*
G75*
G02*
X166624000Y-73406000I1524000J0D01*
G01*
X175260000Y-65024000D02*
X175260000Y-71882000D01*
X175260000Y-71882000D02*
G75*
G02*
X173736000Y-73406000I-1524000J0D01*
G01*
X76644500Y-95250000D02*
G75*
G02*
X78232000Y-96837500I0J-1587500D01*
G01*
X76454000Y-95250000D02*
G75*
G02*
X76200000Y-94996000I0J254000D01*
G01*
X78232000Y-101600000D02*
X78232000Y-96837500D01*
X76644500Y-95250000D02*
X76454000Y-95250000D01*
X66040000Y-65024000D02*
G75*
G02*
X67564000Y-63500000I1524000J0D01*
G01*
X173736000Y-63500000D02*
G75*
G02*
X175260000Y-65024000I0J-1524000D01*
G01*
D10*
X163322000Y-91440000D02*
G75*
G03*
X163322000Y-91440000I-1587500J0D01*
G01*
D11*
X76200000Y-94996000D02*
X76200000Y-74803000D01*
X165100000Y-74930000D02*
X165100000Y-101600000D01*
X67564000Y-63500000D02*
X173736000Y-63500000D01*
X165100000Y-101600000D02*
X78232000Y-101600000D01*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,303 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)*
G04 #@! TF.CreationDate,2021-12-06T12:41:19-05:00*
G04 #@! TF.ProjectId,Adapter-30,41646170-7465-4722-9d33-302e6b696361,1.0-SOP*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-12-06 12:41:19*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
G36*
G01*
X139145000Y-91396000D02*
X139145000Y-92246000D01*
G75*
G02*
X138895000Y-92496000I-250000J0D01*
G01*
X138395000Y-92496000D01*
G75*
G02*
X138145000Y-92246000I0J250000D01*
G01*
X138145000Y-91396000D01*
G75*
G02*
X138395000Y-91146000I250000J0D01*
G01*
X138895000Y-91146000D01*
G75*
G02*
X139145000Y-91396000I0J-250000D01*
G01*
G37*
G36*
G01*
X137445000Y-91396000D02*
X137445000Y-92246000D01*
G75*
G02*
X137195000Y-92496000I-250000J0D01*
G01*
X136695000Y-92496000D01*
G75*
G02*
X136445000Y-92246000I0J250000D01*
G01*
X136445000Y-91396000D01*
G75*
G02*
X136695000Y-91146000I250000J0D01*
G01*
X137195000Y-91146000D01*
G75*
G02*
X137445000Y-91396000I0J-250000D01*
G01*
G37*
G36*
G01*
X84245000Y-94875500D02*
X83395000Y-94875500D01*
G75*
G02*
X83145000Y-94625500I0J250000D01*
G01*
X83145000Y-94125500D01*
G75*
G02*
X83395000Y-93875500I250000J0D01*
G01*
X84245000Y-93875500D01*
G75*
G02*
X84495000Y-94125500I0J-250000D01*
G01*
X84495000Y-94625500D01*
G75*
G02*
X84245000Y-94875500I-250000J0D01*
G01*
G37*
G36*
G01*
X84245000Y-96575500D02*
X83395000Y-96575500D01*
G75*
G02*
X83145000Y-96325500I0J250000D01*
G01*
X83145000Y-95825500D01*
G75*
G02*
X83395000Y-95575500I250000J0D01*
G01*
X84245000Y-95575500D01*
G75*
G02*
X84495000Y-95825500I0J-250000D01*
G01*
X84495000Y-96325500D01*
G75*
G02*
X84245000Y-96575500I-250000J0D01*
G01*
G37*
G36*
G01*
X157905000Y-94875500D02*
X157055000Y-94875500D01*
G75*
G02*
X156805000Y-94625500I0J250000D01*
G01*
X156805000Y-94125500D01*
G75*
G02*
X157055000Y-93875500I250000J0D01*
G01*
X157905000Y-93875500D01*
G75*
G02*
X158155000Y-94125500I0J-250000D01*
G01*
X158155000Y-94625500D01*
G75*
G02*
X157905000Y-94875500I-250000J0D01*
G01*
G37*
G36*
G01*
X157905000Y-96575500D02*
X157055000Y-96575500D01*
G75*
G02*
X156805000Y-96325500I0J250000D01*
G01*
X156805000Y-95825500D01*
G75*
G02*
X157055000Y-95575500I250000J0D01*
G01*
X157905000Y-95575500D01*
G75*
G02*
X158155000Y-95825500I0J-250000D01*
G01*
X158155000Y-96325500D01*
G75*
G02*
X157905000Y-96575500I-250000J0D01*
G01*
G37*
G36*
G01*
X160155000Y-74720000D02*
X160155000Y-73870000D01*
G75*
G02*
X160405000Y-73620000I250000J0D01*
G01*
X160905000Y-73620000D01*
G75*
G02*
X161155000Y-73870000I0J-250000D01*
G01*
X161155000Y-74720000D01*
G75*
G02*
X160905000Y-74970000I-250000J0D01*
G01*
X160405000Y-74970000D01*
G75*
G02*
X160155000Y-74720000I0J250000D01*
G01*
G37*
G36*
G01*
X161855000Y-74720000D02*
X161855000Y-73870000D01*
G75*
G02*
X162105000Y-73620000I250000J0D01*
G01*
X162605000Y-73620000D01*
G75*
G02*
X162855000Y-73870000I0J-250000D01*
G01*
X162855000Y-74720000D01*
G75*
G02*
X162605000Y-74970000I-250000J0D01*
G01*
X162105000Y-74970000D01*
G75*
G02*
X161855000Y-74720000I0J250000D01*
G01*
G37*
G36*
G01*
X118649000Y-73870000D02*
X118649000Y-74720000D01*
G75*
G02*
X118399000Y-74970000I-250000J0D01*
G01*
X117899000Y-74970000D01*
G75*
G02*
X117649000Y-74720000I0J250000D01*
G01*
X117649000Y-73870000D01*
G75*
G02*
X117899000Y-73620000I250000J0D01*
G01*
X118399000Y-73620000D01*
G75*
G02*
X118649000Y-73870000I0J-250000D01*
G01*
G37*
G36*
G01*
X120349000Y-73870000D02*
X120349000Y-74720000D01*
G75*
G02*
X120099000Y-74970000I-250000J0D01*
G01*
X119599000Y-74970000D01*
G75*
G02*
X119349000Y-74720000I0J250000D01*
G01*
X119349000Y-73870000D01*
G75*
G02*
X119599000Y-73620000I250000J0D01*
G01*
X120099000Y-73620000D01*
G75*
G02*
X120349000Y-73870000I0J-250000D01*
G01*
G37*
G36*
G01*
X82415000Y-73870000D02*
X82415000Y-74720000D01*
G75*
G02*
X82165000Y-74970000I-250000J0D01*
G01*
X81665000Y-74970000D01*
G75*
G02*
X81415000Y-74720000I0J250000D01*
G01*
X81415000Y-73870000D01*
G75*
G02*
X81665000Y-73620000I250000J0D01*
G01*
X82165000Y-73620000D01*
G75*
G02*
X82415000Y-73870000I0J-250000D01*
G01*
G37*
G36*
G01*
X80715000Y-73870000D02*
X80715000Y-74720000D01*
G75*
G02*
X80465000Y-74970000I-250000J0D01*
G01*
X79965000Y-74970000D01*
G75*
G02*
X79715000Y-74720000I0J250000D01*
G01*
X79715000Y-73870000D01*
G75*
G02*
X79965000Y-73620000I250000J0D01*
G01*
X80465000Y-73620000D01*
G75*
G02*
X80715000Y-73870000I0J-250000D01*
G01*
G37*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,231 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)*
G04 #@! TF.CreationDate,2021-12-06T12:41:19-05:00*
G04 #@! TF.ProjectId,Adapter-30,41646170-7465-4722-9d33-302e6b696361,1.0-SOP*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L3,Inr*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-12-06 12:41:19*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,ComponentPad*
%ADD10C,0.800000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD11R,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD12O,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD13C,0.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD14C,0.508000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
X88900000Y-98806000D03*
X86360000Y-98806000D03*
X83820000Y-98806000D03*
X93980000Y-98806000D03*
X91440000Y-98806000D03*
X96520000Y-98806000D03*
X99060000Y-98806000D03*
X104140000Y-98806000D03*
X101600000Y-98806000D03*
X109220000Y-98806000D03*
X106680000Y-98806000D03*
X111760000Y-98806000D03*
X116840000Y-98806000D03*
X114300000Y-98806000D03*
X119380000Y-98806000D03*
X124460000Y-98806000D03*
X121920000Y-98806000D03*
X127000000Y-98806000D03*
X149860000Y-98806000D03*
X147320000Y-98806000D03*
X152400000Y-98806000D03*
X134620000Y-98806000D03*
X132080000Y-98806000D03*
X137160000Y-98806000D03*
X142240000Y-98806000D03*
X139700000Y-98806000D03*
X144780000Y-98806000D03*
X129540000Y-98806000D03*
X154940000Y-98806000D03*
X157480000Y-98806000D03*
D11*
X78105000Y-66675000D03*
D12*
X79375000Y-69215000D03*
X80645000Y-66675000D03*
X81915000Y-69215000D03*
X83185000Y-66675000D03*
X84455000Y-69215000D03*
X85725000Y-66675000D03*
X86995000Y-69215000D03*
X88265000Y-66675000D03*
X89535000Y-69215000D03*
X90805000Y-66675000D03*
X92075000Y-69215000D03*
X93345000Y-66675000D03*
X94615000Y-69215000D03*
X95885000Y-66675000D03*
X97155000Y-69215000D03*
X98425000Y-66675000D03*
X99695000Y-69215000D03*
X100965000Y-66675000D03*
X102235000Y-69215000D03*
X103505000Y-66675000D03*
X104775000Y-69215000D03*
X106045000Y-66675000D03*
X107315000Y-69215000D03*
X108585000Y-66675000D03*
X109855000Y-69215000D03*
X111125000Y-66675000D03*
X112395000Y-69215000D03*
X113665000Y-66675000D03*
X114935000Y-69215000D03*
X116205000Y-66675000D03*
X117475000Y-69215000D03*
X123825000Y-66675000D03*
X125095000Y-69215000D03*
X126365000Y-66675000D03*
X127635000Y-69215000D03*
X128905000Y-66675000D03*
X130175000Y-69215000D03*
X131445000Y-66675000D03*
X132715000Y-69215000D03*
X133985000Y-66675000D03*
X135255000Y-69215000D03*
X136525000Y-66675000D03*
X137795000Y-69215000D03*
X139065000Y-66675000D03*
X140335000Y-69215000D03*
X141605000Y-66675000D03*
X142875000Y-69215000D03*
X144145000Y-66675000D03*
X145415000Y-69215000D03*
X146685000Y-66675000D03*
X147955000Y-69215000D03*
X149225000Y-66675000D03*
X150495000Y-69215000D03*
X151765000Y-66675000D03*
X153035000Y-69215000D03*
X154305000Y-66675000D03*
X155575000Y-69215000D03*
X156845000Y-66675000D03*
X158115000Y-69215000D03*
X159385000Y-66675000D03*
X160655000Y-69215000D03*
X161925000Y-66675000D03*
X163195000Y-69215000D03*
D13*
X83820000Y-97028000D03*
X82677000Y-95885000D03*
X156337000Y-95885000D03*
X158623000Y-95885000D03*
X157480000Y-97028000D03*
D14*
X77089000Y-83566000D03*
X164211000Y-83566000D03*
X157734000Y-64389000D03*
X147574000Y-64389000D03*
X137414000Y-64389000D03*
X127000000Y-64389000D03*
X117094000Y-64389000D03*
X83566000Y-64389000D03*
X93726000Y-64389000D03*
X103886000Y-64389000D03*
D13*
X84963000Y-95885000D03*
X119634000Y-75438000D03*
X119634000Y-73152000D03*
X81915000Y-73152000D03*
X81915000Y-75438000D03*
X160655000Y-73152000D03*
X160655000Y-75438000D03*
X138430000Y-92964000D03*
X138430000Y-90678000D03*
D14*
X77089000Y-93726000D03*
X66929000Y-66294000D03*
X172466000Y-64389000D03*
X164211000Y-98298000D03*
X79121000Y-94742000D03*
D13*
X84963000Y-94551500D03*
X83820000Y-93408500D03*
X158623000Y-94551500D03*
X156337000Y-94551500D03*
X157480000Y-93408500D03*
D14*
X79121000Y-97282000D03*
X161798000Y-100711000D03*
X164211000Y-93726000D03*
X174371000Y-66294000D03*
X152654000Y-64389000D03*
X142494000Y-64389000D03*
X132334000Y-64389000D03*
X122047000Y-64389000D03*
X68834000Y-64389000D03*
X88646000Y-64389000D03*
X98806000Y-64389000D03*
X108966000Y-64389000D03*
X113030000Y-64389000D03*
D13*
X82677000Y-94551500D03*
D14*
X91440000Y-72136000D03*
X89535000Y-72136000D03*
X83820000Y-72136000D03*
X97155000Y-72136000D03*
X107315000Y-72136000D03*
X109220000Y-72136000D03*
X114935000Y-72136000D03*
X116840000Y-72136000D03*
X121285000Y-72136000D03*
X127635000Y-72136000D03*
X129540000Y-72136000D03*
X130810000Y-72136000D03*
X133985000Y-72136000D03*
X135890000Y-72136000D03*
X140970000Y-72136000D03*
X144780000Y-72136000D03*
X146050000Y-72136000D03*
X151130000Y-72136000D03*
X113030000Y-72136000D03*
X110490000Y-72136000D03*
X105410000Y-72136000D03*
X102870000Y-72136000D03*
X101600000Y-72136000D03*
X99060000Y-72136000D03*
X95250000Y-72136000D03*
X92710000Y-72136000D03*
X85090000Y-72136000D03*
X87630000Y-72136000D03*
X122555000Y-72136000D03*
X125730000Y-72136000D03*
X139700000Y-72136000D03*
X152400000Y-72136000D03*
D13*
X118364000Y-75438000D03*
X118364000Y-73152000D03*
X80391000Y-75438000D03*
X80391000Y-73152000D03*
X162179000Y-73152000D03*
X162179000Y-75438000D03*
D14*
X132080000Y-72136000D03*
D13*
X137160000Y-90678000D03*
X137160000Y-92964000D03*
D14*
X121920000Y-70358000D03*
X131445000Y-93980000D03*
X142240000Y-93980000D03*
M02*

View File

@ -0,0 +1 @@
Ref,Val,Package,PosX,PosY,Rot,Side
1 Ref Val Package PosX PosY Rot Side

View File

@ -0,0 +1,6 @@
### Module positions - created on Monday, December 06, 2021 at 12:41:24 PM ###
### Printed by Pcbnew version kicad (5.1.10-1-10_14)
## Unit = mm, Angle = deg.
## Side : bottom
# Ref Val Package PosX PosY Rot Side
## End

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,7 @@
Ref,Val,Package,PosX,PosY,Rot,Side
"C1","2u2","C_0805",83.820000,-95.225500,90.000000,top
"C2","2u2","C_0805",161.505000,-74.295000,0.000000,top
"C3","2u2","C_0805",118.999000,-74.295000,180.000000,top
"C4","2u2","C_0805",81.065000,-74.295000,180.000000,top
"C5","2u2","C_0805",157.480000,-95.225500,90.000000,top
"C6","2u2","C_0805",137.795000,-91.821000,180.000000,top
1 Ref Val Package PosX PosY Rot Side
2 C1 2u2 C_0805 83.820000 -95.225500 90.000000 top
3 C2 2u2 C_0805 161.505000 -74.295000 0.000000 top
4 C3 2u2 C_0805 118.999000 -74.295000 180.000000 top
5 C4 2u2 C_0805 81.065000 -74.295000 180.000000 top
6 C5 2u2 C_0805 157.480000 -95.225500 90.000000 top
7 C6 2u2 C_0805 137.795000 -91.821000 180.000000 top

View File

@ -0,0 +1,12 @@
### Module positions - created on Monday, December 06, 2021 at 12:41:24 PM ###
### Printed by Pcbnew version kicad (5.1.10-1-10_14)
## Unit = mm, Angle = deg.
## Side : top
# Ref Val Package PosX PosY Rot Side
C1 2u2 C_0805 83.8200 -95.2255 90.0000 top
C2 2u2 C_0805 161.5050 -74.2950 0.0000 top
C3 2u2 C_0805 118.9990 -74.2950 180.0000 top
C4 2u2 C_0805 81.0650 -74.2950 180.0000 top
C5 2u2 C_0805 157.4800 -95.2255 90.0000 top
C6 2u2 C_0805 137.7950 -91.8210 180.0000 top
## End

View File

@ -0,0 +1,221 @@
M48
; DRILL file {KiCad (5.1.10-1-10_14)} date Monday, December 06, 2021 at 12:41:20 PM
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2021-12-06T12:41:20-05:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.10-1-10_14)
FMAT,2
INCH
T1C0.0079
T2C0.0118
T3C0.0157
T4C0.0394
T5C0.0454
T6C0.0500
T7C0.0673
T8C0.0949
%
G90
G05
T1
X2.635Y-2.61
X2.71Y-2.535
X3.035Y-3.29
X3.035Y-3.69
X3.115Y-3.73
X3.115Y-3.83
X3.29Y-2.535
X3.3Y-2.84
X3.35Y-2.84
X3.45Y-2.84
X3.49Y-2.535
X3.525Y-2.84
X3.6Y-2.84
X3.65Y-2.84
X3.69Y-2.535
X3.75Y-2.84
X3.825Y-2.84
X3.89Y-2.535
X3.9Y-2.84
X4.0Y-2.84
X4.05Y-2.84
X4.09Y-2.535
X4.15Y-2.84
X4.225Y-2.84
X4.29Y-2.535
X4.3Y-2.84
X4.35Y-2.84
X4.45Y-2.535
X4.45Y-2.84
X4.525Y-2.84
X4.6Y-2.84
X4.61Y-2.535
X4.775Y-2.84
X4.8Y-2.77
X4.805Y-2.535
X4.825Y-2.84
X4.95Y-2.84
X5.0Y-2.535
X5.025Y-2.84
X5.1Y-2.84
X5.15Y-2.84
X5.175Y-3.7
X5.2Y-2.84
X5.21Y-2.535
X5.275Y-2.84
X5.35Y-2.84
X5.41Y-2.535
X5.5Y-2.84
X5.55Y-2.84
X5.6Y-3.7
X5.61Y-2.535
X5.7Y-2.84
X5.75Y-2.84
X5.81Y-2.535
X5.95Y-2.84
X6.0Y-2.84
X6.01Y-2.535
X6.21Y-2.535
X6.37Y-3.965
X6.465Y-3.29
X6.465Y-3.69
X6.465Y-3.87
X6.79Y-2.535
X6.865Y-2.61
T2
X3.165Y-2.88
X3.165Y-2.97
X3.225Y-2.88
X3.225Y-2.97
X3.255Y-3.7225
X3.255Y-3.775
X3.3Y-3.6775
X3.3Y-3.82
X3.345Y-3.7225
X3.345Y-3.775
X4.66Y-2.88
X4.66Y-2.97
X4.71Y-2.88
X4.71Y-2.97
X5.4Y-3.57
X5.4Y-3.66
X5.45Y-3.57
X5.45Y-3.66
X6.155Y-3.7225
X6.155Y-3.775
X6.2Y-3.6775
X6.2Y-3.82
X6.245Y-3.7225
X6.245Y-3.775
X6.325Y-2.88
X6.325Y-2.97
X6.385Y-2.88
X6.385Y-2.97
T3
X3.3Y-3.89
X3.4Y-3.89
X3.5Y-3.89
X3.6Y-3.89
X3.7Y-3.89
X3.8Y-3.89
X3.9Y-3.89
X4.0Y-3.89
X4.1Y-3.89
X4.2Y-3.89
X4.3Y-3.89
X4.4Y-3.89
X4.5Y-3.89
X4.6Y-3.89
X4.7Y-3.89
X4.8Y-3.89
X4.9Y-3.89
X5.0Y-3.89
X5.1Y-3.89
X5.2Y-3.89
X5.3Y-3.89
X5.4Y-3.89
X5.5Y-3.89
X5.6Y-3.89
X5.7Y-3.89
X5.8Y-3.89
X5.9Y-3.89
X6.0Y-3.89
X6.1Y-3.89
X6.2Y-3.89
T4
X3.075Y-2.625
X3.125Y-2.725
X3.175Y-2.625
X3.225Y-2.725
X3.275Y-2.625
X3.325Y-2.725
X3.375Y-2.625
X3.425Y-2.725
X3.475Y-2.625
X3.525Y-2.725
X3.575Y-2.625
X3.625Y-2.725
X3.675Y-2.625
X3.725Y-2.725
X3.775Y-2.625
X3.825Y-2.725
X3.875Y-2.625
X3.925Y-2.725
X3.975Y-2.625
X4.025Y-2.725
X4.075Y-2.625
X4.125Y-2.725
X4.175Y-2.625
X4.225Y-2.725
X4.275Y-2.625
X4.325Y-2.725
X4.375Y-2.625
X4.425Y-2.725
X4.475Y-2.625
X4.525Y-2.725
X4.575Y-2.625
X4.625Y-2.725
X4.875Y-2.625
X4.925Y-2.725
X4.975Y-2.625
X5.025Y-2.725
X5.075Y-2.625
X5.125Y-2.725
X5.175Y-2.625
X5.225Y-2.725
X5.275Y-2.625
X5.325Y-2.725
X5.375Y-2.625
X5.425Y-2.725
X5.475Y-2.625
X5.525Y-2.725
X5.575Y-2.625
X5.625Y-2.725
X5.675Y-2.625
X5.725Y-2.725
X5.775Y-2.625
X5.825Y-2.725
X5.875Y-2.625
X5.925Y-2.725
X5.975Y-2.625
X6.025Y-2.725
X6.075Y-2.625
X6.125Y-2.725
X6.175Y-2.625
X6.225Y-2.725
X6.275Y-2.625
X6.325Y-2.725
X6.375Y-2.625
X6.425Y-2.725
T5
X2.66Y-2.56
X3.14Y-3.94
X6.44Y-3.94
X6.84Y-2.56
T6
X2.75Y-2.675
T7
X4.75Y-2.675
T8
X6.75Y-2.675
T0
M30

3
Adapter-30/sym-lib-table Normal file
View File

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name GW_RAM)(type Legacy)(uri "$(KIPRJMOD)/../../GW_Parts/GW_RAM.lib")(options "")(descr ""))
)