From f24b0fd44b168789d12858a8bf97a3fd9f5672b2 Mon Sep 17 00:00:00 2001 From: Sorgelig Date: Wed, 9 Mar 2022 23:18:50 +0800 Subject: [PATCH] Implement SE model. Additional fixes. --- MacPlus.sv | 20 +- files.qip | 1 + releases/{boot.rom => boot0.rom} | Bin releases/boot1.rom | Bin 0 -> 262144 bytes rtl/adb.sv | 875 +++++++++++++++++++++++++++++++ rtl/addrController_top.v | 15 +- rtl/addrDecoder.v | 26 +- rtl/dataController_top.sv | 81 ++- rtl/iwm.v | 3 +- 9 files changed, 992 insertions(+), 29 deletions(-) rename releases/{boot.rom => boot0.rom} (100%) create mode 100644 releases/boot1.rom create mode 100644 rtl/adb.sv diff --git a/MacPlus.sv b/MacPlus.sv index 08a6cb7..afcbfd4 100644 --- a/MacPlus.sv +++ b/MacPlus.sv @@ -213,6 +213,7 @@ localparam CONF_STR = { "O78,Aspect ratio,Original,Full Screen,[ARC1],[ARC2];", "OBC,Scale,Normal,V-Integer,Narrower HV-Integer,Wider HV-Integer;", "-;", + "O9,Model,Plus,SE;", "O5,Speed,8MHz,16MHz;", "ODE,CPU,68000,68010,68020;", "O4,Memory,1MB,4MB;", @@ -240,6 +241,7 @@ pll pll reg status_mem; reg [1:0] status_cpu; +reg status_mod; reg n_reset = 0; always @(posedge clk_sys) begin reg [15:0] rst_cnt; @@ -254,6 +256,7 @@ always @(posedge clk_sys) begin rst_cnt <= rst_cnt - 1'd1; status_mem <= status[4]; status_cpu <= status[14:13]; + status_mod <= status[9]; end else begin n_reset <= 1; @@ -277,7 +280,7 @@ wire [15:0] sd_buff_dout; wire [15:0] sd_buff_din[SCSI_DEVS]; wire sd_buff_wr; wire [SCSI_DEVS-1:0] img_mounted; -wire [31:0] img_size; +wire [63:0] img_size; wire ioctl_write; reg ioctl_wait = 0; @@ -424,7 +427,7 @@ wire memoryLatch; // peripherals wire vid_alt, loadPixels, pixelOut, _hblank, _vblank, hsync, vsync; -wire memoryOverlayOn, selectSCSI, selectSCC, selectIWM, selectVIA, selectRAM, selectROM; +wire memoryOverlayOn, selectSCSI, selectSCC, selectIWM, selectVIA, selectRAM, selectROM, selectSEOverlay; wire [15:0] dataControllerDataOut; // audio @@ -582,7 +585,7 @@ addrController_top ac0 ._cpuRW(_cpuRW), ._cpuAS(_cpuAS), .turbo(status_turbo), - .configROMSize(configROMSize), + .configROMSize({status_mod,~status_mod}), .configRAMSize(configRAMSize), .memoryAddr(memoryAddr), .memoryLatch(memoryLatch), @@ -600,6 +603,7 @@ addrController_top ac0 .selectVIA(selectVIA), .selectRAM(selectRAM), .selectROM(selectROM), + .selectSEOverlay(selectSEOverlay), .hsync(hsync), .vsync(vsync), ._hblank(_hblank), @@ -627,6 +631,7 @@ dataController_top #(SCSI_DEVS) dc0 .clk8_en_n(clk8_en_n), .E_rising(E_rising), .E_falling(E_falling), + .machineType(status_mod), ._systemReset(n_reset), ._cpuReset(_cpuReset), ._cpuIPL(_cpuIPL), @@ -643,6 +648,7 @@ dataController_top #(SCSI_DEVS) dc0 .selectSCC(selectSCC), .selectIWM(selectIWM), .selectVIA(selectVIA), + .selectSEOverlay(selectSEOverlay), .cpuBusControl(cpuBusControl), .videoBusControl(videoBusControl), .memoryDataOut(memoryDataOut), @@ -688,7 +694,7 @@ dataController_top #(SCSI_DEVS) dc0 // block device interface for scsi disk .img_mounted(img_mounted), - .img_size(img_size), + .img_size(img_size[40:9]), .io_lba(sd_lba), .io_rd(sd_rd), .io_wr(sd_wr), @@ -771,10 +777,10 @@ always @(posedge clk_sys) begin if(ioctl_write) begin dio_data <= {ioctl_data[7:0], ioctl_data[15:8]}; - dio_a <= {dio_index[1:0], dio_addr[18:0]}; + dio_a <= dio_index[1:0] ? {dio_index[1:0], dio_addr[18:0]} : {dio_index[6], dio_addr[17:0]}; ioctl_wait <= 1; end - + old_cyc <= dioBusControl; if(~dioBusControl) dio_write <= ioctl_wait; if(old_cyc & ~dioBusControl & dio_write) ioctl_wait <= 0; @@ -786,7 +792,7 @@ wire download_cycle = dio_download && dioBusControl; ////////////////////////// SDRAM ///////////////////////////////// -wire [24:0] sdram_addr = download_cycle ? { 4'b0001, dio_a[20:0] } : { 3'b000, ~_romOE, memoryAddr[21:1] }; +wire [24:0] sdram_addr = download_cycle ? { 4'b0001, dio_a[20:0] } : { 3'b000, ~_romOE, _romOE ? memoryAddr[21:19] : {2'b00,status_mod}, memoryAddr[18:1] }; wire [15:0] sdram_din = download_cycle ? dio_data : memoryDataOut; wire [1:0] sdram_ds = download_cycle ? 2'b11 : { !_memoryUDS, !_memoryLDS }; wire sdram_we = download_cycle ? dio_write : !_ramWE; diff --git a/files.qip b/files.qip index b748dee..885f1ed 100644 --- a/files.qip +++ b/files.qip @@ -6,6 +6,7 @@ set_global_assignment -name SYSTEMVERILOG_FILE rtl/ncr5380.sv set_global_assignment -name VERILOG_FILE rtl/floppy_track_encoder.v set_global_assignment -name VERILOG_FILE rtl/floppy.v set_global_assignment -name SYSTEMVERILOG_FILE rtl/ps2_kbd.sv +set_global_assignment -name SYSTEMVERILOG_FILE rtl/adb.sv set_global_assignment -name VERILOG_FILE rtl/ps2_mouse.v set_global_assignment -name VERILOG_FILE rtl/scc.v set_global_assignment -name VERILOG_FILE rtl/uart/txuart.v diff --git a/releases/boot.rom b/releases/boot0.rom similarity index 100% rename from releases/boot.rom rename to releases/boot0.rom diff --git a/releases/boot1.rom b/releases/boot1.rom new file mode 100644 index 0000000000000000000000000000000000000000..8a6ea3304f05888d7ccce955e7049172a6f3262a GIT binary patch literal 262144 zcmbrm4SW<;mN$H=y3#3{HmNi*#1NB8(>6^ANhiik4^I}VJ71k9G%*PnSq7>xRAGQn zlSwjJo;(l`8DyCe(Q#bY$H}^`2G;dO{20veI1RE6FfM~EvaF*J0TB@~!ypr5Oh5ly z-2vQ>-|zjsFQMw*y7%0#bI(2ZoO93ZJ?ED7lS27?S9pX<@px(cANT)chexU_iQDx| zctmPNUOKY&Oud(W)Agfqpz6_S6dsxKRD^`V2qlFOK8vS2LY60-VL7~g^nMBtWS=rT z5t_bEp6~1-f$0AnZsDC_``-KN8Y}Fhx&Vkb3Tume_EG;ZM-{^v6d^jFcg8-N_FJwf z?XZAJZ0)qTxzG)%qr-UYjMEzqnLBP528MTlF)dW3Lmg!lpUXar$L zgsf*#<8H*85Wg0oWCl}EJu0JjB|K_8fWCEe_JVFs{7%1eP_DHwxE+vvGb@HIVt8Qs zQ20&q|J^gF$%~S&$=&=5a{aMUh5GKx8|Gi&GUt6M(A+P%?I^o8Z!|tim8{RoVh5FG zp6GQRxc6}Edbm@buzkzZDZ8G#&dXwuPv8+NrpAPJ`lw%z0`6b~_0i>BiB2Db2UP~A8mQ8pXNZ^MN zK1IT9O^kML{ENq3ly8*2nBxrkM`BTAi#Zhki z#ac}$DbJ04Qd_*A`x){lAfNk0%!~5Z&QCDPqa0#GnE7FDN5zPz+7&cX<-_+LijDe5 zJd*1W-leWBRm0pH{*h@%U0d)zaaWL}s$u>uY~p){W6o661*)JHBc?i#o+`0ii-XYTF_l*@ho(zZjj`WGg??57@E!&) z_s}n6!zh(;YbjA8R&oR-FWxB07y1DsXH(u8YNyK<0TBi)v!T#C|K7-`Rjh?SSDuG?dBG9%5;z;Wx3bxepOy z#M~*+=In6i1VyOh$r4Q!*(&3>3B5wyEnha&gi^pg%7muJVpSutqwP|}*0iPR5rllW zGd)eU7XG5}7t+(KUbGmbAO~3gun4XyUJW$Aa(Fr8Gv$;@ zsYvz6QT7*j$~(#}^zP%y$o>b+=WVUteZ&>_U@`E4732VSEjuGHqJkFk1yXaA#n zr;2x%la?+x_48{eHf6~i1DVP21 zD3xFpdT0vzS(;Z%jy~37Z#;Gyx%ba6DsQZ6s0`?g*G_zPXP=y_TN%c}+rynng^g?4 z{>qAXXIqPm*^b3xmMnx|QNcLEC}L4f2)|4`=!NU05|-b@3noa{8vV) zxSN+Kqc2q>ZeO6E9H6}H=aMzMX9X>hJ+ugWLrcZ5?Uert*$k<#u;L1Zx;X6Prx*TK z%P%VD7V;E$aSmuC*d|idl`+03HP{ebLn*;lvIg|Pi~W~@)szMEfr+a-e;!)en!?&; zZS|#uN4RQ+@5)Ah6R^~mpQ{P$NVUpUdB^yH{xK%Oa0`J6vNBAFx~)|(xUQYhi&K+`%EJgQPvScN^z0QPc zsJ2&=YxTS4zW=`rKBzoDkBxCoPwX4Y#EPCkS;ajuj$ z4zhTldjj3SO?vS~%HSp04teHm%@xc33 zS~6vo`FN?g0`n?pqP;sdHnCK*d3_U8l6#Az6H`>WULQ)<`JIQcV%c1*pbX4MR^D99 z38aTEvlkt)s*B`s9S%Gl$V!Lo5Ns33^~*lee0^zJp(m?{|G4_8&7q2wWm-Oy;vPT9 z>OvXWb=Y;VIaG==uFZYC#&?+Y^>JQs#fmE;o!Z<(>Ty~4hn!N<&O3wZJE2}Zo0ZN} zuzP?q@_MQoYUfh6!9AyHeBbR@J0$sd@q%c_q_4>+vVf=XWyJd^nHN8u_!H#0bJ=XI zeqiNa%^`EmN^H$KyKief4lc^%u5f3l+j6~?=tJ=8C6IK6ElPWRpc%Y;6e+x=&fZNt zC$8Z?36@e6FilDcy_lmBz3klpIV*f{lq8wIk@}RG+73>%**ojct#@r9s@_9%&ju10 z%_d1G+kR{`2~wG*)$rk~1#p$)aErGXCEi}-Z7u;HgjDb*DNkI{l)S^1Zi5@|i@_(- zJxK2{gd6EnB3(jyuYbhXj+!nR*!_%HxU-aujOYO;dJjphsWDKpG4OZzi%b=(n-}=C zk-N)dUqUk5#CQ-vR;R0YwyBKTM)91-s(>_4qxu!ZvhbAZm+_vZLvrMr)IpWFmoP?w zy8G@m_cj)52zNR~aH|Q+QqI+atJ+J7B?`ZSr<1?J4_neLIhImOwWZn8djF&H{J#HH zmg~#?`H`9t?uk&PCLlcM>E>Dk)#1+Ir-JP5A--=tPt>c`J$9&Xy(VDRQ!y{)`Gh=c z-+Js#YwgOGB)tIG8z{M^=luK#dc8=u*;`|0NYt*X_5nz!Ehhml0KSKk`_|W;5oBO# ztb~>Jqcmh@OzZQO68(s zhvxilNn%_rp&H%6QhuN7sp&{bq|WYdtUJ>KS&ius$%CmwcCXz_1`Tpit`{3DHd*#& z|0L0uo$X68k_RnOOEh^f#mM&gvLz8&>tnI6#$sc!yN%T0?LWfYNC`}n=QC-7xW0SAN6lW{NNHf- z!LMU6nlsuP`aYfcW31|Hn)4#0Hf|x~OQq$cxWUa9^twZ5gV6*37QI+|a=#Hf|JSZ) z<3r0vTytd}Ycliw==~u%xjQ+IcXB7vU1qxVMtWjis5?B8Qu^6bvxu*v)FY#0sraV8 zu>I{WJ}d3~ysiH9hff^Hy5o~X4D#Nk68^v_S%1F2A$0G%u|wgLPX-=*_1CXF`Ni&( zXCKtmE6F(z{v_kUl#hP>+qb9K`H9FSnSck zRBbTzzkXQ!#AvUP z8+eMPLYtDqC&y_<9HwM^FC^^pBziONJ_Xn#5ziVCl$#oUOy!N?@RGU&g~>}#v$~En zKMI=wD|gK0K|OF3w!L{)zAqiR`XPh@xG<;Y8sU6KCC3z6p|&qewo&qkh!JUw2w!upda z!)n+0fNs`io%(y^?2C`DIBFXLe`;#w!4nm_O%n`K1z@ntIYA!|KNeE7tML(ykF@Cf zC>@={-Uq`!f+U&={H$^wY7RONVNX6UKXQLieuTv^I?=H86@o|Ez>K){vGp;?Cd0_P zV2FK%MH8T9CCdxs`XUdB{rwC>GR^VX7QtfEY+E$<%h>3%quio``ON;5;S>MV-St5%!~4Hg?XMl1$aQyIHp%JH>QogTUt@sWb(_)u!mBk<+@@o#_fnnYtA+ zUXgY^mx>_g%HsB|jfyKchl(uykM}=peTY(sMrA+^{42zHn zEyH@IjMYc7ypP`E2JhbzahNdJ2O?sT&obQqW7fCvzy81P|2yctJn^PAj#=e)vXr=; zuK!tc|C+52yMkqz?qJaj;;p|xKZO3ry^}CLS7We9?B5hzNqpRb*T4JZDA^k;v=j1z z;0k61>~CaqRzEEM9+or}^wqA7xI<-An&w8lnk@l+O}!BDLc7X&gLJE{g1Cs2LF!jR z7X4lC3<564_J%tbK>HKDMHBn~iSh2DiHSB}4Rpk=r&TO~$ER^MnDBO1A#TjoEXk{~>uk=&)ED zmWzlNvHM_#BC7?&k`TL1ufto^d~Y7rPc~FH{_Oroi&FcaE}FdHQ7HqZe_EFNi#4!m z+*gzu&|xXy`};vF+vZp*?+fVtPpHp@s`Y0ZtFxo5CH0B_^RC91|8{mZRz-m~bEUI1 z6SXT^io{y=uDp!*_u4MJrD$gXVGHk;Nu`*#MkZ(FJgRP-NAEOM($z|ZBfr9l^%b1d z*uZ7Rn9kR%i$$!cQ5z;+n5>&4f_J)=sZoZv zo}{&@R_s*hzim!Z|Ksw+h_gGnYpS(^X3nv&6z`Ns26m>QdymFWP5m9pjACC^BDSD1 zhX(5-yf=3aU2J9{cKB2Z`pK4m zi$=n_)s3&7*p2bh7^4X#{LKqRnDj~ZA4-|{{b}1GK_(x|1lCb=J)_S{ZYS z`L)78^%}mMQREDhhs@NhcQD$}WWi~L%nCET=5VsI!yVjO)ZZA;M%2Di`Z$!HZV%K~ zXf`u9+QF-XfNszzX4rZ1Yi@H!`Z_4R01GAnDx}y#dw`PLC>H)C7F!9aO{=0|4EW@(` zPaB>NJZtgv;@OO6@&C_fJIX(a=NUZD;pxZoGM?A)us#joiQ;)D@%^2CipexZ7YgTv zPtGonaVpVqggn9{2rUQ?AQTV|AWTBI2cZ?=E`-SlpF=1jd>Y{dggX%05N<^{5#dIJ zc6BY@x2YX?r>Jdsr>ZOPmegfTqacBl*So}|{}ovw!PzFn=vJ45^}-J#AxY_eL4 zccxm1_Z_MS?=01c_Y^f7?`$;#?>kiq?;O>JcdjbnEu(xUMtO%W&hC+MCdVBL|A}wrXg|nQUlwq9=;~;ES*VqZ%doa3q0OkHBv6A|V8560q2$Et z%|QyR@}c}}q-Kq`CZcRYLZ5Jjm8I)(c)Efd{xL`{0wwCsH}g*>@{a~;;^`Bz3#)tB z$dcmU_E{#}%Yhm|W@*_4^Jn)6toQGSx<%V$kmIO)1Sp#G^J8S zcQ-1Kms-vDsvGfa79+!acfmFi>Y+l(38@JdcA+GBuy)Vdi7&0fOq}!29hvxi$vqOy z{Yqk(gq9K7tUJ)+ojNr1*jV^|#IrS_Fl)1&Ywl<1U;plQG=kaGt;&r&4x7IOlvjMx{x*zX%;hWp_#S}X?CPB{0M5m zOba9JHl(qc71TmAZ5Gl}kjAVFf|_NfxsjHtm$WloP*AN%bEqyha@(%)k(;CZ?uc>B zg)vjC3~K}S=vX0mH;vDTH&=y>5})<82?|U~V8-%HsA?ryQuriVZ%?9pO#}^1Qi&f$ zKPKtK??a7~kkhEy5tHy_Aa@T^>NHnJf&wh(J$}I74)~`4F~P%4UdRZS(0VB#D{g6B z3sz;7Q%$hyjSc8QhWQl^Rsqrm$QrHuMnB8leyN=D6MZ>}cw*&Qj$quAI}+HjgjwI_ zjc`Z8|B4#CH{0Sz)AG0@S z&)Vd7R@E^|PmYdLI$Hz(%^F9oPO;I?S`PVjdwfReD5!Z?emON!lWGqr5?gJB;6s;h z&N??3tdf#~Rq+zsaW?w#dFK`~Orh73Zs47vOW?AJy7~H$(z*y*>*7FV<{i=@lKI~$*=&|XE1H0ONu|*?Yrem}zOE`?$S=vi%{HsN zm+dWS`XcaVoU4lYM8xZL7z>9KoVVVtzyxIGVRzyoT^hPQk<$Z-loubS)Q`7pRpKyN zuu!7DNxI0!pGCo{Fx!C~@MrlU{!a1=t%qgRyHbJ#m(ga!d%_xiDTPl4l<>*?a69Ww zy3U^qGzQ|Mk1YYduSrQ}dSdU34Se>JpLq2u=pqfdz`Nx6?MhIvg}W~#NlugEkpVU@!gRv(jVQiGM@lbobPl~7k&K8^kfIycR*vLHB{IuNLYR8<7d;YH&W}( zRB`pC{PM~m(JbNP|DB$6Be%rNwXePuK7o;#>Tvv$9o$e(uUbud~<^?l$SF&SI|A-$t|-ifr?08a z`M=J$yt>1>ky$`pS+DP4t-6A*+?w*RHeB}^%=6b^?Un1Ukx!vt^}e~`*Bg5`_HNh) zdbl}~)o=XaKmB*t{=e>ZSMb~*q0b}e^Yw1=mEY<1X?(YUP?*Mble_l*zjjZ2a*NsL z$F04r&+?>K{+{)j<-LAu8te5jO8)=WXXp|NdE;{;XS!$=PG>T{YKv0;}5soA%rN{Q5`H7kpSPj1`sUHXz4 zZ~0Hs{cn!6S!LVVEiNUn7p{JSTqQqz?xzD=*Ia+>kSuBCW{ULdUaiDTy_#=3_tUdK zwLc6x7gocwKz>*qG9eu|AjLSOK?UGx*w0UrIJ$6j=-ay3rm;81_?SC30v%vo$j7hK ztaz(hy6H`m5qNPYPA2Pveg9);oeGm2l}DhA)SX!ulb6Ed<5(HZHF*+dWX`wb2`c~0 zS0$5Xzj=zKI*gb&6G9IyJQN$Ag7cj$oV?s-`f?e9vpi}YQ933`8=8W!Rtyh5qFu#W zz9jyfk{c@261fh(Bs+}S^t9$$N7@Ph0`q;szc6qj><#k^-d~}r z@3b%d$JRl^yW~2fx1^l=q11~~hhy+w3ZGOyZK|an3k9}^{zkJpw@ejPdy&m`uWO5Q zi)-oqwF_#QpP2F~bS*D~b3I7BJA^fm;+pGjc>Ob+AYO&cznQfxihn_Jdk>5nH8uPr1}ArOrz8oGA3F9ysu7%5RE35ctOreIU;F~!wR6y6apAxSmE z{O_3`pK3GXM-hJqB~u-fGJ0R(4oEn)6E(g$gfz+35I)|pm$ySx$85pYpTy^a7Q|ME z?Bhwd??&D-E7Cb0mb?a>Q34XP$?U$cJzF_dyNG$0^z`3ETZFvdbLc0(>o@PU2uAkK z!?I7jq6^HY1Tf2Vm#j3dAe)w1Btna{h98rchS;}=GXeNrjy(-Z{}64VR#>)H5J!S8 z7-Qki5)ZVy62RK^0_9Wc;RyA$1{L_g(x&~vfEV5=z59d8c0g1rt^6_nd#JbiOrqXw zX0wmir|mA9kVq|XE`^;LHe3>dpQ>_rt;CD_$rApb60fGj(^Y=Kqb$z_kH*rhq7X?X z*j>4p-QQ2kv#Q|l^+~9x9h;5a?tck$E?AfIg})4UR>7ViZUmOIJ_)&y59*1s`LUQV z&GSP_xHFzpG$Fjhxm3Lf52y*c%qtwc!{9l}F|6Q2WI|@Vy*HB@Hca!AXU{*vD@XvXrUs*+a-5$VDLW6dZ*{3dIZMfF5k{KK2Kr;m6o)Iww?MwJ{38S^vPO3wj>*N=nkP zB3Y}|XIQQ6cDo0T3dwqYdkSJnh{>UTa={+0cs*2rdJE4>t+p27_)e0h`blcH$!VHs zTw-mrwVS)nrI>wB(%o)&rTrW0^}kXw_5xdxZRc}dpH0;=_lNqX?++C@0+U@!OG+d* zgL@?4lGVa+w+`nv)q#~cuXS_BS7m+@zzWZH70Xz4u7Jy-(^$VV06bLHoyq>b@p-&$ zxe9B+iWDDut}shvcw|PU@tI28)4@-(efeUspRA=G%7Tr?0ngz~*l(E?M}%Bfs72jq zqtwS8?~ch+bgxU19eTbqF&5{&x>cT_XF2c1I~|yG$Q-23JNA%f?JGweFEv&+2HM^+ zecKuqHpo>txpO4^3IEQWtEIDX1B;8{H-R=|O)AkCPP@E@vU0{3-IR}>eR~j`+|nk%|Hfl_;z(@n5yP69fYC83(F=|9i56UpCusC7Z*l_~ zJ%Ms|c9J=IL|zKIm)b^x(Rg2Pv^Q$Dce$HWVK2<~v2^3hZeHyj|H@};l%p(NG_G`8 zVB1=Oeih_}C>!yVn@~v2F#DJlU!CoCRuAi??zz!J+>jW3O3P$iLbn^Al$1}!xz;zs zD!jG6ImTA7N@FjxI^97xMM_r|Xc8L>_K1?_6{F-IoLZJq?5q#m0SRH$wZ&a8e$Hn8 zdll$4IB&KO>rGjixtpyw$0v#lYvDvxZjeRh`3OGtIa}M=zQ_kFkLyR>A(vuO5u?6z zb7qrtp(t(V-^-!rrv@HZUot*bcjZBkjo;6%Y6VpjrUsh4$q{SQ?`aA)Nd9(V`>#xBj^R^j|_5qR$m z;e1sex9jgL=(E44aN+vTkrQvXwABH)WhUG*z?EocRpx;=du2L$SAf#1 z9cCXOYkm;!#0bi{#r~0`F*dgFfTctHM}`4&EH>66c+1ZcBe6xwCjTS19K3Z0>Po?= zRsmu)AhzRMG~;RlR*cvftb7ghsWDB0<(ACg!rcDv`8ilpSlzqtmU!LDMyg(ewhAn{ z@0MuVLoy*05DKi+KqwJP7shiH2txg8}YZFuE~rIiMAq z_+LOfBV+*%61`hx_Kwr+4GMO7Y4tVc35imx!kz78q{ee~p;2kYeiH5kl?hqI=ns8d z%rn^p++Sy>mUcY@SRihx){2nP6VWm39M$nuaQIDP8s<6!lt+wyEK$}IU_O~!q80)Q zq3#A(5;8!?=~$hX5ORt8L7d_OGdMN=ZBiM}E!L%*&@5L?kymowN%iT35*(*u4;V&k z0=LAZ_9Y4GT&kCwJ;_9y$V1PbL_VlC(eF&Of^^W&A>?gFEd!8yh#Nrdr8tLzF9Ww! zXX9d{ykORqZhkG%L#T;2+p};#Ks`xjJ-zyDG;@Ns(*!TfbEx;Vb zJn@m!S>%9!WsoMsQ@9b-hLR@a4>S!SRyX?h9B3LhpW^Eco}|%L0f|vqxk&+bV8Y;* z+@yo?l|Ai8lE9VXEpk5e1b&9x!SU6Hw#~NG9SAXwcpVa2OwlBlH_vp`HRO zp9M8yz2dtX5|#kidPeI^+Ctcb$2VRIE5vS&RpHvuI&h4$pH4;+?PQk( zO@5)Oj@>i32ihEy41(<_p2ny;+}X(Zj>1U!N4f4tFHuWBkjTwCP*(E-qEbqiSK(UT zDzds({THQ!W@$j9bR{coaNxZyt-QhGMojzbT&u?ky>AEZfXIJniB|GJl3i2F*d zTpNt+y=e!yb{W|1D>BwcQSum_rC_gad#_vcq`^x`JZh#dza>3Co*wRW+ptnkID;31 z?WxfXZkqpP>^i);|7!4W%W~@<4OU3giOZc%e1w;BL3=Sk<5nP_(Z2owIU6#HC81Ro zAEIRcXSAs_Q%`RU?9K$tc87;J|B%GP(l~^@#Bw1NqLtRCVCCpyIWB z{8Y%YGLzvZZ$Gyg&(^#spW$V`vqh$VE%RzhWpPJlt3BR}PFY zV#`3sN|6YS!M@5GTuU!t6|JS_ic55-;|{&N(I2`)w?QwM0PD$hwP2DGi$P+B$8gaE zhiO%KfNvKa%oA?ZYYEWBU@z{J_VsA?=11!v1?6&(-^QFv1Gt5zUU4${?`7S_BkhOLi2nz1Gi%Q4*I*w9jP#xaoXMY&AVbc@uI11#<89YO z=q>`&bXm)nkq`grX_Z0~2>&fp^-ghs(p{D~KeQa)Y7tVwuM}P&&fsMts6LMJzRi+y~kK^I-N zCmxYEswV>5Q8Ui!)FZ40Z;6-GC@gdxhKRc~rhhP>fg313uChe5z|M!e8SGvw%@JXr ztH!ODjP}^}DDs<__2F1_PyKzkQwUpANVj1hEw zhdBgZq}M|LP0~Y*6Sz3=$<2GZxu30`^~7FoE36FLS9?ufxwS|HZ%BgP?Bg@w4Oid{ z%1hA;wr55cKO+A-?kSEiYZa5JVk@f81nQwHvil_LyGyglwX8o8cnd?~9Jq8A_rPMX z=b3iWOlpti?VniZq@dqNhr0PC43^=<&3c}}G}lbJ-wwIv zcTorDE9W7ZVlN_EXTPtiL0jj8hbQdVlHTF}k{pFakcpYrihCvXTX0|gs=9dM@Wev5 zG_xW$3JQUBXl5WbEDO;C|B$08?w~7(-TsgNG3 z`6#KxuBi?=6n-4Lyj7mtmkYdsTiAE`4PzBN7Aoz#mM^Vrhfl#Q`>qGOmba5n^V)aK zi#+fkv?sS*7#rQa3-+#T#D)>G!#+0GnNN_FxlDX_=uWl2;pNznyD&EN#>=s5%N&U@ z*J~Heew=RTvS&}W*T52*KHE28L{C8+ zS}9I{;V%?xJv~Yp-sHkuHuna6XjE%X=CPV{?B3fj{MPwKq=%H%%rctxfEcMWj;gr% zRZ6%CU^@{waTT6z$H_G*H0iqI>LhoL>yCZt-WhK=56B~FFQgv3z4~?|{d7TFL0bg( z_KFKj{3K&Oi3Z@aX><83XV(a@&OLV1?gCqks@ZrCykMTcaz{E`+_EEb1+`%x=pv zNW3EKk+TcBEt>;vu`v;ra@YUSD2p|K z-f3si{`*=BN>0Fvu)-4R)fS=`R!f%_Mwo=K+KKvMBbH0RaTojd+`G!*EtX)Zgtl;9 zvx091*Ic~YkF!z^JeS{%{19x+u;LKT=#yD5!|xgIv-1T@7qE9Bjy<&ej#h~8t7|;Y zz%6}(2Wki>1goWZ`2gym6@4l@Ge}6sFgAS$J}2*(Wf^S5do5b^8D_OxMc`y0L#Id*wu>RiBxittR=W>1|4@vp@{o&SIY7! z)GAe0n0HWN8Si5LK(lZ=x}Lf%!w8?^er_3Mr;<2F`x9=ZFYrims?ba1cnh}eUAwoh z3FfC-@hwuJQb6*2+(1Z?&tOfs0#CuSKgivQHPultKRj^s1$b|-#_foo!P9Wd)efYB1*v;l9pEJB`8y=G%@yPJIWzIAL*sr^?;QABMN9 zZ-ACXeYct}*8s;ECDfM+YpD`@LVL0+7J69EDYB0DIo?~=@A!S`!YWk^z&Aa#305?r z|3~qg3+RCos;E0NKL(xacaT**WNj0|z(4t@Zz83Qk~)#&6%Yi{zyXiL#+iYU`_@2% zk_X{?&&}c42~!9=L9(FR`X=j)ui)lBt2^Au&V@pXE(VmK(x9j~DS}qQ6_Lz=r)Eb6 zoh0WL#p5TOxshYh{mxuK@GcK_t8_WA+W?&lE=j#=kSoq@V(N9Qh2His?j{ zN683Dy_zKWdpX=k`^Y&7(0&HX^NiC)#Jjp-o=d?!{7bC#%-rCG!6@W+Yb)&Y&Q@x| zT7(s=gAWhPZ3h>A3cn|E2^ulutk2Z30^S*eT6%KAG93C{mAFp&TrWLf0EqD z-P~8mc7OS9$v#z~jpD16EC~!-IHTKhk3xMY@xpgXJo%jxJHAt*7bTu+=j2+}yYRrg zvH*HpciNI%l*2|OFU1Wkok>_s!fy^j#_|y6R*gog(F^eJ*b^p=oy6Uo&fjzCd?ZKVqOW?f=VTtB7{JMdq0sOBWk~1_>V8n`(C>x#>1`{6m zc;EieJpl^dDPd@0qM`H^GR{}rN@2-C@G)km4{;#$oR2 zwsccH-o4ZhntZ<9;>xELpn?9Hk(s!gEtH{#cI3R!E+A)BJg00Qa-fTH%_3wVc)$_2 z8LPb+q1&Up{;rVGT+plWjaWJY0p?kp*)73)} zO1<{xAEk7x1Xu}k4(hYYig6=@^3t_IgWTA|-gq6Y;1pz1%kpdLyER|Iud|pq2`A5p z%ibxwe<)eC*W@W`xeRDKxHn15eZdR3$x~3@Hj`NBn+^ErhX=gR0fR~c?ge1q-d>Hh zExV{YwF|p0^Q3OvxEeR}Ose8$VpV-lbAiifP4+ZsxH%1*?fsZP9y4SO)-==vYxeFW zYs(Q2bSd>9V0i7VhKFy!@C__Ky+uHNI_x^32yUSx#9Yxq^$CnZhCK1|-d*Hgp3<=C zu1a!?mr(ztuGqjXYS-;|RZ%-qIF_<+7j+?}n!3hQ-fG3_w%=1j>EkKE8l=Hf6lunI z+Bja!@j~AE8iQjLaW8%o&ATs|{pJWCfLy?^JZnomcz@%=t7$Bg!Gs6OV1|}!BkAp& zdNJPLZ^yy|?qitcT}8g+DBD5s8)ns73Q|>F?24gXo+cIL#4VNMt?NSI6^YoK#A9(g zB)fMeHE1a;508MaI(0}6#VPZ{BPPESA(J%(x-FYPr)+1PfI7Rw12w$}aa+8m8(}X( z!?~34YI`Ma{G&e2X2hoATnbV*DA-=M{E~s+0b=`|)C)Qd`-h1A#s~8RumT5?ydf_{ z>3IRlk>`d7eDBnq#&5}(I}Eg49(+=4w?=5#qdD9`oS}=5G;H{dgm+}LY8+CBu|v#N ziJoUIs0!9+wb~GmM<{EXR*kh%&2EBPvosrU1m1+&(Es6e=s%;}7qIGVT9XMUfSbO+ zs5wH}*EQv4T03q_T7JwhfcXiB2Lk8W=o9f%i1#Go@5akur^3l+-D%z$9}D_5H$s2v z!3tTzRyG&Z($x&MuR$}<)R>kr7gom|DqWMn^@F8pS~_+}zV!;r(Jod7Djy_XJ&t-W zX&;zrS$5pPjD5S>!7zZhg18lO7iXD^l@R`tS26M@Bd?b1&zrx}a23C+ z27FgDe6JskkWFC}pl83%I5$RihaJDH@CxI+5-rCpPI-=v-R4|=is5F?SR6MIy1K&b zaX>4G6l$KxZVg;6^BDVW260r)jPLj8bw2ifHk+W=Z)Y>D?&^80g}snI^Qn%}1gO-P z4A~ztpu-b@PK5n~D?=J|vM&0???5{ldd^DJd)=W*c4Y{+bRzWCWfe7EFV!8!N04iL zmInR0i%D4RO@D1r-Vw`{gjOZSwJJTM$nJq&)wIo+99YbFpl2zwczj0_yAu4=6J$`n zg4kGFb2ncKx{)X9R_rQ*3V9@gJ!SG`E#He@$61xh`qBo<{OV=R4p}n{TPffoA*R2` z`Lq`Hmf3+0g}V9gSH{NVg4l>IIH4hVOBJl;uky7l*58w(+aVSoRluE+}nipNuFH%=8f(98x@+~tMH3)%aUO*_-RTs zv{CnY0v+TIq-X1eU6fhs#qX`rEM07CP%cQB;vpL z9dz96w&qZU)&!1}(H34UwDYRX4%XHdU>blq7yBdR5VVru1&U%+?WkWcr4U}vaurMX z%>(?7UB>K@RxMQMKPz-KbIB}~P9Hfu6dF2GO8?}l_l-%yLwoH35&4M+9`CLwE zv)#@(5j804`9>wZmz||C&Vya#I5>=BrOh}2eQeT7^d4*3^tqw8BJ{<(CPzqdDaF_e z!LLQMvkFu@Jl>YskBlgMQFia;#5Oy8;z@EiK46@IwQ{4rS*UFtB)Y{~0oyGw&vrJ? zZB~;nnfgT!UpP@03$XSJ!QC0&*tos`Z~dY&LMdBeO;cij(Bu%WW%ux^-PmHk9TJjM zFcTg`mndx6w2mUMh@i|Nl)-uw7a1R!Tp4lh0Ct;Dd>kgs^AWmy8TFPhe?-*lLA@?D zD^Y6}tJS_4NCU4xy(Ov$ih%ZFmR*5aZ)oQkZBN}Q(bUb7F!d*r$ks9Tf4ddy0H<^K z>HwaTA;~76Vqpv1a3Lj#p@242%Bh#J21&cH4^oIW_{J-eUHgEQRIMdEe(&hHVpt6r z{*0e68RIh3w6Wqal62^nSZ~X6)Eu_rTtCFGIfABj8>7YJj~MT$R!BBX3ZcuG#mm-6 zh9(8~AAclHt6v|AQ|aaBFaud_v&QSCeYy-;?Wop;6_IW5c?x;WG0X9hx0Bjze8gHb zaaykijgLBr%_7r9zTT+KcG-O3U2NRON02%`#52gk7MEG#TIeDCf)N|%CGb}3YYZ2I zjg@U5Msl#Lz0CL~?1Jl<6%cdP!n_T!lHt9W_d}3zHXCQWKlsLv8G1RZ(#rt?XBcb+ZjHsISTMwnV4f5!`>6e`pvd) zfqYH77^kyw_-{wl6UGvF=*bKjE^1QdJnO`R{Sh~M#~2UG>LF;v*=3XTbnNX18vV=5%93>P!;QE{#A%ny zrg*00PuT}++cf-sRiI+;<_CC>b(-)!3u^4qY~g|b!R}0AtgtYxkIT&KM@{Lc)wC2X z!R%bun!8{Jambnh_@|9r=RBs1#;r>w=G|q6JxCjvf&cMT0_Pbwah`TTD?>>Ycpqe` zEn)z6_@)~5YJ{#G(y{`u@|aRqirF&8IR;7PPe;Eiv0Z$_+<_&w17F`}%1=*g<*FmT z^NyUox${Eiw!*66VtXxc=URRp76PQ)x+6myd`+d#GC;Xu%v~qEWtQy0FS$jonCru8 zmK7rxmk(8r61YKxE|x*^tbxC`FL{&s?S=$dgEJ|tCp{(d*7EDdNk{^jDqW0%nlq0- z0_nF-gRM#S8-?+cL3t@SUn}f0CC2;UqP%w0?VnadWw5RY(-bP1R!y@E^3H^{$sWB# zIq=?q*E4?8GM{94Rv-?joP<5?3km^iHJSix%fgD!3Dy>r<#8wCbDHgl#_eo@nzEU= z=T*VMG70~I+va{j>8?9{cjn(&aHm61!rCGd4O-S6TJ}eTRQNu)iW3tjU#G0 zWDTDAEhEpaitv~a8DBEr`-m_tLec9|UlJ6}XgIAVc zkFQf$`V|*sh{$=(hLtSf-07?ee+pm}`lCq|@2OXdCIAK;({>s*^O;Qk8x`4U8M7-S zV6H{zd?h$_2cY(9WXUo96*q+-muSs#`Z1*-Tb!;Um~V4E+h+2S=S(|N3FuIo$-9!x zbO|dw9X6Kpj9xP8HQX`|58PSr!VWyftY9UO4c=4f{N@OqI|@xG8*<_`=ogDITf{AM zhGtuW!;)Y*8KFOJ*KDsJ)*bk@zZBySD4U0}$51u|t*l>zRBVI&kUtOW=uucM$9sL! zW6e(vUMLV}LWX56!2W@83%la=<}D#d-D#;57BF{_2%9A=A#z<%sWV&k^3J-xa^wrJ zl?(eeq2*)ds_EUg3A@)?$Pun0-WiNT<11rk?o57vtt_~b!UOo_LLT+z*f#-x9OOwB z;&;SCFO$#)?r`lb0~d8%IYmq!vAbYp`qm0~{H~NK339LlW{uZ8x^E`doGTf>-v1WE z-sp!6a%?1n&##s1;yQa? z&WGRFflNA7rd>d9TC_oXYoHQqOf>hS<0^QH@RD}K2@Z&S2@>uL+CT>xyc~dTPyi0F z7&U^UoOLkl<^hi3_R9+lt8DHN|G=Cz8)nVQ*4x=r{FDXy#Tu|S>FJ3c zV-KP+q<}JoLHHtIuFecV)(A4|=9%4)LXbiq0s=N=;hlsefnQ3q1mThVg}JsI*f0Ny z#o)EX*2+P)6Qb{K)X;{~&w{g^Md_CkrO(%0gpMo+zrb5S+)6>jtyF-sH9AusSq1n# zfbTIWvj7mOCN#S?&*DvhP9-1W7PF*<3TCXpX?Lkw03OBkMK|)sTgbSj3`nMSB-3Z3uk)1hX6}Q77-=jV?0C9u5(wL>y!30;Mdl{ z-Qk50^4|wvmNMZXBq47Zr(t`LkI~n>eJ73^1Lq7BrXC}-#Gx?I1AOEsf$KKb|v96;9IB_V1 z7V_`=pYj7cbq3|v&I}NILQPo%+>k-JI9PP}aqH&ii=pkZQ)J%w9n(I|^I-a@1LGl# z2lThHjLAYDEyG@h6ll2FZJ>>@XMh8yl@sTMUQS%Tx&%Cl?SHGBR`@V9;P)d;oJ8pJ zY~Y+VB7 zu-^uT+~+kzj#{95rc)`bKsE~EokDfMkOTW4MxooCaXTM(48I=^>cQ_u@9WhK=%4A# z3dVK{hS!W8T0{5n+5aa=Mp^1E~;*3LyOOW@xDqQ9%1nJF#oniH`<-0eNcnd1P>GJPYmAdyUn9W zlQRF%NG&6Y9vSAk+FQ%8ky-Nvj@kZTVx*s8g!j;oC?^2aOFy}0hdG><%mR^<7i zs+m1N3AXY%?8zC$t64jE3z(JF;1OO1SN8?lvjxqyjCd-}(%=eXJ=WsOE z=ttRY!>=|jKZ;sx>R#u(xnC)eN0~;xEI2ElGRyKQ|5GPAu1oli~h*4caU6v)nIK-hmsUakx*)(y|Y%0@~8bXQJFXb_m%@UIG z8gPhVDL4)R%2G;MnsppvKi@MWL(+ZU_w)YoJn*qJx^w5wz2}~Le%*7p)*NVC#X-J%MqUj4 zJbO6U*n&Rj*=*SkI_veoDHZ$mb>Lq=#CbV9_NTbK1P+nWBu}u-l1r_ zWgAyA&fxnq9O}!iQ+BR+@v^d8Z9?x|dnx{wi=3vuWtOrWk)5szd!=EA(yH?@EHTuw ze1~V#q5)QevDdWM_-mf-LGQ>svpNSN>2*l20diKcLByw_ZdhWhJB z7~VXx8S=BYYOa@!8r;g&<5Ojs$+rP3Mg7K0~&^8y}_TP7kBAJK#h zLVa1efP^&;iHo7WS!-bFP8G3p0{Fk7jQ#uA;=<^MYF^#+2aNK}Cd|45gID|qHZ^eB zi3E#}FEdy896W}G;Tihii9(u@+xAL(pu**k_s$KVlhO}qkX zsNnfPF}wh<%bE{)rlxpbtG1*OG7fa4-;sPXuIxyPkcY?5kc>P&*rJ1!y$*h{TG9jw zG9S|}W*JE?r#^?|QihcQ&q45*4 z`8Y#;--?Lvfu6P)wcsUe`xtQPrj+lTV=;j`>QR;!xYW;h ztuV&|igG4ffX%G`pp&d#TG|ayJ_d|_PknxN6824Qduv$gv&w}fxEmv@3waq}#Rk!8 z5?a;adXcaoVp44__yzk18=FObR~}e9LQ*7NQ&RdFwHO)~)2eU=2*(Jg=Ey6 zhQ|kc5AAP6_>=vx>TnS&uC2;-0iBQ$v7GD|{)D*mKe06Y!84TFX#reZMp{`B7P#22 ztT_d0aa<1dIbx7kZ%|JC>q}5itK2oshwi+Z>^(=ZYjAF!S(P5*P~59}zl^6!pud2m z>it4enbdd_^y@{%s%e@9djPU?_1)Jdwz*B^f3fKD)Bn7nL5F=oWByqu{!?^Lm<^61 zZb1(VvVr1#KYA6?9HLE0CsXX;cCwXYkBSl9QtjpcRY+?q@_S&DrX6Qd1pEvAk{MQJ zW8{otbHiw<23k6dH|&zkk>3H@jOGPao>$eCIT(fIHK1@oj8=g%&o7ABXb(xTYUgFH zfLxl7&q7wHP-2UK$QI1R+=wDosC!L9GPFBLK!b$yA;?R1?4YE}LB$6=l`JZ_ZMa}CZR*$BDD(rAv`;BClo2rh-1n;HirXJ~~?*r>MJ?~_Ncp3Xvk z1MeG*(5fHHg;oz5F*Y64kAo}*o__KTJjEno9dew5DjKmWvM~Aq$&y)yb>A8}w;$B9 zQEep)^@&0aNZH^8>8?bgMawE01?(ammGuWepBhNJGvLb7Kszgza6Y~N4e zPTguyKSP&vC2(;Vfx}o&rqwt*!kG#gG*R3a>SNobW8yB%3B2iGqrP?GpyO_w!j$Mq zsW`1`En@H)G*_H1z<92_DDhZNI*&CJ0^u2dFqd?Sqb;k<;k8m#;e^I<{Ij6+8?xiDiB zi=e6AAc-@gfmK4Aj}@2jh7;BX6?^o^;ENgqlov0tByi~oFi2R`@y4O2Yuj6r*0GVd zM}F-{7}<$AT9TizWB&H}d*?UY^k^4)`~PjgKhVS+Zstks^q=+a@UAe13sWI^W=DmcWDVEc|FlJ)uRw_H6kYiV;cdtptdTxfb~ilO8)>U8Ms6Hup|++Jklm!z zU4QnO!Dpb)qxEH{X0%x#TYVG*PP`iC#4~|QV^upg!KaCb-xDmr*ej`7uokwF z)!Z7$KYrPI_b26sQb=}sYs%$vQE$DQ^W8NeSx-H)Z_{!^wsvmYzV-%ljaSsyc=Mo- z^(01GgXOeX21g#> zGcUnk8t$t>|MN3Uv?Fo_l<2ZTGuElQ9hLpcnt|-W;XW)T2JBWMUVRgO> z*fbjSt-uaoE=ISvywUb)Z)Q|ven0Mp>2m?r)Bb***ef< z#_OPQ!1i(S6&L(anAShPcmDFgfpX|E(G_Nr4K+uU7J9^suIYpG50p2W@cdm;8ny5D z(>3g1YXZgl{LA%$V&HX?+6xhL<(jTuqm_`-N|X9dOplfS&jeG9ksq@1I7i)$adj9He_Tx zr?yx>A8{b2#sj<-JdZqo;yoMhZw22C3z+FNJV7(S)gWI9D5qb%hB0PgR8NuR0izkB z(G+sS4_xxhx3|1O7uJi{x5KtDJA-hj9vSDcH)+C}gJ%KSGx*D>#g=$mkUvF|rNC#P zcMO)&8f(E$%D4L~>WxUg%|m3iGFiARXp913ApE_m}Vs_(YN~61Ri;y+N9*tdDqb z{bCk*DPCoYH(*eYlT6{UDCXdEk?5jwcw{D~lratDYYsV3C~D(PYROtd{?&|^%fu?w zGe$UG@yLW zkDglW#C_Rx{C2G!(L#*s%=azOS`ZDcU-Y|B->JQ44PB#Z?mxbH(IbGfS#N{-F8?cmSjy;GP7x+nWTsjf)l}#;18Wk zLs8XCx9DWC*`pLb>^M`Hfr9and1|1H6+!;9?x>M+u&E2M?3e^X>RsLS8)#3 zj$hfBwJosqu4mA$9nOSJC<_$BCV@ETk&Zy|i@sCU@4a`*d+M1Y4jga#D^KrlZ&Y|_ z9C!BJ#+{Y%^#I(_2-JggE=x;TaVA>(^ydDf{d_`gbfx{i(n)OCdSpGLJyd@Q@ZuFb zm4z8PlfxBg@6<8qfqMqRaMbCf7NY3Ii(XJNE8+ei=6ic_sBi2Ro_*D$rJ7q&CM|=^ z!oIALg!j9787<6!3P@%fIgwO6q6Tp>R^_7vNw7o6%%59GY0>yn+MT}aiW zSHWB0_iy8`7oG%O(l%~Gdr*DS%v`Jk5XqWKp41FjhbbhUrOr+-sXzm!X#Alfz`14VAp^~#v<{Nae2(|!%j0Nn@@T7`IlMa^z7=x zzLlKcht^SFjr36<*UuXeb*NGoKE4)t$*#_$`o?(acJ)n@7F&8c1Gt(H^1~*XrExN6 z+#6mGsb7=EYxxDmgOFP#^xnyrW4-079tGB?9R-{T*_<_!9qY$A12ls6YG?SF5r5{E zGBF+B+4%F!Xkt!gqq~99sih1VNLwWI=Pe&vPU6YW3ww!(4T{VGe!rE&DXli}dStyv z4c!%bH{P6joBlYh`(+Rzn_$OuiD?7!|U^c^)&bA+F+ zysrpvE8w-N?|*_r%C13HkQt;wo7I}8$0WNYLC~&-Y_BWwdj)0p?*j*VL_A|l#kq`8 zb-oCS>p^pL27)5Nka(7E0Ap&mr@#))!H-lUrGfkD73?Fc;r*h7H3OY7l8Y5O81G$) zRHf8SMW)OI8ol=F?RzdMk6@y-y&Ah+f79ROUde_SDE;aQ(D^NXZ>aAmeAu;T)V|)c z{!U-3?5MGr`ifp=srT*1JNN6M6Kac`tUv>M#oMxO&qnx0pf*7ZPuL~Ty~XTpe-rCb zpsw47t5Bc*Rb?i5=#Cz$EgJXH>bL>9rbm5;CGE~TmI7Yf5Y@}lWG79+O#2G3Zfz@5 zVj(D|LC+`fWi(=K8}5iyE*n7mH-Y!o^-p z9BKq{B>tqG@IooiM!E5TvWmeKAxC4?4o>Rd3f^e~@M!T3LeJ$g=PO*&*&-=2jiIIN z>-eHao|!l%_eSPm?)T(y)SC~y>Ik@gqRxMdWkwFA9rPwkijY9KrWu~>9rv8Ha&q>y zS&~S#6v(9W7`Zw!ZnG4aQObzg0=tv;N`<^Gey2*x`CA-G+AgKV-=!lw(X0j?!9LLu z`1xz%vvkkcH)jc_SYVcDhO&}SYYhDzc5y|BmQ&!PC-@W(qDLHGiu*-sc`nIrwBEe( zk~ma$PSzsGYxba>9XVXK0aVIqvGk-!l>Z44_sAZg-xGs$V-bzGw&B`_RWe6>Oen)@ zMH;hX8w;{&gftaRVn$DN1bVIW?-VV4E!QtP^ zU`dF@SIpPofZfQfc+DEc*M^r&5f6D5{hJL>tWlrP-jRzVp(kGfjc~yhsf|J)Q!I z2YUEzp7|E>Om6?*^33-XTl4GeAX>@ zcU8&yWSWm$rzS`#3R%zqFNLA;7Hh8 zoWx%JHkD@*ZBVfbcF}%%V@pPlZx!d!#QnyQIS5*XM;%@3Xg*}HP@nlI=Ju?V?$N?V zz7lcTeU>W8%vW?}xF99?_j&~4o*C2CmhpU^`usX@HvL)u+syjH(U(4e^zV0;W4*T) zYJ>&3@DDNVp*WnWxv)Nv^sYx_$poVIbhU4@>A@Au*xX9@O=s~;NpOQ~6rOL<(e9A> ztWpl!ttK1g<{2xnv!sjP>yNi$3;vSnB;CwfCIp|C^fRfBQ+bXjyQz5xVl=X0d+9T~ z1PiX<$C-E*z0i?lU%L{SAX-T72Vb{=OW;gVwf2;;LKj1B(6tVZ@uTqP?u;3*%z^nsv_kHPNDW@!#B z^)LCdWON3^Ueb*G-UskzDxLDG2e$Bpe9YL~+cQ>hXU0kLb9~=pgPkBC&&4=CL_ZT{ zmuj<4-VTq60HlF$gKpS?w`OGX!5%K4Pd)QXCSVCuvBV60`fFHGq`m{$6PfP4Ld{Db z6lAkh^wtV)%!_qHrQs$i6fAkdo;wS!SH34a{8XIR#jyK*u|QK>BHExe=wy?Vh5eFq z2>2nMXk{~tne}@m@Z9D_Yp#xjo#*hCY%B zzB&$i4G#~^=T5Fr69gtU7pikh`|+A#C#a1Pn!V)}Mtfv6h@z$}|V`#1SPPQnN_$+p9E4t2Aj;nV}n0C+VtZx&!NkFbOilx~k`}uHxJg)V5@j z+U7HtAuB18kJxnBdRzg-28Hh1;t{@AjC^b*OgFQX89Z8{G`^D8ND&7`{!?s>RW8hB zoDVVNxsLliruP1T-|r9j_r`F-36IaT#K^Pic_3qNoQur(dHv0kz*5pa*Q9Gw{Mu?2u&B*b_Zcg(F~cDVSw} z{m8fqng&rG5c_x+ia^p|E zL3_8Lf1rNpaqR&RtWbO6g>;>Gjy(GHyCfrV324t8@wTaxIxh>m{?LzkF!34Sl+@Q< z;nbh?&kVty04ySkjz2x!MJtQRzfIw&_39f6JVX;Phy8IWCK3_Em3f*A!CF6G&f87O z83~dJJ99S!2QNK4v_U+PhqIFt;Khxyv4nmn^Ie{}1ugM?!EotW{RYS~8G%FF+D^3d z*@(y5Kz@~)x6x{$EAfAfobzqmK7-Vmj+6KQ#rLyXD2A5FAfC`C1>+gU z4BsINW<=kzBG(3d7XqJ=<=_0BsO460;}iOCtz%KhKp3O(`YY{!m>eZTTW^!s0lp7Z zoYSqlJ=(?UXg$1BgWeWN9<`?{Z>l{bd(-W{?Pe<7coFnWg&qsnp*7PapFpfTP7`xj z!*iwlV4K3hatL>It0ku+5Mib)^c^x7EDq?Q?ucN3{A$G20l~NR{al0JJJ}NUh5OZ2 zqSw7}6!;m(HR3tLH)&?FFf(*&n&Sy(gEcr1>ib=l6oF+JRy4>69P*)<@`|)PErqqqE=Wr?sz_qz@>RbS%E?bR?rI%&RG827BkPN)r|+b#>e3*Me?qTaX*bD;gtSWJ2-^ z59TIRoG-dXHffrP3`>roh!tv7uBE-{ipz|*9FpkN02fS-jgWz8uIEZ2;v3v`sSKFB zf*BAqL(-?t(SBbsLsqx}Q2b8t^>2Zb08dEfH3oMo(kgiOB{Ca$bnr9!yQ@$ zZ+N9Y;9rmp?9Ju~|NQ$z(IGcl#3k!ASfyzyFV$Q|PXhdWjO}nCtO*`Ht_qPEdt;ss zoKs)xU&-a^z6+ni{b)(H=W;k}ppU!ggq8!0gru!)sVNipe6I|r8t6O;)Du&@C$JTJ zNRz=nW}oH?X(K{Vg*uldEP%d9I~ss0JLdNWy>!+E=pgL9WPjH5P0B-fLkz$3X0$P6 z&LIs<943rMEOLm?wV0xyGw>5&d$ulrWKTEKEw8i8gu^t0?xtN9z;#kjHoJS-T+|^d zabsXZ^p;|$Ha2EaZ#<;W=dI=jJGMXTu`BVtbcVLM(bVH_Fyt{ryj&4=5r;@r{(PdM zXF)THwrEeRWLX!=Ymrxn@29vJM2A5)3S6371RTJ6VCS;onl=CO#CZNxkAiLNLq{MF zKAOJ2dRQ@h$2?qTF`&t6pJ2qj$`IXS>@3aIZ9}PM5V#fJiS#hPRy5F@de|CG5P4_e z?W7YqhegsM2GEFjG(@`!@UkaPgv?-yq^e+1_DJAUa8YouDitf>cSV$3(b2RoVl@P? z8~V0vet;o*QYlS1LtOZ_4OgQ=3F9&A0GCh82Yi&<2$@4Ip(nQ<@c;_P-~TjF;za=D zxH3POjqq?Dd;n^^;h|2y?=L*|URgZPkmz;L3gO@nr1rr|`4Gl;<6_Tr!h=s zDnQ=8b#svvILkl~o!C;qR7g&=IRp7jNG6Ir@a(o|gd3Q@$GehnDxcu%WyRO&UMFND zwhuN)%z^^lvRv2@*kiCR5d7)7tp?Dixt%*sE1C3F*J>uvNP1YlhP2y>YzMef4d~K@ z0AF7J9SMC~+qS3>IN6W!O`$x($kM4-WWDPKU~}NoaDSeC(3g7%`p>9nq2KQW^7ujD z{T!9RN`NyeNY6&*6hp07m5NZR;E_^l8t6ZP{3cU*(xB8kn9DkvOL!w8)(6xiOIV`L zc;He|1bGI_v$CPc_=(=tA}+o;zknMm9;xu?h#HTJSnmsvGoUoI-L;f_`J2u8I;yv7 zh|bg#O?kQ+KYkr8;39l3^8cHQ2rlU5umgN${EA?N2PRI-=*kiGYLl#1typdnuf^_4AyUXiA8mgllnN`#-{h12fD9u4*~kg;bG&R$#1)D{sD-6l7< z%3YAG#r$~d7k_gV)Oa}Pd&0C2Ql4~be>S^E8c=+9AbmgaUN1gZ_LDR&R6w&<`anA* zx&n1nh6L;ty@GP;<966s1LAXp^BHmbFXk8VNHo%?)}<;v#okEQr0kV*r>BSG<95V* zc0=je)dSS4i@nsV;k|Do7MLMpIwBdyx5<`xO+&mq61Zrm9du~ZS==Z8m1b`OmZJ>aAwWSqh3;v!ONsBaLSQG9_`*MrjpT(WoQ2X@7Utv|Qp2YO8uSxhQ4&I>-Jgh=J?N1nbo^FOe--H1x2E51# zf5$lHdA`{PWu0EcIigl&t)7iPKZxfCfZ0dHqsYMilmZ{nA@T%+#O)7GRX6P=H&aP5mYeN58G0uNK%YNcsU3u>LG98p?|U zkHr8>#b*#UH{e-jIpdWa8rkF*&Ovyhr$6Z!h-M-y2YSyVivO6l8B`P&xu8bUb#da{ zF0ELIvoc+H+JyLzL_~z(&YPUby$LLao^4rWMU)51C19C)B_mtmUFN`A#v@Na^JFF+ zCDQDF%9JVeTm+GL3AGHrbG4iC zS*U$3)Hg6L@tIdxgI0%KPx#1Cy@)2WpSy*1zZrkeiDxoLITL7r!pD*M*B)+?mvuc3 z88H#kctP?Wnd@rkn#o(b7V^*rPK+kyoft4Z9{K|7hxcG#Nlrt4Ogpr&yD6(_?yb-l zfrv{doJVHltHLT~d-Pd%IXqh+1=Ljr3pBH3u6qKoxvTsA?un{V;}SX}skpMFbRO&O z;+OJR(flf=GgXDYka9h)iSh86t}MQ4^3Cx#rZ{FY-S&=$+mI#c*1RHQO1jm39dEoy zXNq+##93@R8x6<}VrqczC;2_$1b}5YtI2}gidEPR(}&MU*Sqq>t9V<1#-NKbwv>U! z^ZwQ(PV`odCUGROyn2h}&ZCGHI+-RNxu#F>cgv}~7bh@5HidR+oQJ3sX6Gxrbntl^ zK{mx)l3$zw{_p4fds2S!Xp)8enGwlftT<0(jDs|*lQLWH58=Mq*=;#F4 z)u5Hg7rKriHVay7F!Ly5q35?A>00n`W%rl$m6JIy`N}!1oQ0)!eG5?bBG+=E5D2t# z!@_z0PrGkK(g>g65583R6|)FSb9<8z%?v;0_zVz!Q{H<`dj8~@UL}H;Pm$*eUIX^G zU@OhTFFM%`{>on+kZh4Te7WCF5~%^ZUM%5$`2P93;j;r>>Z?~J*lA}VKLyVDDwg(O zZz_XMltKRPQLIoFdUEyDk&Xsru&;R*988IQ)TiR^1z6utAy@inq1p9B{MXgM| zBfFLvS~VW7w?v%YI)P8DN}E0G81(1g&jZf3yTkTD|Gppa44EG<%7$g6N$6TNyiRll zeN8&s-|>Y(%?h0@mq(8r8G%a$p3*UmbN(17{ORG5yRn-I`ep)02#<(*nwr`hjLyC?nI(=+%?VTR*g=Y6i3S+lZd=eRv{ za_`UkPX2cbzE}8nMGwrK=k@uEOG?Y;2g-vBDjp0~R#n&3)-7E0Q2oP?{Qcrbmn;>- z%a%7ZO7dfmH?3ItMDwc1_gj9j`pGpvZ2i&Ne^~dA>!0%Zic3S43&YC4<&F6FIQ})S zqJK}~U)Sqz{QS*-e(M*p?w!AU`&YXvdv@=6XYX(Jz1#aQec@HVJ+P|(;Gy3g{@3^Z z?a2E_eZIwmfN zpEv*d`G1XmG4|!Huf`|-Pme$R{|+Bz{Lu|<8{41W^vnm(MnA;mPdc}5dw%;1?Jw>4 z>C?Y|2mdd7qXx@$F3ilxV?b`@H$Y4CnWCELkpzeE_likN$ zY$nTMvsgBp&2kw0%h?=g-1h_1zr*s`caie=dk9$gJ66OVU~_SnqL=xYpB1wbR?5oQ ze259<5E2%!3icq zrO~qJ{AeIr9t}npL@S~XMnlocXjQa2S`)2})d~LpV-zHy&Z?kVpac5~;X?y9W(vI@B^7isg^Ot% zM0X!|pY9Z*k{<3j&CalYXJ^?T*g1Bd4YNX;>V4tyz>=L`o{>ZMd|6o_ypV&3_ zXLgL;5Wp}Yg%}m z)&M!z-`Q1DuQ6$$*Ix6$gPP)alLefI3C^(6)2hK4Vn17(h%;j^IAK9xJ8Xv@R{H(! zk7Yf4sxL%-i4!3%a5&x9lur^x;L-5-zH zr-KwH$D#j`Zt-(Ep+Z%Kev8!*1mrZ`BAxZ}4sjp2Oxd$h=Pql7LI*xbW44qn=h;z{}#dfXXFwp821qD*Fmmyo4a4cIYc{A zwl4FK#*=v{d6)9kBJ6{jgusbgcJ|J}Mo#ege}TN{UU!n)fKv%~@|9h8L*_7Nw`%N} zr!=+*o72zn*-J@w)E2GMQBHL_-^Bn~%$S}zYSbqqi?(L*9mxgt>Se@L&3T;k7f94o z`4sUQ$uW@Jn!1sZgIc6{W4S^g`{B%E8ap3ygj!69Z3WH?cOOEoJI;q4FW$gL$J`C-&hU z!9LhU-VE7ZBMS?6VgL6U%M$|X$vr3g>nwGh3%AawW?bE~v&)&M;(P@pGqq*Kr9hX`V=i@~&ajnEZ85C1w(%O7uZ{`^ zIZcF}Awk4G$|m$@Iu9?G$aYwsSye}9EFp$_B`Dt^-oRBMpTkVzWn6iXDa9a}@^Y9h zaOktPsk&Fz`JGXXT{1gh@JBDU;fxm>@55W@ z^jQ0h0Cow|dF4Dy-ivX0GLIzhqNjxF$o6-REQ8*ljyz%?_=$p3xYya~Z>4#HT;`!N zfq`1tI=8Mi^|UqG_-Z~XY~X!LD;<2>@ox0L7dEj7Wfw8Nh5q#+S5Fb-y)oT>j2oU5 zIN34>D=w8HJdJ*RR>J%S>-3VC{WwYB*6CLUB*Vjgy z$0#c=+A#s9*N}z3+~29Rj}zv!Xg>)#B=9EWXwlCl`v*@u6=S-&D1*lsY~xXAMdo-P zjJVgAbaU$w@vMKVcnbHChZ%dj*F-a}o6yqp6oYEOo`~3ol6v$A9+%oZ!ebM+P9Afl z90pDb2Exqk8)RgWahJ|x9>F7th@ z3mOgjn*jYaaf<`Fd_aA+i(BwZ+8c$;`ZF<#KX`J7OJpa-)`{uiLfA#O;>sHK$X1l; z5v%4zMEzFsClk5I^Xgn8#^fgMCWu$U2Kfr^CWyl-4nQ~i38*ME0!X)4Jvn zW#O~(0qX{FpIe~-1S3QMa*^%XOVw5>4<*e3hf@n8&xgjiVOM$3hDh=si#ME$syhS= zK_o|0{Y-=%Ke+pY2G|sX7TMCoG%o%zraOKtXn~7Uy1J&f^(OCf6cJtHxq)Z)M4#XU z7Ma-72K(rkt_`>n-PZQn!B^q4o>e)^#|*Q8&B(b!6r-*>kk!fCs6Vq1Eq6~H!>$86 z60}NL0!}Edjvk0E<2#>`^$NbG@^wP+%_Mm(Td~nw+_MD zv&p)jC~0^tTH6;c6qW{XM~qcJTa9-rEpU#gqulWkU$OO=E zJVVBs73HIrw&VT$hLh+aN)>9? zRe`HGwGh0p_EsyFig-zN2YO*!q0dGyM16Mo&n`1v7$+uyUv3pg-3H!FJmst2(e+o{V&g zW1uHDLB(CNvOffyU^8>knLD&M?g1`Bo@hG*j8+T;= z>;Psc=2)Pv$)Kglej9VlN(;dHiO8O4gYo!5s=NDW<6wAM#rf&5kVT-O@Lq7aymwAD zI8|yFOp&cksgB2)?xSNt>k5;>O16~~Z6^;QK6LHG*uaL}2OBuv2M+n9BZp^pZAq73wA8I>!5ZUL; zj~@(LB;)Q=4f+$E!Upl$o@nC*&~{^R8*XHhu$S(`oel@UWWI0XuKXp5y*jvc%Kuizb)l2r%dPVT@LXQC|mybS(9u ze{}=|;1x)MU{o?+xRebrS55gvX0Wb&B)AR>mqiw^9gb5NSwC!nY-~_B5$Rnohy;_0u zLw-=CxNW`K=_K3jX@l&E8i<1Kfdvs>u$>*w{R9c*6?+xq<+6WVaefMHK7mWuhxLGw zj&a^w(3eTZ3Gc$EZBN^B$6&OPM_bq{$GJ;pUegK5Ck|0V=0TEz!mj{AI4iFTIxXaw zarG{2rJ#3iO?5}hU56|xG^(SW8>%{JcEFWY&WyLOzn+mIuy8+oPzUxzu}AiZBT&8B zVI}_W;`X(n#M{U;_AKqoZN$-WE=;yF`0?R&?A=oh#^6**fA;y0Pl`8Q-n5*tH$c-6 za~7P2_~94lW3Jvk+K|QLxG|O9e+7GrEhR&z?D5!XX&{4J3`VwWU`pxa<4+ddr)B&50@xkMV`PYP{ z@c)CXugw;59vw<7M5Xsd6-=@7L0;S0_R%3o4vAO+4J2u}jFR9=l2g%Za8{BtaObS` zC9KjRu>zkbAhVR<`zVi;XG3j{K$_LjZhIPdTexGR5-DP8OpDV>2j2NR+}~(>ntC<1 zCycWJcnxNKFv6^_!MeB})aPg7&Ew!mD}W)9%G_zSG0Lx9U=SBPlP zL%+jrUY>K2LV53S+mhQfvv6?3@q?hX1>p*ZQF4}|wo0KvpRG+sp-H9AO}gJ4S*8Oe z9^r#897Rn#R`Wq>6`cC58u)tPo!R0x_+&i zhfW@|rL1sJg1@`_lt+#!z{|2V8W-+q{gidm*O~JsE$4uSP#u7$j;?tn5!;W&`vd3yA zNRC~X=YmRR3jw|caQzVbXKmFT)z3z*_gi*bR$o7y@|%gTZBOWq_n+(^DQ|czxS=VxI=aeg9s1^f@k)tzz#IA! z0knT}hqygGF_r)3|2t27vwSK~PvjZ!CRl++f(<(BP;FEf#iZ~nDio^J^+XOyNJ^RK z#4XI=(7N%!Cr{1AwWyXhU)_ds_(TG->qdp4Z~lir55Mw?(%-so-l2DkW1=Vq#7D&I zVv{&mtP(Hccb-@#o)s5~Sz?iRLaY#ZF;_ey`o&byB_0q9M3XpO+$-jYoM`)Ii@7*u z1M~Ea9^?W>|GiW#qY+Q#|G!2IJI3u1c@e|^N?yz{?f#NTZ3eq{## zwoIMZZuK3UJ=&8mYVGHsRq_l9NkxB&YezY)8f#IC& zflh^((?|-m9~aIiwR=Vlmp%Rb`<{cyo`O9%=n5_gSnY2$oU6hq)|d;lFZ3EbEt>Ft zx0~r=-={a>Y~!nb(9VUG-K&F3W!u6^*n1KK%@bF zrIt>q6`{KkoO}+MCUIeM!$>}Q!o;9uz1DV zAlj5ywT^90R1<}bwYvhvF1nc*{~|G zS`%Si9uNGeVdq7;oa!ks3Up64@jhfHTDjZT#MSvd7#-PZqWZ=qg7*HcwL2|ZaCfG2^jNyk?KB2R{m-JiMxF!liZu)l2}@46bVf}hCV z+&v;zxS7zJbZYC7cRr@vf8YU~;OsX@6B69a0Gnht>%{KvDP)m4m~;xZD`2y1=Djme zlXb$Ep;JVw;oeLio?Kc5xKWL8On{9IyP#!)H}i3-DI$Nm!Gn9SKsda}TVoGqv1ZAH zlP3QF+ct3vcv2=^!#V0}P9`c*co-zI#+2f}eG>Y;^0~vsepi zUUaTN>lfWdv~7~}9bUIFyxq}+-WX*Uy+gjSu#(4VCVW-kF~$K3X7vg)ek`^#m?57K zKXfdGWyi1dur=wN3wfFxs~CEq^oV-XHrdC(CA$ar?7*9qyb-6ux|uEZBm7!Ndz6S{ zxuw37$}yG}w-!&sY>8fVh0B~6QDO;xA9XHOMykbHyAUi$I*T(mlVgLpIwPBKXRSM# zK4YKJS`^C&6DyXQ$bw z+USe5LMc_=6NeZ^cS(H)J-MXxPaFFz<nTbJN@J&~-_*Lg ze$jrFa}`TX#Y|X$Gw(92!qjjYsDgP~F>8j#f5e?!b*US>AIN)T7mq0C!S*Jd11949 zQ>=ic@C>2f$JMJT*`ed0;iK+kP!y9&Md3SfO0IWOlwh+Q#LUdXtkK-e4gQjCmjjqT z(Ae0}?1v_9#n$3(x&mjSvjV?@Z70e_cp3W~B{SSOTiQJ>_M_NoeirMLVzD1Mmxh)o ztCuJ-dBFY{G}t6wjI4ZpI^yOGu~iDcfJB#Wk0feFHIHgWxePz(JnaZ1oq3pejHSC5 zBdN+9G8SbH7`@>`nI9ybL#{Q8+u$~ok0y-?72u4WZj-xc;;V_TV%<3BScJt$o$u&Yq1w&XHdfe%;|9_#Qr_@k~@LMi0g~^`;m%; zv0C_%z)SM0!OZhXv|G^*f073B&VcV)0r51FLU}J%dybf{@-2!}9FOoh$fi01@4X_* z4l-e$y?_bdrMQ$5M>9)vTVp@OEM$S}Au@~G8C#?Bw9@}zEAv35p~Kr2o$;sP+eF`V zp2k;Ij`P@s8qLpP*30nqa2GuU2Y`86+DS=%wYzH#bG#;BUUS!b&CF`sNT(}0VF`fM zMBA-*oCi14#&Sk2f*>8Lds|OsQdp50^u8h!e9wP|4K&Cg$ zZbNs5cwOl=cn+l1W}Sk~2ZEU_B{N`)gRLD2xSbd1XYxU_VC;xqsTo8%IV zE}@&`tAyB=?=D5oRi=3rGf(_R8~fCg0sEK-vk5vR+SO8&e-=(x${;=AjzW*1o%MoF z7Km*0B4{k&jd~YxiXBGT37QFc&4gKT~RT%zeF$>9Dqq)TW!pFiNI;X{k z<1NtlTC_B*)Ubeh=-q^cYhp2?s?))nzRbtS1mYT)^(9#uE{xA@!c3e)d$c+)@D<&k zWE;RUr-wIV&7!rS8<`dL#PMQ3qMAgN+|&57INz+J-+)3w>?){20=_SzADwP}_Y~zx zBWUTUu>=^WU_S6&k9vCIV5nn6{nPk$8vS__tG*SMN1gu{EesOi`gt06@;v6&Viwl( z776=I{%P^`_y%tw45z(LK7;NXI#qnPz=sQR$9^Xyl?bP-AQ$4H4eq<$cOwEz-(*H@ zj<1^GLPi#X;kNshG86t_Z9u$1@!vQ!7*07>jlR!fLE*v zo5NdB3jDW&$A8hKwD2RWv1ClxZrTX@09+T(ZZ{E z#!MV3j2(SDI29;>F$7Q9iK6gMe7fAp8Cq~8_QiT-Et5m5=dCEMC8NfGLAX$7Z^(> zSA5tBx@V*nPx<7&d=pry10}(lcQf$kbXxaRAH6F8EO@lJsB{$4;0bv(viz@iX1Hn) zqcAs^4Ib>_D{xxG81l~O5vySbrs~6wVC@iJYEiHjCHS39tC`lVYbEHBNjg9j@~Qv&KZtCs%AE{|!HYZT2HrG{TKP|+0R#cG6FVFG zgLA0@UqD~c4yO~nM)Zqy_o%TQe#u0+^)w3c3N#7qM*vUG5|x1#i@Amk^+ld!6MJq! z^3Hl+kFNpwEQ+s9T+i*#g-ikNX@V9Btor;7m9_v|&UUX!&zTr$BAx>4`d|0E9#UX! z!bnVREoxkMPoq=myA!f9q!H&BXeJzWR&k6h}$ z$8Dnd`P@r1hH#Es64bA_f|<(@FYH{X{?8nn_}rL>&zr^q(#gRMEWr3wryaQ7Nt!lf zupN+H@?t;3+Azn!L($7r*E9vv1x7CR31*EX_KPHQ;``*!Re5xok{@yVQBR_D|I}iQbsEDvVx?~v4aRo zs%Q|p+x1Oo>BY5--YGsu*+AB6#2es27>8Te9dc!0MqR%|l*tOX`RTyr(6j4f3g2Y6 zmc?S>Wss=e$|kO=#i|F+jYhLF(C2!78cIOfMk6^Hpge`=S2CPBjZa-T2UKbxPR$0x ze7IqM6npP%winvoPqBtDBKv4uf-{8%+}cQj(*#8f5qBI{7dvqOo5D0UgRXGA`8HrjH3`Grkl#uWn5h)`3mu=t)_wbqox7!22*|w;@2Qa_poSTfBy7$-pTAHs~*)BV-|iOvO}NLnQs@g0ln9ZdUK%6F4BAMQm}k0L_Yb z!Pv8lGAIcF@v6{C@93Jr`?_Y}i~;mdMCNqUUWU8P$;_Sg?P29jE2$ z{je(te!x%1KdZhK^HlVpzocxQo0+@2GS5$`!x`;GLaQ-dsOFd6kJ+vxJIK=dO1B}5 zvzx>l5yC$888)eD&~p+pOHQ7BQ(zSJj=@{asji%qO&1_<^oCcpwXe9x!5WmM=M#l21pwAL25AP6Jv3 z&A{opbII~6naFP9Ng!Qv!Z7C=GZ~#L>_K4o3M(uhH)B5lT>(|id5tWjpa7=s-_ZEQ z5m2E5jMO0DNzEc)75qNpWr<3epZCtLni!o8+b5)0rSIh9JKn1Hyt*=MM4w?drzb%b z^u94r8Y7RK^WATB&7_%8WO2}PJmsevG>R^qJ33ESP+)Y(>FBRH=@>jIl-7Y)X@Glb zZHaUK?3Tg8o-;h}7N=$a<%Vm*2t}U}c~r%DqkEW!zgQj`)8;Sjtz;1I2_crkSKye);S4 zif^4#2Wa6_vA5H&EaFs%u|{^R;>*ZKb@z#b$gpp-!r$W&--(0b4Iv4BkpUhuKYT#o zJ3%#+QGz~W-RQ%IWb4#lvjmsA>h@&)K@I1tUArcH!5I9BFYhVHRBmVP*Gx^Yqm3p|YAiUsffxE^ehJ0rj z2JJOOEL6j48SuR>0N0E{)jUn^pTPxws?2doY1y4Q20sU{7pfPR3r7Ul4|6k=XB7{4 z|J~3h@W&eK#OY1!q_uT#|nlWe3`#EH681t7$>ng$?qF< z;AN7dCtRT?eb>e>!~WM9dUA<7vuMiSx%}k|D{K8eYtHypWSrG7L`Gsik@kgjl6|$V z=kNIQ@M%8^Dn-v;vUso{`speKHXlEpLiyOlURZtfJ-8>Q!hd-*H)s4B>RhKfHO=GK zkd4sCw9SSZ-?x4A#G^h*Uv+K#Pq4_KCe{Nu)PxjzvQV$=9aVWUYP@~kRa_z97{203 z;A;WpR2fkYxGJHRk#ho14jd}Rcp_TWzEm50#2+{$_?|xr_$IrPXs%$R=DLULD}y=X ze*%st&jZ)_;uO4cFjrUlJPEXSTH+nvlZpJX&~<;!^eRUh#i^+C5};$VFLo7XAfizZ z>qDx08ni;vgs1bhSV8H;dGfG0(1!oB-JkqRCU_HYaiv_=ny{KV{31VDKOeOntFVKq zrLo)!%8pjeYR#b475M4NA&b&q6MCzg>@UsNSFOawK{q<*{F&*D4p_6cPhx@T*JYKV zI)+^4kfBOg(j->QD=;nruUrD`PW>8ou<^I%CIGfM%-H=(L5AG2hUg4_&C_;6jvxQC z0xMH@3|@9sCgeMU$9MqW_u|`Il(2t3wEJ2QXxf*ZjzG{V3&<>kli4OSH#S@4G}NJ$ zWPQZ@Q9rV$oFPb$3x&wCkCSvtR&|#KOwXvS)W6oI(Z0Z3Ci|4)VejAy(6%0+kGN&Le24%6dU zts0VbV^={Ra#YJUD=5FlgvdQ-XcT&>|Q9|jF;4k*2ydCw`8ok z%#a@vPv)zZjj!L8ucCYK=+Vf;aV!Vdg5-a+kC>-4Tk zE1lS-^wP-Jf?h-IW=R$oOWDI@Q;LtJNL(()GcGw{QKy}0dJn(U&G;(JuuI_^QIZ_+ zF0x_T?u-Z0J0fo_Hn3SQn+Zr_hXLmv*8;*W;x%_hWjA0YxFS|+nXj7L1Kx2wViT`N zQpKwg6~adJ8kBEOgfZDQX9EOFWUkxu7_+U^;mU@q)KzqqI>(1t`XaUgv}2Exc2GhsY%PWW z^kqndkc`G#k*U%U0X6;dviKt8c$?UX(Lx5$&}dET4T#pb^V_gq2RM z(4Op$YG=7H;T0c-YR4- zAxpSws~bP8^o@=0cY68SI95Wt0W`GT5+e72wazMJh#diD?jVaN|KkT>4H7Ry6vaHz zpYm_|ipbUGN(N$TQAL5x0jdgS0Gd_Ypdg?I#+Gn&H5pyBnQ>dm^ z!a5N~SKc0VK1Np2F=qei>SQAd)^%|5+&b*oRH~Ec!Z5k@Td!E_BUA?2?{5{vgMo&5y1y>_8wRLmJQV zt;u><0Mv#P>iA$+&i(5EAmFgE)r#F(oc10a1coAF@dmtsk&OX&Oe?bSS--+rg*{i? z8G{XeiEIzsWm^l@wYGnS3D2!luKVPhG>*gzq^+^NE6^^U_26A-o%WJ@dXu9on`lgi zEZ7U+fuD&u1C1T0S?S2@F#H(GkxAi&!IuVQ{`KiADulr666CsPc|+&x7k>iXn5d(OGfd7kGy|4!KCQp{ggLbNZrWVO=n=vmN>9X66( zKRztjQ;XH~a;GcBxVxUPma3k3{|oH&h)@2c?s#RZo8OJ`(pCq*csjIcv~4O9EepT7 znx)j?b!*K&=BuldFD~sd#J8Wfsfo>PF0UF)Y0ZPZ%C$dbjv%r+pfe>9+Ua}Ui^NGs zimH!?#f>oo?M}EA%+qR&Ai3#vwVqb&>*#j9-P9b@ zjFE(W7Lg>3vyF?;N-HdBDlo?lqYvM?)^#|Rv?qOK0>~m zwI1sW`=U1cT^(wonnHTNR!GYAGF1)i*#lxN-trJoQRCFf-2zu*NG!cu^OG2sZXXb0 zU)uKAT-v$t&!Gv!D>?(QTCKm zj>}v%B(LjAo#$#MbK_I3xzxR*7k?jS{e<3MybT;@aM^W@R7&NpR{C^cinXikitBhA z>oZ5sy&{EiJlc|ywtbqC*70R7Q{x6LyPH}BZzT`Ea-32`a$?@EYq$P1&>8quV0WtB zS)>}9@VWS|jt#ls-lFiw$F)1Y7@I( zma^VE!4BESyLrc=Pg8&W50BeT?%LY;$3@NeL6aE)8IuAZ5#5fzd>^qXp^7dqt3XFd zCtp?|%TZ69kb~fchD^Ui?r0l{hvQ3p>iCO$)@srAA|L)Qk_+w|(6YK;>|fjN8cJI>&YZX;1gdgD>D2hp&wV z{;HD|$cn6g-s+L4kfSZnc?+@HVmzg9-OsgCJKlc#_2I!6TT**c?X+0Ebt@mK=;Lx7 z-_iY?l*bP#EjBU4RMKV{v#3K(_-51oL0BK5o=uY8x5|t2FCD9TCRETr0m&)L`I zT$JE@V+WM$T*S;c(ZjA_g1ZDa>ndwgbY#*t-f*(WT%B2gPV1I7`%tU0#e!T{CNIwl zBI{LIOU6s~&(2$})bsFeTisQYw)Iy{`pZNKG+}+xw;RfkDA?aMX?r3s#u@%#w@A60 z>SQkJvzdYA#2Na_2YxzfyW}^`1@1QS7GE3VZuRe(+m^F+?x*}8|EV0hYe$_~rayVI z4O_CTjjTW2Gr}qd9%sF7=lcc(YgiACw=EZW@?*1@F{JIoQv}jW0oe z^e$^NRtJ|D2WR3X9rv{5Jo@199NiXuaJY%DAhP#Qi#%~( z1ifpgYgg=e`Vn}}WU)RyeyFXu)3JLKDU?f2(ev3$)K}|@$W}dzgd@>JtLaYW^uH)`SHE(1 zg!$)ukGYw!-Tfi?)Q8-dnh_|&Lr)%=^cv^%Bs{vZ@#5>W>rT=7c3RQ;Dzim)(V7{Z znO>N^!A7fKEVJ$^a}bHP%Bdr_ta4t>_!fxooMC%!gt=G^g{cz0VXVI`PkS&LZU(Qs zchVfq+@idyJVqNTI`(k#6q-;qc62i{G>)`{>-`NQ1&&FnhCQlt(q`eT-@C{v7%8FP zF8wV=z&>famitQj`{}S*GMp)y{>L;jTXu12bHUBBmKjV_TW4_e>Pmjw1qU?M5ku^; zra5hD>{0)n-E%$*6n#}$tGKYl*rEWpBQ<8am$XrR`F~>2&;zHm~Pi_EQBm@C}fFeqd5NK-y7e6B^_mtL}jB_r!;LvZNpXc>H6c$&5$bO`%C}A;ETi|M)A% zS+`wcT;EEZioX+g+#P@q_UK1g-v?L$eA)Zu!B>Y~?O)Rh&BVu+5tFg)xwj?dWzK~^ z3!c8k-)YUv1zUHwH_+yJ@273(CjV`Fo9(@HYpym?2fzK>cUqnC-tO-17gig(wfhBT zz*^ecAK$w(wOnF3ycK^5O5I(^SG^bSnLj{xKe&eWI8?FHg|jtUv}QQPY@z|cpBq(W z))n>Vg3z>keQIz`k8dE<585QUh0rIs+FN6tN)a*A57lq=BBzObF9D{$(U^4H!p4Qb zXlB)YbNb|8IH5DY8-Wk8hWPq_1t$W<9Xr&P@3<%&G1qGu(F+Pb(`+}-X!G3JJ*~8& z6(~|;$d+$&vFc_!>9Mjdr=67|YmCt?Wr`Ugt8t|cB<{y#MZpSxGjm|Wsz({n>T2@d?PW+9ws_`#SqQNU9r-%pX&FVIKkCq;JFgmqbd&TYQw=+L=R*n;pNF@6PkvlhvKM*L)lq67(Ur z(}6A^LVm);?|HFk(fgr4e)(|ai_w>6=IP~~a3B^Uz7G9Litib5YqU zT9y6dpB-n{5wn~ZT4Ou5RN13pXb~Dj%17x}HT?pL{-dI;YkZtKO)j=o;JH&5IM>Z-xW;vueO$p7$9{V3DV0xoui$ctMU}NqdOrG0M*ahi zbBWvq*jWT8k{)<5v!3|qa8D^^O>ci9tP70|NUd-`Qfqqfz(>eqGA%ont^*^-)A3%h zr^o7Y+pbn+ZMS{IS!gh8iYsFOE^YlInZaOkV4(sDuHcM&b(i2>zCgX;-5Inv2}Ik1sRIA_WDGRM?QM2=Awqo*8*5x#!2 zH@5I0tnKDwEjbuv?+~ncs+@MwN`epnd+{Sk=a2WU?EM!0nI61_viklP(qadtx1j-f z@O)jYjvc;w%Um_$gL)9%bB8h9_ZLw8;m)iT?v;E$=P8lki)~Qf_t0`2@BJ3~J@&up zDM44WEhf8B+m_+CNpH5neVVa%>*h5#WMc33{jt(-L}_=iTvbMH_ivF|g{!+Im-~yN9)B_Da5@JS8yf@UNpp9Wb|q=U4XalGbR8 zBTLnrZFaO)J$)zt1n)4*v%GazUnjVn81TW-8&``QeLhK(G1h9a3oA@$MDdkU&*D#u z<+ogOoRt!NsmS8xZ?K}9>Mu2RVcWb#^mt>X`gdeqOu5ert0ZEe*o9>DIPvq$Hb=Y_ zD0!-jvNl?-w(E8AqoljyF>Ju4-li_#+s0~ArISDgmH9#--@4!6PJjQ+P;EyA@iBBK&JJu zKIIu^qN9%3VBjRIw69X;MDo(gF|kAwn5HJI!Qf(TjNNu%ZY`X}Jtlu4UQRLaqer)! zzkK(y>RS-*>UpCEJ3(-Fp1UIMhJbHJuV-b^Y8{0Hz)Hq3<&zNsm&)q@pI>+BY zJdvIf#w^;nnX|mZh&#CountsXM`WD9L~lwjrS;+!cgrpaE_f!|sQ#5%XlZR)JedOr zh8-FaT`;orZYX^X%bfGVIoQzU!Hm55d# zunQ#tYx+|q9>KcWb3C)D)59Cv;Z@Sq0^S@8iMO6v%e%zE26nKGut^QRca;mSYBErx z#umW|9a^E9fT)|#dnqL~_S~D1y?N4F6x*st$!nDwcyAtMT+=7^ohinSdVDrkkbhht z!9FwT+qWrkeRsQhGhqkjdin+<;$M;jEDfX0`~jnNppOx~d1l;$WS>Yi46x5l2kmAp za`PqH?UHs+xbCCx;SlhAh4g-~)bZ6fSxdTPU6{yOeS;kqdYb~f14%1dA@L1%n0}28 zw9K}e?3(P}K9hF7OU-<>n|^9`m^E)t%I>#zS3pzCB&Qh+tBkIAPp0M47k1&gqxT2) z)&L&)=Ghrfu$uSC8~PSvw^`UF?753*~#;_Yd>W(YcS8e$q2;JQEM@8 zv~Zeohd8i6G;5T!I~OCBcr(K2wYu?rRyVc~sZHqh72o3Vew!Hacc*wKU++f4Gsdi1 z!S%MZL`Dz{AR}#RXD>>QKqip7J^Yz5V)kll0^5~B1GtL`3^6{};ps29>WCGfEGnt2 zMaTqKaGmc^&`8JSXj}1rj|BgAjdOjsx zGB)8>^`+=%nb-j}Sk?D6OKM+<4}3t`3+g;ICZnnEX^WZ(^s>zByB9;dxHXR0f?&^D^4;ftM%KTPDiv8n5 zCfs@DUS^3ob3TgL6FDZwU|C^VnZ>)_7Mef1N{jXVvoHJ%@zQ$kEslQjeu>()PItJb zs>hPPSS{T8b#kVa5gn=L-ZCY1hLU|pxw{8?vP$noceH(KwJK}*^H{yht}2or?#oSJ zZC`w6(xKJ$VAt!;W3=$9g4+>Z0DB&|n$xWR)+b-0M2Wuse9M!{2%n8UX>TL?7~0Hx zBz7JaMpv~CW4B2yLqaX_wf+|IY?SeS($+VuTl+o{dZHI)s9qAzYe67c!ciplul%M3 z`k}OfJ5D|WoI=;|!Rqt&t?9c*zw9YB{s#NTiAlFfg+9d#Qc9lEOGDxl@+P!9&9O77 z$%rQRkJF_~q%kWcR^zkGZPlYc9+}hD^KIi9!<=nL^vyFmjQx1fK%aa6RA!2$BY9C~ zl$ka@qo~aal`GDqoA7j@uN~jkw3%{2N(-OZw-k#1j$ShUcCXnM8#B4c?48(cpJh}m z#({@FGuwZhlG$();GkG7vMw2ef((^r6dh%5!DzkDnq~jVJbx0;{lZ8MH$H8?<6+IS zJjULcZ?-ka)86Pk0X4gGY*#92yC(#bcuc!&40D{i^&9<_Di0oGx2l%Gr&L~fUVqCn z+otHt(Wu$3-aE~A4T=s4YHH4jFU%3u+ZRg8{17gWUuW;zZsrKz%u!>-_D!t7EM<5l-%;pFMS%a&m|7sTw@G5&z0jpjoW>Nn$yG)#LY9HZeGR$4r&B#X%#%*RG9@O zYDNJ4^1Jw9&YZzGtiWey>J8IAX`pfNKbMxWC8f$YqeE#t;oym4tkRA^jq>0na|QRp z_labEd#kNz!j`q#gzk0A{M~DpUB$B~G2K%-VaK{ppFg$Mo7J>NtM@**|M@39@3CVZ z`nT&o`{qsh?AY`AnU#j#f;KOwDYe`lONkU>nbji^VTrQX{jM>RbauGvQvI~LgIG}d zd984e>mK|vkk}U#gOd_rc{3p$)_P+f9YE9ee*9gckxf9GH(m0RMcRbH^+W`DHvy)W z5+5DpiM8sr+q5ouvBMhAmFEZ7kiIH$A+o0JMBJ(m-KyPudR_Ng zXl1zPsX|re=!tm4BKd4{c-8A(@BDayS9!zQVs~LrtHyrs?DaFxt5`AHy8svQ=zHW{AX-J} zt5_~7tG)~TaOB&ThRf)+p|NU6pB}Nd+UnK^Qo;jiX>I+iRRqh2Z&6#x2aD)%!)mMe z`>GvXmT=w9^$DBTs@rz0PoU-LQdOjdgMJGkAFYQ6!l6p*p#kpMT`3$oIB%^~X|j=JsD-Z8zT0kwJt?V9K?eQ zh&F?3LKJh2m$da+6T1Jg5f7Y%6K@&I>d+qPhHFzILH;2v#+jHU4>iLyh6;r6Kg(B zIf;dFEOlbt$7|(4{&C)XvfO-fI#14ScvsrJ3p)qyLei#A-o)$ z2fWT`rzITV_iD{@c}lnQE1{dA@7m%OmNN^r!d7d2aB$61Tj$uBt>M7zl*xS$ud>Q_ z92;Dvq@}Hn$n)rJ>g(2(Ks7#aKmU_c>q7XWI_%gN+P2K8tgmGP29Az*i~y;`A9B0F69 zQlWfRT3_+3abn`z?>+EM_ZyCL0i{$*P5xoY53g<;?I?jG9xE|h>1uT})52D3Q>|vU zIaXhX9fle5#?wvR_0JvT2)`#!|k;jE7~D#yD7$w(jTZQe0V*_Fw+R__fOP;AW!6==s*g z-HrQQkN^Ahk&SOonZqi2qk;K+@WubA9>^n;GjYsGq?i!5ZJ6*d9NjZ^nPs%IdQ#`&9Y; z%C^#imstwvU?-#pFhWsNdhP%&^1jAsGgI5cpKZ;P-W22UfJ|UDV*aVn8(b76Mu5?t zs4tobH$GjhhKE*gxIlwe2bY4KEs}#K$4A&zbUR*F*+|6l;pie9E%4b`QTK05R2J2F zYpEw7cDEACL9D{D@oZ0C#?zhHY8iib%1_ej(Db&WE&iT91TSBxAHh%Ae3P~yywup( zcdxz|so{89UjeqTZ+mOCD(8lTqh=bBm;PGcCcL-4S?tNpNMes;gV&n*TA3Ef4pGd6 zEr}e*G&rycU>2EOZx)U3KBWDj>9Y`Jm4ob1>tV&HC1hv3X+)B65B4dnCfV`Fc~6(6 zRd(MSTi;aM@gZ^Gs+^CD)w^&y%8vxV1-`FxYUm^s?jutxWPhssWC8vVQQka(b&zN0 zQ>rN80;XJ@jL?_{t_^h%jm4z|N`N!_d|8dydRNL=CiA4_H%}$p*v32T0aeG{CE&^l zfBiV69fASMh=PI5+;TMqpRNGA@%>M}=0iOy;p3@1tj3E4zPz-^`=`Lyd}dSut6T-Y zU)U$RH)-;2%G#){fbz0`mWA|!jPEF`uwb*sh${SgU1BpU=`zo>>e>adCY?P$#F7s^ zP-fkBJhN`oj{v`8==;a8!hTmFLq&QrudxnGZFgS}Hv5y3)3qj2kp+~AMYYG|gTZmw z6uP)(H^ZK3eRqoV61e+;M0~pC+a~nRtW{Q@<~o&HX`5MV@p!Fx{!!}`bd6^86OoFV zH8xXY&gV6X=Rl@D@y;Q3%EQU9MtoE8@t_rU(<2+-vc6ue(3Scot6;1l(3ZzblQJsR zlA<-yX3+s!CB!4%)< zt1!!jg0WlW7^~uE@Rin@*n2im<5$+czhlMsM_Lo!JJDt58A_)@~B!&iBr zUpdQ&2*)n-d3X}8Y)U1rn-UEVvHgIG-sdX|Ddz+$+PmS@76kfhmp8J${6WTV+h6$T zLy}+SKK4kAHA(Nw5n99y`@%EbwM_v@ZL^-i&oGqi-3)x)s{c7%Mz)k1po+ae=o>0t ztTjJCX(hW`Vkr#?AO6@^W?lZ^9C!^AdEP**C{`|I1k=}YFRg3!XSJm9%{fE9OW!6w4T4y+8 z_wAHvlG6Be-v|DE%3|(JXa%lwL$4A|WS?P3ozz4gHI+Po*5!d9s?0VOV~>+MAoD3z z&t|hvsR*+4rNZ-09g;QNt#K_^L%_)pI$=`pS6Zk|X|tE ze!9~paG=ZCS=3xbXjnDdjhAvyD{J2CjH^UxYanH;rRLmnb-pz#$^@Zd_~oB zzN+ddKic~(zwP!)EiGKy!~=0P8N6p%|23qAj5LrVv2DaEc{1Fa*n3SGgnxSD7HlzZ z+?`8*;7zEp(^%I}D{AhPbqIC__l4E$;72}IbJ|JmB)<;%g*Mswe)(SuVh6Rr7rQT_ zV)wN&P7%&w)p%c*^SUYuj!wD2^CiG?2|5wCDaCyFzj$Z+@4fS}|N5O@TZJAzYQjJ( zB_bvS=$ZM06$@MnUoOhJ%7h85pdH=?-ud^fS$?}->dz{dnf12p6)zBogpmCm;_5DY zIWe>S?wz$utmRp=E&BpQF|yZKyMqEhT6A!r98X#}nbBid!fy+F8Z=k3rNhB}bx*jp zNJXJY*_uL$oj?x}3ZETQQ&yT#sYP9WWWGkiy3*48-TA*(^^s80-ZVEVS3+CtW;;s! z**Z}{(G*yzsh+-_;J4%nv1i5#G}1NcouqxdSHPxvrv|)JgH0sgFW>BA{;@}TU(U4l z*!!Y`uCaapk>2O1bx9=9imke}E1W*JLEdKKW+WGWzr!j|F)C8$<_aZ#AAd0FcejMA z?E9imTC3bO)=ZuKj0`lHc_lS}kD9dx>9y*j*N3S+KNg_H*D6JKQ$LRB@PoxN=YHkc z9U~U2^w!0@7)Mpidp0fjuGC%TuE`S4yZ3p2A*1U7^4+~ZAnu+ipZ4sDt2?b`|M4Fv zS53ilFCH6U#>$A;7H-h3EgKr2u9~n14eOIOEHxRwtlYgw9yPoGYp_h*L(4y?-P5M3 z$p^OQ{95UU_hvso({^ZYHt}7=w_g$0s-GI3ur){Y>j?&2Al})T@gEXN zI5S3LpVH1LLv6QP;aKXdmY~3rZ;YuAKG6?H!<8iKc$AUWp$>JI{5CqP1 zMO$LYVa#$fkdcAA@fsfX%hy>_2?ZWI^8{^x&&$`UoO(^rGJ*-qSZ)<$|R!h{Ok7&tYV9sxqP8#!%cUB_L zWW2H6*l;GroV{9(9WCiCq&kS#mv@hLk9KVSSLZ96UugQ;kFb3MVrC}nv-j|YS%;|2 zto7`hsBu%EDHwSKjwi^QJTZ>UY@fxIaif{&#}W;DQy}T8xL@V9xy*TCKRCb~kXWm? z;r?8BFb!^TTLaG;#{(^4Fws>FiyGGFPxCJJcQMB$?~Birt8??pi7a3ZK5Kv@J+m1- za3X$a(1B{!lq>evGh5p;aL_b&e?3+se#KJviK{X0$cu(QD^W-GPRO*&=@$#40FpF+ z7h&YV@kh%^aZjmWd_2!!UFqI0SSY&&h*o*S!HU9aH*@Wb@DZw6KiO=KPuPT;P^CQsG3fAO{_JY^?)oRJ)mfBs__O!J zuRS6AHQO??3q2arbNHJr0-Z+aWRMwr1jsvmGT@&;zm}TtLKKa7eLKra6o~s`f&Mo2*yB?M15)bA+ohQ2lmaW@F%tglo>X^JI6eD*bV^n7 zbN%4UdJOj1M7hQXB^taz04EUKh!7EA?i7ps?@Qe~}5(@UzR|3Wu{NgCS!zPCU zZxkzIr8^!aSUAgX^BxDWm`jN6a#!zJ+88ro*>yKitm-&7s_?9(s?NB|x!$LHtxM#Ys@I`6SkMz;5qXn>(H0g&bh3RW^qQB zDtwsXZ5#w!YMQ4%w!{_=_+$nv!x_KW9m6K6KLdM65Io1y$TxN&73l9fV~+21xQrVu z-^DhJA^5!9V0z(0Qi`=lb@QB-X7naw>>Z`9A=lXFD_7wF_-%>AyKwR3mxyYS#u~6W zC3^r}&xfms`q#1^unIO(1T+W{yE(rrH)v>XiyuRg;JnQmtY^+Fj8U zs8ECT)gn!|p4)irU?e2gZmx&*3bEL6H9{>NEiWysYYIt(3yDE-3xCl+V#IXUpWEn_fQinDcfL^KlOm{PSyujJ$prB&Gi0YwL!#>Yv8&O`WC8y1}ClYDUP+oC!ohm zoaMoI0hUTZ{R%qo18Q&$NScz+BGlzOdj-=NY&;DD9U5 zEiW-%<9M??tCG4@?#UoJ2bsyI%E2(U>2M@pr7&&HTlrI(sE_6gaRz-NOk7bKx=SE}EGgi|iLFV6K$4=+V7Kv2X%i=x}0l z^rGtQ*j)X9--(>dVd1*QNE~V-; zqy*hBb>n$_t4Cm*60nd9KIqS}HUD9zL>acyL{&pi@ygExgJkHz$3Oe{CuVEzF~=!K z-u_x_d?c2h03y!#7Jr+xy+Yncmw=mx#-ucB(DMztT z?dpU^`502Xx)Ah(5@)Lhs#22nz+zR$?0Q~<1Jj~J2+0-rTA|Qz88dSQw}6X1J3AfL z_S|w-4!c9kZIR|SN7b6IcZvdk+ zUG(S4{^ECx8tFK z5>;GP=~Ko_OlMtXrnBEG=~xIUMqaDRT0KS#(JJ^}xa^TS#tz<%tFSzmm~y*bo7BtU z_?wXVo*Z@zF|nk~*{o{mu}~~o zn+csF9`^(j%7i&vd=Tx zU6X&m{GHlb@0x8z|ty#=*;SJ@J)hhQfo_k1mXuPnLjj z==lUNS@z=@$#Cu}nJa#6yzUaTPL{#>AJ0Fxp-9=GJ}Ot>{FM*3yu=Q_FAc7Zt6$^g zC}|&kh-_dUb_Q7{g@q$3vwFj#b5G{isFi#JZ+PK6|7$WYkV8UuZEIsuEI6+U{(K*{{l6R3i>Etd_20J0th{kV&%ZtIUGgO;vA2n~3J)mr>Y}q|g4t7A z{%`2GOrF%yG)Lqc*YOvX_|3q##_{G%;TW8PCk2*&L%;u(Rqwy<%h>$>_Vm|cYNr17 zGhk3+22Wqj`8RI^W1GMuRY|bz?`D&}mljkN;V;;U9mcOV!i$%Lo653!u{rM@_AL&x z7C0VsyZly%m-^K2X{{7~k6u^P-!Dii z2zF)ruOhz^Srt&J9CGO(UcioT^h+} z7v^8fyL3A-4dhpi`NVQ`yajdgCV8X04P6PbOREcK7j_9}oYy+VZ|_H+yxitT%Pils zJ(n+ce&3>HQ}%Q0Th!s&&(Vo@qS&9-1$A3p@PnYl@J65F==JEtukJ!dnvpVU(Q-Fm zj2+xr-Ds7T6M-`iLJ_mhZQRuvAi~oVaI>+1ex^K2|J0wwH^S;cWL+ZZf@T!}V~z|) zfwoT;>~wC!N9j)0vLP10>dLk=`uH_j_X~X%OlZpYpy9{hp)=2wPiEzZ4bjh|B6S_d z?bH4F)_?(z8ZXv0vC$pb8CMss`xeLZWTyP~Fe$4^Im?#_i*D%rbym71#fxU=|J6|< zIJqA;{fe65mqkKmna)TJ%|tdF4pgdbwWQ>uY4!LXlDRQdYhJ6giN zuq%X{7!u3fQ7oaeWF0Wd&XJuKxw?AMku5eZc(B;HvS6>wI(FX;((GRkpxprj5GkQu zIo5Dc!kTn)CVIlx=P0?#O1h@uNf@5{b^05gD|tybw%X2w{p+hHzMz~xZ&yyCQKK$s zRE|lliZWDcH1s?$fR0XXshtn4Z!d&IU{CAV{Hk3!sHsM>&DQ%HI#jys< zY&bpaEb2JwIU?aGBm6G$+0;2amojzV+#{v_&$eYWYL<||8v7&*Ckd?ikn#9pvMv=E z_l8$WTsR;&>5YY2Uc?f>n!L(N)`=&p=am(C@RKbYwC_n7{p8DsHCY!%ywS6%@6CrM zA&2d>nBOPDSQ90>e9BJ7C^3^eOVWvFl$Co&qJ(?C756soor!$zv)C29RN2KlHX%7q za@4mp-meUczc8b94Sd`pJ_u*+8F-%##0$JH0fKK5?NuNi&e@6v zaq4}GgbtnGTOqo`sd&>>hc=nt%;x(M+ArwIFVmU(`(oUqbNOYz7t06c_m_Y9;;kbb z#LgzKQ~h$D9~q;>kGwmeq7p$IyPLZ$uUZyZCab?!FRD4JK%SNoTCs{^tBuvU3Ru!- z!Yizy`M-2LX|12%JP+$kFoHZC>6+NuZa1YdL|LyLnW&e(*f@wk`@;rad&sROHjLOh zC+hP?CN9D5h8=+KjwsIqL@Hv}qor6`5ThtkpLo1SC3T4em25HN5;gZY644&l-K%OF z=0%lu_o{COYnzl0&sLi%?)g%pLO&YcmdK0LFZaX`YPNW&CoAF9<`AW6duThm0Hm?) z!-DRM8d3R9%=kp&4DUOo+0f$+7axvo=V^P7RX?K5xsNy97f?QP2dL@jY)dul&v~Xi z@$TK}iF%aC{n!C=j?^dJ9n_%Pc&D%UaMgBwqx7W5qpv5=>6@d)j=hgR$V>6wyK7Y` zdPuFu^hX#wpAe4o;kJ5qfnDD|wbOwuZg2d6iDviwX%R2pZ=QiCd zx>nyhZ8H7I1^U>JT?_X35)N&$HyYoZw3|K672e0ljnubeU)6U*D{Q;K3`TFk`I-2I zwv+V1+bIzuTv)A&oRFGUjKxyvHF4WCo4)tHI#oKHqUCn)oW9>QKFx~590~2g9%P&u zU9BPlvj^&PUiJs(x9u4scb2M&iGr0`2dgI5v!cM_SBrAT>d#uGZcMCyj&!k%k7Cnn0lL=Q*sqk_vEv|XT`ZX#C;!6A+IN*Pc`it@_Qy=%`mm`X|vWnjJONwv5UL%2hz9t-D!?H`V8V$*IswK zdP+Y%fUTJsuk{xLGQT_3jvFd~{TsR^Al%dQ2R*rde3q#v(D<~yG`ixcj+L5eWwyC- zFOb#c$i<=w9D>bH0KJ<#Ec?%Cq~|qui-lBKm4lhc8C)Fx>`I!lTEkX#2fLz9?Vi7R z;imap=1WXew01-Q!Y&MN&8$00Uz2CKpGxhE>%Y$(zgl7XH9Zp*L07z zxV*5{Q5Vz-&-5}!3xC-wc2;rCyV&wTo_hEn>+a%?SfrMJrLTi=2UkCqEAh7T7Wysc z*VG5_xm)0`4*n1s@dabOSA~M%;K2*V+T&}y)}b|Wmys{pQqK}W+qxETHNv6eYX;Z0 z+U;iA-2r2*E09t|0SI6ZCbTE2TRWS1i(uf_5Ir30Y{}d`VBUeHv0t$gO&1COH$+c< z``Z15>$=9Ll}f3!I<2m#5p_e2DMJ}4BW;{Et{5ZuJk1tn9KlnO8r+%N`+R+L4m`@ID4_mwhN@d6;E-DpWpA^QClc552p_Zr6yaQT(N z^zn9M(TePY!Qy6kmPOcfT9X?1dn_4n=lz{JSLI22(&fK0#_oB_xS^&(H7@Gm(0E>< zy5R8qP6ayeNEh;3CFQ)oGHy`nwC?>+rAo$2d8HJ4OMGCQDaMYCamaGr;s0uNP5S*K z|8V8EHw@hNC%7l){y`vEHzzv3c>xfXGO3v(`8d=}B_6FPRxNE0OQh<~taNR%z-)DW zM~)YIH^GvqcGfDZUd~-}{TltUP(it#ppu>mmSn**4sAj30%H?adAf`8wr5=WC7!X> z)T*gyaqa+L?!7tHS15KT{=#k~W95aLo>I;x_|;QNE`U#Qz!+hIR4=WnBpPyeqL-CDnO zL0!k_GHZQ{r0hfvO_W{MS-aYleYp+&rhR9q-S^BLe#?PcaH`*}9SWVOtwil~A#@?I z842Z3`gl4LYCPWf^nqHx{lKDhus(QT{@OtKzzPFv%uC4&l5?Q`z@l{v&6I0N+Mh{z z@Bc`t55AvCyP8BAOYgJhjVfnug{HP1U_`4+rX+LLEnE;<=3HTv58w}a<52L0g^f=q zbKWyk>laFzZaozIYe{uWeNsAfJH5X4r{w(Snja37AaU|8_KRJQt#ku3^2>c6^ck5n zq&&U^9{fT9nGI0Rl8vD%$8Up|jLOyu)#jV;9FBjeaob4lCEErQnbP|h=sCHRIc4z+qllXRa)|~+BA1< zq_yr%tVcFcrt~%HcYM@xtVIq+jk>flG~c~&gaV!>1LMRn7r|JEHER7d0JSGNg8CF(fTQ?B}7d&H!jPzNF) zXXg>AGk3YNbP{#MSZ=GXM*h8b;So}*irdtq#m%$tS9SZ_RbFyRC%zjkIW^gwwM-r> z-n@eQRsH_yDxZAz6?){doBT&EWb(f}SLO43nCGXGKY^U1^86#GZ$Cek{0R94$=uE_ z#k+s($>6Y2DFzfBd9-Li|K{4(CC)J$?i^j=RR*xcA|+<8Nw%JDpx*mvjy@LP6u+tk7S< z|1Hmfvvv<2BFcmtInzcswA14@+&S`H@H}PCVT%iGGS_WOwJtYW5=|m94@%nP{uS9N3OxkSP(nV zwb6P!39oTn!N%Ykhy0Fw-kZt0#C{PRQQX*FUWktDI-0_({mkD3>9q%D1(jRIL;NFd z@hw`jxkumyRfqH<;<=#eqo0%y$~b!E?D7s`kIsAGC{H&c(U>;RkTy9&-Eg_K$xo>- zDGTQumBslEHNlPVb~wd6wM!jZACfR?;n!UC;1@^K$qKw4b*J?DTzKfmN$ z;UF!{FTQ1WPn36QrR+8-7Pa_EI`D^gcd6n9n#3Dhnyl~!v}vlie-HgGUWHx~`6N5t z*Dy!M_W1AWuWz@WtRI|HnXbMoR=1~i`I+X2^u&MF-wZa+oPV#sv==MRgW)<_n}04m zEUo>SaamhjS*&uaz#V&Plex0?q)%?YC;fivU`3k#TxUhy^+o>yIKox({fryqzr-ds z-(>ER1SEd}CcpR4%wjxB9&?S8oCRQg9MnnipBC3;`p z+3CdZbOkcMJodkfCf(+)RlL(bvP@0r?r4c#HnuUR&+_#ybTc{$`%XqD=?;||4POZu zjhkrUSAxbvl)2VTPX>^@5B^A58KqO`ckRY7XQy#OdIC-c3;k=aPHTBy>b=f0P9)J+ zYyqVWa?OaH5IEWtxHzvlSXpWuIW&NF{p7iM^my2pMI4SvwBB)iZFu1LnsYCS*50PH zJ0y1cuGI3-eI3RYR_f5cGY=(|4XPxtgB5;&qZ;miBP;FP?zuG*tIR$4Q}nT)=XL7J zw!Nt~^g|%>bm)i7x*J@b3jI*V9;tK=P`>?Gny-LVfP42~s`jeGJ!76BQ6g+&RY@zu z-9dU0c^Ez%cx3)1t=J6wt5Q7ILbOTujHN1NETxwx7=f*W_>`DWESbgLokrs24&yw> zMP{E{cY8~Xr60X(ENK#2qbysPg}Gz=;4U7nkSiIp(j6QWx8m65M}rX4bY~=N%sgiaU%QLvt0tWj2QJia4<XUWY*7&sC=7x*C>EN0_Sz&+$uWGs6 z)vZ~;+cyn=$Xma2@@F#|j7?ye@04d%*ysO)aa2aLgLoG}V%>rV%_nNt{E3EUBJ=F< zhbMnVxeMdvHd1ctp}O&M3&wM=j^}ppjyiL!fbPo&bp-$BPN`tf3~riTYAo5oR}O`8 z(RVxHm3Z(+DQ-JLGa(%cKe7kj^|sN?$lqsA%bhtr(hnRg5WmmVUy<)#O)(?er2 zG=R>;y6mx}?fAg)fgJ;7ljiu3RYNXPhRTP)V87&t@=x9WXCqr?)hbR>gD>> zn%9M97A*z>hCd5;&uyX|x;3QBis{o1U^!dnG9z$tK%cdWIseuS@PX0G*=4+gOyVuz z{|$ckYnP2vexe+3T;n*w{RnzgtH_|WlYYEcw3DIQDVdpmQr_1TFz4h^<|J<;sAJJLfK=la{qNwPgPJ(i@XdBYaG6WA%qdxZcmv&#{uDJwtKe#n2OQ zc~ zX6H5T{pQu>D?;}*?rpfVdYp#`-~0RDQGWEib0up3_JH3WPW9I(JTps`V;elJe**V& zuy~(a2$$L5IkWgllW*p_gli|56NqjoAXXpT@Pk(i;qxE7G|m%4iIqQ7LJ?b5xZYc< z7~zxPas%Uhp)JGb`ioWhG1gZ6`uVjrjvB`^E1Y{4E5Go{{=#xoG6R3%|L7@IE^EcC zJmCsT+1qeB{fYcYErA1THy+dG``u7;ba8h-k4bsp?ib|jPxZGOk1liD+bu+IFPm61 z-FUQd?{5b6e4f;kx!46{mrdu(wHvJ*OB?r2xF~7x*xT-#tNipMExlmJ0&Ay5cwAyf zhD0v~qzB}lb)H|=i|7um#DpMD2P<;^Z}naByuF0-)94>Xuu7Qa8LzRi0R;D#GL#dw|P5;brh#t;$M$)^VPszj3dlG^w&m$nP(kf#jt_ zXzQ>-uH7Z;&zD$%|WS#gM&M={$XNuokrmUAc@RNj}&+FfsD6LN=o%N|ik+VbD zjLPU`qk?(UVN|-mK{Q2WJpdi8)DaC@tX5H&E)-4r441JhCkEJFKq@#C*H69NgY;-^tGSf;cD5u6KeyQ z$p$#V29p*yGFuyruz!ZCB){SqRJMACs^Gd9uW{QekJMzn{7COprG+!*8g=m2N!Ezj zy-TMTYT0K}$KEv>IKq(^+VcL2Yu5|3QnlNE!L>dWyUVaXh4irN1(5EGEztHoCE4LQKTC(_gyu}oK9WB9JhqDs9PB`7?A*Kz4k|pVJ^5HAIa}b%u@l|BmEXp_zqV7de-p5C z;9rz|=zqXt(7yAL@PVPVGlE8d+(+SZ8aTonJ2`fn-?N_DD|!A}aP-2Sxln}CQlpMh zxAW}+Gl?>|4VY`1!}AT4412%XxcB0xClAS}$_NrS>O9nX!+0&tt6BLOl~^oWlh*nd zDrUgL1AX);oq>f5y98!e-*J>$&pjmXn>qfjV%|H8RHG#xonG0QzV$}hrFp*zJ$Z~b zFKL-;ovUh2{sfMyfg{ZKYhj#P`iYXb>`>+%=lj9jOB#ML+r-?m5|$Fw_xNpn*c4`c zo+^;9(73lKJp;)!^*=2muU{TdeOvCxeS;qKK+85r8>nTGmY06jC%a-`-LX5!w|@&Q z*O_X+(&+nc8F#fb-QN};FEJrh~ts5;by z!T*E`pIe*IQ4bDeSQ z?*_(Z^Ucyf{Upu(PVSxM>cYI?fnoT}^D8qw7a3wB=(YXXKrg1C<9V->*EVFYT}ieyO(nKAtGIqM1aODfnS1WlC2R_<>z++H;0p(484v z&~6<{&7|bsVA5H6sPZQ>EpiSAWA#HX?5k%d*=)<&lUP!H`M7LoLi_eG`ntz{6?~|2G3AN?tY&#W-Wm#9?{_duvyuw zo`*K3g!3?WA!H|5<#1t_v3x4$tYwa*3;Iy3PvNZT?&e3Ju2*!&>>p+5kWhE;;*1Oo z3R*0b58rur#sU%EA<86~vLM?6@tJ^jc5 z+tXL+kw{B9@$&TjLYznYgYC~yroum&ylZBOtCX;jcgZYqg%ZtRj4|Up=TYM#=WE7U&R6je zuQt;p=en75g|uSsZkVO7nB^{!mP=Zuh8t$NtL(>AB7M&E7K(e8-cDm&pMtjiUT^LD zuqrq{&WE8ZHKoI8FR{TgyGFjZA>rh(7wl8Q&)ijpu2*D%elucPyJKfdyDfB=Zj*d8 ztmtX|h2F&x0V+hW4rjR;CFY+a$6<8d-$!A``~it9(G27(?6~| zLcvfdR2!-jd7yv5f588~|Dfp3&xIci><*#}C@|lOo`IR+g3EIF^ZFNJ%{L9ttH9^i z<#{_7@?J>|etyj}HZ83U=%sRn+&+id?c5n?w+;l6FIw@Fv6Cu$cseqv_{;=(Mxw)y z_tR_{Fj-EkLX6GMmSt|@(YffSqn|#;NRu{ZB%(^>5H5kmPWNDx{p|DY^KC?vaBD!b zyJm9Z-g6#oqs(}wA`$S;9~!hrk#e$vWe?gxB&cEsX5+AmUTA#G-7@CJyWqTPc*b{; z7J86n=Ab3ZFCjXs*fw@IAy3!_Ebn^8ivI>uB(C?fU&b=?YTqnuX^g$ImT}$_nk!=r zBPLXIF27kxAGB0jB{nibOW$qW`_D_IZfI%Kxrc;e>g`%?|0{`^VCDVL!+jn8WqGVe zt-Q-oem{1jU~cA2eqF6Tg)+nshQr#=v9GSf*vqj8n_la7N{M7;6HjdqTI7n}bL2J+$8y>h-Bf0z1|DtRq3x19^SELUo2gbfP@Qf`S^bFFpO zxBa=phfN*tf>dy3>f~Y3=_UhP4{tjx6#W}Mq15;L?)POV_3+`7hjnSKa}M#nbY;=} zQ*S?=HlMXMZdz#^n4?W+WDj5J{ky>J{PEg5&L&So?PtST;S)CVlnt3GK0^-udR?gT zcbc{2)c^ax@#+6}{>)S8qkr%H|C_e=fs3-t`o^#Oo||D9CmfIz$zU9LXkrmZVp*0& z8HNGJkwyNgWUk#~HiHN_>#N3^3j?B(>l&e%nVGe{hHh(QuFI0yNM`0^hG?d)YeuGK zF0rl|5zf5dbIpLb`|R_3fA9Nw;d9?}-PixW=Q`Ip=Q^i$hQD2v*POucyoc@%ee>?n z9e0NUqZkOk>b!*1tJ|~Fgq-YSnZ|oP+3uV)^tyip(lG)Uk*kPPjUI|d52b@*qN~)N z>SsSyiQpiS&9|`|+w)Esm4m(FL4S*RO-Vt=h|@k}U1r*0)4|N7c^H}CPzd)=_{#w2Bi+`@wJ1_qC z|9MOQ`|x*uF;FY?x4&MH7(j`!hQ}V%Wx8DDkL$7)Rk)Ted3>>MYUN{z3A%|tO?_xQ zUOxu3O2#QS9cPqsKrAcvbDY?)hqV3m9JKyKOad?55C4cSgYzpOPh1gVL;C{E+C=p0yOQjiQ zM1$s&ic+EgPg)y`Itq<$xY`JEfMUz%ikh<&&Q)aAs>mT@C=^%+KqKO2lc(fpc4#CL2d!CC;tQ@<_NP z?rsDInhgqq1pg{Py;ffi-urzP@Q);%8BCBQl1Qr2SBMzNbhg4>dnQy5oUcSP8xF}G z^C{?#6I~rWI-+^!V$~>|I%xZ#{UU<)3yecLKXbJPb_#F=FuGCH@96V5+MjqiGj$_c zHac>RVYELbg*Snt(3n|~UKvH08sA4~X8@hCF>_HIb_|oO!`p1x?VE|Gkk>w^sFJs% z)n|drl8%&HF(Q0VLCV2BC8x2VqY&e(^n8sS9d`Ekcw<)4c*9@Sk#p7BVd^0I|6GLS zP@QNW(iI2dBG~S7Q`*5Uuqw{ zh;w2}A;CfO12_}v`I(U5um4PS7sXVVOy>iH;bi#tUeLVKg;GdE;4ZrVdf8fl=acYuh892@UMD0J#rbw>*JEz$n zZzAXi$}-ofhPB~^TWZXQs~_4K23j(2+PPV4qVF#J%Y|hIg;;C~(Y(E^wE9ooE9| zMMQR#Qi~b^LE&>8P*NLL6gv$en$sP6+@ofy&W`j%Ungi}#{gT_;}^0;Z1w(1Uq^)! z@8cCV*l~7&#?@)WPN>?I`&lSa)NZy_`i?p2j6XY&mf$98g0EhL9pzz@1No)+>sOeA z98Xoe5=fy7ghoDhlxk!IJ;G1z!fXIV;!ht|#6Nd*!_m%uI@^G^PNVe{=8!fc1h5Nq z_u)Rker;^wr$rn4s2rJ6c!;thRdIzV4V=jacWdrj(*}Eu~=J`Xt zF8R(perPTBV(_6JD#kD7=tH@@M*n7R@cGV9y#CSuCXQ13Q*ypWW8g&fe?O;h24(@L z(0C8fEC^sbGl^dqkO@$MnqLTNK6=AX%@4Z8srV776PG^9YdduW7?B1Tk@jwCKKe8A zzo6Cwujz3+SeTy6E#_6wz?KdVeqA`EfV9heIg zuR@ZzkM|O45oy%YeD6Xo-Y%?V5noFFb@9$B_g)xSAN7y;63O0V7$qT9TW%44^C8iJ9Ns% z-QJFX-)SCt456oZ=&`N4y~oDqc;N$M_9nKsTq&8@Y#DDMlzPI8_3XX$Uxpl^exs1e zs0s~eptG5JR=4-Ej2i*oqwY%Yk=gj79?9zVUI(NI2gCrhkZed7P7uO-9r<6Q7=sWZ z96nb$6+g-b_~JBEXl^4LZUFb9)3V6|UG#}oONV8nr3I42;PnjmS%L3b0g-vF8@3jgg-d8IkGlGr5Q!uXR;W5Th zfXq>Fg-?z_XqQ8_C3>$oXIm=0NAVmPSYvnQiV%u3j27p2nu&a_lraHVtM}+6#K+s@ zJ)%p*tVL`1+&zY`Gx$1%x5<0z0P0o2ge55FvV#e8`Pku}o3M#Wc0?dN3gPMa9fK8| zjFK=re4#efs7$>F{R9dc@}HFHJ&d^!4*w%%>b)opQtFA`W0TC@L;gJ2et#ZpFL2Uy zf4mkR54AmpdbYzKI!!5G_#y-mNxBSPmG>}m^3ZKSpNZ1hBdG2?FZfYjzHr&GBI6iFH6aD|P5X{pft}7K z>=Yx>Y5;DY*Vj?E$6R3=EH5=snvwFdw<90kayiXN zbBr1|$B2BZFc%x}>l%VR4`R*(9HXR0-1fvp6PvkAG@EUicxMj4*uADjVlwkX**$P4 zF@7^N&b)vb;1Zc0F4#bY_IguS!-<_t|IAJnM;cn(Y@CV3!za!qG-f`88#cIo<>_!2 zx#6#bK6EvnP{3m$Lu_6Ep6vn_-t2^A<;V_-5e--P339ocqy zA3O2Al(L^iWt_5Xo?4n`DM~Df`^ETq+0M%HUBCR{33WKJp-sz7oti4>@{pas~f@>+G!`F-{h^v`6DqTNiSJ9v4M=D-;@~O2y ze*TSJp>LOdbo%orZdGbNkM&OOe`NHpUfOcy-AAr0AMr)@%oQKJT`IO0|7GvI{}6tE zHoxHhhS-0s{6poa+;1b^dF4}^(dYA@Koq3$jP6KF9mQSX?J-Rg(F~LwV5@hTAe9%o z&E!zqG8fsXpJm)X%m~g9ninKsm!?#W#Tks^<76$u&dLJ5ODiX^=2Ezv;8(PHQ*e84 zN|{E9J}0b!?r&jtQyJaR4C)EsYW`CLY^MaL`gvgSrxuMQO!2|vE?37C{1SB?xZ#7_ zfpyaPKs5o_g0M?Y(iPq+y3fC&!n@pd2Juz{tGN8G^kcyqFE1n!l7BJI;r5vWdl;=!KicA(#B2yJbIk46j3A#I0bBmd;@5q*{ za_AIkfdoh@D>&}!(iMYCCxXB79ylzApdBB5cMP>Te;vIHE;DhBwvQto5trF{R9}!h zdWQKD?zt${`8{sZC(=wY6oYan!bTHLr15)Q>d6t? zOqZsg@yHs`)%?EcCApBqgYKg-{3LdV&jQ&Xnr$kyR4YwE8>c&?aeo5i@|RsJLW`!z zun#`LD6^6r__X@-pcz84IGk^#8Q=ykOvN}J!HfunjQkGFrchmeASa9i!rX>gc%MQ) zU`G3A4)fs7D>TVz*`4!2U=0N3oIzd`NptRj*_i8Ynssrz{AnME_y2aaVdni?{_8Ls zPGp;83GZUG9yR~Jo@1849K$_Ls_S6;V`+W^2IDr%5Kb!HMS--rzhAD&|J+W2OJ>oj zWnsR*&xrQuAl=(yURzg_oJM`eEQv9c1DCP73hApKv1Hzt+>d<4wh6&^`qfven?n6M zl$Jo;FuoozwjcJ8ttCl?JAR}MoaR4f`JEYwxT&-b_zIEDkn^IH0{iJKlJ@#=&x4Qp z%JbUJ>CmGpexCFWq_8zZLM#pIM@G10Oz@RmBH0;lT)D4&!U*E|t2!r)00i*nwS~-q z6hI=h{&Ogz*6XHGosr|<>_U%lt{!xBr0DW-+fdt5;Ec9jY2=WHa{Dk1?Fbo&M{zD$ z=PQ$7T};n!p;3Pmj}Uh?Ahl07e$cO6)4UecFmM7%cDn%bRVvEu$aTM8(tf5Mhq9T7 zw+h@!aHye~OI5BWiYZ*m3Ke!DVsfjC|d>?cts2#cq!zO^@@VN(c zU9kle)^e3`1b(Fo$^3%(1-bab6xs>UitxBc?MiEoU6djH4;Y|9KL-O6sxp-MS8(d7 zPK(hMB?ZY!oz`m7ahVs44dfDoQxG#~0_a$(jo9z~K0%3fw81Pn>>p3ita?G>F;DH+>HPE^1 zyxEdjc@zFU7RCKp=&hK6d5&H@AE1fcG^2jt2dHrS4Q27ZfOLVsH$L0zv=I--Y0^yv zetRA#hdyA&-ejx-AukQqv*Cjt5tgTeo(GL~Dr1ms^GwJIJ3`UN+Wn?QfFr^j`BEWD z0iJzxfL7(_$m6cUm5U~vhOdIoe+ea=gM9yK+G(l9Bmg40>3eUQeG-fD1;p}kO7%=;{y!(z1B4_n48_))*HvNxJvz3jBHa^Bc&C(m*O_i}i}v zn9O1qFzKP$EHoublZ81`nHtrK^TDE?eG6Db`8B-5%cT^Y5a1gDDUk=E)PDgRxfxGp zpQrBM-M79vEeeS4rCBNC_OJ#nC&kgZyw*c>vg898a&Pu61oRYVcuVuz=*v})* zRm92FXY)9l4OYta<80VHf)8MY8q^!QWwRSIVTwKn=j-dp%k^;%>T?|@?k@OT(BWQ* z3|Sq$hn}~NKAvk!BzhuSrzfsqLXY zr##+S9`9twCmoRPzo5(Hd(I;duP^pU>{k%){R)r*{gv#2 zONmD!AAI57MCMn(E0^xCC}NAzL*X z+N2{Z^}NRnd$Sy3GTNA9P8tm;cnR9%1K;u)+<6w04|4xw$^E2j+-9zbq`EtkNk*%~ z+++sc-iaXJwk3~z<+9`G{Yt%FXZ5F?xU+PoBm;U4 z7RO4a?k#}60_7g;S(P_r@nPHE!iv61CFH5aiW_eotyDuJPE)MAWa`7Vw~jt`{H(vi8E1 z3xx!Q4-_nlP)-q8(i;Cs=iTkPB8sCg?(F0+IilDKS*tUcncAd-khy|Pog77wD=||4 z!DRkurT`nd!ROhv4X2WyLW|r1Nb2YM07LLqp$4I-feQCAH2R{nFkNxA+PvFu(-Zf! zrOMEXWzgW!iyLAZXiO-Z1UK(xAw^k~RS^!_d?sjaOve4%Mcv6vz6QC1K1h9gCzX<= z-{p#PIbZ&qFrmD*1Lt(b`l8McigGaanAftpr35;)4jLg-m*h!0gI%EqtvRSG+HhoY z2&^uBfc>f$Pk-AK*v2#j-)3O72GovM8|4!A7P5gPB3!jdec5{2bH$2SejXlv z=bA2CuUI?aYFWTEJidnZ_AT&HX0zc}e~y{aaxVKJ$S%aBvqsx)Knt;OR9jAkm_I77 zZL|e@+%4?QfDWU_U@r`(8{MNx)+3a=RCMNmPa;nV_(b%8(T#xXH=y5Njr&?kUSPafmy$Lnv~j`I30nz1A-@xgQKXx& z!0A3|bu!^N$|ULez9z_943V!nKxr`-&-kTaW_>V-8G+LY3BFd*~Mhp#&_ zAA8XET8zvX$d>q1?B)`TByI8_B&8R78Qw?EIN7&f|V4J1Q% z3VY>l$gH&CcNc#5;dcjq_do|auoK7XytY4X!v6Wn5EujMm+n!Q4|YCP{$GCboe7N9 zk9YOq?i%(4!BCWq+n3?sPDEqB(smH7F`~%?Xy~PWa`|v;KRh{z-;3`*br7|=NmyA$ zq^BEt&=7B<>K&RH))`Z|&rLZt zS=VkKNcY9&A?YNftL3qd1CJYd3U$@nn(&4-*%cP7YdjGHA)pqw<``er| z8}zq8o6);{LtqHe7WrA#f2+>Vab~9h0X4w1aJv$>H#ZXZ6u;{dVnD4y-{St6}5@7o9gVbyv9>`wf-HWH6(h#&kdJp9f z$OrdZO&lVQqIFKbq>VUmTMv-%(=LA~k3S0VKt=ZqC>O|b0D2(?=IvWnq8&k(_Bc5J z&$|x+a~Xh5pm9u4^1?1*oKl1ifE2vKqUA8heVe-P74rT#M0JhPu&6^PMJ5uw;wC zlz@W`*=6WHe#gO%gUD?)sB!+BmQ$|**IY8tb1Q})%R~#VV?P>{h8#>sHw$~aU>^#7 zbQ3$p>NmT6%jPq72s_4Aw7E+M4D(uZl1CRwZ(1+0iN5MjcB8~ZU;hd0(v?Yr=?r(L zs(qMJ)jmwAhNf6_94W3M?j_`L@k?v=-MaC|fC<+J}0r{UlTkx<^`mrx_U`-VIG!!FTe0h0L)eyo4SRDStomQWAjL?bByTS-pP3e&*{9fM zGXb}+1D6n11i+ z;o7A!X_+$>Ih@y+cI|Q|BA!iSnlZx}3s<|w6u-+EWqoh;p(KU45_fRH1vCms2l_GI z=U}TCmZ6C|sf$4#b9hc1>C_C*2faSZ11Wnh zPfhwkOEh`6^JT zBTtwfSD7D1y`gJyUyEwcFII3$WO<6yExGoTQh*2k`aLYzsV$t*?cnudI!6@g;S z7wgQYE%Up(X3xKtbkLlMJi9Qf?~V)Hl*fg&pVOAdyX(w|eJcI_+iAj~NZrf_RXyZSy*6G0#xW=IDzP z9|Rp>W?TVLu^?}?SrgEw23$ZZrW^fbb zk@^CC!O0I$H#OzMO9kdJz?I}TU#Ww>7Wr zo!#7S(M@Qw1Wj}MIM=+F^#t6V<%9`J)NY##8`WBEHeBRxz2uZ%Qa zFhAE4lm%_vjJTr2AE7r5#1T?4-4tDZ0yKc(CNAl$%SSH(yCy8#jF#oK?LQ9-+|cAT zAP)dcRHl;}Z#((`r*+bgHz=76i0++a^4;)bsQBu^R}Xf{tJFrs1a=6znmB;DBF1sV zxHTA`urxa3U*z#gGlA^-F=$yB9rNqHk_VB4Z~lWTsFz?dw;P&sFrNPZ@z8gLSm^D~ zTY#-X7aCkUNOODU*U*!RST3K=qw|md)$1l>V!msR9bY&CC&TQHZHH|uBw#`1SG zj1pJ+j)BLWqK$Ff0G82_nW&6XMhWfW z5wS}lDWVk?#WF>+R877E|A-%RVT$o*E*?MV8tzJLW3RGZke&0e!$O>pD5MCJg}Jyr z(Zn{h%R+R}wSWJQxD+=}1LDTwpB{&MXmM}~(ya$C^#;`k7E&VcM4o__W~&LV zk$9r;HJkd3=HF*=iHq;WDg8DP{w=K8@c({PGn4fnW3s-gnX|uVIutpd4 zNE0Tn1J{O$8l=PoBfrD&cMqu><_ZuA3VA({T8kL_hs3~I5&L+IwFo&gBm~m2;zb_f zLi${?f+*%d_gj_r=8#wsh}DLW)ii&pj61#cm$VpAK|sr>3X2$$A`&UqAw}`OrszUl z11T&+Qj9^0*%*lnIPXHrS6s}?0e$gKSwVXceh$x7JU!qiZq0xtKG-OEjV$yDS1H%= zmB3GW!P&mF6*Rgu<nK(Y*drZd3NHEX{ zjGyK0Ac+y72VWg{jzN;;0%$i&z!5kv)6NW-Dql0EB#{wHQk_vRE&@6BE^9mw+V zo+p-k(>tU1Y3~zDKK2${*6c5y@D;9iKLBjMWVv=x0S7NIJ1*BgJ@)e}f**HtXGb6sIZR{4Zk(8>JxA(zJtej z0=`C~!QxJqiYzPn`vJ4yQCkf3aru4FYTps~JUZxucKi16Qn+RiEtzZcqr%@5|V92a;*zEHp`1Aa}I0b3AXhxoCGujTP05WgPrwTK_#Li@cJ5MPJ* zTDc4{laXgKG$98gi1uMp_`I9ez6k$!5sJrtb%ngAyi6kJGsNSg|v(NNl0xXY$c zStBgIn4v4*(+=IU?>R)5VEq4?Z*myV*_;}lhP<~}Ks7VBWjQ1L`6sh7(PHwvPq~wv zyv5XEp%S30TQl%rE;N?BftL3{=ANGeJa@GSbUP&|aU#*!piTBGu1!RI$OLQ`B{mi& zY2kaqSepdib+F=2i&->t0W<3m&aiocn`y&Ps*-}-?Dtj3#%KGy6BJlZg zSog7JZOS^84GHawi`2$+;o_z&E51{Ml2TR1rYco^x%vz=b8I}g>EL3f$rN%HDO1yh znVU0t{SSgaU3>Q7B)77F>Cl4M%hpcMa#Q>JCl8MOP}Kmbho~=GJu8#$`|{82D|2df zC*FJJ&1X8_2bbh3uRYo~Jj35M*dKPI*6F0R1zK2QHTgnMc_h9E6^KmnGU3^J602fEfI3 zRneXBVgWLnrtIw1>go2%VXX`-PeG7GZ6KzIDB-SYxlCr1OR_ zQ@)J8KaMk03VuJr4V^^%evI#A^nE<~J`ufXKyM}_vvSd5P7(0V+K2kQpVgIh8al27 zwXW)(GV2u$OVzfPLM?$yyiJ`Jt+nk*k|4VqCS zgomHbhK2#(V^S0}yi(mda6i;+E)?4$=kH% z!EQs3Cg3r6T2-g@sj72MsiW4O3?C!!i2!rp=0jr(zGLmlq^k~>M^y;_!{h7j6I^dG`2TfQeCvi!XC6p4{117HKZQgBygM^ zxD^BHXm7}7$X~0L`1ZCA%f;@~RG2DYC$VqSq_PmWm`YU%z0+v5N|;OgHEfSHkeuU$ zVbH3kvNy)EDe$p3#68+l=O`RkO0p{mhhVdQ?3=Y>tq;U8M;hp<4US|yG`{~>m;eky z>x@IoQitW@K6|b+3iO73W>C`U98IUa8K?(NVAXONxpY+_TWpOEjeWASo7}LEewa0Z4XxQV@p&!F>>btzULE-KpSwFVEtzB8knLZJ^td$+dsMz*+jhQ!_W960 zm93GeA$d!2M&B{C4%op+A5st5qmP>!_BE42cB0>uEtnZjoachW0SPw7xy?UfK~qr! zO$272XfCovlo9Na%@KSYBLY3E+FKO@on10gdZU1s^czfuVv;H=IJCUK;Bd9CBwHWh zEY)SAUy3IO=}n6j(AM~sq|p@6no9`^$TO$qAD(!2*kRqnm~rg{k$mxC9U-g3&JO!F z>}<%+#W)pt`xc9M--OIizJ7tk#(o&GMJ`VcD#^uqO?Z4${-K9+p-Dd;l5-1uK1t)O z{2<$wU1kKW1hZLRB}9(x6?X`c+sBOkP>5`)T@(Hx6qxOkWhpIqVXC1@ zb;(dX^=3Xp%{97A^sjRJ0}h>hS(YB0&!mU5%X;-#C$u)U+YGg^s5aH6Bzx<(%{X_K z`Nz>)X2UvjBx7Fv&+w~k1fF~G1cx`XQSxM@@3Xq;P8!*;YA$UqH^vlCCp)^~9^8;a z8m)niu%E&EUVA6r!ANn-ehjWr_IAwuotP8bKoKf2N7@8u@)CwL`&>%$jTk+0bmZu+ zsqFhb{KEV0R2N z0=T^!se(dQJC@;B!mkpcH!+$fv~?}C)G3{FKnoj_9%(&Hb#f*ko)Ym?;92dO6 z;JVLyRN89BYn=ccFM=a6P{U}Q#uG336*h&oK3nBV`g=c_fcHhN~en2|rsmqpzNkfG=|rw;p;m$HINaq3(wWi;Pa zBwer!`>CJ1?N)vl;A(#Fuy18opcZ+kh0TCDYbef0kP-~0yV9wQ3eTrsx$U7m<>lh( zJZ-Yg?TqMHZ3}XS5;ipf^P;&FGY3%buGC7c!fLX2`b&k>uCLL;YYRFg?1Ap0-b@VR zP>XIEY3XE@XkfWxKNyy~?HOmnXU}ZIjsPvaYCNIM3t-1CHKYa4>&*+AOX;>ca+$A- zInm7AE}s_j#Tf;fV%tO$i{u)DqsC5y_;3)#^5e1=w=5Th7@UqKrq57uJE1C5wlng*55^= z1pJieEnHu~*fr;+u}5fM2-=4cKzlP3;w)A}_YqGDPb)34GC60?Ba5fkJ&@{ zs*H#7HPjba?Rzp{)63sC7&ojk`0digTx^)=&Sw+%3oKvKrzuAAnxvCmU*N*PchOSi z7pAUE`KBh5dFCs-OkZ%fz~*a{!3pXxUow4}S=WynhX*OA&uel2Lpk=~uv@Z(cb^{; z1JcJngwTt%57p)aqvWM1EjbH;%UN>D)F~3El~VEa;^`&RQ%1O$7JFhDD2CtR41j%7 zQ;at#$RqRKhLjU_u9THOf$SL*W%fO^5Wwb*iIuRDB<%i6LM|Dz-oJILYo9`J3CyT)u!1m>az)$@7= zgZ<|8yqrAT8x8Ey+jTKcEo`pn@y&*9%MfH$MqoY~V<>jcbc#*(E_J3^9?UAqKPMfM z%EJT;b_i}`J2@$GUx{;bg|fs7-02o9Ni9u_i8ZAJ*)J}b5AA=n|3sY5vUe_+3B9jD zUDF;{+7B8v%KZbnV_8hora43Cn$xp^Nc2^tmr*)xpQi zUnu8G=YHlo4$0G7t=Z*LY7lJJTlbYf9_d*6Xvhh4?0#f#4V{LmGV5;?6hV?Cii}`B%g4VU2qde@ zf&?Lm{Cx<*AA4f?&p!OIakTplW8`uG1WL^G2Su8k+bRpED zf%e!0aD>CUtx0ae`9rqoLCu3U{6V~SyG_*!+(IF%woe6R0WP}R8}^y{v9Y~s<*;0$ z2!?$&?55l^0~S}AuKBmcuzCb(HkF=oE5QATZ~$wiJ=LCKPX^r&`zBWK>vkh{h4|P8 zib?P%{(}!R3~DRvoQm5Q$|z|Gqi&;zjXihTy_JR=NR zZzb9vn@eLq^V^}0NsqHOr^H+JMaI#9{K>}A%UN3378Btq`XY|aYxCV5Zar&S)v+p; zb*xf7h&ob8#~C zbdc066kG0HGCr2bH z_uyW2lGK@*3?H|7tL1`aLP(OLC=(p#QfO^CQpIA!4!IrLLQCfnto)lLPp@F+IdW2K z#C$Qb9Gv_! z*dyv(;6B=&AL4v;qyRf@X0N(6-}I%m1CWxaD>xk^9WysJbXo1^a5BP;N?`S&cV-v- zLUr2hGoW=rMRXO+L4TT1N~1Kfu$>>;_uBW^TkN~-uiJOoo9#R7ui9U+Z?|u=Z?$i+ zZ?o&W?cxlNV3)Cd+0QUC3Rt|zGMlZq zk6tD0&8m=!EgQ4GS-Wh?sg?Ep!>6CJSk2wGLbI;Du0K?3gj|G`cG`4nrtaWule?b< zTTO)~(kCEb#aXXZ!2)D{gI-J4gOhd3(ht3ND)Z2L9d$`M*i6x-=u#C@RM(QV zrQdXB6`3KsCoBq`VMc8%{@QeA9qJ$cbJ(3q?zVNB+tGr~+KyUKIik_GOJ0k1%+skn zt%@j}Y3vb=X7MQK@vPK^EMdu`Pq}sNwEtuT>!x!)zqq|XI|@*;3RZxu&QR!Ln?4v) z$U}k`3!guztI}w~GMOB9a9E<**?ccXc`4o2pFp~XBIi#AC#E}zeQDJ#fu-9Hmc!;i zb6%@Z3VY0_SPFlqvPR*6&JS@F z_>E0kh?BG=#{$bShQ(sHgQa>M9s{0)z>|ny6P_e`prbqud0%iOf3g#Jkpb>bhe6hT z(j<@3nRQL_$f7!@YMhxVPac(<>0WRo_(t+EKnbp&eCDg9c1>$f!}B^L{ysMry1|%ap(<`etGT9V!Ze-gekBSL{VdkOL#PglR{> z&XN2_w%7FdKJME>*b{OOqnR0pE4U2fps1r*$YElgI4Y9~=(&4rE1@w3x@ffZlrx@8 zRXtN^>k+#+2^10q;0oSWQDSOsJ>{xhV>9I~McqU;5h14>i5TIz4ntAhsM$>5bq2Ph z*zXt#cNio0I)@rLhXFgeKso3{&`ELH)0ya=<@hRCUg!lp^Nu}2Go~z51zHU=IeT&H zh?7Hu!B=sN4EWu^uL9pnR}e<`fQ!Y8nB$g(i;+&5^|dI-g_&QAvNO@2&KVJ|-4o>$ zT}qVQ14|Xxk*Xn(#|1fx@^)$>IuLpbp*Q5RK-gvEKi3}`zGW~pVMu5yl|v=+Sba9h zsa&YC7h=Yi$gy^ud}us*-KjmOvy*N*^ti-8KZlL&#_dr+XHla5gbZxIDcOprKejJjf|UbQIO;#mhUL%Zh53XB=9UAXsyTSs9Cc|Nq?^HQxqa^%hy=ebqlu zm+&qBT9^2!VIMN~|L%RKE_`HA7ngw1$JuCD`?IN zI!6@VyBrY_BM@IpbCg|mP>L{`pYRK&c&yhk2Jz|~BY|JuiFx3zm`Wb=xI>SaC5|yj zOXXodf{V|7w*F3hml1nCv?Cc)@4-D7(-pQt!4S`lwE=%BACxLr&Sw;a$ z|2uL1xtxq(`xy=+=LTlCC>M`}y(pZROqc3#s0c4P1%&wGn4=W7bzpwQ~`T*5Fqqxp01xS{fXp zJuh#m=}J!j0}Oh5$gV2F^th4XOw?yWGErS_Sj<2}Jr(|7ID>TVgIZSCuNZDUxS>(6 z)jfK$nd-z=gANQUusNSmDZ;4{E0}H!n}A!!p!~&9dS0Fy=L{2cnW&wby?9qBV-2|L zqS9#{SI5CpL2Qg&#HqU$R>zyT;zd(C&6L6NQuJZ5(*tFOZa|rpDriwrLw5|cbT}@fHw$yx--S+3NH|>4L4E{! zM=Ph*y{(OvmXd}1gg=hb2c4!@$TnOXYRDfj;Rwc^s1F*$a{ zi5SHzL8*^?_ROZ5zKE0IZEuupT!nbs_tx@1WMh)>{q(EB*5Bm(TDlo?s0Ne(c5u(1 zCO-Fs>q++H-)efAYI|5TV3<_nm^Yx zy;slHtXj>^Z+~iI&FPveFVqQPHTTqXZg2;&4}Y_6wfk`0>bhqd)~sCXRv131tE;Q| zhP_+!{mV~1b^N6lY90>y;zRh=xnDd)Khn2PzW*+Ftge~PzJHsXFKqc!y}IPc(kpM# zm;3Kc_0RqOwbi@VJb%35C3t+>^4O;_Kd^W0a@>gJZv@S+d55k1&4q3C?x6c#f3q@j z#j<&CCBGeX_KW3NK@Fdxp+{1x%YL{u_1T3aIsZ`E9) zO4ZdJ7gDRc*tf@6-LEZT2D5*6Ti3My>EFJzX5Ge3>t0?{ zSNC#V-E(JIP4((JVgIlHfynXaYyO6xKOU>`)^z@+=0BgR`Rdc?6@Pp4*H5x%wcq}>9)Evmez$U~wiG2# zj>PN27*#$^t#%v**@JtRd{q;LPi4>Q4coqCwR<+P-#sU-yV4-uc;+`7g{N6Vjh(Hl z9LM%-tp6?Iz2tr7pnpz+pT%xB{Cv&(2WxJ#LobYVtscHJV!}IHw(Mxd6lW9a*om#J zYu9YhPM5wE?yU|MlNBk|eajwQp&C(BGlRY7S|!?=pLjKB&OLGWCx5i^j~h>{Z>;&@ zceDbYeyQfmcUIM$s9wk}DrVZUu$RsYnq$Txm6P7HfgSXJ|x z;!z<=?yNbJ{v_MD3iI-{%{l$art1pzs$IVpg4VcyeGt{&IcLpp`I1D<%o`_;{KF|h zU46Y1Db}xn*ZnQazWg?@WZmw_DZkycvSxd=P!_vt)u8YHkpp?ZaHi}R+7G@J^uM`Y z^Um#>Yu~=}+EYvRY-eZpoEP%Xzpt>f%THco?KMv={rs8`ElKXuN!kwfhyU?Q_No*q zU18s#`WlOP+Fw30%s=(&4C#AP=bEPD!l z4BI3V@4KIgQTM}*Z~TdF3Omg-bauwhQMTl<|4|PA_4DRcJci#bMcIWG{O+(6&MXAh zx+lw$or8?Jr)60Sk&ANL&olG!8~$^%B?rIJKc{d2mRUTWkdif{u!w=RKom(P3Dqpf z=PME3)FV$Y)yRFYfxCmreG*s|{gM03raSJlv4d{KeY?3^4O@tc*j?Nm!h%W^6XUsC zV&Nr<95;6>SY(N!ypg*NET%-^GH^HLuUKy6Zh_pto_)u^uK137&EY%lh9UmzaX7^v zPumoJC(g64b2qh1vDM!e9%t)D?yunf7r7h8{z?=tCUCcgyQ{dHF?EUJ_w&dtJVpM$ zFXQfY+>S!sGVQW63ex^Y<;dhcY0OuIQ3xJZ+tYbc&r{3(s+vu=DHUr)_8t z;)L?Jih;NpjK{bawLR}r1VRUM3WVOb^K0!Wc|X_u+XvmNmmk2{9FO>uFx3VYY`2t; zv@X|du@<3Jap%{zurkSld&Tx>dwjVPA#Pc;7NO_*GSYS0JK^L*?b1c={2G`GEUzLi z^__~oiv&>SGodkeyDL^^LJP)Iglw&MWx1An!%dlu9$tpXxEKZYo9*HfMmtRm+UcA56c*T7WoFJHJ*H zuwWg<@sbN^;|B9$EDEKNt=S$L6Sy0An3B68B!?W(C&*h*as0JGTLgy&ar=2V#uepW zM6Gxq1?FKN$+0~QJErIhV8w_H$#<_=_SX-1+wD{Eiwd-_nAa7h>ZW3T6rcyrBgP(- zj@;3rKD7?IGbmLv?`f(RLcp~=k1%r}Y#qY)4u&H)e8bXDW}kYSj9Yo?S7h7(R98c5 zSf9F1hP1@(eQ@oPK^?jMI=QGPZ$rN?bJzGxjNf=EE}&eSkSnd6(Wnhpt#-E$w2c^8 z!?^G%jkUA6Qi*ZhB%h(aaZ2_yIU0Rdf|QG$(A{)L$3tJ0yKrd4C!yOE>~AUyT&LUroou``w=5H(4PZ& z@EGV3@JO~536-Hv2KqzhLgu}N+Qb$Kyxuy@N~{HS?7+C9<`UYBqv?9{JGU$g? zG-qfA(475YH_eB^(Y~D3r&@y1#_afE8_KynP*cnV3abl*)$!SQ8R@7mmaFy*#2ZY* zXU7i*WcEXy&XTNkvfG!@FL7Pw$0gN97W|1BEz{V9GBu=U*lonS?cuItaCI7?!I$gg z_03b-6X4U85kaW{OVM@%bO`7GLD3(ZjWK1aF7tdzb&3TeUK<}f%;Ub(_B@+;p^8>7 zIO0b#`+_fLoq|7l^M^DC=FAVNj!?>t*BrGaI#}O1Je@<^;ID~YlrfWoU-d(dzU8tS z?GLPxF6(^y3iKf?3*+|VU@h{wzi4OQ&qdt>ariIJgx}i&wTs70L5)}`W>0U))2PF2 z*MGo$&L%o<=q!O!{ptC9?W=d3vn~%#=Q*M7{1+jpIiM)PcH}XVdJMUSs}g~a^f@I} zG4)aZrXs;Hrbu*df-Ed*(HD-EDP?FjQ=Xx|`hIJfsz`L;j)ZSn5oCa@%awqmf%(RK z^U_5=3fK_|TB8*=XMTY9t9ZI+9$YUtpz#}4z6VEe5%ZQKtO3yJnoYToeP0b|zFawP zLXbaCNIln8GBy%r0zUuX(OGQTh3tnza5tlt*DEzx|8xJCxv(2Pd(an`vDdQRTgEVz zn+?~PGHNQu`1^r)k_2^-a)bWs{D@H-=mv~ckC?YP@*nMSv7+JuCiW6E1i1gyNy^kWz^T- zt>tMki*Id4Jrfu@t)Ctb5AA_uy=fg0VxkATY!LV3I9j{hB)e{0(vc=(=;_CK^@qcxv; z1+KLm)8fzqrJS+1-O0ncTycSyh#636z}LBdDj$%N`t?>i!e;wncHUB2qxjxEP%mpf zbn)2`3;Tp(^FW^lb|-2}p#J1TeNSr|F#(V13pgC_>4mI!o0Ijvjx~45o{Ss72^4Q& zU;DPrT9DZ%o~GJ->o1wli(AnHFv7bWt;<7d>=78-PQ+V{mJY%%>Wu-M)4CiM+W0LQ zv>UY+kMnpOD)}){*+#9RnS*>LBgRo4gYO*T_QBXg^7rFP;`$*y$Y&a`7mT34rA0!y z7OiNaR@}U57FHI^9D;nekHb9`_hGkNmN4sb;TrEZjLc)YCBA`vxVeY=K{(EH*fCI# z31cRV$%rUehk9-qNL4v9yHC*s+~Qnd24N(ClWiW%sT8pxY7&@llxvm0y*Kj)@jbF!rXyAgxkSOr`)SUsqxT1SgpU@!pO$m|{1%h2cja?e z+AjBBWFREDng7qjS|fk_-f{KZ`!jrflRpdn>&>W5Oz|#k9W)-fPxr#FGQK}Ir~aGP z5f4l-DV{socCB~#?QB!X?^plw<6{iJSfu?7kEF z?qG?5g7M=Xbeaa;rh9z+jv8Y%pgH*ea2qG^XJVd!E916%z~#N;@(lW29B}zQ9DeSV zv8C-l&hQSnO!utJ^9P&mhspq6UWV_F(mx*h{=)qF4DW!;#QxA*T=L4>wihzIZ!RA7 z-HDHX^?HVP!$)ZewVS)jGWyQPuYU8rYIy}6bt?zGqx+;FDVvZHyn z(GK8qv4Y8|QuO2KYwrdfW3?@6P)6Kfg68w=KKwIB;d*D;~`o1uvgI zbZD1g3VZE7@1dQ~-8z1Eo;%K#J^RCFn^u`ZKX~oh<5z!i??O{VXXTnPPmU_wgFJuu zq&o7`9k)I$F)6;7zo9zmt&YVW^^WnTnI#K7*(U9}sc)1P4qvw%F$c%P6!gatirAH& zkQttk6TUs>S@SM_Y*K&TxFKZ2GRN-`^Q$-eAA0Q57YwNxw|Bkx%QKTd$UWgveD%@# z*grr1>$gq8JzG*-FHVb0!TmPh=9AI88>!WYZnu6B<LuBn>A8xqz%>1ub$9_Xj)E?H+%DREan!l)E;m`^mNLgw(w)XVT+ zmubye!s5hq5iv$VB1364b(cVP6uT@t3cry~9rV0rPtbHlpE4U2r?XC*D9+y~PAKB+ zhZCRn3fA6ylDT~!?H4qg{x zRP}{W&F;mW1YR!qupa9aqt>i+=NLT~=X!FSu!ckQfZT32oGBr>&5ECfjD2Hb4AOzJ z;?gb-l_i&Eu^Ku6yyJH>Ny`#uT0Wpt9-Z-A5sSm+OI0jh5=|^LP@@~L2zY}X!8@A8 zu>{xf)Dcz-G*iSFM#!m(w`wJ4ILQ@s zZPkGM=WItU-10tSdPZ&6R(YdgL>Z+Drj~sE;*}Ra-}=>7qtvxdwDhv1ATcx8*N`b@ z_cGBG49x<@^mGAuxvasL6S|F2YK+p94yHc>QMT2j@~~(FBwneGax7$7L}xVK68JZe za|W3qq}}bQ2iHjTMYLgByxI_g@riOqSFzNiE4q|4040@88xoch5vt6@h;Oqc>?Ki;Rs&{D6uPVAd{roZdmQ_XTE=>6gjlnJ3 z>V=FQrcxY?-Fogn?NN}`6jVWs*>x!^YP|Mxr_wO3$`=orTHUb5b(J{nq9q}QY4W<6 z9^|P+%|p1KhU6QZp?E7{|97cPSq6z7`f_MBQ`~Gm{N`zF%z<9O$%XR4Ed?f=t2IGHs%CMP~*|?`G;8?u7%*IOebv({Yo~-O(72@zI5CVX;M~X)Z*Mf z$F^#wXFWS3bU`0y zlOtDBLMy9MQlPZ5Dz(x{Lkv?oWu`-p6*(iF45@s4wp`>%Y%@*L%99_jt0~;Hi51r_ zwmS2$h13qLnTzewdH8Ihn8mFeUV@_(7#IqR8#0jt_N3101txKDQgY+`kRzSAFWGob;1_{rl>4&wGA z~Thc;jM$A52lKl3R1j*V}HFv{I;J#FGQKTRNk_?B_b3acAPB`^Hb zZ~n=UhF=UAkiWSLTgS-OK*pZ@b36@krMW^rLXy?*kRh_G`km^xs^21i_bBhT{v_4B zW@PJb$KEwRN&R?pNc3;T%!qfY16YlDmt!vV%h#T>yq_`nPyRdP4{}=?zLxsxYrCD# z;h3Zdow20>pC*lO?{<9kr@@9guUHJe*~M*XFnSmK;H-Z)zV&>4OzgNJA$MN<^+WqD zzsK$dd8lgq|3LMjJNv5-;OC)V{^TF-Y=Q-8NIn`ev>xu;UWble+irii!)@zn22cD* z;J-*b>)h5Jk7qSLdr)dM_$1u=f42&}--6GqJw``f3ub!{Plqx6;1uq15W_7$edmX# zzIQ4dM1LcyDYLIXu__!4Za#-IoM&FE^DZZcw;cd}{2%dq0vh)5J6~KmedmkD|0}1# z%d7vu%i9io^{4(dhCKb~ufF<|U*N{2{Vme#=#psq&hReocS#1A1kJW2?l=rsw zd<1{v{pN!tbcfjQ(zd@p_9I}q#aL;#&ES}`{r`4kr{&wP?c&}}?QD?EnbcQagY^Fj zXYp|_;=ecSw{ovSct7MFRe{|NmM!ESrS)G@-`{M^_5O#jTafyYIXt+jl{ENG0(NcXb8@tsD*M9_nuAz|E`PQs)a7d1UWLijQI>OS-D4O@^`H?+h457%YHyz7Jgpj4d~ zesk=nxD)!`|M7#*TXtHC|FZixJHa#iKMYynjBW$S5?T3V{WrL#=ZPnx-41+ay!Ss5 zMb)AKKW1dA?rly%DjavM%~yYFXv4cw2iVtp*I=%PcCXq01O(T%e;r=={hJJ1_epYB z`#sfyEKeG{fjRNrkL-SZ&1S65&`q21v;+Q&I=cUUx^K-N^(d+Q;ziT@U&VL-U=46d zKBV4x{K)6+J9*%5GvwW{sgMAZK_BSUHNa*d@tcFhZ|eT-A7(&5jgj+tu09iHY+m!e zD8BO?>_&t5u>O>Y8|DA<&utF9v$NsuJ2&U&4z6n7{9?fk3)^%%g+kwBj(tw@$O#Swr{nv>A-g%0A#&pdb z2Omjf2YJ!-3-WQ(uZY|{+U@@2NHE&{kx!0vuWf20T({jbiC0@akHT9zY@E6{auKzuQvo34>&-_^f*ZLHZw;0dH+-Sr7%(ngaCR>eL zRF?M!Gr?~XK8QPrkte}l5ck2>EXQI$*l0x*XnaTdKmPLc^PWHc8(Em;#|@~Bw*B_s zkN6F=PVWn@Ue6stp9!qvbSb8FQ>boFznH8o6HE0-A{LW@vh;yhw#ch_rR|f z2`9^IWZaeCutvt|#|8_7rNy(QFpG2lgLmh+{vF)q>_ld`1)ZU*b~TVKPJ(Biw?H@Z zWQ&8mhgElz<>%{j*3T|lWO5JY`c8Yg`|bMt58yah#4Fe9kmTKtHFA>dUel0BqORP{J;$}n@VK5c=-S*E6-_@xK6uO1Pd`k48pRHh z>%Rg0osT{fStR_E0^!1Sz4vkcrTZUzaQE|eC;Y(3ZUwK~b}7!sbzT0uc5c6A4M+ID z-^BAe`*H~jtAj4e0m?>U?Nud75AkKPYJq`8|Z_M{7?VNxDmD6 zf{~kd`Jt5@&|Rm`$IDJb~?RY&-Cv{ow|#0ncZ;_eD{Au(gdbbnr-Me@jd?aae zqW;@{Wb@^ERCA5kNq4xH*uw4mdHfZfmj+*6`!=jEA3G)86Z=u}p1Pm)aR0;hBO$W; zGtigNPP_dWwR?B-M)(jog7%F~_qTWKG{3zbu{>Aw8Gz zP56Z5UP8nT#oxHEW2XuEDE{^A&wldd$F95Y`!n*V#3U9^|Ie+_|M@;HZ^k1vTXxQw zt~~dV{eQnmeulf}@?ZaN<1fs(Kht9RM`CL2_~Ez4-qd976aMl2 zL#-A47vGY1N+WUbVttKuFQh?|_ccQ^7(T3DlK&RBzHh>vvQj+3%N^ZZd&fqB@EaZ8 zXL{VxFDqa6b}6ZDUP<*hK9|biTp)BHI|VAVt`M(uBysN_@_RkxcQ_mU$vMxQC%t`m zd&=?-LEqeejhe>{+TcIY{I7T45YckC=>ngv`A6~YhvI$TkN*Ch%RN$!7IJ~yYJjt?)Kexj~w58Of=q#k6t{h+UxIx(SiS{cS8K<4mCF{J!?e8 zk8>aA9!1vlcc+?-r#Cque-AzS%>R1G@j5!-OyG&&?kAodcHAM2_}SL8#&7Pir0d$k z^zZvEm;Uj^`@YBHd(Cwz<93)F??ApT)-AJRf3smD(DN9%UnFK!keLV1aNt`u;i0={ zTJS7nixI!bV(+c@cO9>mzYjG3D2Gd=GGW|r5;ef(zeGrKMC55J$5H}~Fp^y$=( z9^t*O4qqf>KYW(uGxFdslg|!&Bf*cndjDNp|ESpu>fe3;``6cg+5dCw!|pXC32|?B zo(dAVvAto}&miNl*H{0aQ^dRt9Q4A$)5xTXDdyu&$NyzbofnI0osvwpV?uN&Zve2+ZbW30Y;=RD0H#qNvvB!Kf7u?x>${Af?~!n04{42ydU{G2B-rhnJ*L@mXU9>*Zq^>4Tx zPz}a@h5zH}I(K5dZo!V%2e9e~{U4UYFMaDp;`s3HhmZkzV0VKZ@&`L-Ml@o)cgsP0 zBSzYU)f<^~H{QR1Zl5TA@7=Wp-`Iwqmf!#OH@->&xLh&#GJ$qC@8e!H_pEWR>ako} z^?DNLdY*4@*>`L0C+uX+DtK62*D7umxet+1BYY_SMH3E`81oYH-=)^Q+(FZRQ_tt0 zG=;z4{@UIK+^u2Sx2C7Nc~#H0X7fl(&+|%fZvyk~4F6zuV2^?8`tCaRq1W~koC-Us zh|~WUsqHlQ)w1W>>%Sv4Ik@+!hRvke{{8Ug@b{^>cX9V`yZ7$1ofY@G?Y~41R|R|> z-0Q?xI5&-VHoR+Y7ytG;vRj}S?jYLK9e&a@8`|fQLVt^nvYzkt{A@oN33a=hO+C}i ze9xI?^S%drj`zIVy%yO2pabkA>f&SeQ%Ev_>fQJ;?$~?a*}vqzg!iaRk&idON{VBDjytq| zd-vR|aoX1Cw?q}mIN6ORJ)Ds{ZTsS3M55^P`QqsxJ!;1p)i2s!J@*~kt4+8+$(DQ+ zUK=V;_zXWu#3kX$({10eC2^O;Z~x1u;^gUV2Q>YZDVW0S&*29*1jg|5jRy(2i6MeV z@EK`lRFKtxBxj5lZ^YpZX&;}P+hh}CG;kM%jOtJ4CP%GGT5$=AMuT2Ybkyhd=A>bttT`-1F74`Sa$V`OO6HZl*xWY#~{Aj>2B1xKK&|_&*#v_ra7F4a_F+2i~<3m)+ zq?r_nNGgV)ic0@Lij+^Xoyl;K7Ei>g@ZOP#)lI^7tItcaUW@IB->Z4E5kb46JuVVeZb?ejE6$*5$1|E zDPx)RKZBg0g`BSMMn?5T<%w)KsCfp4(gQ+j)H%T?2`A#3w1_b2%=!mX-r?YctI?6d zm5(+}dQ%R+ahDbn{XID|Z-!HCK0lw5eRd(~kwm}D*rbd46jRtN^8F)|>4@JuqOdlf zJ;?@y#)!{v&_YtQh;Vldxk(7{=}ayf3AKvZut>QP@Q>XTBso*mHkR>lL9fq}3X;$W z%Z=et&faVYw6JQjD%{ zO@6Q2+bC%^$q@++gS9@UXi`?r8rzzYy;(Vz9tqo1sTRNNz};!l8sl^BpsS@u*P5-= z>WNC0Ot3%OB>8iZrijnEHGm-?AhJ}Kk~V3g#gY!UHYp>~p+PPzyPRB&@SHFrx@u&t zcZ^JU2dxoDMh>U^{bp|_mudeb#So0Cf@Vlbpf%u9Si~p$IG55N%o7N-0j3 z+U&AZYjlQ2!{M~x&8UvIy%^#lO_Joa!QyR_oDHWVZmr48`G_w-qy7|e*T~1hV{X!D zCr+V%yfu7;^R;<`Hd~`RE!ibU7UXcG^~Jg3xhTKG274p z8{ybQ(%a~^4LNGi*)Y@I#j%r_DRIX5mQ2U@&?N(*2tTyRnn z2Hip0+C*v8Dkk{|XB)Opq@xixg?MJX8Dj`JEoY%IK#JmYS#ShgPM6}an{2IA^iPIO zBx(2IE+N=>Zp=p8T7)r=4vyEZdA!m$D1to;GunMmD?83rvEj-W~9OI8j%xxz^ zzsaiP`h!W6FmA{!FWV)jnDw@pL%9hhWQ#;_wbpI&s2YIc6AV&(>c-;KER&;tp~X+g zpvgfTiW{D5xKT;+HR3Y)NI%JC_+jU$KW#P1<6ck?+zH(&)ul|%96Nk+`S5ta>NB-* z6CQggI4Y6>Q`E$Vh)bRvrOF74C`TM)qwbuiF`TtJ(@s~wScsGr3@M99(!%^Xnm;>` zOAhghWhiJLa#|gY3YYN?F_GAuNWT)l!y%@<5peDa!Ep|w<*8QLP3 z&R*SDIevaI(1O!}O`iW(Fcs}Fx33C7FBYx6Ek|HEKW6Al&=qU2;R&Qq9%{4Ygk2Lvgn$IB(qzr@j z=M2mVDGO%`($y|~>R@i*2z(-|g$oZbYPEzsCJ#A6Icrpy9QQazrLi_iQXKv33p3G7CFBd5fJxYm-SCTClFy6=ofct0v~sEbfEFamvAF*byEaI2mRg4{-F2?J;3Ad;>K0Py?^_b}kCSoCGv$s(UV2+q)AA-v!2 zx3^kcLiNVmLn2{=3fJ$Q2s_iN&ub}Sh|YMCCYb6IXgpi^TyGq!%DrJv68=M5M?$>E zGBD&m5SPR-!(qctsQddxe?Ix?A z=aVK2PG>f9WT4-h9!Q#7#N7DScv;GfTLMwoc2_Vn!p414*w8mKM_D<}sEiT9lFcfq zK~|i!gFQ*w2T`Q!63mX!@!XyT+Q zWEFDn2R88m%VgIbGC33sIa)-!gN+5`Tmj}=_9ngLNE4(&qLKpLi6K~?Ch}C$K#QC+ zIRaOjZv8;Cz`5wU<-ir7CgOAj2M9kXK*(h+;>>A^(^a}!4AZ7y+A!;zDocCvG|n1N{Pc{Zi|%<&Z;CUfTuCUQ3gUL zG`XlyNo?6Hv$9aSz`+WRlw($CE7L&!}c=*-?2NRHUSc4gOD)Oj%$VcU*pQLjIR(wT{aM_G% zkn?ykK8xK5?7%o)PfnF5OF##>h2YU842P7Ow>tOX^s~K z`l$5TML9)xj0VgBzoGa}B+3QIyZlyyNg$h~J|F$^uO`SSKiqYVAL0k#k%tF-mVVe# zGeRz=_?R?NrpRL+)JHf(WxJO3>I@G_ra zqe91`g}1R|3Ui5rp}HZ-i)Wy6UNZbgRvY)`>Y?)SrFfZ@nYMMYoH%RV zK9{pBb%CTPJ%1v@GQm+vC}~I+L4b?Cs>b>)DO58k<_QG||2DwKGJ_NKF*ahB*?X)00$rW6^3O!>wJiB)wqR zRIpZ}oG2^bsO~EtOfRtMIp1T;asA7Bt?Sf;S;+VGEmE7yGvJClEcSq~xHv%8!8F^6 zt?$Qy0ADs(YfrHdFHkAFQtX|R7HH=${jYtn{^lF{a@Pe*h83surO3Z7XO^p@gQDstc6qO^&$5lD#AF+YAA`wIJorve-;Hr$Ov*n|y{0kiwk9MoG{90cD z44zdA3+MG8w|K@f#kkL{Mx^pfla3iTvG;o>-nt~IUPJLM?J4%wS*r$10|#`+T$rn{ zoBeum<3TfApUSL$(VucU=<^bD1!!W**c0*g4bDvs1Um;;dtzT>4ci8Vo9`V}z(?s+SwQjsVAsdmzrs>(ma-PD2 z)@4n}25eJGfB$uJ>k-DfzLT1QI~nP^7HSOyic+~K^T(qhN55H~x}J(`H6(Q& zw1AkYfv!ZW6zq>?pY?zAAnhPb>#A7chh^^oO|G}^-?8EHM`4^RakI9OmP3L_v+ZOf7x&tZW9so zh|}dcHYtzrBk7r5>Z?qIY(Y()x|7yi1}*j!X#0Y$v-77n z)EH8I{auTmi2!{k98ql6*i4(FO5vcWP*M@E48C}18Ym>Nt5Ti@U}l+;RQ*Nstge?k zgU42)h_rMu)r?HUfVgb%U)=TFyS+CYA zSfld0U&;5aT)yI-s%bck-CtS`s zHCC2KU3-F3Sx&1SxWc`3YI*qsTURAGh6mM@e(8!1A1XV+JZk3`G~Z|PiHX>ZGF7!& z>;A=_8-@669^N7?1~w`w8SI$(+El5evuo~!su3in^lFR4J2g3;(CotbaxPuT6eIDn zoUP?@b(=a335gd4EySj^HbJvS&5D>&uP*3|w+3k?X(e9U;kUgJZ|7pyA!$A-zoewKfPgesG+&v_OlA??6`oEQL5+8EqpZpj5*=YNo8P{^rrt{3ylsvU zi=rPMcltLhvPmh&u)pD0CL5Hqwzn2N*;d1(PX8N)&VsP#if}RzRS=&b?t#1T)&&RD zKe4(^eeXG2TIga}mDBmYX)O{DwgjZG5UZrxWJA_F56*$R%gV4Li!e_ZmRUM0P~qHS z=lenZy5=4;+w|I~;C!pNl?oc|n;Y;20>XgS(z5kC8eMOw&ZVOu1f)!rcCh2KOdTU5 zqIz6|=W}tjUdascneo29(7=SS?1Rmtr3CeEz|Ha*mouO6@v5;Q)A<8NC?Fvf1}6gn ztI{fD3Upt2<2#SwN-`#0SNn8tG$mECFvv_VMv;dyOY)dhIEYx;*pT=V)?G%#oy!~U zw=}{b-&w|E>W`h>s^4bg>tmVH!l=!iEz7E7BHvfGMdG8%xMYiT?ZUq3_v9P!0ehZaXY zK=s@u=h5QaEI2C=iO5U%5=(2(%5QaO64PrP`lY=}pe@>^Po_sk_F@KFIH`F@q}*1@ zr_ zQ5q}4c}Wikwi@I-kyz?QD1ub7I;{nL^m)ZQ-1XLM5uQn2KRfQ{#>^XaInWa6LZU>N z?UcizHz=)~W!b}NR3!{@7LHNF)soZc>}4us6KXK6Zkjz`?$h-z>8HP`ge~Gmy(Q=j zZBGn{^p#g40%A!apI|Zz=g#K9TI&+!crgzFlcbKS0$L#5r+huH_tkXoIsJQFvN1^B z(lgEpPrgEF$5J8CvhZdpQPIOAACgO8C5dMvKUO>{wOzUe+%< z{QZRQ&>cC=KV6n-;_aDSHvE=sDD1+rCsOw=Ttx=2ld;e!{D3@Nk8nF+?VG)$d;b^i zw21mGrf2kEkWX!|X+mLkHkXmI((K%PLOqExjUQ%cZG7gg3$J85^>U)vtARuGcjtk^ zvi`n_C!+hKdRSJ1`F#a8Gapa4c(&#{6YOZLHppjk*wD4C94jWMGAwmmEiJ=AP=!oe zUw*1S!bSU7zsZ)@t(GHxHZyzv<_oXL%JBMPZ=z7_s3?fM4Kf_-Fton}MxR8rFJpvj`o#eSDT8Nf6F!$`!@|lJ=4sap4$W6CAkEP^<7kr%KW!~N)N43din{&_ z`r<;Kp2>Pu*kX)O!zz?fN8`F$CKF9%@z|v8+l!GNW{T>2y|tKw|-{ zWCYlfj7-?&gL7;u!a`H}xhZ1-*OHzQ6g93RZxrrlz;?P~kfV23_e1N^c%6qH(o%D82~;fv2eAIn*5AkYV$vi0gHWH4tCaC&+c z*(an{*;J*-*vU+~eXG7OwW8mDeVjHDi+U&JNsl%{8FwPXQp>F_CE(8XofVMk2LV6K z=Hq1}7L{4C1~>KO1oAoh(q&!0S_26(_KfQN`W z&(0dE5o70T$k`m92r1c8_1Hpv303p+TFBLPOAbxss$jNRHqr6xfbiC0rr1~O|Ed>W z2Zq?bJ&wg^VfGg*WhyNGQf^vN8qH+Nk$- z=@m^#DTZSE@N^#1M5O}ls1$(Ba^G$BB_!<^3Z<&vBt@ig+V?9y7~J=3YUECX>-00} zw{$4qE0YY_H*n*JKTjD8LhQPbB|k@j+WN1))#fc>Z~f(}X+`)P|U7LA}QPv`X-vlaT{C#18gUa1z05#+Ch&GfJP!$UAz z)G#Hz7~yOQBitzbMQcQov4{uePnHuIBc-V8Diz9w7xLLuqh6~WyQA9^5lL|#4+uVE zQZ`+{^4CJl8w5Cdbx$!-gd0$<*r zNBn#Y>5oZN#0{KJ6la;Hz%0z_niMM>M##d(O8RmMU}Xo1X~D)|7r?5ppmVIWD5C@b zFwhE$Ax-1Q-zo>8~33|4a;T`*GTG@kG6 zIIAYgbE+_^ME>%&eosee5T20B_rLYkCkuZKncuz~h!|qJ?nJprSs@}o#41^MRjBku zeC_(uihd83?OJ$59K3E&gT@f%b;VJq#%8WtdUkwTchTEhvA)o80(6iopE*sOaygurc5=7Hu>9v`c2H0HI7)hJ#lckzEf1sFI(}E92hP*Yyuw z(f6T+B}@A{bwmk(s!Zo8JC6PmxH@pApnB7}K=8|ex|WS=QfA~aK$WTo&!Q-#OhF9t z*sQfva}@^G)8ukIzawST>K)nKd_@OH+Dn(pcAWFHnr9 zQ~^;dO-RbPKOD^rJ_ZV2msmkjgZ|BcyR6sd5eWxqA7tok5pc6d5i}jt6cw4PSxjQ+*`?$jgO9jWxhZw&Z=%&I&QG!^bDnkq#T3;Dgu=T$<`lYULdu4(d^KK zEQ(+#dECFY^ zo+Kfw0DY-=04u#H^W=WLs_V<0x5;Bmg(;d?%t`=6KqV^y+gDor888^0U+hJdDbN&f zn~&Xv$bB3>-5@!uHU>(JbCy~5H1vS)dhI5wuV?W46++)5P z;gV$I9ldd-3qU2kHen*ibT~6BrQ5`Rd8T}Pwu1T=npap9E}J+kfRvBv-zLd7PNUY0 zej==&+;d0gf8D9~Rcbo#$Vpu_aBB@K@Yf0miwiUi=h09uey8L_IwA8FUBpAxKCn7Q z#D0-9@|H#nP%UBBnr=OvMqqXfYq@%xJW{-wIM;g!ky9X`lmoKb))*Xw9@tvrEhgV0 zkJ7u~zJm)Az^Suf$9wv8{oO`$A2ir)5;%w0s~BSw5>hvBt5SALPN2$Ypy|$-D5k2O zWDD_+8R)wouIgm2rdz7ux=Z17sa6NCZin+zVZ(AT!_fZ{bx1iR2Xfhp1wFCUl6Be! zpRMSigNjbQ}cn zaYUl3uigXT8}ob0WPnA)rFL00@=p-n>FSd(SghoBD~B0jJhXM04%fvqDhu}X*s zu&mU3aG?mi%exHNKPgV1?|tP2d`ktvIDCUpzdwsL4}?UwH8?1ZE3$a`gWZeFd` z3RC+M5F1m4)n}fahletQa;8H9&q3h)DHO>&j4s89O9ZfcXmr~?Mj8#hMm7A_l&2Tc4J;qAnIR0vEJV>hPH?JKS5 zyv5On@e z|KyGL%JJD5_;u(pgMLIaicppseZHtW={5oFoXMYagU2{BtaR*{q9>2Ox1z7Q2_Mw} z_a2?!ryi`L!$A$$jLwz6x2fn;92rOg#7^usl)DI5C8&ja^7G3v{pQVuJ9(kq#LTS_OKb3`{<#jlTDq$NVwwg?MdSEg?2+)`hi+K-eL5c};z z{5}CArl_`nj4-YhiQuF-Se(A{f*dA1*wf?*Y(K;x6gMlnxpb~tU+X83QA7QYX^FoN z1XVdRsamC&W*-lT9?n;r(~w*Dfm02BBd#5KwM(zw*3CDoH~Z?8(hJ*rV>3l6ysdsD zlVTHwcnnN<+x+h2#><_3)00V1s)blm*_nlMA88q4(==`}_9pOh-VyuYrAj`&;LB#G_KvvZ7z>s&O zC0Np{?=38IEf&DpI3iT4%Q|;cuk(cO>fUPX!kJXO`k^z3BrY(Q(YTosPJt*mkYlU8$;M~ z==d4P21?94$xYqXO$8ums+9~Z*H`A-^YIckT{EN-yO1?;-INphL`TZAk+HlyF9@i2iOwxpmV(G001jn5zs zy{YSSXR=768AcRS7Y`K5g|0F^U>IGbO^Y!tmh+^fVrQboXVH|CXVL1z6W($}G{DOU zDtQJaOjhdP)uRaI_U(xwJENe#Lde>wge;U*DZJn$o>ot1bO^c-eOrDfEZ;rluIdX> zAq)1oOKI=I<=EovF=nKHI$UUDsK@Y;?gwLYVh)@iB(=m4KOp6Iz^zlLs2fUg%6bZh9>Bk*j_oQZc z*8~KFJ}wxAs;;F&^N42UG<%cfP%eUQUpfKwNt1__p^q`Wm(RQbcp4lVB3`ZIwm~_4 zZg!<`qA;Zw6Dqw=;wF{n3o$?=@ zU5XbHQ`1l<&bTby>`Y^ zBOmgv?mGqP;2qAS%Lf**QA-jnte!vYHorKHbN~{Mh&APG927?~O_?dJgp)}yS-u)= zF+7zqK3N9zJ#x-DE={B$YnZo$4js7dU=t&pDIN-RF2Ahnf*<&DX8j!y3`4jXD0L4C zJr?23yZGYrd^!am&Alw3X%-2%gX%sCZLe}*t`S)uGk)8mHj@It6LH3=akWmPRSW2t zsOVMZM>wk-&uoxaO0}~|(PA-e)lZ4UZGud;Mqn&zg@Vk!z7oHSXQjdxfM=B=dO_Gc zLrc<9pRPP}MF#EyDPNCY9OZIqfgu`51(9+n{)+%FZ)z0G@&vq+SO%gNc+d6hkkKH)W9W4gHCQ0HjbCOL1merP~&~QW!XZsAl+cXc2VY*SO z>g#LJb4ApB@*P*s>$tun-HO+V2(S=Y3 zTvj}o-98i3_4S>xBQ!B^ZJc=RlQ!{)PsSp@n;Kv_Hp!$q;4%Q0x`1vw{I5bsg(ix} zka+xTJk`PEOKu)<%DBVhl8^;m7IC5>X|f`!HXZPZMIvofp*w6pG+N;Hf`veuftZ2r525O&uS2gtIGmxg?`nO(R_k3tR%^} zMGc8ZC|!-rE@fjg9aH+gs8uo%znmd((~}O9NmjQ4)<@$? z&Shga7U~njs)l?nns|K~I@v8=~ zKg1RnQ7EdM)bo^6EG-<4b+m-WxKt8NmBxz0tvd>ej0)QyskLf3e-a!0ctv$}Z2z<} zK}$Dlg{URi615CW4B_b6nC7$woOw7dnipQiu#`nBi$UshRejYBmd92#qpTvMZXI)2NJPH zHNG%YpBMUuQH|oMcMVnKW`jC@iMx!8&-BmgOota@M zCJ5EuMK*^7NvQ)Gn}(PcWb1z=x|L9tM#n~dM!f>*`sGCgBy~_YH>*m^8pU&ake+_* znkq1T?k22_BdrPj+V5;QnKM8oo;RvAdLld1HB+XDT0msv==2)Q*;^~R9IN}=F-i5dLo8`jQ&uZ6)wptI0GolS~v$L z%J5!AQ~usPDABF3a%fARKxwURudG)=^{%QG(Ymlhi}@I`0~BQ$-NDu(uQ%dNjx^a? zQGN(nB3*zjua_Cp&fAUUT7hyEIq{9PBL0F9A^x_IjUm;CTnCDZSqs|8;a{fg>XYzQ z=8(k=pw4DM+VnoXlD~0!N}mEVG?ZyCm<@Z?sN~Ojv!dh)IlXCgE~m{U11oGRN{MqN zgHASBj>-nA9MjAD0IKAdBsdW$BC$c)y|vL#8s#BQKB~2J-qG(_QW$)`!oK>P*oS4> zDN}tJoM1s`tifm}kJSK5pYInYd{#Rbi3nMn_ZYZlIRVK^5u;pORov~%y!AO&LFgC%EJ+YwtU??+0%Lc-z*Il1b)U9+62xy^(Yk*1` z)@?uF&-#yuQJ%CpGc-1t-3Qo85$+V7D=!$ZAZtZ)jG~BywOVK=0yHWZg+n`2qy2(a z5=Z&yaGS*Flre1?Nyu1!p*}6D7WyKO5Gj#{b-Yt$t7N8i)Znnqr6nb#`WOY`F9TL}{4rj}{@anV%XtH`+izY|$rjS^tRL!k@|}Ci^?aiB=Y43*NceE>7g1{EJ+#_UsH(=k zKc5U)CUM3=91;hk`MGRoRkz+KygbhedzN0FFebI0E$LUL76%o(UZpzFkZzGahPfox~G^!dK3^0nZH0MlSp0Z$eVVd`67 zn9Cp_8}W!j(lXp}NrGIgzR2x3uG7Wxo}#$UfVN)j(wDBhm#6;X9o;M!g?ZXh)!nxW zhvbpG937F8&H+_e7S2INl6Kovhu(Mi==t30I_#y5`r^!Vf#$MM2FvioDTJ%0yWh`u zB;;&_xQMI8?aZH5x9j>vGPrTMny2}d1bM9veSJz_EZr=}CctrKXg}m^F!Pb^b1;H| zsOS-iO-8fKK3yLpd_mV0NW&r=rWH`Qlf0y87uRhz}^A7k;n9-mK?aF3-aHm9#pr<=2z z%#JK7yQS+my>BvYpPrrXxN??|FW13QaH)0_V<+b@`^TU}5A^QY2k;AIac+LQ5*i`> zcGSmEafv691GXWXw5O7fIxOqz(AAr0rg)cKUIa71bkeC(iD;8 zh=ksT1TrS8?cCL_nd$tYFd?mV_^W-0H4}ju+F8>-Rx8UV=E}1rOrJCr5|C~jn(?A> zJV50bGHE~_5!-x;j=4=ucadK}uS7AXQYgQV-A02(Z$}({2Wneq&>oW+7s;6FooGTL zWn_iejxY7@DW5|e!a?v2;{<&l%cEouc!`G@%^mp;+zDgUMw>7yqE4m=Tw|13EjaJ_VDo^0L3XZJroLRp7#U!yu z19kA~0yGo4>0=z~$r_Tbt$1pE96jcQr)#SxD*wyKuCbzyZc?pnb|*Kt9HtX ztD45S@7!PKo_o%BzPpqm&Kq;~yaw}4QLN%$=$uTygmk*&==NNernX6-IuDp+qM zIgX%c2#LVTluOj|fgw37xa4MX78i1|!rL+4O!rgh4v@(v=KMdaPj?Tl!xWt`dE~+U zF)>YE__-w2QTGjgPX43k;r1mL5wa2jOXo)opsVzDd20ILO(YuI8WLXyb@mu-HlX`u zzXyrno()T8It>N>XB)DA0P3evjSYC>NP$BfCrUoKkHItVZnv);w1AL-xKaePAP?~Y za^thC_jYa{dH?Au5fFml?RZ9P)cppWk|YL?>$Y)@8{}n#kK`;y{W-cLUDIj<^1^k} zuFvl92+2Q}wmBlo7QAjZ2nQ41UMoI zJSg-qXxQZx^-tH~$5F0#9kOsJ$;&Pq7!7=o9#&n+HWv9=Ese4cfgoOHHxbio&-ASu z9qk_UHL=9aNYMP26I>BYVLfuJUebv}AY47Hs>%{VUI>>7_E(PN`~hIJx3M4uWU z#X@Z7SzmvkHRrEeFvx2BB}q%;5=wkgele1Q!bXSEt(Kl-?u@C0W1Pvp%;DA{1=wd# zFs=0=Drb?K(!h0Q<~jWR{AhUhjdop~?0qQ$cgjB!F>3qGr$b^laTS*uB^)eqAcJTK zlI)`madLFp1Uw8OY^3!bBM@&w;JS=RFQ%NIMF)cBoCkH!FR(r`54j0sCRQ>^Jt3=; zml)3kqMQ&Ns>7$CbTf&(HJ}9vQtSlDJi-01*e5YOwco1)wTVwY)It5)RQ===yv9v` z@hJ6J2m}bfREU>N*o=5@mGG^YSqmET9q?PE46QA-BIb#PO^$iMTUxb|#h=?dHp`VzslX|dxI3dPds8kJ! zR+rcm$f}16aYZI;!HU<^D~GAhW9omRg7LzOlnF;4y#N<-mp;J`BPR1_fkIpk1j;I( zAtu3Yv5Z8KN>G*CTl9L5T0bVvs_ZjS<6oht1Dugqs^rX%INoA+Vr}eztPzo(AiN+p zL<2ydq}DY92`%fV#FiHe_#BQ&uJsTH!kGHsAQrGFYYIwQ7rAxG4d4${{qxzjq8%Q# zKJ3xwKLuG&DFg537kcywA`WR{u8zY9Ur_tMDgc&f+{p$>B_Af$o%K`8oUzjj$wQ@1*M4M;sC*c-y+6Z+Lh zC}y$~ml6*0Txl^%*1ZyO*gWh@^q3y$s`;v#+U}+LOo+>i>GMzMD-W^lOhg(VL$cMO zL^Wrj61JyJ=+n!v&Rg~5rlvKI8YeReh&?4-w2CSZ`U|bU7t;0s=O|(%$0aLA0=AMV zEc_3qC+urC^fvls+&wwihK5gxNsO@lfsb(=T) z#F>WwAY?sxo~uU5l7K-!i$!tJWvH^@W!gD8##lhC8aWHGPyZI0@)|}k{WFBm`MVD@`FJ`|;ez02%0}Xg-p6EYNN?Ui7^Nz2wuhbG z{vQ1~64#H3T*BHgjsF)0`HCs^ePD-RXa$R4k2-L?t%^^`7}fV0D1cEf?C&?)GY5&0 zAV|>E^8@;eM*0tddobNpOkq+yWo9O2iaihG^fo-BhvwRW<)B+G*DNse4$B&k^Su zTKL5QL7I%1ZdG^<>J~gJf?X;R)o07Fj}A{7C{oDP4mWGNo#uJ^$)7x-T$-yqp}+VL z?ZftL|A5%W16WxCk73O*CKe`WJkY!FOV_&ljpKvE!_JS-K=5PR6a+sbz6`ND^eGuh zLOaPqSFhdc$T(pXpzEEPyvr|5Z_VkgTkz+85`y4orr(0#$K21MDS>OdvY1&c1XfUZ zFOev(piBv>4hN4)8~zLJ%QJh88`tRu55Z5(6A(=M|632tYpJp-+OY``$`8pT3x+OO zO`ym=Y$P!{6PN3Ej=|%x_sPO}0L31YKZm5-JV*fMs`h3TZ(nS@8)KhcDoCF0qeZ-`i@& zLh_&N?w;%#duroT?gfY%1e5vd)AVakBrI7=r7FU0SwJFl(ZnrSLjea9rFV^<-!Hv> z{h*nu9S-Oqfb4)Nh;+`Y1IHCzBqo#J^ImfX6d zBf+IBCP=2C^sA3eDWe=n3m!#LVRQL(rZP^}Jq2fR;R$i}2mRDV1&Qs0xAz|$_SFU@ zK`b6q;RA?1j8Ws=s0G$wS#T4A^~WuW%i~tro`)z+wY#U;sTk@c4^sV02oikmgedpp z$<&a(`nPA0UJ8-`_OiBs@4CZrOWJ2uxF36%EKRlc%Mr2OY+jjrC;4HAJ|e9lR(-)5 zLOAr*A5XwV7u>wt8@J$r5MO#sDrFaQT*VU_Dc$YeRC2|;yPeDQu+~gbUBZ3td1J#x zh!Za1tBVavFW~USSO*1;OIvMP)~C2D%j1CWsv(d2)3t_0G|33s-^{ z;2dgMmR;t8LHJIuaw$sxEQfVzGVxOZQ-F8j58aiJT*BVV;y`&A1f-KMii_j0a15t^1dP<)_U`VT*5=7B zG?pn9;^DZRL~pJ0{jG8c(f+w*3b#)oalWXiwsU`^;`FA z*BS{p%&CVqfJ8iI-#TZp1X7Q#poKlT9OuK904MOaaTi+n!f*4S4gn5!zn4(G>YjFg ztKZtX)I;>`>111oIzlG(BrcG^Sn3O%zI0GY!^V>_%VMFh^3Tw~pj27$0*HG;FH+ct z89jA%(9+sRdl&|z4)5K%c8BhyvtxYf9^_9-cEM!H@&SvDPs1tZdvcLsl*bH8FFnxI z394>kizZrkPY}6r`mB!d$^>p13L!#knKf`vMQ`BRkCV9f71n^{_h`)WqX&0-NRsLI zdsp@b_vmxLb^(`1KVF3Xw12$@(}S^N;2Zo_S4y09Ty#ZkzOoI!{oj9>V;FOc z{{h0{Y8&v_PD4LozYHu#(`Hk^M6?Q<%O)U6*bQ)xb)$}fOwl3QY_ad-U<1jZ&7-2= z8oz9}0nK_s{`G(Q=Rb|W|IbF?|6BgwBh)$I+6!Pd_HJ4CeoZO6!&&e;dZMc_uREI4 zz`V+k(4+s3e=$rMY*M?YT2Yx@#UJoTJ?B9!NEKC*;QkcLo>El=QZNsivHvIuI2VlB zBh@OY4292H)MZcHhEMywo@}Ow8qHi;GNDB;n*4_^B1_1`G;5hfphfm~J?4qLC54wt zxvV7RZITqq`|1Gi{(}e;MnDW|_B5LWd@h4U<8Ox7>&cJ%G&zJ?Z!x;z_lxmjY2EMm z4-~6d+MYRW5ik|h)o2}%E>;6B8c`_SrC5Hyrv~_c z;lhUe-KdmFm%TBYk`FH}d$Q6>UJiv;MZZnx#V z@XN$JQ=zyhd$KX{>#T0lQz(_)(8~M&(mKzI=MWf&G-K`p3>tM7VE%LBn^14vFULHE zb*WUUl-AaiyjR4)#YQs#6@b)%w4ssmP<JbJ!qi)lNBt3yf?hG>dCHw3M9Lj z75$EAZUsd~n2FKIV8%44ZfFDbbrJY+l=b*yV^{|_lZ zew<6%KV@qYT?Rf?RKW&WECH#Njd{TU3)PFEm@nea6oCVXF7sJr;zX=WrYCa3O`KL5 z$s6i-{Ybe2#a%|yQbD4{R_x?m5nDbkL8#mNub}zuH*mwa@3Q{mYr466Lyw1redCAu9%NWYpUo_Fkk2c zsbLHxL;Eh)5L-=A3_o^(2i=gCvaB0YHYC|Y<3gTMj+#ALr@S^LR{Y^&TS7$-Ch$C> z@gfQl@joyI7}<69uMF#m4^tt^es@e9hi+?A)|kzSsa4(nh{wH?T?@12Qb!Z$1+Zf> z;2@(S1gs=UPEWIrEV90!BGE5Y!xtw-E)sVTv++@`RD6hi5zz7Tr zz&a5LRn4l)X)|H1dF7yI16HL3bb&b*U1y)uYNJkI9H9*sI3^=Mg@46Yz0t*p4?6fn zUgf9c4Q18o_c8|6Tn>N@ZCn<;BaJ2aP^4|Bzb+$hgf%wWXkXueHB~aAC@jV-*|m%+ z#Ft_&1Sz2e4lg;k5{qwme9mgnJ_SBlkI9ja zKzod;C-3*kW-n|ulOOGer`n5Zvelbqr=!;&MZ!n?r0kK_)+3=sZ%A2Om6p}0>hNP} zg1I%01s?}NvvU>3SsI-t^H0^Ufo|kToq%~Miyz?90LYgG#@Oe{`MG$>w;uJX(Iq41 zfN2Vca6OS7s7JxdAK6w?#}FpBDE$cdjNBRu5Dj|rC>wLH^X{d*y;Q};ChE=tVHovb zpL@R|!ZOF?DM^9-z>$k^EDVdJeQ3}(3~(v3qb0F+DHLau5*D;k67&4OwyPMr;HX60BI(*)#c+MBiErW48 z><_FvVnNKTSIWhlMGOL#4(y7qneu>^y$a-J!0SLI%3T@sakl7Fdca zp_NcpD&=x6>}B7&9|O)75>7c>8NV~cR*=2XYE;TOMJD$4!}oRJQNvsb4x2zl6y8ktluv4vIA`QMP#iV z&Rk56qW5B+Eg)#$8qjYym8wU}rY&~yUP!eHVW1LJYhbN5eRsE;k*A{Ja?DoB3DWoE zQUpc^CIG2NGo==jK@h2)^s=#(V!!Tt%jbn zg)`C|@xX6)Yp@>GKt?pbWfillCWp%^hKpjeezTz>g0e6+=8Y6ypXcTm2NqBE)wq`gQX?Zn-1d%?X~b!%#?z1Qw5c^URk8IR{YRA5@Czg(607LI^yV}2G6?_1Ffzfy>WS8_|qv*L~z@H5I%gO3ELR3Hoyi(sUUsgX7U9ubXyu~bNX>V!eCIEPj_-Z^8 zC`eMoA+G`d^IThE6Ztii;3DMG1GaPxU>z+s)4Wn@BtO~+I7*KE_rzp0d#7ip_E~Lw z%2ry7*i<3rtV)a|+=Dnu&IWMhP@kWE!Dt={VKWCe5 z>{t1c!;jzz4AL?vRnWpC6r?;MZ(vd}uKV>^AUK0iil?US-`j2eG2Y#fyuKxro5rTQ zg$=2$EGbg}WyT9qMpBA-lu8b8lA?ibSHrL-%N{Y80PIG4vv%>Vx%LmN<8C1f*D#kW z-)O?>sakf*7G7eVfCI7iJyeRS5+>pe5CtbFomaAH*97F(XWCb*=j4wnYaU>eaB^o| z7j_&@z$~ZCZoE5Cw&cSbHvgMYK!CLrC2p4U01rXrEtF{{XJ@A3NM)sHEXe z6qB1;)aJ;mnqzg`R<;G*vQ*5$ba0=u{q)9%yQqjXcFNW<>jebYrGJYzGTnM3jC+CqT?Po(QFW|(3RRKG_t;)|{TMhpYSd&o?7><O2G4h&6A0Lc*we6joG5PJjCU^7L1qt)1{&fuA>r@Leq6z zY$dqzaj#H}$x_xP%4Yl9CLdLU_F!bzv7>5SK}LA zs1+)$RULk}R6uzt#C6vB*W1@>P`<5H%F-Uh{mHhiDwm3I>AXmVDIi;$ZrYrg8ti_i zWDYM?eHtc@i8CYqUAo^+L2UN7W0&XHBAmd|{Jx`9T4(etRj>=xlRFzBDL=3JK=|)h zme4B@-Jm{$Gg$Arqj`8Alh=->Tun?K{LEx#A6GuNhx;imRn?euu_odAr8bp~NX(se z7P z4YUAU>!=0p-~ceS$>ycDvV|-Ke5$thViMOqaSS&szb~iM_ID8Zn7iE!njM&5=7^Oi z5lT1en0Ph{=Z#~m9OGdA>cquaD53giu>@5~Ud*ljP6@RL?$#u7nx|S1k1b?ByubU1 zT|#CkZ4H_&e3A{Mw%Ii3cjk{2?c^9a8;0N2N{%WQ4`D%OBT-E~sonhDyT_ZFLy~|B zGunPh{s8;#t?7U02;JW^=+|4#?pmvfCcrHv!$~Wn2sMAM|Trl+@?G4BMR z8~gBx8x$l<5?bhCHGQ*vC4qkIwZ(}UrG>$iVR_&(dTn_C=MZC9PSJO|?{#hu)o2DW z_m>CoZWFM2N)6rUq8s0&NApO%TugpchLcR&esQ;&)WBL;2!nMWVFs<9NYU?gj&IHu z*Ah?ZOBl-Y^a$Q48qnE(=x#UAiK`61ttBX2quDYr%9S-2Zfzl4?%XYUFuZU>-TuEy zZZu#)H^C~569s)>4Se(N?rk)M^F#t+4U~#p1%gm4`a=l*RAb??ve~(Exm>??cgy8Z zL4(glxrM5U@=OX{XI<#;AE5zUa{TJlB-R5sO=>uKgFes}xV&Ok-cHnk&C^#3>5N=%9ob4@y(tfN97BHD6UZiA4{pQoNYE+xmlf3|Qjy-UWPKmw=wV)2R>D z4wfS1k6Zg4jKrAwJiNu5n7cZ=##dGl=943SNs?=~%H>GJpE`_945-D=5=`nTqa7ej z{IqvDL3IQGuMjBTQ{Qr0^;R~7CkjU*amT?{nq{F zJ9LPein7Ro4&dky0E-p5GKp5DjC87Y5QhaJ?* zQrolP003Iaz1I`Vtf(3>noJCRS6kHyM6?=%Jd#+JF81)roeOi9Al`-YeWMAqPu>rQ zZ2cQO7=XFiQa+iVu1XOW-ttGLdsshds=^M9$?YY*X#598Xep#zulI? zc~DTrE+lbkW+yJf^7);mGD?f&d`+p%=rY7#>`lV)XqW41AHXksUEfZ&VZ?LwBy4OK z1eND>5N=BK6oN6ZA@GC;+DuQb-88aHe1Oyb2q@bRA&#LF_x85bR8PZc4ZG0QlwAH| zvUW8#O~I9yMOYRDVaL;Z-Fj!R0)3GNdU1gB{x5*Xul>8x!WE7EHfm9t(k{+{32@tH zz^mGUX{X)1%+sDEBZK^I8LAmW8}3+)zL4}58Cc(V1mRg5u2v2#-gqS z9romUstvcJh|Ab}y}{PDs@Dd2G||J#88y*XwWgXFnE-Kccv(e_v5J#SsWlN4Qs*$O z^I!uk{rB>!SJo~JYl-6_e*He0*u~C&(O{bwmIh6*P5iamJ54op1S~N24sNI@U9DX? z0f`C5;#Xq6k`(g~kOX|Le|Z1^tcjt-&tNohcTuNXBTFcv>RW4}l4Te6^Ie#^jH73hbpSpbrB=cPFz+KIA{mWgs(BJ$tb04 zoi_FlVcFMmi>lY19S$h?UFb26Gm`>~ex?EKXX-ns$r@lYPtsmfy+E~@qgYe(0&^d# zPao{JPCBrU;8O{gH1XtQ?sf~LK;OVdlHMG(paWBugjdXtl*w*=A2%IUeWbC$QT@LB z+@*S_m(r)fsiHcwOVxLdlDk`Adth6!dJZkr&m9$?xr* z%%z66SOrrKY_fhw?WBou(2pkpT$WJYapqpjXf^>EZr~8Z+Yfh-XVJoG8EvAHy6q3D z7XjNl(XXK>drB#LJzDGfZo5P2qZYQ~xCK$}9}Tf8kvq@hK*c3h zDW99W);y&;m9p)s>M(NucvUqH5*UQp4^VoRmv3WZf1aYrZX3B{I*MyQ*uqF84&nHt z@Tw`oH$I>TbPF!qJ`PM=7GTVKTA%9O^Qh^La*b(9e~zJOO&?+~nZxSc>|rQytbqzm z#VpC$_T|Jeox53R&Y)KbOmwllSlp7@o^9x*c@niw{7CRxr=PBw#G|H|wGbwJ@CC&f7|B#5`X2NDL8R^~S#W+l+qGi4xAd3a&b=1bPap#d-?Y zZOEVQ?rV9qp-b`nWniqZg#ce@H{_;w;!afx=SoG5vF^E2!>elGy)vfv^BFqWtxH=5 z!lVFM?hliQUo}&2Nh%l^4^hXpwcokg)TY7>xR_WGsLs9!1qM6#eIEW|PffuLHs@}^ zL3=`8qK8e4;vVjQ(r&d0(EHu{?Mu5=DPzNR0^Y*Z4Bcb;%US`+Ak2W&(%(|m`M*IH z5NL(=G^P+I#BO=w`hApN4R4^%^UuHU)(&xoAZXHf0v!HDEi!Rh20t^@2Zh1iwwPzK z9fZC#6@J(b7=S_ba6ErQ%a?sv*m4#jb4us%;ojw5ygq=B*giLdS*z{+0GN0P57tNAfM5DFodWp zrt~^0%Ix|(ICRUt3wM&}v4rv-(0gL%c3)2hr3&im5qVGOH}32Ovt$&v_)B|rw;y4ou1u;30lf!))|EWLg_f$_%B%<&m4i@@(Qji^#{EKDEWGD zt&}z6!!lB~t)ri&z-#(GrGMwhMspP~N9UF5J19?p!C@nI<`5gUh381Fp}gxcefd<| zt;$9E!@Pe&ZqYS>s|!z$I>FWLF=FZfYX~2HI^5*f$M2*q+TtU8#M! zJk#yyIHstM+vg662(f@ELE$-r^XA&V)@1>TGku)v9T*@GWi}pDad@0meN)D5P$H2Vvj) z^yn%X4-|`7PkB|`ZEqel)zPKyOlQA#1v3ezm)Z=3!3!aM=1sJ#sIxx;LDCf}y$MSl zUq+=ahg#)ab^ccK?OmUcuNrJf_XY|Y0u)cH^<-Y98dUD^J%$)DGFSruD+4Ndou3)NWGw!lj zu&Up}B5k*lcW_7=Z3yo*|3{i)&Ita{r+)qWs#qh}|zTt?NG9Jxz9Mhgdk> z`%To<;M|39@870Ss@Ib--L2FBqdnO1g@lAIUc%pZ2nWb9CgY=WBv~~f|AdO=<~-5| z_#0RWpF6y9*ujYDU?@rrpU`g%wONq*Po9<&DM~+1lWfR}U+$K?Fia73kO#7^kwmc% zD?l>!-yTvk=zeLZGoW7|RFl9ZzBb6GFp3%&?X2VHp<*@*$~sqq*`ee%%rz^!VpSO} zR=)(hcnW{&>$>V&$>wH`aU-S11^~-d{yNqeQWw!>x%P80feJBjgfm(0aI1qj)Cn~P z)S1F>KJwX3Z}u2!bI=AZl1yP=3_#K-PKm7@9AOC7Gd3p#f%|A3nsUbvFy77t+%=U8 z2PfO}sT3V*0pjL)1JBA8oZGrieCs@3nJI)tE7#0o2hb3|&%BN_Y! z=rs(@H;g_s?AK6`SX8oEP94;+!1wMEJkw`}2dAqO?dw(ltr{vZ@TGpM)u&DauYX|P z1}h4m#uG{PntK5Bc|B5~cBzk9cH2p!JJ(cqgDWr8R{VjY@5g|1Ql z9-J&me>75z>IT@%26qNP3+iOsN1T%btRC9xq6E(VE|w1?X7Y>w_vj0>gEa-czIIHH z6Ah?UnO^mB9~kuxdh&>=b(W3K4jibm`b^|LBerY-T75Z%xQtP@1xN^s9SbipU@F1E ze;!mwV0NuB0pR&gQp3m8v5WP6qczet3fUbHI?mQBXuJ>wI57eW?Gy_+Rk}OO19@wA&0L z;W-k(?WvVweg2Bk!|4WNdcP{$XXtjNWf)C-0o7(HTp?f?)z_YVVMzNOl)bq-U`B4y zs<;ykHH_`S@J{O%8`WQ@dJCIyLsHdOm;er-RF8h;dYkFfoCuk{6M)oSr$+{c6jvo| z!J(G7s>+|RUjr5mbxx_{NTH(D?vo2@EkgbDsU@?jg*%_TPQk_(_kx(u687 z&gVyDgLq5J)`Y z+5oEL&&?8-sydcTR$DIM=ARH0^}%r?u%Le9ep8aFN5%;>+uXzfheh&`yQeiJd5k-rEe0E)Kw z{z$3~k}0T&Q7DUBcRN@X^9PsGY}6{KN>shltVT?{eT<9?yff%VX$#Jkq0$=AaV%Xt zxK>X(a@AP_0V7(!woAybQlnsEa0mp`byQ473E42&EvSiNi3K?^pfBTuz%VtnpUC+) zZ!`>6mOG4dQR9A`ApX7%$B_^_c-~edK<|SX6-f5*JQe-c1KPVu2k*g;R_d+G=-~BQ zDoVz$4+8`-VFgGkY!3@aEC}aZ;h!lxsJ;Sajn3Y%*G0G%DY2!QOo-VTN15oIL5ijoekj~!y`*RX}JS}nYZbQF}^P)g1^k;+s+TaJPG-@XT zZjZq~CyCmfk!Vj5I|C}D^p=uWAy~e!|9_;^CgNK^CBKxys&oPKR`%oJYAbIU_sF&B z16Fp6kM`vB#E`zy)BC-Eio8@)o#>+uJb&egmbr^Ms!PG;h${%n5zUMF@9p2lS0j|nl@DW7k!A>g^E*?o3X_)2b&4MMAFBKqi=1Y;GqB=lqz!_akqg_?C+kDC9F8CD-xL8q9Gt z9T50}i(;hGgk-Wqg2ECP`}JdLYO@~~bQIxpQeY)@s%4?7IYHBCTUv$IBnSux_vNp9 za6}0v=>@Ke>fqKOhpsJ*Nwfg(-tA)pYY7K3&zLSAQ**a5q`ef5J1n`g7CvGlt>Zwz zzGNrg8j0W`A0oH~)JZ>Mw|I=n-h9yf2{H~C8m`hfSbrA=0R^m%SSe>+Ez%x2?n9u8`$<{T5Svr2V4p{FG%P71TnR6--5 zFMQDWsQ_MarV`(+r?%flzcHV7KBp3pQ4Q8T9Q^%BHftsh-Fx1uUong{RuKD$b@+4j zKFkEH8)VV}0z;P4C4#{>sVUZTE`Tq;aHJpHT3KK+18M`Q4NmTA>Y0!OwfWg_s^`lD z0$S-cBMk$^Is<=gFY%e_OPSu*Pm@Vpz#xMGE1>$?ltRjwb7+ANnQkwd2)HO-qx5F~ zi%5#y3GkuQZG?sJ368B_M!vy}dfl@&6BLp%$x zwgwp;J#wRTknk;i_U=kGx{#u2)O~R%R;LZRm7wv~mmliQ+>@(fiG65DUREtuk}|r@ zq$+}eI?%%$fvq2vH$OW655IrADwzXt?I;S`?W;Tq1>ENi1U3c*T%h7TbjN5J?G`P- z(-QrxGiL_12p(6KE~e;1kS?E{BB&K>QT0Mk%b8DT1~O*a*gFL=4wqS3MA0BR(``+O zSp?f#^izDtLsXKErdSBPE4xlc-#KH@x!FDX*uqP3+?3gO;6}@7SF%MvwxC!YT&5^! zJFm|zfBLuI|LP2l2TsY0lk{eC2s+MSM6jU(9bY<1g%N|05um}Yv`43eDS>P0@2HRJ z3jX#fw0nj2>h&AcaM;a(;z;KBrkvHnQm&3b7kL?P#S00e{#&2@A+nFO0Ew2di(p=h zlJU3;C1lX8rz3GR?vg=gfXZ9DiO-q9+Tb>vcPRUEAln#geLW+L6AP5n*h47w-6cpFR&%yY77Uwb_ z&72)%rB8p^CpV5x$;&1SQf;NYKS6(He`i^A{v>Sj9n9+QA!- z|E%33FNNqJ1E?LMr9w8BWiqxS+{2p=HMzILI6UkTF3W$T=QkB9{Kia<1Rj%@c@7-; zb{iPN{@#qYO-9P${pFZ+d$P-vgNq~JwdO(5TW|_5ospPw6YzT@Q=#9bkF6IwH%H3p zx4Lbd%G)PTe0E5UbE~}l-N90RM_KeV3YI=OV>!d#Xv4jQJ`J&x8AhRYh}a?S=T8|w zNR&)LxA-do(VqG05)q&qw+t8Gz2uc!I@<%!MxoWyG(az@VaM{eEeReH;6ljez(?S{C`iP0<;_BmU(gk=MGYo0ty-X>oT6vd*<#_sTes zA7jAJF2y9my`ZYWIM3VV3WpClXN;=ix7yW71MeR_`_Kt|ue{iLrrpb9rdT*#8!Gv@ zvcW}7ear!3bt<>uy?}NJ>>bnYwQUV$Ct8R0c$`bHxgIs{GuH!oDUSELR1=%q*lPf( zc*0R_kNVGi`K`TPGB4E<^kLQsjtpTzS>#+z(Oh+e?3q)nMO(tayXSZ>4~L|g$vmdE ziyFAyQuMPZ!N#y#Tyi13c#3!8qxP^=CU#WkTZA`pRb3oIK8BXQ_vNRKkw$G|(AyEX zR`$hE&Ej+K|H#qynO@+t8TVLu2ro}lev33pFi!ntQ3 z6c8B|>wlDZ;u?=<@IAY%7wmx#@8x}KV@l(Zk61t*6XidESs&E4FM)@s^5*D^uc;uV zX{H9D>=GY>;PP~p=e`BcenTSfe?pW12u|$0BX^#@{h)pBO0P*Dna+;-h^VHPpV@Cc zURe*|0``>^dp8~QC8}!3<$u$J8j z#LgryN@__=+~aI%ykT&bGbM~I1GTOoTYBsYb(!F}*1q#MQsR-MXz?^E%>8lYT)0+$ zvmh1M6CZP&%v_Bzi+iOqiQ{$}Yp=g90863WUP&3GFT!9aU%9t&kd87#)Sa2>F8? zQMXhkN^v3xQo8CH59_nlc*dVdmjXZL;n^}mC^!)?))M3?k~*MYIHkh2Qf?T<%De55 zg)`fn{L+$p3mFM1Klur+nd3Z8YkM$MN$J&aU1afayytmg^wk5TE^;*rzJeiY#ECa3 zPdT#Jk$44p^2e5R$r20%(rIE@PC#Qs6U92!|Byd3>gzz0YxI+f>lj>Bfn~qn7L8q0 zMIhi49~W#HHmAJZS-^Rw$|$wbHlc4E#7U#R0u^+LERnCz*{%j z<3a(%{MBUz+ z#w(+>nff?C-jZ`0M0a}0Uq-@8d^383d=&C@+~Au;4JfjWt2;-Sc%16P`|p1 zSEHJBdIkAzdW?uGLl1%b_Kl;j|ULMK|8i?*RFk-`3ix-rd!>U6kxzDXL#QzUo(1vJjEh zeXt2>i(-pSXn+9h>stp+>@ya(rUPVH(F908)Z}!ldZ9x#PiS!g@32HQy4Pw;*)^V+ zW6HQa?-&EeiR;5V2;6i&)Q`7EO&|?1Ore3{7--kjGZ1V&A~ z*&}AcQ7eh2@w&oxeUQI$@D2qEcC>=~vrW9Bp6YfekTby$3Tl^DMcSAJ@+`^=LN*h! zFQ$1Siz=S6I8tl16va=`{U`Lh4ZRKs0azk15{(^;-GrK{Qtqza16UUMESs!)76ngW zQG%0tFmqYH+w9Oj0*g%eS6W7IR?A;%^{D>#on*o6EG;`6Q@3hxX7JWfIA9IsDi$z# zVdtqBUabv$kLf;?W~D2}9!((d+@L^NRFB`z2ST8Ii3L-ez>P|A-fmy^rA?vC0``9u z&z*19=dNI!O?~JM@gAMi50N=-(M}IZ$R@E{W0t7dbE|y~pLq%zcXj%Qul#J;<0*TbnSZ>`k}?YMM)8aZamF0nqP7TZ z@0Aijqc&Bo6Q^SSfqzfR;?_~ro>lzOQ6C&(pKF$kV+T}6@acGJ{)jN%M zb$0en}!wT^Tmh_UdIk&&t zm_;0m>HTZRNJ1RV!A2s6b~81o;Zg&?();3X0){cAexIl~B?9SOhF{EOL-`Byv%Skn zEV2F^#5tsjp3+}Ap>-g<2DH&1rUorV5Hfhr>cbCE6DZ~XYzdKMzr%+Zf*mFR57gXI z8G8%(Ezy9T(k5nph>*tCgXV?dt(s27nR_dXQZO#xoy16paxLQkp*hF7qvNKKZ&j+} zrMu}y0&jwzpaq__(0WQIhlBRa@hwnd;Gb^DV)gO1-<<5C$W{8^{wm6)`Dl7Yuy`zs z9!{HL=_bI;m2JiyOhStq@&jDiwPm@rbUJuv*?enow3WKl z>75x(7<*i7rVjPLdGbWPolnhtWLtFbu}d0c)kLA-GNGa*fOmfaa>y>U61Qk?xc9Wo zy4y#2;x5ye>fZYI_7AS7ed(arR-Q+cZsHRupddJDMNE<(^Gl1{@QOS2)F9ts8k;CW zxSYWrRr{8q9ZN@(`(=~I?)(eP5xjzXaHnJZy5NxP>-@6Ma@PRxnAQzj>PumSj2R;Q zTl&nP0U~q@4uE*xrtJWD_t}_hoMgb+M8YH-PZO$wlM>#{!VHte3t>j<K=6_n5P^c=KYbPm%Ic6HE|HIUtdNwhfxt-!e8tx7{Qm zudT`xJK=D4A-WadE8=hPr11O;=uk;zL z!gC;x@czm>EjnfvxFw`%O0rlnk9)%W5?OVTY>^N<>DFiVkfZLz3$H=Y!glr^J*FMR z*;-V`?&m$r2K*~HhU=dA(zt!yz9L`|Su&Qi4Vy8wcdK`O5h({|CHNB<%gz(b2vQ_1 z+6iRhAXapVQ*dec%$ms_a+{^K7~#_<)74WAZ&Pg_`!OXW*)E--DI^Y0Ded5e7jww6 zROQQJ#u38V;)`Z*D#`(eV7|6VTUg)CD9NW7qtJ5(3@pA0OLHSdTPUBj+e_ejTq*{n zSlm7iH+I7dZbFh4?jNY9#)I9#qPUS@hZBDUgVGv~^u3x&HNbHhPqe&&UJTnsyN^Tdx|Uni7LX-# zkqR`&38`+~1a8`Rqyz)2BNyldmIZWQ?Npb=R#G%>2eTc&AOg|HAv$8$;vU@D*G(LW z25&VRDSGpcYPO3YM=;U;V-)e~^Vo%2qRoJ8=9t~4PC3&u!vnh1Fo7Q_JHVV~B2Mve z>txtDdCTrHA^iG?{_2Mh;PYLbh3AFc6cgfH0Eg(BR}2uVO2(`vB}(|{IQgY%efz`H z!-^bGz{lAGw5knMuiENT{kt?PczBy;BRNUTZP>I8B5b(*CJuSH71P{1z%iK*N{ee* z#IV1hg4)+WY1&KB;#zS%Oax7hR-{#d@S?WlEL8;1%)RJ2hf}F{a6RG^INW}rCUB!T z<&NMeQ&1Axr(8i|`qjMXiKs9@_B5!R(^lR?T)3DgZXL%3S2V`H_R9^d{~=pR3xRyt z7Pq;?yj;k_WU2^JXrZ(n4`gt*`bmHIpl(}BkAuVSA^j@j+y>@g$EKJ(T%kZ>zIS7I zPQW0}s97-Kg*Q9-v*yiP501X@p+XQEvZwX%?gwBLKgxzO<366tgP{azFDGt6=6J=9 z08iL%+S}^f-JV?-cRQfn1NzM&GYN&XCap!{3K1j9l1~j1V)06gi#%Dx4Rm(5chs+_ zP7*@o;fVT==snR9u!kLKsjP&G-hj`k${7=w^p=RjJwAUUb$Rw@M5Oib^7B>Iciyq#mA+x zuxu|NcdkYF(xMfS{S`<4LHo2{;Bx6KlmhMZ$rse$rhjL3mWrasY_sLo;%+=o3bxf^ zS_qijMG4INsX3f?vgXj3c>rXs0ig@vrk2ajbY>&uwQty}Q%t)Lx;~-DXAoO~76qLEnVDg8FAE8Geg9NHN6z(FZy3mL(=wt`3$4l&eAW_iwG z1}B7^Z(eMg@sI$cvA}cKsXe3fOw^#hVY?I-3xPG1md5?WXEl3Gf+R6(6XyWYnBL!g zWFZre*3iQqePNg|_UIj&0v4pZgjz1q;W7ESkh}njMLgpf2?T;xP6Gt}!u%a24!Cd? z-R$9pcmtBPTX1GmRQstl;#`bR;TZyDt?XWvmgKT)%wqQlR-01xU!MOPGx?y$m`m_M zy`j$!0D;2?e=d8KBDijrvdfl&(^ra)`4lbc=F_Vt6q%QI@Bb}!r^4t;9^u(!JiUEs zm;!>~8$G-#QysTeJkdC6yw@CVIO`>em_(Dug9_0bcNDpr=$3)#z`k$kHTGzCiaz>G z%6f7IGfIy)!l7Wy&&!$%=bhkjb^`$|m)GWY;{hUV zg%|Klv8dS8`F2Fo_E1vvgdEd}2B!SUEN*6CL~=>47{sKilKbH|v0mc7P!RJ~PsJ^X zOCPZ+0SGWC=%Bj>AH^DxHzJ;5)awh6$*5EfTDWmOM{?S~tYwPL`F0HR1OdP(=-@jQ z5hxIct67pry(^klBUrENV5E%)o3^DMl<8Fv++wd z*;@uKm6LfftV?s9vZp1~y$ACGbcfE*=hWQ@mfGfxG z&s}+U=$_n?!)-ZXz8MeKx>`EftU2}H)NDrbTd|<-wD-+9cPx9*X}sjXnR_^v*UZw| zIZ%t4=29$vmeHNfc8nBb8fmqq`Utcg?znAZ8svE2m2uY^U#g*Ke4{PbK#zlTpSax4 z-4B-=CC)+cC1Rcg^6sSWeZMCyM#KF{-;S#&XYC{+V2eSjaNGWlttyP!1b(NRat1Ll zn_JEREdZ+|>p1^*-O;3$WDZ+kaE4@SOmWi?yJ&`Q}|0d>EMg-vM*&?!7T%!EQ#@C$gn# zo^iT0GB-iBe9fZx22BzZiI^M6E0}%YqOEf~dr>ixj;GQ%I~*8e3U}(*ZwWL%t{#pjAO`t;Uh1 zhJgM*^--&08>7T42(>#a=onl^U9%zSPJ&QIum+z;U9H7oI9PGirIwZpoCT97=;Z#X zkBRv;J-I4i5Ou%b!Z0d=N^9H_zamz(G_RtfT|!oqOj0&Wnx)5V8+3l?qW4eJ07>Fk z&6bao}2z+p>%v5KQ_E%MW0jodogs$w#R3^1wY(>&x zN@|wmZh)_PTusF_4JWdlVo9B^f?vchP%Tl@gx0dQ#2dEx103lA=l>^(U#!00Ry$?M z7U*WUDU?=-5e^tJ6_iyb;nnU)N6jy?sa=2xrNnNs2QN|N$yssI8mEDO$Pn~El`COb(*h$|~W%~@U|My=^F;ZEa><7&;eBrn&X(_?B8 z+}&vb{#GF5ZF%|~~_LNdw~ExxX5fiz6OX%I-DzNTIVr&V0G zs!qqJS+#UD4lp_bk%Te2aBH*`I2E*DI!rq})m(OJT6Ixp<9geVbuo?P%Vawy#m!%G zT3sqlEm`1OYt>3TA;Gp32RK)fi$o6Eb|@Ok7==2>28o%}l~X zwW?ZO%EYCZEih_W<&YZKk@S}7*m{A@5G4VBxJc>Yf<$Vzk4uSk*DqP+I=bk2#Ac=q zN=-|*{&mTzkYz_o#Ek?+e7LDG1h?%pZR5mk1REU$Ad0o3R$B!RCT)~ePZp;DCT>sC zzY;{Dop?rQ6_Nf`T$1^eYyectXA*X>MQBv;6$^C3AG3*Onem{SY*bdway0%`-IE1? z+L5@>LdBgH4Kg6Pt4c-zQ5&WNAN+Ed@P4_mC_6>l_K3IZK0l>3C9N!)vQVqN*+Lyh z-6|X4qOMQ$U#f$_u*=h-SkPKA>N;@{uCF*th7t@DT-!*TlpUqj(27{%T#7DqbTtw2 zWC2^HSvCPUmJ@N&DbKUbOr)8%hHwa4EXT%8IO0cV(KN|Ng zKhscIIXRwAwrXousY;2pPSU7!>V$6lBN6xnQl^B@iy)Fh=t9Kied%yqF_z*&It>a` z&o+cC;t2yxqwxO+O}$f9mZHIcSgbC;2wH60Et87#gNlI2Iv!FQ*LQ@?G!VjMTAryj576B`5D4hs7MA2qZKiPl%YGF32t>xNsn7=;J6Ml zdacqbV-#r}wqQDrivl)0u9Pc*1V!1J)ixx#Kk;Py~VA)JiOEKE2Z7oua&^;7+LoqMIbjKp3{bGJ){u;z%*2 zYB87uahLZ|y^{z!P~yaD%`qIh1$sRS!fQ%IEhsHZ$}Gy&Bc)W{56xa6-$BkJBPSx{wJrtO^V443?);REU2T$WD`4 zOodZ8N;2ukIw)j(1XWKVa25u_IgaIhs?Sj;OGKD?RIXtQIPOesKTNVkA?-OiIAbwMh~Ba zqG~h|m0RW?z!}?uFx6IbQvH%ql|kuL<<}CXZEJ;+!qM!a#EJ|FBZ3sA3AUL=BIsc^ zS<`B9vALuR7=z^*=O7o-(>Q|pWJ|5p7#3TDS`Dz7WZ0)J8M4v|R~8L{5L8~7K@X2Q z#SmAjG8rCQ?5mzATlk>qSU{?^*`~&^?G(0FhmNKQrJy^iAovtDOfA=K5+y_QFbT$O z)mV{{E{z_#kYrs#WsO>^sYdibOil5Ow9G6gON6eVG>Y1ZAXu#_-5Bq)!}9RN1+OM8 z>Czg#6oxY!yBs9X)i0aoid>1u!pq5ES0QD}U|D04PpFK?0nbzDN$ilqBEk@XHq}@P zs1Yb@Fd}$d#_&Sx=`~eh5DjaAR1;`(Uj1z%1d-d28=z>X>rCH zSJ4eD$n)NUjEkTcr9pS4w=9Ph035=Hlv1=rR!M`#;ZZ36u6R(DQ)vFz3Mf%=12th* zod;hQ95c15Q4ULB2~CHAy@4Jg5sFedIl0XE_yDa)EY*@5<+cTUeBftsIxJb0l8)(9 zEep(OzW|ojvLKvMFXac#UOF5w6YqR9%IWn!$)1Zw3ZBl zs~0=Us&!$ttW5N;mvvnBi)z`^0KpdP2Usp5h`dpuji^N}ss5188pA^^A-Crq`!Z|| zIewnUV;be!<5*7dxYm^#VHA+TQA6Yg-7t6!(T&0iMP=v`>tx~?i%S9ME9-6SD+?v4 zfs2b_K}`2#6Gp$~j0CZXA*Lb;8Px{;#uBwcN_v4Kk_M0>)MnI5867$Q-dea4oH?Ny zC6xxb=%=#4zHFO!z`$1KnhCZM!3K^P6e|%SLv)2MuB?)Hs&0Xf~s*X6s`pu#I_Ywd|woo6`o-VteElB2xf#bR=~9LND)ohQxgy< zb7`Exo-B~b-_>&n?aynib{Z#K5W$CF#|MMZPnQ=3GYNgiwiG>OsVyy$x6>$K+@5f% z*%a$>Ki0(;U{clN3+}|-6RJ=&(FpLaa>Hjul_C!Z=!E>s5N%-EOv^8~V?oziZh$Y! zRXfX4RV%#<1p_Mp^BB5&2r_FbSE(hUcCrB5rxcV5Do>VIxMar9WXM{`SPqF9*J;xJ zNY<4CF?vO4m7a(b>pbgD-s#`&$|i0!qDTS}gE(x1M3{0_;PH+5C~?9z0AZ_<3G$pX zY1#Fw$!avrnUKZ507WjG%twxMcNAryjH@N2;ZP1yNm1b^S=`q|@-u zaq2h5xCqcS$JM)BR9w>}@1x?}0pXh&^~GjBNoz*Y3RZ}fBH<-!ky@rutPDv(a8~1d zjzrUOd7S+NAd!3dgkMWmL6PcxRI95G-F=kpW$iJpof=@0wvUY{ycE`aY^$V&IKa)+ zR%^xjA&%Pd>~0}6W#_{Nm=9Y;@93C@gF5g2t>q|seJqM=aSrN678*ejh#7=KLp~T4 zKA-f?AGDNWRSk{v^VXY(wyTtd0N?5+y*+|kL*5+-h@6)v%_=q|;#UpL#}{y&Eu;)Z zOs2V{a613}!Sl!!t;2h*>L2Y6K|S6nb1}}lcy#4DQmDJha6@-jIEsQ&K#0#0;s2CE zz?>FB*k#M`l#eoLv7(M&+voz1?*qa_;=3h;08*G8?nDkrdVK)twMsylIcsKFL_kQU7zHR4D;(7Ei!tsqB zJQN#pmbIW_%{D6GX$Y28_glEvpwT-r&}4CPT4f;THN9}}9@(wolP$ZSl9v7%ox0oR>$ z^|YdZ5i1@^acc&`19plEUqIuZkyQbIK6_|l=tq@qz{;3Eyn@E?%X@Ejum;)z!iJ?6 zPD)Kti3I44i|?|Qm!U}?aySUR#&TD5My5ZLlI77f8oyh{c0AinW7<|jT=G%9iP>3= zE6EI-Pv$GA14QVg?rNfuCsJ$G&2qccy$Hs2-uVSKtlXI z^Oo0AU%|9MIkl6=28{!s{sD9bhcGNs#QP(oclW|LDwTy6*c1Hs*WFJR=g{gNFJ7?v z^LMTsBbaa1%~=p1pp~ckK`@r1hV#9XS~DYYUaR+zrzB3J)z6&Osrdm2VBQ#l%0ZQp z(CNJkkriZVKAd-EMjI2Ylw3*!+~V)N1+WXkzGok-SZ&Z>xx9XHTlN*e=NaH}i|v-X=N&2( zI7QrhXbRH0Tb~RpT@zI2ep^-LKgRqfI02sCTqHCG{Wku-HwO|ju#LY_mmdP0cx>pM z1XABdXg|vv6{r&gHLyCFHgf}6STljqU?gH|9!xsP7P-~0F>CuynIHj!HfRIF(>Lc2tX+KS_ca7{>}VN}K4wVHKsGKf$|wBSikVHVoPMJI9#711D+; zPTu1;5O|dcVU2@&OaE}@( zl8(%M+C!~9x7GILS{1g}X43I8o}4%pfLoznDxvw|qiOUYFB#B_+g1OS@erV0BnwnR z0UH5djG<%J-r3$nXt|`;4fqed6YHpuu--;-!g==Bd+y2G@Y{@Z!|H=atm+Kmd%(KU zSlkHK-3fdT15m&&n8778!RTe9s>aTv2N>ZCpn0*A!Q1YmITt|uI_042ztI{S=m99v zc8b*JH=gtWx7y6*xH7nBP;xt%ksZik7oMl{Pt)l4BNt}?g>sGJ?uaZ ztv@;*%zfGeoH*Avs~2pZ(StQz1%ZqU4+s^(7&!lT{KLl>!`|HQC35GE-JdQ$nZ=HK zn5%t$2m1(P@O*0TjLd3p%Sj+l8OsV|XaP?6>*&E^pgKgctKMf-lDQayKg5aFd!KWD) zPwlQx+UK5(0!VlJMmIIn8|%P2hRflr*qk8tGuHAb-uIznsZ*u7``VfGdT zGelw5{>6pwMZ7M))`5d`)c*S19U}=A^{DQNLgk2nf~!ugVz#G$hA({ADqCFpb@%6b zV0#Db(L3(?q1`uMcru8A3Bp(B&BkT{l1H4lie~Q~msH@0-e9b6~Dt8%>&4HyYG!Or(t5o$t&IbReop zJppNMS74m>T(=R3=7ta5cTU#FqA98Ivvr{Nf%$~x2gvj_jDUMj&$Hgq4)*GZ&3 zz15&wQS+5BMzN=tyMF9@WI4|x9pd<-^@D7+H~%~40v-^J`GHf2&yjl?6E2(H{QRDl>EBsyh57EW)z zeGWKZXVVjB$4Ot<#(f(0Aqe*4NWgQuSBi}(>q~Xq*=;b}LY&9u5@NpYW?cYL?#!#; zf4*i7^g2BD@1hGNRP~OL9f)XvQ?*K6`L?@x{?961xoo!(XH4b5@Ca{-rM2F2HD%ec z=P@YT-l`5{5E^=yZ{c05*#}h=JTzU?RL!dMkqgT~r<n zg1)G?XUC&?=h%J)tnn8-GTiCSYT14OEFc)|^fcV@?b%^B!o!;r>l;oB1~LtCjx)Ka zd49|}(Q{(`ihET?ZI9Qn_h7!E3mwTO$0Lth2jsaTBDR-@UDcs|%m9en5Ua+Y9e4dk zxz?z}^DemawD!#XgjKx$pPY>$w)V#S5J1L(XDWw#a?!cvY$5gsCfsVct8dJ0u1(07 zsne5Iz0^}3j$oU0W3oASA0h8v(%Ctj|EPC3$fGn)*0Y+YJFVa-`yn`NVUbqT>XM90 zEfHi1rQd2zBN9)j<)M4L8D3-7|C;aUf7wjsM*Z3GrTVyi%bxWN=;_UR!p@EstZr_k zn`sklee3XLONf%W2=7;yEQz1S$%W9*@W+CmvKscnrFuTQyY*mj9c02abYW#cs+I3& z2j~UjOxp{$yDVKQ6A(XI4(bprrC`fADyPoXR*bGtXTX_jJ2z*KwkFPCAM0Ye%Tv4Q z?2TTw4|YTm4#fW5k&o2)P++dBuBPQqg+1dQ4dRKw8;U{`$M{lxA1tP~dj~l%WR4-u z=@yE(+p~N7xk1lrRhv`F?T^3GtY_NY;;aA7AfKgv4& zi}sDS-Mez+iL*o4b8`P0<}s4Zw1M?|j6`o)p}Dtqmt@%XEY09l{y;hV39W@FHJqBV zbGxHgxBIrHLJyObF5C`uz1l_MMO4ghSwt33tYHlK4p5x!BKb)jZNd>oZxPEW4&9CEK~%n@zJ| zHF9qacU$2u?R1ptyYYp2;0GAgrpoY+xu13H!{0KUyIVDQG^Tm@cx77#Yx_5CU0t$j z&dzQrVC!}2(%hj9G#v2-X}xp~H2;)Y7nc~v)(_|V_VBvX`|gn^2#f(_pCf00VvMjB z&Hl`;)z$(={QMOcX;E?8;u9ucZ=0)<$_iL#_S68%?r6J@`r95r0Ais0dOhcCRZ;we zU6bo``8Ovm#xftgdP;1+6wylP>MzRyZ79Jvw;IVPO+$Onwy! zH1~;7zYEm~o2TKSwl?n~@gW77GUPZn@oC-T?I|s5xq!h2OyH|CrYXW!o zuQ7loX8SDFQSdqu%YeA=HBD88`fJ2!%?1mRD`}~}VYEpe$)3QCbkqWk%t{G(7flOo`-vPy~ zPvz%5?&A~ki&6L$zs(l{u&mr1&Hn799h04X!lw*J)z*Xz%4VWa zpwolX2-I^=-j7R~UI0N-BN|toet*8^5Ig^>2h_~FmAcdWZJ4JwmSm)YrWsT*f~=4T zMju|oxKWvcoq^r)(4BytIgh#hPe{acR6wh0V~6?u zyE|Y@9>lSTF@J?06ET&E=)L!@4`^zA@9I^1evk(tAQboiF|sdGrnDxl8k|11nVJ2( zyZ&IyJLZnbFHjh^4Fben4C(|9`D81Vo4qB`_4xLuLu}8x$X?z-2qM<*h#na%}!7XFTp%( z1DCy!yVXAj_U^~zAIR4#_!4!ezOcD8hio^mu7C?73#a!e51*;oYAmYkiVRusblI83+(Q+F_5 zt>aq}=8$}iE+ds^cP+b@?eDp}cd|n`%&?`}-<@_R?>%sWOf(r@;p9dYczYm~ouao+ zD72(Rt{sebgf>;6BvMx(hB0tSB>(Q8KcOPt^@jUqH?aLS3gP*VO+DWdoVy^N0l0PluWU}=L@&?H-(F&oo_yt7X zyaJW5n2zq?!+NU29R41>UGEN*kj{65G(+<>83vzi1y{YXg3&B7AsjEXR^{w6$_t@S zY#1*e+qosw#|lK2nbHq7UlSI$Qv{0P(F{12=J2^+-cTIkqoh7W`(@qq5}uTRX;cN z-yOU6w|ZS(FM+v~2!(n?D^xrGb327JSn{GT48rgvQ`X^bh*7n!?$q6Bu!y3Z<4YED zdM1AfH4+z0fbM8UTn0K%FFH#SUe1Ht>ZJgmQX)RS9hdc>Q;}5!V{~NgxjoyQIG-E+ zdw1jH^7l7!0)cuC5|W)-hBrADOOnuVR?;Dq){)_{VH!el4YsZ!z=byH>tpA0_B~{K z40_)~LE(-F7O1LPGHO-rn`x}+N91!M8*0Nbv$dvElL7(L3gQliJOHqy+b>=?$xpU( zAI=65J0}fDh_wL#^`%5_fgF)=z<0=mM6&9KsnTwHpH88Ku+w>$^ZB8V0`83 zW(OsGIXb{0gyd+kFxT*wHN&2ee?b>;@w#)(?#6Y-R*EJJ^Dbt*r&6V71aQ*6_u=ObOY6?LG(f z4YL`wQAtBc0tphX1br!1h1?WqPf)IbaNDX0tHEZ1U$t-{L~Eoyz{hVtb9rt&{>t{4 zt@Xbx;EZN?9}ovME`jZg)p|mH#fLmp_pW_4hwa%UwQ5Q=h47bMcmymQu(mZk<6i&z zf~}idUBZ`E#c~5tejiGSq5}?;VHA_?pSWiovrAXHbWoCmUEFhFG?;k(v+_;&1^<`+ zR`)^<`L-Y!3^ocVL`{&7MiL+hE!;#ggkAVd^+G~vR=R%6yC3G9Hgw91AN@Im0P2;6 zh4%{_FD7{CFR4Ug*rK4k^AX><3Z8rbo#;*$=M4NJ8-Uzk;C4;-k&I^ zeHtlX=#)fQD3{X-26z#O|2C5RCSVnZH>Vy_7BgI3Zrj+cbrcN31dvSPescl!91d0q zmx<{uZvsn*?4b)%U<*Lh1)xNC;MR^are5PjN)bvT95*OZW_u#o_=)@Q`Tn*3mD>fr z5Qh411^GoDv2DPnfsFPZ{byZTB+1FSMu(MvpBV}_-Fpd{P?ZG*63iM zF@c%w>)VyaGN`av$p7>=#6=S3+2`-NE>67jA5@njpltI|5pD%@ID(SzAp`yb+>+nS zZg1Zh`+Tvy`|z?!QwokVY+D8g5vP=Vv#%eyXLo^2FLjbNu!Bj0_x&B`IwsKDoOA!( z-opIDk9huhcz}+Pz==RG3$1ZFTp&s?r1zjBhGTc?UW{v)Man3^xe2HEM;ov(N4UXF zhT}i#-@J0?DjoDjdV8#|7@$}#VE_tv`*}@#2NA6E_v`71Byp6y7!tAlkGAgFGpIsi z-+I}7CELGwdrXS>xWfamltEvlI1B&^xKt0;4-YV2NMN@j>PvB@Lt<7vfn8yv&?$%~ z?1!(ofBxEoquz(?Y4^j!kM}@0U%(Wgu9*m35VWQ_xPk#3>D7i&3}B(ChCFn~F37Pk0O+WYEt@NqHr$_u#SLxH3dZBvnnP%vLn$ zkLh?5+q3uJ0LXtYzdpL==8wE$dQabRH&+Pq;nA8ltCk~!2J2|Ea|dr94&stQ#o|1* z>J{!gbC~Vp%g;T2<9GMparbr=5Ww!XBu7wy8~RqzHmgneoeuS?rznqVPCM9T3n?5q zL%jMB?hh1?{qUtZIO2!j_DT`^kF6q5QV8M$Xo(xE-S3uA2;-Tt zeHHs{4z~J9^zc z{u>0_PE9I=c|<-Rmyw7nLq?#M{3+u+3QqTKv2 z-zhMX1Hu5fnHXdnpsPGW(^~_aBpyNnydIyN$IBWPi_`a|&%=q0#KeO!>T!_R915h? zy0U4&t6ulMx90)_(!jru4BX9iIJY&y)ezLj&;edp-Qzz#wJI!Q`Me}zOSc_3tLjJ+s^D&hG1O50v2M>EyX>F4 zqlFx#iluRk;eLPx<Zz(i?TLlw&~dVuVkF@u|O% z9bvN1>_bHQ4wk2Te=*_>yg*^czcd4z0Cy6sUGN`~EL2Q;9tKO-bnvDZ$DF(C6)$pz zI0iS|hbT?p?(J-fGw9%bMEB6auR_+kvz|ZdGK8+qq7>+6H_cRgvk0-e%>CbSQR4H4 zcQJla0}y)D-Ou^RX>{-Kf$*nQDUYae=* zgwXEc5Z-F&%CNL6uSlIc1xs0ZkXL3%!B=Y9h}7OOOyK~koT-H zu=?Okc-0-J^6q0$=Ad|ud#ba>;@th5jVjz-dv<-t{h(0s!R-v@luq@{yM5@f=O^zM z$j}{P&DvKm34B0!lKwW1QV0R`xaJp{K*bJWuJ-MD6#O{ixO)1vUU&XRHEq9vMS2VX z<}{>-HH7ga7C2mR`X6Pv|go_G_)Sg5A595`fy+$GQCSq|C;k|U#vODSV3eHuRo7i z8m4#$0>Ho0(3TCXRsRfvTH$wbdwp>ZXCf}6?nrpPiGfUKo%weibA7Up4nUOt^m%sz zTB<(2h<{QZwiX32qGL!)hKbfM%;Hi#m>MwAI|YRs${}(slvAvlaTD=49jAsW78hR6dxMAn<;mc0+?`$U z20uT{c!S5XnXJ)r4KKewSjg@6vRnO0U0HwFczJ9Na_y;uZGg^B3fK*Zk-Os_mX=4g z(#$7O(tvJV0kbb?xE_-Tq6-WCLGE@N9ABsH(TuGR{%a4tP90TB80PO{t$y6M2Tx}1 z8sL{@cxv4phjAsq%Wq}}?+mhg3sze%*z=ul?m9VCEByWeiZUJ1q#)3+PENfxvH@N? zHO>yfbpJHA(nMK_#nE~_x3#^sje3SSY}F1qkJ`PSmb!tVkCQy<7*iPf-R$)(9KhpQ z7`rr9JRUfarOL`<^8D*aHP7|Ff6FmrFgxuCE_`6P-8pfe`Z=7f5z14s;~S$Knon-* z*tn1q2ItRXqL#5}p6~UpZ7f{QZFST-Y99IQl-0NGivS`$HI~8T#k>Va+#CJbh5Q(= zKbQkC3cNF92UcuYz~%b%4^hymZ}+kzMu(^v`Av8zsYbxpM@$uL{J};K(>Np5MBT&Ax-J z)5d9zfMeCqdHF#vzz%{-4uv9>Zi-cc(Tlos%ift_y+5^^k!hQM8dFE0e3Zv`hiy5j z0kNW-KQc4OeZafF*UR>@*LUyE>t8pj%(C+aY8qa|yYE@cK2UO?@r}{KECx>Y06*~H zF526lbe8ox#2)eXxqWvAT&i0%@Riyv`J%Os+sd<}AJ)l*);F-?4leiSAG!qcd%6jN zd$E|rQVpYkWWRs;H?Q{Li>hm`u>lcl{Z#p5)mdin&*yD`Dn(`abxl0t+zon31#acCf3mSPo^xYoZ=)j z#)aF?-nf2avptt>l-A-C=hkK1QO}I6PK>1ZH8kEmoWBEU5pW8T5nAucYphXE3uyf= zR!R2Z$j#N<-IT$sP3qZqyn}tL*JCuHg7{SHx!y&*`r*Vq{U>>#Xi7}V&xv`mbz}jmuSN)$n`J$zbHe zwO?;loZm5By*~?#(Q_v?y^3pA6s`C7v%nAHgn_Q{hK(e(%F+|>@zlg-`>$p_ zItvK=9pE!PceF@!3c4RhdH?b}sw16VoOa#6au_995wW_^EI0Tt=i)rfpzv%SBfbT* zaxC%Q;Y|IlJ9)VAI&51{RHs3d<-Q-_BcP_$ar=iS_RO96o{JR{VPzN^_7!~LQ)8{% zf-({Y?&SKQ?mR*nVC7{LK}z_f=pVgK(J$J*ze(wAGAm)2zKVzGzyz6}U7>lC8dtek_8YLm`E}$OX zGlvB4TMIJq+YJCRAW1l(w*f8M+&F~WGm;9?iid z&V($Kf12R4J@@^#_LVi!Cnw-ty0wqhbY?PQV(`b(Y}1E(d2R>qO!~2E?FG12v2KC& zefVGx@0_!#ERaqNWO=l;v4D3@TD785s}##gJl?yCmZ6A!xeuTBA>s&YxLDuKfwb+p zy9SuY7%GL9>vsp@@fwLqSr<{JSkM9|3!ioE?vje~8NUvEq;Pz0ja%O8Kz!VCs zXFbe+IPez#*vu)c90kMfz(#5m-l^#zh=omk2r^0=E#Dc~c<1GLUgTM}b_Ni)kMF#S z@0+#}?Wegn@8KT#WEljeus8d8Ow;Q6aHbx8cz}j)Ii&_XIrQ(Ltkun{Si{dvx|iX< zEv$HPh<%UDgYzmH&yR+%$a1ZYGw1GX-9*bRCliGC&cx4;?_L5y8LGN{w}s13NX*^(;nA&!Xs3@HIvPccF6UhL&giqqUYqaRdG|rj z>Xb1{loSRO_StQeqU;igXR^MrpT!S)@SW1F6mD9t4v!DLp&|-5$R4=IV{=LEPP?y; ze{1J4PB!ZZ<{A$5Q@Q<(H_)&>udJGts`K1BJX@0|(vcBnf&B80CAVkXkM=e&(tB!0 zwJ6V!$$xwoD={!S%!)dkiRTAUn(yF+mW*IXe+M`NRIRPexi@d$#Ymspmk$H%+8i1l zytWUu!u-V;xFtpIp8}<<$M*OX93nQ1UU+audOtJe_BJkiBRyQR)>Oum>CK(N92z>u zomx8*uyMxujzNRV0o{x#f~2qy_L$T+pi-}6qz_hQ;Qfbacjs^pGG*>f9ID2*EnJm+ z2ly6ek*fs%GeqMFhpoGJFK!RNt6Ze7l5f3k`rsiZF9E(S{9^i!$&w09V z4XZgn(rv-*A6&r;kJXw=txUr&ez1#Tr_b*8K?C8FyQe^E^AHpCeJc+A+TUM2{un&A6-oe|B25qrn^(;_W4rgXDOvGz&mBB@0p=Yfzr%|+i>$7nZk!ZcTf8Rzpp z=(FRTxy;7xE&@0^>zLfwJ_9~+xBpy@FUO1D zPTT{u0`)<$1|brh!A}FysU!zpwg(1xVam2|&F=lUFTlIFkHPJ$AUJ~beB&9f zfzt}en~f*cgSb{7g|XI;ciu;qti?wlIKUMBhZ+^r^FPP>v(2XLC@AKF-k%HOqPh)B z>HRnY0EKVr(_r^ONzurodH{F9nFj8(7GXogJy7%-sz1Y4ikS7J9 z*kJ#Pdz`;GbVxzs6Zzo|p5EJTt$4{Ald<>s98?KrIrXG-?fRCxvv+Vb(g9Ru+%d>O zPrbI;fuwxLckuMdC8*oYWdipSW*B?zCTccsT)jv!A<}er^5`6ux~U%4*RfYu6}|7< z>n2a$dh)z~;Q%N3&GCE(&JFBbPkxibwDp$vymz4C`Qu#&d$CJ#yQ%>W@)ZX!&*Ew8|yKNG9G8pp9Fa{sO zsjpWMwI8~#qVtbCJdc_ACdcS|@)isp=F{qC$V+ygLBYUA2rTI?R`(c57N0ov;4A3- z&c`2nkIx)WzzqX46Kdf+4ysm?@=8SBMZdf4MB+T293NxvJqWB64vgA5YYif z11)Kw3K6=59-u%-jiUnQ8iBB$xhF5_FSzb&_TcCiwi$i*jcx=I^j;61+0pDFDjUi} z$YZm^2Cjfs;rX4Nhv>7v_wM3_PoLXE8c+>)yk~aX<{J9Cj|}Iz1N1exXr|hqzW48- z&BK3)%h)r!b(Nk$!AIW|7Aqb?0KwYu9{M>r485BQ7ne|`Arub%yz79ZBP6Sg0DfP_PtIp3AtCyfF> zBg8(f1q-~05Fxw(`JGRjF!#(lX*EcZLdY~kUikF!r~V4ODHRVDajHnDfBfP76~*$g z@)s{zsUaf+0P{P_)34GoL+tl;W*0pfOJ=NED@+&wl*WBT&2g z;yhd{6v&I|!s3@dd*>L*x=LXgzhD_~yA$@*r@wgmMA5Bq7}UjZ1(k^C^M$DNXKhX> z8HE8w)SzSw5Tk~`knhu9ogGUJ@HVWbl3FoJm;k{&r~28iPJCYUF3o!MnWcc1-E1#wBoSVXr9 zqe^=vHX}>I(;t~-QB8hP8{L}A3^S}r!N(8hBBp?C6#3kmucDwFbHKK-s z){4wA4J2XL0zhTG{EuCFyoR`67t}H>puYygSKj`t8L&2DDOj^5-T)JiUc$ZS%xAw! z$d(Y((%M>za;iMzWmUiO*{@)sl~I5pNQWd!1knm1i!XfkE6SEZ2@qa0J846!P|M3i z{N2xf)s?z2HDs(3x|j~CS~SX$r$74@XcJ@drixSviEd~nI`n<(vtOyW@y7i@6*P;M z-$#{~musi~u|wO`myFIbu6e$U$nZQNKk$C_pBum1KhO7{C2;DTSfT!sJhNO*i(Wj? zw)J%M6T+a{AY#9cR{4L)3(ShNyo^|w5CwG-z%{@+q$O>IKO|?&YD&{2Liuegxhj?b zUK7|PTh$z=$y2i9mjNuUdVCP9T)w*@$qpmLw0s|gBPeZn&W zolF)9&KMN4rI!mngD+uhpxHrE5=?z)fePYz1^7%?;}N#hAQEDlK9NM>2H^=MOekRJ zCIkh5#0q`{+Aa&Be+(V7118bdtCnq00OP=4e8y^}bVCL+h;1#Cs@Kk^C}Z*^g)A@g z6ucpr2L~10H08Pl_QLoDgyc{guK?OBKHdy-x-ddOtn!PP_k@-S595>e`3S3V9`J=} zSazDJ3u`eiPXyN(vnvvxATH7YV8d0t+4f6I0Rd+Tp;>s#NCCDQf|fWZct~TJavDMz zo*et<_ju#_oh9L6``Z zB8Ui{zf4R^1_{&Py_Jq{k0McoQhcb8_C=afD8Tu!)0V*kb) zz*6`Y)6Y}`mLj2sIx8wzk<21!uuyQ!`>u*N5PZ-OUx+FNk_fJ;y0MC7HSe@zak9t( zcgs8`&o;HD&%``d0X{0=)m}MO3Oo0SC}^Tp6-{B7aL~5H(Qq6zfym(mRI*hBG9E7} z;Le{BTaNeTiLwG0a}r+yt%0fpe-UJey@Fj*6bcR02CSvT3eq_MzyRo_6*STsLqk!8 z|87de(MNPgL&GDcNTBb7?_q#03sgz^Df%L26; z;prlxqXJ!`ml=TjWE!0jm0?Ixz~aDvP=&SOMyq^OtQKp8HALQ5EYMr zH%eFolIQ*TMK(dvNm78-TJZZBHmFsqrVfmig`HUsfOAq)3Xe!g*D6bXvj{sj;e+&f zxKoH!AT*?i;eljL2?rD-N;Q&tIsq~@WF*+S(K0xQn}r!aoe_0BD5(X;n>0^B>X0SY z6#i1cY!)enQ+SptCF@*D{Do#65=8t!UkxcfEPY@ZLRJ%*23;TV_J|mw@eNR%-S=cg zL=}ZWVSxfw@=IKWtg>~Xobm8la$8Q*0XD%4P*7ATTp+%%Bv4UI*L$^sf6)nMfF&`Z zKVJzc35AnWvQmww;=uGcwMNotBw4I9Y)Z4%sM|@U2nzc#T4FU~PZ8el}sgD@m*YLk+S7pBsumjY3KDW8y0>elOEZAP`OZNt5x1 z!qCsickp(kRdq6K020FcQ|Ywo2u+C=ajBtwG$e=|J5Pl8x5)b-&yb?hZ9qhPLPi(y z5#fW=5R_3k2CD507vfQch7{NE$|PSz<;!@1!oncXv&6>}N-Kc0ju2tRiu*+bf3c3@ zDO>b;l>*_GHCtlW^0uIlp?;2K;O(S;NmrmV0uLTH&@Lx6&CM@GYn^OvlfUL8Vm{XkOo$*(oT|au^A@;ot(0T1)Xk^N-7xpGaSp672LX#1*Vd`Prl%-{~``_O2I-1 z>$WX`Rn(F_w7!j;MQAt8!<0R3sTHY=Yv>8_dnXs`?Rg+WU>{)(;qHckC+y9Yq#jY1 zF66hd-P($s458>Y2F|6VRDv%y`BiZh-@AmNLShmUX$TOB3`HeqLT8i|lFFAOF*Tk! zm*2(tQ;U-jy72JjPqRx*$S2W-3GvgU0C62-Ahv~$Cgck|)*}YOQXp5TG@P1@APw-Z zW((XiB&k8=SVlJ-052WwtrC{;_6gRC^p%}HVex|!O^^>M`l%k0*!3Xm<#vKnudUY# zG)kd(b9got^eHWSN+DHPVtDJ5itELpdw|`5lk^LK)hj+lTX5aSfeez@@_>Lj^?~UX zS*)0!;GBTDVw4I2O?Ryt`WpDgx!9 z$DUOz(lFl~@f6tBNO4TQ0Nq3Uch#w4EO7%;%1EM0>SusG}{#TBsv}A<2HfJJ`;A?qBc!@AYF6o@B4R*Is+=wbxpE zueEule!@$mg4t-sDX_#*hQ&P@G?XRGHwW)i@+(7#9*{6>n(g2|>^LOfBQdfhU;?+c zCt`NLuQof(7B}I*)!(cGQ*@oghFf_{x0mwh0~|?ggCvY~7=BOal|=O#_O_Lr zVP6{#=fM{op-LbIy%vmT+3w(5a3yiN!)DIG;r(eium!?_0f;4)%jFB;9z-@NqdDS5 zmW$`P&Bz|dBk)1go!;@9!Miz&kp6YPq6K+B~N_lyc+Hib(yu(zx{;_G$7`AL-# za0!b}NKUtzwwGhqpq$>*m^JX$u+ywb;1^QL3$j5%N}vck2C#D~t{ug`tTJpd?B*d} z)Dkc2uou~Esu=;dL$1Qdc@ZO@CP_G0Nr;o7JRlh!4{^fV@G_z+nBf_Ys@&qI=}T2@ zHRF2pkWCjr17jwmBFwQw_KA3mA9dJ!Mo6Utr%c7&dO-$-nK*Pt92ZFLI8o(;NCw~vuYc8{sL!ZZjRRM#BDet)H{(S^(AbE9 zP#PTbKCtFGp&a9eKmHui;JWG376<1I!Ou*ZROk}ArWT2U;y85y6%m*vaAZ5tvt2Lm zc#dtMO!jr5$$?!h+G0pwn}(RY5gS(TLV`h_Vk`moB9K2 z`mCGxATPiSaeSFtrlXhyaaqOS0Rzg<0C4D9B@GavR0hwKl0`U)Z}pFBI>9ZufL4rP zX~0}Y5@Vj;Rc~i>1!Xb!pumC?g?gR_(DPIdnW%Ysq)P37P%3XGWN!_cevklH5#~TC zvTq~t3Ph07MlkimlV}h6Xh6WamntOK5Nl6FBuUbm(4v|Fhd~|iQrz-Xo}+`19?EnZ zU@f>2i6`;Y-`C3lafXVfqu#PTiY;{-E)xO1$jQJV>>)x@xMGWg4#5S-^8*~1B}~+c zsI~866$3`Cn)FWo@u=e5i}XQhTO(FM?4B!t6SyjFmM%d-Z?vQ7{Be+5j*>(Un;WJ? ziFgAN#6g<+j7AaJ{=+63))fO7r(D^oNFiD(b1-J&q}KMw=paz!l;eN8xcMf(0`$&TbZ7r~MdeWnvgXiXd{L6py6tV&HVXSj2=8zrWXcZ?)QV#`t)5_e9bJ?O_gHwGsN=^~0(`px7*asn zze5q-IKYw;OC!ca8pJtg04n6|T40@mY|co|2So*0%AmXgKxI^n6T6)2g_Cwl%~?fc z-B;LXQyYK4{UVgq18Ero0TbVBz!`+2bv9m3L#Hu)6U2D5E^!lP&%-mX@~5WS=8c`>>Eo$S`O#m_t{1% zww(EBFN4M~0_kMZ%4gGq6ghtqF{sKgNi04old%vhO?txVS&Vji7Hbu!kJCQPK0SCb0y=6_mpgKOVuGS&XDjC zM*(Y@YOC87c?Pv^#uCOCXfSr#CCrl`kX8@083`x4c*7e^WR1lu-I z3>cU~m29j6wQ;B-n_dNrybh8jD@Llvoy|@eG(ZyC@u^=`C*G-{`kAGD@z|D%)E@%k zH)W^@$q7Js!&@BhFC%7hah_tP!qsdpKp_Si`83?%L75Ht`OQRQRw) zC>KWsb5CLQnC3V8qhF>1C;Lds&b}2+STqPGA2`pMsK%MB28W`;4!*2}MdMCV8bwnm9 zRwun(4X#s+KnZ$4vdu~{f7FqCI-d4J2*)$`#IC6=?WA*>(+`apBcJArDh8hM<5D<1 z4tAx8jDjaY!I9G4I7*K!i-{p!+elo;)hN%WV6F4zPW@C=cc!oR!)b#_pwaEznttm= zFF1aGsz?gjyf`z;IPV8SavqSUr||O5h_yPvw>1Fe8f~er%CYR?9$BO7b;8cy4XG!j zM@jn`1TchCO9@}p@5E*pxKA;Xpcg;|i_wrfy1e|R!j_LdIC)#^B1g&vS!~a00~Mwk z2viC62fOwG%pWmZckmQ*h#jHj?qaI{ow%J#d_|ex&RuvdETpH*bhv)D`t1ROsWZRU zk70nK>9DKADmB1|M|7|rakbt9CfZedACSM}bv6#s8@u?yC&r0zEWz7U6n*WUb`Or! z^dO_GRaBQAHAi#Fu~XFh!*ZlfprdTtZlp{aCv$C*&{1nX6=)g7G#3iu{3AuQ=HkAi zi$nAJc-(nF()XRo-zucfOsCG9{2o$C`~5h5qqrMWP^NLIMAhY}sqX5p8Bl4G^%UUc zovI^KLT466NqVbXuqfL7igsr@_FB(%+GNR(t5(^nB&Z-F=b8jNpPRn=^cj0%fT$1b z-Y`9KXLJ<~8m}uR?N5-m2TWRD@bCzT@ZQd6#cQKp zqz}L@tNtxrY3)=RRj$Pm0Stp40`O@`l-u_@dipn9^I@vY7KyK0nl?~X`nq#;1XP); z>6FVH{v1At^-9n|C_8RPi7%Zk9|Qatc{&$eAihzA61aQ?*StF?$4L?89N&yCe4;6X6eJ|d&($O7_S791H~bl!(m{`m4Vd=D zb)6gF3E4uHbMN}7AQb_FDx-0yh~vAYbQ;stN#H&yvbD?YgdP0Il|Xd07U8K5M?hD# zht3+1i0VHjl7F!WJpc`({7(J;of{~Yn8YC;x-?M6u0LGBtBk^K9Mm7`m7yU)DSnQw zd^x~ba~%IRKzOo1Xz3Z6N>v)1#`@A{+pphV;0=@3$A@}e#>}`+kf9c?AQNuCx&kf5 zRAoWxC*RcplX~ZdAktKmRW|7+J_8_G&k)ATCy`#=PC9n2Vzas%xm?I~mc3|}xX77LsQ;1+x z!T;aYThB9H$v3+#bfrtyv^m~ih@&M$*EVy*t@UA~Vf9lSA~qgIR=sdpzjIsH26Vx& z7Xy)i6P}XfuphhB&3t3KYioK}j-&=BC8fzeL?g{PBm2}-t~{~6!u^R+il)ag=1{t z{9zmw1V$h2Heei{B7&_|i_^ru6Ii?mU+U|+*1d%s#a-(S0kDEHN6eqtGD38z%jnoy zqpc;?Ny9y`V7LGzF~eVwasOF|BTQ!cd^OunL66pUZ5zHQNvSI2;*B)i)!38`uy}K| zzAC8hH9L{Jjg0|chPj}0Fr(BLb<8T`1hl3Fh9~b42k%(lI{>$lwp0S~M;58|z<7Me z4)HZ`q!UMJ73W&Xq8R$J#IB;K>VT$>2n>gxUKt^r#nI)!YSqH!lzZuw0g|Z>;_!;i zk^UIq3(g^;At1DllSEO{YpqDz;cH_ao-8X)G+f6rk)VBlC7X)kzQkb~{8m%C9j0c2 zRoa(;9uyq)VlMBOI6d&60u#-MgUX~TOtMUE!~WqB(9GJgX&erHeov2|-VQ7>8G52r zHDogH@YC?2o4|fM?ulT=AGFhKc=PQJKB}2Uw77MxcL2Myxen(L)?r?p0kqGNJ=#9N zKgh9Y0ber)_y9a1jD0LS$a5xS5!Rt~_^nCG$AwAEVeKO%#B7d-`$*P4ziz0vi!OI0k$ z+@X4H#WyL@Kt*nY5)P;~}}Lw8EMbgbXr7tN}F!-?NE zhBwhdgfchc)cSP9S#)BdC&b=)@XMY7!WlXmg6tp!EagmiKI})MBw0nQK1aw8?0xF)XeTOA4{o zVilatDcy+*M3j08;=}qjGeE_ej_2-p!Mb~V{%zYMBq8?|2+v5V9_k2HZF6*z{9yPP z>%cjI%w!pT;?i@Gwxt86LSnAtamV`i2r+d}L?R?ZliuqDlWE$r{0#Y8AKyI@@*M=r zUACo;Gf>@rUwFI zh5@zTf@WX8k4T)461)feh*^CXH0*;QWFvelRfS_XfaI!MV~t9`o4=`oA0gt)?)x2Y2_W0pmwlUm5jEY8iK54jhb4q`@rpNK&yki z^LI|#T?^K&?MARKqI48d+z7>z4j}cHwXI45x*0u=2!$2QTsAV;lzT0TL8XIxofrMl zlYb8mHhpmP`F0OWEMdVD*wBI`Rc7`2y)=aOcHk5%Nmjh>_tQ}rkwxeHk=kxR zK4Et}Pd5Pak{Q7;P+XJ<@3R4N#=%E2`^x6ryQK}ACy@dl> z{Jrgv{s820TDdb!;u1GJ=co5TtT-(Nq2gbKz`F`>iSsM(wPP^K75YwJJFbN{f*X(# z2j|uSrA+R+kE3LWK|>_g2%ye_SZ}~RH*lM|)Vr{E=!*e4B`A^d~z7GzgjUj}D@;3i4Qx5SO zW+x&iFgEb|LgOJ*1G9s*(Sw+0*@$^`4$}jtU=bt*hjr+zI@kq;?k>RmlVDmWn68&b zhsJ5z zfuj~ka}hsOug?sOHgVUzF6b`ce4)LDwl%QRmgrgvs*4^epdF6qo|srRTIGY&laHQe zaDQmk04`+$T$-9w2w|G;pdHltu(=rE<=1GxWA(xAqMLS^0d|EL;7!>0Xz+X5szOdk zY(DOx7f%1!9*&aQ({PnWes$RYsY-x?hiE(}puQHF2R?a>2B4#Y>)`01Xa5Gxv=DN! z40YuDE>Y;~mx}7#!l}m3yS6dgc$uT&S?+|(juMD&z=wttZ z#zd{j{{y5?tT6^OggC1a<#NZtyNAL4;A|NGtTFPiYiAAVzaTQ<_jNhPdmh4}(`oEJ zg^~Rhd(Y+eNjQgN85+Z^4bdcU<7c9l?6)BC)4?BsqBJXXroIxwbdPDZZx;pU60S0TXqbHR8H&C~4Bl*J!m!n+h!$QSy>@Wf9eJru8EzZ#aCzZ9$fz`lRI-A0-Dy77dvpV!TGTe^aVevA zL>~8hqd^(~kUESssqHusAoxx(`OP#&VAw@Nf1w>t*482=!!YG8B6zS+O*p-Q0z`*J zpdGi!O6zG~klts305Os%Fl<;YB2P0wO*?v<6hG8$@49uyAK4TfC}QpHYIB|^=`a3Q zumM6G6K|3#$uY+*_^1YJCc>A2Y5cd(opI1R-bj$fAfrCJ|15t!QUf>gLr9E*f}Vn< zMp?rUXet>^Dn?%#b&Rd{(wDsqFgePZ{FkA+Qx%+tH-r z)|n8Jp?Ik?hU}E+<)hxf6h8u}QRY4qTxSfCt4KwgMlYh|3nw~(E1jP~saMt4# zARcXtffIus+uezZ|KY#icNGD4K{~?rO@VmQeqZnwpo2g>h;U)RVkK0@(*ypAC0P1p zw$qM)+IY49^WLpTH=w%=G+Km)5BJkHq`2@xhYVhQCCD010|2CL?O5p7F~xvL5+h<_ z{O;LIiXYHBVuG%1L z8u7JfYn^*ucV5FHP5oP92ls&9vi}m+>WlQ*?01t7)*dA_di?nQO?RO zPB^~lv521`n~I}n(5O1PtM}f=n1jY5wxqb-jx9aN-iYSL(cHQ2n%^N8ZPqDRFuklf z+~>rg_94qmsP{QQ5iW<>6FVK9|E;$QokMF=F1%YH%f8Nn`&XmU>)RL;aw5o>>_w&% zGJ%LyDAy9Pp?BP6-@E_RU+$c2F8SNqH!exUIAtZ6ZXHXh0Z0R=kX&@s+HdzGsYjTI zICcod?V9gi&o%h|C(&Fz^{j<&pL(ZZViHa4oMiv*iP1$hzCH@~D<7LJaYK%(r-wRN z-yWcE{Z%#Jit5(N(Jo-g`o?i0oBqC2xLd<_osM%HHdU4P7HvE@1YMZHB=>JfY!`Da zYL=O={vo$v)lP{&TGPMMKk9|@sN%|)5g+%Dou~bgayD);LU!de?e8h=3f&%~r(%sU zE=`H=clP%nV+tMDnWY%xD!1gWr>A?+9-?`YF7$94?S5@{w2wwd85nd;?h;d9HRC#> zIZQIz3Hcc)dhB1k54&}=X76CR7e2$DVY-rmu!BX3+>n0*Cc{elU=8S*puQ|~Kf@*# z1Ra!YG}KWeBkxX-vKbuH%GmBG-{9SVqzXU0Lb&C CQc4UkcW8$g78UFSwk@ODii zHbMC2S0JrYk^U3zf4JPrn|h-C7)Zn?vc4$ybbV#@=3r6*E3Rx>7`0M8(ccM7x8)$~;4WmrM1x}U07I$r7Z0vWruYL|pFar=)h zLvSraCN8=XLa@7sMcn;ZT+4T@hRp4a?v_Y9+;lwdk3erDEcy|FmXU5JQUmlL-V8%M z_E(_(njjs%8pV~u@HO(K>ivvF*nnn02~kY`=&4Zv;Y;*=vfm0N0-lkCCt_%UnuZ;& zqtK{;Hi?V^voC6D{los)G7B1IDC@h1kySCgW0zfv!=u}k$(UY0>nU&0(ArS-u9_!lR#o)yX!)Swp6#~KO{HoiD~p zB4~D65v|B@QT^6;Y$3hlSkH>%$|KaBrbec;tLtc)wH-H!w#!ITT^nS4gIK$L)(?vO z&5rlSP#DHK3QvLB_9dmoM#El0beJ~2u56gT7)6Hp?)+6V{P%r+FRLA12!>2rI(80T zK1FpGmDg-U5JJje*1+>DW92p2z!?tLkMfBqk$ih#%{Rs>J~DC8(C0oKVIadV?m%(eJ@BaW9_6|w5uf_h zN-vgX9sEloQCrg0x#FS8YH{V!zzjc1I*{xkhH>(hu3;?1m{x+o3CiV`gFBrtqQ{(w zo;%Xj9xGgh@O;oC#oE({jzGAHMCQZ}{W~pe9>k;MK8HM`2Gw4P^($>^mjjFCw*3S$ zNzcnwzBOui97#J$?ye!(Xn-ZD<7%%?BB73BA@TG}s6VYx1(w^p>Xq;la)E3F1Ri;Z zr1YTbhUXX(@rnKQ7@{y%4OOemNv4kWbRfZvq@d_w6m3J@S5dd4Ifip06j*O$s|uav zCD~x|$yq4QkMJ0BVb5`r0_BGw6By?}riBNIJ#?=rN2iosPJIbaTfEb>|h|n0O@9A z?m?;uP*xqia)pi!h-l8T-^L*y0U+*mZkAI3aWH^5M?WAOjM}}oStH7>m1F+?w?G1( zmxY!UJ5WB<^9)f!Oa@sC4lMh8FWaBs`eQwh6MnYNNxd>!llAS%oilmhEsQ%JkyygR z+cFFz=z9tz;{yMZ1F@bUm(SR39=o-QS-imqyM6dU{-csqG=Z3VuS^s}_>QmrGKfZe zNIw9yQjp)VPljcO1M(!#KBof;L0mA}mELls04aH{!kUg;$I{I=OfaZWjJxCc{0;pz zmjxMKGhBZqXLM#AWNxLtwmtH9@wh2e5tv-qQjksh;H&B_KeczcC2_T!Sk!IMQ04DKKr~$ug z|GXF(?Iu=YhD~X>HU{C$;6^c1ffHi+6yMXU18~hDx@ATuE@4K+UO&AnuSa-$Qa@cq zh~bF_C$wO;6rxjr;&OA+t0!vPLqy9Z;H*m#jZ*pp4FYNv^PVIj6)qtez4hqUXgOND zcQS^hMLxp4eC!U7E6j(m1V!KX!jP{%aQZf-!3}`C4?iVX z$G&fSLvOEy1~tDSNx19-YTKUCAPviA7kmv!q?tI@{j@3chz$C{|e+*6YjvaEZ6Px)VqDPRt z%?s0@Di_Nhl{y1D;Cw4c?{!55ownxd(ahBRq8;(;68eyh4;-_*A!kkrs&KCGo>H8Z1 zB#X-GGdOifxeKuYPz$ok^n^2jczsM`H7_J4Pyn8_1~KS?uJf~Td>7J1dHIN=_Uac{ zNhWUwQ1Mc#($~%zXHSp}u2g-PhP?D*B4oOESO1RLYA?Nmxv0D$@mf8O_kOG?uDG7mUW5cwD_8i+0pz6P*(mqV z!~cFa9ceiO{QJoIfmBYyr}7MhX~Gm;0d~K>@b$-2|t# z)p1=NC4r+d(3oChf)# z+=cAVdgvSI@`$&pkG>ilh0@7D>KRBPL18WPx)YNbolp&o=5$WI_Qw5#!y)geclnp} zHFSdMO^Rrj7XUy+Q&tc4o1z_d@+053<6l z0iCeKO6W%mXD1RYMeV<-&g}g*C9(`6ZIs@l&EY;jFd71DI9Yj|ABNp36SB*Q(rJe` zkiO14**NMOWcWaJX2o&K;wZ|ZvkA-^+8SG*|v zl^4kLu*!MS&<=IQK(vyLxg0=U$*+Fy)8>>gVB$srGxlI5RF|7*1h^BP@DgT%1u=Oj z4{5*$w>vM46|h?$Ka|TYcmI?mf z!x#2ObQy&$N$-1U)QQq#epWgQ1ZN7A5=YlWD3ln1S66;RZ_z(SVY0;CrcnARE6%dc zG9&2$$rG2CLInmw=&xYIic7ec|4hGT$OD&enL{aB#HuHLzzi`M1+*s(4n^-`s+z`t zP=D^s@3EisHe7N;Xzdb^D*B5bJdLeZ?w+3+MY+V(iSCMsA4?#_1r-`wBdnd*zEiwB zM9)Qi&asH|Q#QuHjZ1%n0r7enJeQ5xK!i4%jRm2c>Z1KGy>{<0FEFD%|JX=T|I26y z#up#{EXaWJkSZT@6o;i!B@t$fW;mdYmvWMZpZZp1Y^$s$i z2LL)e2}DfoGQ2lfsIU!9420x-7*dH`GZBVrjmFG_<HyRzaHQXQhgefcZ_a({WI%k5V>_rJr9yQQ5d}tsSKo@(IB8$} z_rIoh7=Bpi>4)LFR59`UA}@1&>4?ydN; zQ0|UDh8VBkArja?Eet)rosh)Ck#dT?O&bqNk|SdM&TUvBASbTV zGhY-y+8ur&r7FPPclr%yMLYs;aJFUjFIHmSAQ0C;l zP5UT_U&Q3@!uP#Tkyj=l@gJ~0;`SXH zOJ+J*n1(bq)e${8qBZ9%s%T5!;O8Q^Ym3Hx!!{(sRupCyO{{P>un@q}7;UYNq*wL# zT*+M@g_vPpRk4wL5<%pt6mZcUg?`w1TkMTWT9HM#sdk{;3 z)%YqaCV1~^cVTNKQKvIXRr!wU;H-U6G?--N&yG1!@@q_V zfm;ezTd!^aeHn_2g1|HgC7t%LvXAu}Zz)>Qj|i z*h)o>OiWPAhs){M1Y10>QwI>mg!0qjZ&>@zTSft_E@OE{-a{*NrhJM^Hm))2wGu`D z!;86pkQL4Cz9*Y^@uD(EB`)F}PdJ!3{tIJ5G@pp(53*Vvv07_FRT^IAv3sK0o?6?n zj$-whK$jVxmt!QZ!p#Fk3PV*pD(!^))3s__G-W2{jOS_SeOA&>A7>@m4w#P>o!SE@ zf{I8Mh{)1X-0~TX>e&(!L|t7laHD5pr5yLF>@8SraEVHS_Fbesj&lCcDY(L@qK498 zxIIR_jGF0{qmoAC6EwV8ehX3S&geody$>p}9RoiWq-ZFHpl=YTJHf^x@*m(TybWQ$ zIT&K|;tQ0C)IfLf(pbs#$|2cG5MD0q<#2Jh6&#l{j3fG!y&c6GaUbK(>O@qe9@0?Q z)hgJnZHtgq%&YGiVxo5FjlhrBGVPHieQT3Y^^imHT#*esdQqx(F@FF zVc#x&sRp;0!)A&U#fpY&CLpsEA&Age(MpL&rSK6EZ9=*g&Z=Sk zn>YN(Nmdlunn?S>_ApUnMQ3!ckr3&sk%|pwd3hNYj19}Hu{2R+$_y2RFw^-WI>9P^ z)OR=)$^frLoE~nE7Dh+7 zdk=0c)}d_bk5$;cMux()YsWrpr1~CQkOhgbRDMqS>VI&f+VVU?9ha&N;+<48> zo*0I{8R@0GxVMKmC zcpHwJAa#b9F|LD#b|%*Nly%FE5|o-8PUK9uwg^QJjt#*R6)C!#9i=GQPn%O>m3G(5 zpkygBz##Ghd?b8POz(g;Ng;peAd-PnT1lH8w%_SUV4=h+3&Hj>D*d8_-a@5!ogpXn zLU7j5yHjJ8)}Ij}JIsa_(qeCWnGrfbFQeq0q6bb$F&e!}?`FqJBBi(8fv6F&1$g_>_t&NicbT}I9){AE{MoerO$r)?z)65mKFnl}nfDwnX4 zG!fvaDwrQPUeT z{`~UC(|D`SloSOziT|aM!#zba-g7S6~G2msyvoT#l{t(a)pV@UbMtvbg z!uTd(f6T=bY~?EOH<##A^9cgwsDdgfloquuF^NuzJ#opMDM&T zc<#gVXPf?pj||mT6M4BIN#!H)#29-w;9WtCb@PDjZ#Qb{2(>N2$eI4dczwmMjMt67 z7GET~_Q%XeiOzh0aopFNZGo?2{Ke>H`6dtmOx#8)eZ%^t(P%$_GOt-2yrFV@Lcd!Y zR46K%4V(FkE&=bK{goO;MiN($FbP#WNU|Eg*Q+-W^2<4yj$(Im9Z}@rHOIW7P*B~*Hxull7OHPw( zq>6^fek6wd4d>!&xja6L|A?EV2yhZ-o1gh!Ju!LoE)p@bc8x3MXxwpSL!@w{1nS1+ z{bAnOn`QIP&O2MtoNXlW;B*t2im}nS1@!UJ+!aLg)Cw?3CO9(#uPtr?^JH-y;r>;V zeH>*yarkzsC!?Y{-9}!nXpW8L3>E4okGPWX84u3(u_x9mmu~SeK@CxRY>e=YDnNvd z$~r;@Gqz{QpveNl1=92QMpB5_vKwWWx94j^p~!H7kYDw;8qAAN|?BkA=B_{;zfS{LEojN$bNddR*>bA5+* zabtpu(9KWT$mUpIHlsiP80*bH{w?23@(F65R=3nG))jiD19lT?+QdtQy5q#ns(Tf! zQzc|!lN#dK2v#)X*J+D`jc_DK7vyc`KD&ZhX-!*rP?bjbt2hQnxQb>*b59)JA9W|; zJ=LSe`*e@ch~F50GoFoc?nQjR$<2P9=1HnM?^fyU(l@|-6ZqX2YwOXv6K+=1H22NF z#k}zjs!$<$qBPt1x_L))3xxaVlpd6p}8m}9FR`dljIkSsAsB2J2@NN7wbs)2ENJgXeRm3 ztpXNlD396wSA3b=V)$w`n;Oq4UWKG#Jz&`DOhoq)hu;f7Qn<4+SYI?J$z)$tIIrg6 zH42PcX$<>!8sFBJPhvjt@n36aHS4&Ka37E-)AEfh7j7kqUr8FyA{FM7%=Ut%L5{O> z4TZN&JjS==+wV5)55Y?24A)+c(JtX*V=dwt;o;SX=maJ!KL zL*Vy5ZXq+w`D6yx^4TQ5@Pj1r$*meC;TH|^?-)LrJI<|bR0953Qa;&464t2-=TBjW z_+ChrgiiutvKd=j|AgnlCne#6XkB5Qi?jYEA?0bnhRK5Vzl+U{V@cpSKxY^>Pnn*l zw!i90GT8G>MCB0-B^CRu@A03x;~;Sf_xxNV$*MHFF;!+FHI*CXhcyT*}N6XhmLJZ2** ztWifvlDSo!iSe4Q`j$a14qCoxJT6L&1TsWi8k~~Mq$iWY`ZZ)0dV4}U6LNLI-;6}z zE%TC}+R97w%s8<7< zn#2*+^IPjkLWO&i?KY`fa+|msKCroMH|6X#N{Ez5a z2?xnE1h`~;p8kot$yH@_I!Bp1?l@}js2);!u%h|oUdW6W36B+IxhJkbVRcq94IceK ztFw@UN%g|XI&3exk2fAkTEm-({`ucd_GPjk8Ru9(fOisffHf$2;BWAf-R8U(i3+v- zhau53A2mL@GoL(aHnX}>%dB_P)(IIc_O!g8e}rCP&I}TL-XJl|V>IbR*fjcMmKcR2 zj5AL)C4w`B*nIg8I>N{9ugz=@&;Ki5a;@A2R#Uv z@}u_UH?8U0MFAWonNxu`WHfoFj>KQnc9JJ1X^Iw))V<)ITeorYxnziZcj??b6Mwvs z@t?*n>6e3U8ScCGdj7a;U~%wxy>Q_dtgoj4D?M5AQ)~D=bq#rf6i(KG74I3e7pR-h zG=O;bPjtmv7%y6-isq6*@~8@_kIgw6HtMQM!hKpv>O*FX_$@2bZAn07gam!G`Zjr# z&&Qz@SIKSi45LC-S@CVMOn*L=*=;GSE#ilTA8KBmgJWv=-lac3C!jxPeiqsy$mM9*vJ(cQQk-l2OxpLPy8**<$zdEzS|!VRWbg04>GhW$p~Afz#~)|Nx@{P z7>xpxG#67ny4GER*xbW>AJMlmT_gs5!gX)aV5H*7tr$-=TRnyI?tjM90-~-%1Oev5 z>87N~S|H;rT5yf$J{xaqs^|O4RQJ$4{#LoTv5?snTYsTr<37iXzfFsSkQ4256I|yO z2O*z?NtqS>48JXP9cvO%+X~dS)N`Me_KX1YLeVCw-0V5aGhoHDM-}_#p6e36oAHb3 zlcUe-k6wt?FU^I0!6carGn}ep-+k};j(sYtCx2ar$D=YwyxvN^(WBxCZli z4R|HXjdh1fcs5g_zw&H?%)N`+PPj;D*W?WIXc&F+<`ilU?25_0c_=gJx;6(K_^?DK z8&h#3(VSR=S)c~b&Zr|3Cp=7o4?L_5d@fYDNoWqE|6i#Y*@N$~x-%I{ZD>^kZh;J$ zu)9BoRi`qivi_Nwel^E6ut{0*4&kxlw7TtiTwy+Le$A)%*@_AX(Y+9*nY^DTN;yA= z#E>BO!dDdagxr|704v9S_jFUUxHfN!M>X#WNSAfQ@UT9~L-@jq<|g9W+9bF(!@JSc zl;mn`(zw<(>0E1?GF>k;O?SDPMAxb&gKI^T(N)zn%VldSbS-U~>#{V>ca=6Ra7j&) zYe7?~Ykrf(HMeQ0tFX!Dn$=Y0GB&Mn8JbqP#3q+(deaN8%%(LiUDH~Zrm4}D)YRls zHEnhYOy5dmsEU5c&t9KVWQVI@hD>g^n;U6kXbL>xK&c9xFTJV&|~A359dwGTUjt= zvgiC_(dl`nqIr`l*7AFRyUSYEfUdfHI$rLjUUTrDXJ|>zO?dC#j;gZ}-fv-$lOpkclOrFQQsJG{nIt^Z} zSRvLDPP7wP50J*&^NEXT6;)-AKTBS?QTDx(qxxyW>j2j?xtu8-k4m?N$Q2i(so z!+n82C$k_kW@BU?)&5z(9a3lQm2;=cV0$*usQXeQe<}fgL*}?Yu9Vz&4=&Gb71z4R z#6P=V*hrvh@~~OrwV9Qf9;@Y>`D9M!{7jFrNo6jnTU=f8=ao&Wx`lkPf(pg3FjQiB z>)E+n-7G8YrOJ|%rAvbt!|8h1iLk_`pr#afAu?g74H3;(Wm;l}wAW-_k^a0An)ZFH zMw|K1nO(AEhz}HS8qcsE1@#_nr{cEm#h#6OD*+LIo4OaY#>{o12xE1rb)m$=s zG;iun{BP>x@`@|EFnhIm_56W~KN%*!GgthH@ts1qBs9gITh1R~Ya9E$E-t1?ztGt6 zu+N-F-!Q#yjVCiEk0))2Bxp5DZ&`D9iz}GcRM9+l0XMEs1hsZq|J|c{)?7cHSM2&a zZ+BktO=}#Fm>S-7rLhCHNK!*`-E?;x)5ux-bX#4P6}CZ4um9ElbFQJL#1r_9XNwas(dRX=XXIPZ^{qaFQt%njislS(VIA)}?GjLrJyv^T-5;#xdZF&L+g*@QRhIYc z>hh_@u;J1?E8siG^4OooJMI~>BNtLW5yW!y&#jpGjS1!wv$@V#_=qP?e6~(>-Jka= z_@;6b->8A@`-t15<6vWFdGw9{&iu~0%qwPaio`fYEVo%QTAvmj7M*4j*QnAv=g8(_ zvCL}os2ZkUvaPbX?mf-bRPK|Kih1kv>ZuAxc}5F#l6M-9xB{CJ=fY-%Ts--1J!YiJ zRT`7PolS|5krB_F`WcXN(dTD_5@eDF`#UWIvV%rj#~FT_lOKcdnE`8i*2!7PoNlUe;O zq@VbZrX+f~_*+t3I1?UL_&O%%BfJ=yVi|fEor7z#QYEtB$zn1e61w;Zv*Qn2xee3n zri0_+VILW+X)7;atx(7KZC1v1@Xe~GcyNhfl1my7|Mkgz(*_9F=UCl&)MF(4hD$n4 z-9neC@%yATTq|@E5i@8yn{|`?eL1YiYE0fp^p^~0;V%ziMq}pIOniIJh)8PhfROQ#U1-M_T^ehNN7z?V zr=7=@mN0!8f5&(I`R>msgf&e3 zl{WHMej(I->CvJFQzMc=DfFwJD z&SV{so-J9IiIV2nw;y@V#mWa_?;k$wWlG~Ntz2c|{ga+b#%;jP?@ofZOyOCB)#V)I zFTO$FQW{lSc`2)_kbEOvds_EgZ{ zi|8e({se0<>^p8c+e3i`W8&WngcVA=R7AeAXtJM@`1dNBl?{rEfcOI-_(_Pg5_pD- zV>>oCHvyjf0T$?`<-f=M#vc$Fmf+C;gQU6ea2oJ>Cg+dbGp2fl<{t)0oDo)M-T8Ub z^H!y;Btm6L#y+$;Su;>u*d!H>#kXH=G~|8gGu%XzC@SL&Y0o6jxusEj2Q z`&plt##&*%RQO%l%YacMbZwd$mLJB8E^U~V0ZoOLHW+|c;LqjgL7XSV`r!#NKH0>0 z?0MjRp5eY^NTJ&lr~MbKr&vu`3!qpQo0l1bL;wXYTq^#FYF75$PfrV=+9<_xpnXiQo_}o^}rv`zt23w{F#d8O5U@e zhWEf@4GtFoYp>*M!0qsJbM7Qyb{Wzu+PJeW6aD&MKlZ~7ogHN>ASGI`l0k=$9%t>k za4=BujEmI+&%0~3Sz^@q5z4c%uByQW=^3NZPv5SpTUc-Xb3(%^=(rqFdg9>Wi|3ph zFV;=p$g|RzZ=sD})Fq>rg$objJ?3S=rvmeLJG4^t9)D@@7xtJ~9d0(0<~4?0?=Van zBd#O(%xjFg{uQ65M(Tp+i|lZP7`GXhG5S{)H_Z5n&WPcN@s)r*q`1nGhA%S$u4~|r zIl15={$|b}xM%c?!wi-o%M?D$_*E>%Zw7v#qLuMO&JaJq8J5O=jq%+Of0KJ=X>2s% zIb`&i!SuU*C9LhA+MUjL1za`6dc?-l^Lc~jA;!u0WIc()Cwhie?~>~n_7bLlh6_$u zo1)sY3Euu0Z-&VnaoLkw=_vf)9QKgsY{D^N=wPjrD-%2=?mBxhbgK5bcpq6Z&YjNt zEbp_N&-gy^1<}NR=MiQ~h#fWYgR#HHnH(d#+Otv1n|L?zK96c+R_k_A!V|o*wsGc< z#ZJ)Yg_)lF8Q5{nkX`qz2?WWx*Z$9a;O%~P*}KRlibxhBUs)Og4`pWj>G z*Z8IuyYW0nQYyLi$Ib7dM+M6J)bBM|axQ?j*wq)&@4Pic6cas~yh>|6 z+)%1qiT}>rNkn`<{r~uW5Z_OKYO5-V=o{m0cZq?m$i*Rkm3}jK`BHcW$t_c8a#tvH zPpwd7Vt;Tt{B7qOHGkucpSzLFfj=H0_%AF8@eAfngXT1C$Jqn8H@HFj@%R+-%dldw zvSiMO4#|DPrNTG7>$~=c{!K<<)HqTcnz*e0Q{MUFQ26HLXK11RJ-#pJJm1I0Bjybf zNej*1i=9%i;@kQ`>^#Q1Zfs7& z&NsjLC7q0Q;C=M(bMO*&qWCk~eVFlmI<`LLVYc_VLwG;qKE3=8bTIW27s9Xa7mst} zjkJCKlF;Zy=7rBm#9!~`B;xN`sS5~)7hfD24d+_Oj`Xe2+G-$&_p@>0U&lC=;`?1p z&w27il1VaQlV!nkcYhADl`ny3RR4Q?^CY}{JoZ44gBwr9cv6*wMla-+5g9cKq`EkC z@0Yn2{_v7e+(}pvzhV3go?TmDAzE=6tjuZcB*xY8b>uIY1xW!zmZW0XBA?*Ie1wo;d zWfq1JhXD}?fyYP)5+lP>LM)MCSR6(~1Y$Ic&ZwDDP@E_dVyKQwlo%7ySgXb;Y0`8w zORX`+rZ&N)YEz>~tWle~powUWajQW^=Jz@8fv8FQZGYeXm-psvcRlysbI(2ZoOACi z=UTX2wu;rV4z_??t5o(>iFfPM`JE_X_GPoaA+6L}eipL}v>1B>Ef$?~T?|9mUs~na z9B5Mz=4n+1;0)RT>87xT7&COXkiJDJ5--8-s17dY-)Hysf*b$7!-cV?FkWk4$FHo& zlv7@glna}%$0*WRXFF{vp2C~T`IRFIC?}dVLTbR~u<-%R zdrmo8LrRz$B=eE^X8@53?IbW17x zHq^Z|)u4rp<|c483^f^@6yW^WG}@)B_JfDk*r8R1r1Xkow{<*&mI->%xR&v( zCn$vm{tHaI4RgwD=Cdr)(2Uu1l>y@nG%kh%W{Jba59d?1nRZ);DV!HcRB~GV?QsF7 zVWoXBLmZ?1JA>ZLt(U2(t^{tR&F5F6)u1UmZNn^6m)@5}nxKP(#N(z*B#cAO+NE{2 zZ5ZVf{#BiU(>V+28Rek<0CC*QDQ(O{Bc%dLQE4UncxIR!skgA3ZawbTU9hXV;fGBc zyO|G7o|-Vx!zku$xMEfMsVUX0T~fCVS_2nJwhTGwxAqB4=hkg4KyOSlb5em7{n~V! zgq+$0J$oZ^*?@ZKp`S#!<~DWC)DsPTC@;y1IdS~@1a_Z$HbQn_-Z4X-$?GI5zHj2Y zvTJ@WOJgBbj-$WOiUoFtZ59Y^g}H}h9v z4$|M69p9Ik5{LC0l92Pe{q97mFmA|)T9*Nr9+wuE_@UNa{WxqWn$j4Diyv+|)aYn7 zJjhf{KVfQInqrGI?q2-gJA5O+A?hAI1lrl)luv~l`zV*Fx9YkYy@6k0x!e;YLO3a}6}Kh$2o1e3v$M8FaP)Ed+l601fQj~Onj8j72IR0<2ZtHMAQuIgVpx$-#=w9GwPh3e4p zz0AGqbj6|Oz!}`}Kv8w7hgEw%pgO!+KRxSAEK_)=4?KT3w2q1Jo#Bxh`h!;!yEw@n=Q}yc zXr^mO%|6RL-Y^0@VOnY}Q!{Xafy<$5p7Hn@ZeSIc$fsJ#O|!T7qCtdwRGh4~_vHpw z)ZqVCF*^>NNN$rt2lS;-T3dx96>VtHRd+a|Jw4zeRup+Ka%nDIW zHBREo1|RwYxO3pi!H(l(N8|T+{J586Mhrfvw;In;4i?eU2fPuNP8xE55%_~BW4Hl& zg>vd`100flEm!D@)Yo89uy(SMa3Q=NJd?JMjVrWH;Bv`-_!$n^Z|CSKr=zz1TI$Oc zx(%3j#rF3$z#0aj`*Jx_Owlw@qiyQroEe+Y^EqN*7WQ z1WIRxdp~=Eo;jlFUfmOxh^=r7_wWdt`NW_77d+5IYqcS3navqxkca)#kP0=B17p1|g<=EJ3y%IioWpW3YW42uBm3v-7PR#zHR zCtwYJ8PQnij-Qm<7+PSoN<4VlT8^cIUSjsBW)BW;%_L!idy}uoHIp7^81NPbJowEh5~V|G3Lu(ZtF9cMV()V zo}Lggf%Rh#0)K!FLOjVW*&&x!QssNMuH|eFj0%`n_9MFh)`(hP7^m6+clV4L{E7Ky zv9X=kCrsc94>bnx?%aSE$fg5Wo9+%Xi}e$j)P%89)}T({(!XeQh@e~TQlaUPd=8d0 zvhG18jp;3hKkmk~850EGVgX65omJ0n~a(MOkan>7u-ghRD22uvvx8pk#FG>SyPA z*RN%{lhWg6V@{@aFwN?Uc(W<{y83!cQPa-NBP!Lomd(YLVT;FD*CEMg4B_BVlgn3m ze(PX-3exY-k}^3b>v67vaYw*ypx^LesaDRCyp6vO?%SkdsQG%p4{nG%g5GZ<7p^J$ zdeG|Mw(IuyoQ3>pKEmtwZ^ep) zcIgP636$!NKDnGtmdlKG8Bsd}Q3qyFMXHN1hZb*o1?&BG=(uA>U33_iqLowl6SJCU zHLr!lB|8PWm}aY)YtC-Ae4U+|&B1zt?R6)__XSoMOgYVRo^glibZCJe@yU$>dX&Kg z`TSQ76Y8N6Z_NZZN{Tp=rRfYepc_&^F(3i8LS<3S?UM@&9l6(4^C#wf2`u^&SQJk- zJ9sK(afdqXZj*hk3pK**9!Ly?G)JUTKA{+H>2OJ>^09tYK1l@S8gHLd$=c0w57x@P zjz_P^QiI1J1aVpBliq-1-isQJAdpVUzz; z!(Pf0HiWpN-HhO|RFlON*qby%TJQYKjx{m%1T|~Xj#Jwcbj%^z6SVY2_Q9%X2HD*@ zdbVhv=IsXD;V)u*cJAo3txkx<-#kVkjd1X0cJf)*Kt#)eKGE#%0(}M@u47$+gpmz z(W3pW&=NRK)HY&=z0dsTZPv)2N*O2ea8Ip{lWGE?MG=fu0U4^3h2z91=HR0^!@SUW zWwtUq!k>eF;8fu;u?FMaE7)uzZt1!YKYHE|zHlDoP0ZgPv?jf1TEEed-&PJpYm`&p ziX6xsDWBxKG1H#HrD>MtyW=WgDL=)36jl!%J5%RlB!l*(w4mIKkVA64$EN$Fk&b;Dc(VvkaG#6 z2Vnd+Lo??L^#IC_0p)6sZrJDkOIFle(E-4m581|JC@-V_o1(y~h ze5FXSvd?gjV=YKL@t?exF~1+1-u(RoqVwnkHpYJAaR%!kL5)WqL`x8a3y4#dF- z&@IkXC^P0*iKwlc87S2zr`0uJMlqF3DMyP9bvlN4m7DX*BR!y{HJR3^~ z!M>l}taI$e*ui@~O!XRA(O>Mh;U>>m3}mUj-2Ff$K@eN&Jz|E^%t+zty zA*tvc4PUf-GvT%q93E;#SU&-i8PsnidhEi= zDD*}#8mpt39a^!?FnHFW63~pm2wEg+v^%Y?;gIZcSa(7 z6nlI(cwxEkQaVAb|1YS&(p{AAYTO+MseEV)Be@&dNQ>j+;4{HL30Yv=*=uI#uY0$I zU5Y#!(FE*)o=9#l*eAunI^*X0gwbi4%Sg2KhoTQTO?C}Md{o2S@SKf<0A*Jejvrx(uF<0~M$binM=nFt;Dw;+s z$!2yC2B|YVXqD?%u_EKx2|wY(6o0jfDC?lJku0Y*iaDd5!`%ro7O-pQr*JaWg@kZs z2PmEw+VE@xJ3g{kwh?!<=!L2vXS}NkG~#+_qLCRB4QwNxZrC|!LR_0q*ynuiP25l7tlwWF~5 zfh9n93~0lUGUl9&#wzp=^x12h%XJ%_O!Dv}O)ok6In!MIVPnPP`!jq;IpbW>_!c|i))OXTZAT{Ki=K(KV2*;>&Bb-F zemhDqLB7#Xo|(kSrH?*o8-#JwoQl=1mXo%0Tupbz{qtMUKtF%x;B6aAB8 zrr!&*KMPyaVPOs2k6sqI%NN2}ye#_y!XL+F@gk0wjYbN^s4==quVI5Nq*Bk#^sn*% zlPvFWru_Z>#{b224At3vQNCT*9T~|V8tgWP@q+e%Gid#O1C&Aa0go2fD^I-Bckx}% zvd(Y#QJh=x8Qu*@Cma`cRF|LhjkGBrkuj<35~zqc$h{}_)c=3_qI_<@y7E^bxK)Dx zO%42L$-8Uk|DQGRmvzgIuJdCY8GtqJw2rT1@HO@w`Uh6;l4Z;O&9Ky%h7tcO4~JQ* z02v5RH15h0th3sIc!{uR7OG}W$KU&K#}BoKv+i*qGIZEyOzZi?ff+^lIn0k+&6%pN zh?2Qs;jh{<=sI^mvQH5rL(AKhF=E(mruO{7@%YE#u5Vyf`VILQQ;S(RcWxxThvNN1 zvCH)X@!OF~JaP$x-$$}vB;I~6y25KXa#uniMLl%J7aZ(bo#*$CHqXb7D}Pz{9?G6` z-QR|C^e>u5kXM!Qu+02w}Ij32Vw{6|=+h-%jA2{RIW87o8 zux2qj*eQJM#=A&dp>gDFXsJz9tP1WHHae)4G5P%Ko_X*;r9piGz8;+WkY*~^$w4S zl)aVPJ^rYBqwyMpwI8v5Q=ho|;_pw8z4|6T;P3Zf|0HvAir=4__LKC7GW-t@_-W=N z1GD^D`!2Wp|FRDK<0|kfMZqh=k%Ua1V*ypH8Y?cj{HASzkUKaXDQ*ag;2!bxZiVO99;R^Vzh^CfMzVhp6gm|%XKZc3f(z`slI+9 zOpWlA13`$iHAVZK!*7%A123iRMMFUb~B zY8UU>WPgB1EB>PyUDdzr7b{`}p@;{_x4CfBt;$ zzAp}bdHBd*zxvzJV_$!B`pntCpZoUwcb6_-`N!4ouU)^X7kVBWq%wr5aedyvZ{m%jzM9#32$(q2Mx#1yr577J`G@aXHaNmh%Q z{wS1T2F*(O2AVa4o<9kW9BYkXv%q`ahkG5l!?xK3xrVhOANvO|)$yekNGMdv?f&;T z>(b(ievnw4VUk;2YK1i|RYO&QKCWq&iph2US$EgQc+2?3RL(Y+JT9I}F%R1Et>wA|$8*hHZxJhMk68hKm+P5>>-? z3I#fH2o@WVOmyKm+Ow?+JEyRjwL?!9mgH9Vw4EM^84ufywIQ`mcCOA$SQg|oxOAoY zD0>S^atL$a5(-DWf!x+}tM$vQUk}ErOI|vpV0S-AGrn(ze5gvslrab=nYWq4RbEIl z9i3Pb=Sb+1$5MM)F}h(UQ{%M2lE+Lj(!$LKmDk*eRhY%DcRlYqBAx8-SAg?IXcZKk zQJ2|b4UT$6jzdt>T#|Hb4)*u3?Cam=BUP(Yi;>gnhP`W1eQ_J%RrdAo1~^U;9FR_w z$7d)%<6xRokXK`l`1kS9x@ZkTpS9dULm1?}M{Jfp zUV{-@n9$?45U(K;tt@~}@OX-DwW6bm8gDC1@JX$1efbVE zV6~+7cA0i1ER{X-ESYBNGFGsb3-O~p<48WC$Zh;r%MGOyxT_V=ad4oX=W-p#i@uOl zC+hlGxaN=?Za?U*j=Pdtd%uCZ+7Hx)2WQ6jWm#Mkplx#TpARC9NFXFt)Q*E%!&#qv z?(DI(+*u?1u`XPg*dMmRYV{x%>WEXrzD?@kifV4>4w|4-Fk!DATq3RoxL%?D_+)ceym!tgvP!4TAnl z;fP?|-U(BkEytEWY%dc{g|_^={90@|EqYt-VeyD)+|dc|URy4~*ZI|GXT$gT%iR6! zy{M0r4)PethW%E3hFC477Pn5W#jDVsBzoc;m9{}jIZ6jr@W!pS?AyN-sAZpRU1d{~===JgTUa~uOq?$s~LhuaT7}1RZ;hZfOHLtPd zPiMtDj1fn;mSFHv-j-0b@*Q8H5l8qIBf2vAS?~M`i#Q^*U?r;TmviSA=0k*ikkSD* z#%&;c^90WbwGAqr4@|>KCt&3j!ociS7)t;nXYlwF262)&!*43|h*YnVTJ2@C*euA# z*Ab?cw;Q}Ri}Lh%fkhj`BaVnIp>@obdn#0RpIf|xFh_o$rIchgqf*&>xkc?x7V{%M z`7RMU6vbz@Qo^|u#|jPx`<~eozESeN!%t3Uj#B1%6gmf(4Xpa1J8FU;pG$eT)Q}(- zIx?-DrIE+DOeSMdX};1YI~a{{t+We)?C$YW?I?8soC?ZSwe(tWTZxqtp@fvnEcreg z*iT=x<@+34j`Ec6FVNk?3|{%mE03s<<$FSA0H57jxxKD}`yu)MZCC`lZG*KV{q;bIL@r~MXmZak( z<%XvG3s+Fy89q)L1{+pwtew^tU7MmM`-(_6`)VAx6Qa+Jlgv8QjRv zG}1~cPO&J4rJrlcgWPVEV>i=+|1@Aebcw5DGNmA{(fBaQmiTPe##>-P+sHd+TSB&y z8%gkCVFRtvqZW72Bv?=3E6Tab0iINT`^0fY`#a3NzB|!j0{3JeiJpD{`weU=T){MC zcggCL!0iQQVV5hc#KBXt;)}||5?EsxTaS{u^}!Y`J3b=VqQgD8k@uRxulh60JhXl( zPQ5hxNoe6^897I#HEFHO&FzfCevpmUVyXp~7VAc4NZGV=5qR|J60DKQ-h*KJqOt77 z5f;Vr!(UGNPPukj$2Pn-VSgP8FYm8|k$DVq)H|0umXOZSafGyq{c89z_TldH$;gqf zDjijLFsmJIE>k8f?-_Qx&`Ov=U{8&4U$t{nur7ed^*d|!!P!UV9-a5aZb@jIWwRJl z5LQKR2FU-LEs{olPm|vRBGcS!G3~kGVbxK8rS)NjVMN!hTmenZdr)fjrnk zB@eD&cY0Z@JH7pnNw?D5{}{p14MFo`o#|6*r@C{Rv@9(jnay=6m345c!c71<67 z?TYS{>d3kl_QSGmO2=`z&al&j3q1PG>A32^Jz1#6<$8uSR7Zp32yAT)O06Z)?gvs? zfhi)s;LBDP_eCQtJ6#9bnWf+?|CZIAmdOqCu+YV2_Jgx*InCRfa)^)p=ue}e1>cXh zw~uzGW0jf_VZ3Gb-+fj|`xLrJB0-S!$zcYiED57;!GQT^2Q<=%rB+*+yTcQXYrOa^rG8#$hta- zvFor%)K+3ug1k#sQ0FdLm0x~_Rq}@z>?_qa)kd*IED;hotOP^;wELE*?7l^kfq;IP zxxy|3J&PcaLs*x{`C-xt&UINYf`o@;=kYk$5GwZMrgAnL`(_OXz4Bupydv0pTJ;mK z&Lh%oMR!3=irv=Zzsku1^ur?8sX;{QACzi9Vi zhm|%^yf5;Pk=?i>D!G=oV(*+iR zwfaAS-Oo~5O~TZJT4h^dDgG?eTA#4KhLX;U|5F(HG1WoXTI>)sIP46I!P+6C5r5We zJj>K6?HogDu(4;5sQ9&VijgSOZ?NVOb>j{~Dof0QN%&lRVC7&&0AnXb(U2%q?y&;ITH&RxT>i;!tFRv1K9FyUR=D+euPxP3K%vR_V00_|_6Ho~H* zSivdGnlN)xFPHO4LurHXHVfZD?PL|041|H(Six&kHP z=t?KZm6CC{O6FH;@a8ISxc;cAYtXcA0&M9yXIKi}*Wuly)IWSX+v{dHH;N zjTj8f*oQ63tYg^CFr=q1!TyjK>D$a4u&#(O4wxsIfT1aWHT^Y7U(m|Nnf9dL6L$|n zEvtfIq+HJE^pJ;hn92G?+&$Kb=t?#g2m8hp>}2AxWml;aMq?(0U1(_kG(gM4UN%L> z>TSqDNP!KqjM116wx>hZV2H)|$c)sgw5y6Zz{~@Wf8(Nkl$sooKdFt9*o@Ac0WEmG z3D`{!`#qx0KGx?L4P(XhOrycJeSSM{O%dFo8F(gaD=W0FQqP7IzzVI>Iaq{f-Fis6 zax%6nenhi`9j0Ll9x64~rx>Hi|FBox^=-HBRfigmcW$EEvyL>iGKnzh&U*8YlIz1q zJAk29V!CR>x)^mWptU=3>qepz+}ceY#-@ZY?7frS>fZ~lF5Wh$G$&2i2}xZ_vRS?1 z88aITYm*y)!54#Vq_x=!S`^>i^FjYGy15YM+|#h_GZnY8NUM)&k*>)Y>~6)3x~IDZ zcfb29+>P#9+(X?HF+u=`QJ#MIj&+CP-Z)Nz>rHkK-tTdRJ~cQFqpTivN_C!wI!~Vs zh*yFCyr86xa7K3_?ji2{TPaO^YB0rjkL#qXtUVs7qTf*a%RAReW7ze1aKi`ynrjBl z?FX8h=3v(spayoMcJI*#W5rPr?j7#U#w`phdTz#nG8oGwdoq{TR3bXhj7#ZGwxH5ihgrc#sbcL z7EmOZjD511=pcJ{ErYG1-wOD7W+EDjwdx@oK!IH;7)hhux^z%bx46mbGKFHi*oa+o zT;U4dBBZgDa|bg7f=u$Wl_1W;I4L`2lOhq&BF+nU|m6HaxKTvXvf+W z%5zOmc+-%E+!uo8fr_ED;pj7=X|phXY(@(kt!QB@_ISZXF>fGd7-k5~7+-bvY^+HH z1u5DO38-8Sgt!UH(+@L*^>R9iEGV9+M(xM#q1>)g_Ya`2Inp!^uQ-A1A*c*iK@;;k0bXnEaav*Rk_rWyM( zu>{wNZ2ND~p1P5i@~a4cz!BPK0n-|p$?kc|Iuerd>puZzV5EXH+38hyiBikg^mXCG zi-@VFn3y*q72g;kL`?@ej)>vt@NMsE%&X?KF_rA;pXu85t-ow)qFnFV1LchCJVUYsOF29Pc4>1 zVn3_swxUteXsiX+7d2rMfp@v1pb-h!Q5UmKZZ>GV7MA#;U2v(B^hu&`gY>9WoRq+F zvl5tn*r16~z^z=glips#zXIuNMxYNn*=7XH$LMTFxG3wdeTU*6^G%mZZRjh$=aY0v zNlf&evYpnNrP91smOy#Tz(%X+1G8&bNiMKylU-AOHQG3AX#CKmK;KJn+0wWpr60&Y zx1?-|r6qf1_R0#T#>ErvS=~uGv!)Dd6|w~1JaY^n)*&>n&c;5`2E3`4Ok_O8YsNE+ z%ToDXy)DKI&L>w|kmJVUp@qlv1}b6lXl9Qc&2sHn*}{o($=6Gzh6L>BtcT@f$G8mH z?E~NHB%SX$T!#tw>>5y^6xPFowdy4~r5e|zQVm%?HkCF3Qe0}ZF7NmZaWjE&f^Th- z9$Oua_qY092hShqTjl#DQiLyb#5a9w(GqHxF#{_em!s}l3eBP|Hv_m(gDV`cl!%z4 zx|0OCX5X{NxQ%czJVM-j7`0kSB?~K>hHw0jqnuWM=li~8z9ZT%m_}(2wCMUI!S`3+ zFSM`1?NN*?t+9u6X|YYZ4D=Z#SkSxyC}N#-7GZ%dd54QF8Pz-)l)d^~7z7zBe4a?<3#pmLcOT z`h2+bOX``Fq2p0Ez0pd9D~q#|m>L&f`k3!k?dKP4@I{E*=UxUD?RQ z>*yVGZ}k-PZKbC~*Zf%j_@_Oirn_fwM*b^dhNu-og-v1_w~5>2_j_k~w|d`Lw74#y zPE{x13Rtw*`v%-*d0{Ki>3?F;#YNW^-CX3yb#2i_?}={zS==1%1@6U*^}!9njkxNA zU%dDN+-7k~eDa^qExNeo;-?q=xYk@;baDP|{$7MzbfPYn&bCJy0_ub7V&`Vhp4Am! z@n3X;PXEnMuOa@4`CI4Q=0A&@J!@`uT`V97XnRCSY0(LaC&Ld&=FFPEbH zNvX?UrV-E)K@aD5@zsp*5fq~V{c&eXGGoow)L9I>I{3q6l&v~{RVQLH4 zFcrdxODGJ3j&i zUaQ3kpX@eW5TrfrU@ezRTQH6pY&CFs%=D;fm~8^%(O1>Bnt_-UTvkg_1zJ-68KQ+T=b@^q8nh zlHNx&V!a*jd%_&+q(aOVYhApJ z_MV?pq3fbW)OHYi}R+xbLP|^8OdWYd1@yrDB;^Kt3oBe-L!vmUFPIvyNGZ z3HXw;40$fIcEO<3b{Z#@qSeFD>Y>}Vqn4#+yd?!@acjpGO&dAXcStJ5$bf$+p;9#T zK?}lqxy5G7-e8%mB`(H{y2g%;Z3#K?p?L>PcEJ0Jg!|3+vw)*%nf}%c<`!*M2Oz*` z2#n1>2X16(>_lo0DHGVQDd?)}K$Yh|KosCGWG~ewPZsrmhmux7=Cc5xWJhP(V#a( z-5V3lvP*OGZG#>kku#iGhdq{8GO{ez(kE`zeGeqWk526Sv!RbZUr^km5L;{vsLjkO z8Zt0InsHbD(D^^`z&(#p{z?Ch{O>6L&_|z;^S^&EmA`1nf4%$*f4ynP^|gQalbG>_qh1o`xh?lZfk^nSHNe7 z@5=vwSN}iE|BmwirnR{u{@-mL)m{HHsQ&-E`EwznTC0f+ z7sAYZaBr0{B2>Y@2OfSXCc}Jh&tCTg7<%Y+roaJF{gQ%u{MYf1sj&CCMtUc2j&uIg zuS{4n`4`hxv3G22Vdj-T`p-eM4UVwS1 zMk`x|DmI~=CZYGh3^YcH?KA*|?PsUyC+NvfAIf-m!1J{~n~`P7w$j9IkgdQzxNu0( z(BfewrDcx}e{96a$DeresZpaH&M{-hJ?(OjpHN;gaZ=@E&ofh|R!ysZw&uC%FdI#u z$r$MW`+%gLINu@GT$&>?h4YQdkRDAk#MJ~G#av~ot(+Nr&x8M%@2it)bW!$tNaxGe z{;8pFd@=3zWbV)OO_rt`*gv!0HEYcqrzH1KA!<$Bfue*3QECd|@f< zqoz57rl^`NHcPW5d21kSRE7-&PyUh=LwmeBFWD?LSe;##i&;e?%?h*d4=Pz1f$Mxn z2^w(m;FNqD$Q7$(;9iP77BK=c->bgG`uB++#oW1Af!to|&bL&nrdNEvDFQJFUYs>;AnLY>+xjn=F&>p*KzzI*t~&t)g)+2A|SQaJ$R_2ppAgMwPz*m54wYwN^ynN@}nZ!Z*-f}QDN`8B@yJQ{9vQo`r z@ncz{?^WAGUEtLl3vX5!V?v3Om8|cZj%~leC?)&(~!?%t5NtgAk+OyuU53Fexy7<%lQJkHj})Zrtu~ z_pPn&d2nn-pJij0k8K@%5PPOK?oeA;&>JQfW9J+C#_rZ7U>1+{T~eL89wiToEoExR zq(r7Op%)M*j`;-YnbHTR`{tuVaev<)<0`}q9CO{I-btaP$xP~nT&2jQAB2WzimXx@<2+v=uSLlVisYIF%n+I6CJvn~Ph z#{p7?F2NlR&1P|u7OlfO_fQD@(;EC0JfnI}Kz&PPa$Q57gPJY_uRewHEh{J;SvIn4 z7;(5sy)jR2^Bo&GbZs2Xz}NO5`Gy}NSUT4|s+_3RTZh`AyrTBy!y(^YF0~79Y8M@h z@g^Mb2>d;c+n&00bijcg4S3e!@Zzc8vu6(;uu0T6%FjD))CaqctCN*CSEqyW>2h6l z;q9uNKmO>P@<)Ihl>cqd>y$4{x&8F`4W5+$B0SrXKOTPnp7wq6^Nt&Uaj-__?O7Ak z9&+t*M+kW&~CY^hAGyCr&U zkmzN^SF%u0;c(a}ltZQnH5_pDW z(u&w&Of=6vV8IS+qCE%mMy>BHSoek_eGYpNTmk&E9p}?jW!57WSbMxzu3lwXX-R`* zPD1?Troeco5&g-s3_T!udtgH0F+&YO5e;hL+j0mni3QRu|*euhqnhP(I1*%As2 z{g?m=@`EJ9;35s7ZoMb2zS7?@khRzjT2R_{=UnXJ1bti<4_W1_RtsYApcixOp;!kG zO|subWl7Se2xd)-ZHZ+G@+!+VZ#B;zK0@;O+tnkOq%MXo>DNh_1vx{Dpz~&i&@8sL zVeMd~%(=~!OOs`lB}*-rPdo61vd4~CzOqn$p!31LIdZNXf|p0Uv);*hi#Ti zpQWO(XtBADM1rO?&pZdIwk;lE{-gYMeg6paJP$KOV*bi#binL*FQtXK?f~pFA~K5? zBS%LrN~NW8thO8+gj(xBdtqh&Et#i~r9!$LEjH9q+d&0FHS%7Z9^+f>*k-p(4xfTm z$1KPg(YzJbi$){%lpm4Zyw!1O@otli{*wmSb44m3f0~_xNI3E%N}IovYoW5^OUdc|lo1-NiXq7zf{pNK>4V;EA*4e`VQ{ zH;G?mvE`?rR*z=e@y|y1htlk$*~NpVFz5t?EDkm3#@Mm&=;$qjCi0mYN~_=sQ#sxq zkuQ}dL#AoV$6ciQ@lAzZ>r@h?Sa(Z(WeNXEp!{;82agdw!1Ns48|Xn)IB{=Exdxp_ zUxG~Bd{5I8BP`lR#-@a<&z`7*bi3dS)S@ROy-~EV9xb+XZ?_g;KzPfLuk)<+#7#_8 zLmTmXX7o)hJQgnVbc*!f0fOsb_~%we_F33nw$j~3E2KeTmdgFBf=w|ED=Ux=ON-43 zz_ChyyV*c(3lYNQ#GY?9=j!21DEq4$yIWOq339_*wGxgS5? znOj;D&>`~|Zz0s-qzBj(U$eq1V1pDTKc!C_C_iWw#8Qle;3&UB`~=9|q$xZ>M|iZq zxU{$!Bc`<6W7t1pf3cZ^<&%LKoTBsnPRZPhvVKd9B@L5-fjay%xg2_8ZF+`|EvpG= zUsk~uwB*Qe>p@f0sFkQnzB=k4{IUddM!a0N)%Pl_*XJb}d~-ohep-xiTFwV@ z9j3;q#i_%o#~F$fyTumazb%pi?eCLj2zR|ppVS}nrvBiDk2%JWw4UB^=^?3y56S9@ z_Si8NxJdgYnz>;XhaTTL7ykJtg%xK*%C|SAF{Kr}!`2iZtTWI?@zh3pea9$`q(C{P zuYpI0<41Q&xeY~4H3nJkhkxReC3e`0!!fbbWs2-FhQ1OiSam{KSs~Gx#bs!<_vmeZ z8DxA`xsK9tpA0%AK;xmSufRSl7G|uJhFL12B*A8Zs}`=*o*m^naBYFu^+SYpA`c!r zRB3zkkiUbPzXMnwz-C_H!*U<<`B1mj)@)}a;R`TJ?ORJZms%F%dkP2NDd2tZ4$3n( z38wwUr}5T+Ho!6Sg2iz6Ir9SfD96kTRzpd#z20JYs>x!o*OwGq4PE|?OuY)BR^95E zSe%5Od4+UuKkS(U?>YL!h(X$2)H6S!o;gVAnKMGtcbPR^eUsYc!O{LJxA)OZ6`u!d znffLRW?|8oe?=D6C-wJV!KjSBdWWX2QJ5{-82*<;Jffv5f+bIJZ?YEV`az65{i@b3Gcv4qXO6{%60u1)wa(^ z^S6Yd&f}=n*>T0icI-YSIt^|eePaf-+rwcdI4#MT6iGT5|26+L-&$Ztlx;FJGw+r5 zHpGy>44DXgc!uVHZZ*XwnJHWzI@gaGm!u4bvn%ie0=%P$=wH)a?5e^<%f*+#B^C zxjuIypTUxkALyvTzLiN2DrdW``*x_?Vu^mBRz(&6knVxKlVVt_1JSvRA?OL6umojTbTr_@3l_N z!|T2`iO8=T~EkWpe!?*e{a=`_v*TsY1L65uWXD&+ z@tvX;>87Lf?+V>?RL1}3%)(PSpc@O67JM>S>C>Oykm?#LX1~s36QwkJsIN5aVTEbbys{AAgL^v0(;Qq?}&c~rA$Yw_Xn=?l?gJQ znt&nIQZYDLbxlxx?k3A5gUwQ(Ys}gmD$(~^*Npw}9W!?N>YA~4@(EoUyjlNR zdnZ%>K;P5?)Sf~^6W#@sWvnO2T2Ds3VzN68eQ*G*w-sKbfn)?(y#cEM<#+%i`eNLT zS)uY*7@muLQ!(S9zW=79SwYyC;|9qv&|p%|m==+zQ-fVd8W}4@mu>BnXWsG$XF|**rS+EH!FxHdpFei;UNDLO(;+fVqmq`7;T1w4 zoVB$%^Q`l7=GD%~oI&3jOp6)o*@&s}g`O8MA?|3ONv9vXjm-3u8)nkSZ-eVyVxyFk z_5$fX|0i&6`KuU+L65WeUSCh7-~vWa=Dz}NtfvYVG)zE|^KOOyU%cp9p_ify|6v+p z%I-U3{HF=r8Jj^%%U(6w;3|4?`wtF&h`B4Y1AUF*ipxJv=w|40|M4exqVY~Ds|52f zBfVJl+)}S$4s)q9`mkwazc9f?$fM({=%p|vyAq!Ov+ud zVntnW+}n65Jh@o7ylejJAyUlACX_dv#rPZ5r=#)e;N+-1GcUIz`)?aLX-<3oQg7~0 zKlYEzcMtHge(hs}wt6q`QLFn5bgzE+Wcca%uZ2fPUEY_uf*_e+e}Gv4Nu!y&0}}n^ zUEx#r+*L@%E)O4}9zNbyu#u&bU`;R!3rBh%eB=Xygb%0MjX1p;9s!k&l4gqd&{FT- znb!+;=1+de!1^6>g>7e-_w5mcZ1?Jjli}|9A4FvlBoPWEEiC%lzCWDq*tN!A`~ALe zkCAJ~+EtggM@{|w^y=s2-_^w$iNYBAdyrnQ1O)oD(>po)sRN9Dn2Z<$Z^*&0BR^f#hWL%vG27 z4U{2yxvr7*+4t}_doJ(#Xa#b;{2)OxZ{5Vp+oPxM%{ci=#zg>dbs`|A-;n&Uj^PJ3 zt~JD5R=57vWZ0g3GUDCO|8QdG#GQ`ZM_T4CAxOrivL1i-zLeD>9tst*{K@Ud=S@wi zZ7V#qb!X4y)qBir&s+Yh5jXzopTE8L-@fYDcN&nqwl4yZ#O#Y8NKO+ZCtoH=UXJVO z-~Q#)&%ZzRv+pn54oUXtkQ)b|O_M;yca3+p_q>tnT(J<4d@^z8%pH-&7XyGdtDjHx zUtn1pK;oa7A2ahp{WIxf%?7sc@GO7N)YRIDYYX3^I*B-a`eewe_6z$`S0GPU^4vz2 zx-X&wknAEzPJ9md4lnoVFK-V~>VfFwtvX@fM6?aB+StHQC*${{PSVFlEZp;btoz$N z&V-0lC8C$=B#ZFtCA3cJ(sMyG8|$Abk|FskHxH2LVZZYl;Rzu5AULY_!oFk~5~l*m z@vb^K{w>wXdV(al6O!$Eb2lXUJv_s!K9HCQJb5Kn+MezLB(SgzI^;}<6bl06)**_b zB|N!j>1Hu&Jy!xq1daY^@VrH-srT$u>n+rG0Lg2HsLTud9$v9}8=5fkJFNH%q6<;I*FXPI`1fnRam}2) zwIk-*zAd{h?^?6soA39X*y&&M&EyV10($Zs;mJ{?eRU$;fA;+`)^j@>wQIf?kX&wL z{$z_(-^36OHudrtk)Aj#jNL{*@pZ?cP%y@AZB(2a zWy;KX=?jF8F{)xZIs+;n*jlaYMSk*h?+OuqhZi#oAaS=2Ii&pMz2)|!FWSa?$6L27zk~mbZ{ok;zvRE-Px7t&xBM0Uh9C%mLa5MNxJNJv z4+v?3MaUBhgdxJC!c)RnpR(?t@nT^Afk&5vGCtEN zDt_1`|Fw0`Dz9d%_XDNiK4tL^scQBE8@G%~joTF}? z>~-vSH}AWTvEM!W$ICz4%eYrU_MX1+rhZJ&Q>ULhw$QON%j%uYEZah8d?yf9Lt^i} zR#p7-3A1!>PkJkHrFHG4oZOyMjJ;p741{g5c1~7X=(#$kV)A^o&zeRSx`8ud;n`n? z7vvia+@QLbMRl@h9A->jnmbniX7t$bopH#gPalhwW#d0Zy7Czw+b3e71z%l9$*JtW zyu9bLuf*aP7h3adOJ4mtRpc62T^s<58^c(yGOM?CE?d-GJUgbI%M0k$s!M*S)wRyN zFt<++(|gEAT=;0;JQKTT#b4KalvG*y{LJJz5&y81ywPjnQ-Ay0;T5l4{l?{5v0_Ns zPyg}qgF4^9;*}-2Nq@5a{JvDxr9Affj(Cf%Yz$d9io<5lWiLGZyAosVrM!^0VgmLV z#C7j9EvoVdnTCvQy|!b)&kZjcVKVV_d}-l|6{}uIEL+=GDtY5C3uPmQr%P7QkzF+C zA0K|MtJAix>(gem=Pr)>R&D>&Otou--0we*^30jbSlQbp27{QFn-{*sBpU9|r}kO+OZ`dR zXK^p;au@4Zyvr$5M` zxIhYCI0mi6tc%e;-iISzlsNsvH|CxW-qXMt2JJn){mrTw`lmE`JD$6E2aAsPj++8_FDBL{HEnAmh+`2=x?lBfsFUk2;_9uqfz% zhd;X|Bau6l5{d%<;0Ootlk-u-i}LHb%dfZJEL}M$p%kxf;BOE5fAJ1&G)B%K7RK&{ zxFwdwVGpbRm~W)9pWy!;2cndD%+7|gGB%QpVq-xpCa?-t368cJ|4=jwJnc)Yp8cGy zV6V$Otxx7^H?cpkZQyJ7u>I^H`zt%nzGi2^*Is2en4jZh?n%cPI3u>3jO3y@6Bo}V za{aj!E)9I{Pr>Qta`^Wku9z$1MsQDp-yO@12e(^=e}$;!W`g6L!`0z@nOg#`_cgA8 z`xUs}_qh#N!}Brs3Ac^g$$iG{<(j#J+#zi9^f&G}cZzG}&T$vGD_k3gofRQyrIEfT zAIeAY(R?3p!4L93;UD4i_(8m#AId)lF4)aa1Q%SzKgZ7iA3UFb89eYW_}BO~;DX=d zKj1$CAH0R%&hO;^3{Lo<%m*u+@Fo7bz+prU6nY9FLND;cQ9>Uf0YaaLZf_-puTgjI zKH9~N{y4Xz!B)X^U_d4gRKwJ0IW2Y%hscz^z;CMH1C2CYcb+`BQBDOP@N9@fap{EQ zl%7aK`2x54gHv%+AwK0m8gD_4M{!m7raQqvcdh)T_$Yp)9aqv8G00GCMw}j=a?bLz zEBx(Gto}l1CH33g>786}hcf{65YP!*0MlUnzg03hqy{Bc(g1HtwS)4iWH)f7c!I-L z%iJpBp+?~c2*K~m9J~xarTSku1h7ZEiVqMp;Inwl!vX}As0t8>jfRWnhbQq|Sn2@_ zWcdAPh&$r^NC@PjMLv80C-6u}bL&!a11#hwAH?0^xb;o^9-x)s1U*CDfuBU}$~-($ z#YrXMz}t0yb-CW@B8OD*BIU*h$fc3vfE(lmPWcv9qMA-Y1HQ7eF2M7t1vRe)zX*2$ zoHp{q-_?m~f;0f+mY@P$fRy-4%x>jVPBDPuFb27g2aUI-lT(E70J?#m(Bt;r=fU%@0|0 zRA?Q=j)lOYPKr>!C;L*Cg2iEIr zguRSaJzoS=^TLyJqRkL|)=|#-d0xrOi`4mXC^^4vFoloROXP6t;0la$Rl}mArE)pmg@UYU3L25y;Fbn0B&!F|8a2rtTV%6 zWAC%DhJ`Hl{{7k3T7X z{#wbCd)~4HOG|f}f`g-S%k0n0s{3UeckdD}W5`)c*r9#Xe@gp;+R*Sq(i&r^v{=yA zZwonf-~Vav?1Q5y?>PSKvv;}8TiM=dRhm`s08|vK}OAX~@gepsN zT;aY?l;o|`{2r}7wbaq)@#8IfU^&;Yyw5sd;nfO%b3nSU(atHu#0Pwd)6+ zw2ukCDW&I*J`2n8g__7dC1CBH5yX2NGCZSUd8f*YVR-@i`8HVIeG{t-uGy$*ZnQb) za;S0vS)NinWQ|rI(CU>^2eou>B=T9zvUA~;@}tcG)3sqOU>`U8(=w?~NcQC`~=TPOX)aLrKpdPjd<|VmI%hb%4!8RN6&G%0i?dsIqV=wa@mR0rsm@W$kX$%%Ay6VWJIXxtKvv?rRw0;Z@p?2sJ-GC5Z3w%pa2?eBR zdkM<F_Cn(jw_e=_zTsv`TtTS}$#uwo5zUOYDU&aRA;#Gr|W@(oadBOXsC4 z(%0}ItkBLndJu*DHr}5P+4!6twf7X3psC~GNVg|*GChcE)o(NRyXJTllD|Rf zFLAc1ev=waTCI#fD1cF&I35G)3yq+q{*gj&)+JvE>NgRhVc)Q9SdDEfAvToqn5v(UZ*0r7X12go zw@LkmKZUK4x=j|ztDyFf71GB|zY6uJrSQH;38(tTk>GZy-{xMCR!jI#Hpxdbk4Y++ z_J1%z#f7L0b(u70PC7B^*(ov|q#NtmNl8giZsBtLR6U!gkiYSx^=y2z_WSf~sM-`r z-A;_-IYtU@lZ9GtwTtZ&o+(_soD<1^XRB&JCdMhQ`Hm+ozkvn z(+LstH%Rf89q_Y~S$6>D7SwBs`WtW!A}w$bQsi{NIz0>e{r6qFh7E;e+;Nhc5|+&o zMSbyL?Ajd5?syB2<$h;ugX8-&{-Hry&z5)!Z%S9d_V|>eIy2C|ip5BI&^NtDMKcc% z{O58E_I_qS^^Bt@Smv3T$0NsFHOl_uGgh^R3mRIRohl3LP7YFa6@T_;&)j)&n%*<- zElF87^l#Z2o1OLkC4<#XGY>srdzBTc!`m#=AB9-#0nM#E6JVQ8@IdXyN4Bl=Ge_;d z=0J_p3wS9A=D5b*bh;okMi1xJO_-plY_7!OR<^prhFF~7nAw*4p4_7KJdvka27BBa zEGz2Zw|SN@OHEfMmAch?^!fgO_&#A7*Sq^XmiW=DPND3O(5J#(D7hkb5yJDX1SmrFzS@!@Iv4{?NiN3x9cP z#UEDcmNQPWk}Hj9s`BU!JM^OJ#*B)t-nvz3thoBCw3a`&PF4=y-LMi?D%>6mKs%?) z`W>N~i6Z+UK(5cg)(7K-e9z4B)Sr9VfSuxbLOtnDi-x{ zdL@PWC37rU8KZB0*bOV6D!X&KJPj*s?cou{_PMTb8UXX))L^zUgsdE#y4)Tfmj8&# z>NN{nx@@Bs8PU&Gu9uLNa=@BXwVS?S7y9M3i-5_Ow}LI-u0X%szIB3amfCx66H9qDt8LY! zjT-yt=vk-dcZFNVoS~~#ZmKn8SQV!oCb~@9CzHkK+D8E*(ugr9iZmxRmZ0(`^CB@o zs{MM2Rq&XJXcVg%D{YT6aoUl_-C-t1#h&> zf>Vv}{6ZZrfI55!yNh|jYo)B5jbINLV;#ogU!EUklh_n++w25&_*vG-*0ObM6Z;F> z&US#@_OdrI>fwF%0p3S_lAUH>Kn1vhcd)i$bSgKV?Dz_?)LF`uvL(`niQ#US20$6U zy933IHYkpi;hEC!p$ad+%a!V-283nO3Vd7fd5nkH3SIaW>2-{U2utsP;toR*{@CES zFEA$J0!Br&Vq660HtyhQ7!}cttGp-l;Cx;Hmb;UCpb3*ATm_OF+0JrPc`bh&y6`-V zj|lNU@~5E-|B0{V8=wqt1JS(>rrQImdzZflW%wh00$fLAcOIi8uJUgo;@S*#IL+X@ zTQFK8SN>IEmzg~FpJ~G}c1tpT#mCde2=t3SMj9ZHB1}s(kCnz^^LKxukgB4RA!S2-rA7XtGH+?I?(=I!nzy7< zFR4<86jh4);`oU3L0m85{vhs$;&~ySkAepT4+tI*JRo>L@POa}!2^N^1P=%v5Ii7w zK=6Rz0l@=;2LulY9uPbrctG%g-~qt{f(HZ-2p$kTAb3FVfZzea1A+$x4+tI*JRo>L n@POa}!2^N^1P=%v_ + 9'h008: keyData[6:0] <= 7'h7F; + 9'h009: keyData[6:0] <= 7'h6D; //F10 + 9'h00a: keyData[6:0] <= 7'h64; //F8 + 9'h00b: keyData[6:0] <= 7'h61; //F6 + 9'h00c: keyData[6:0] <= 7'h76; //F4 + 9'h00d: keyData[6:0] <= 7'h30; //TAB + 9'h00e: keyData[6:0] <= 7'h32; //~ (`) + 9'h00f: keyData[6:0] <= 7'h7F; + 9'h010: keyData[6:0] <= 7'h7F; + 9'h011: keyData[6:0] <= 7'h37; //LEFT ALT (command) + 9'h012: keyData[6:0] <= 7'h38; //LEFT SHIFT + 9'h013: keyData[6:0] <= 7'h7F; + 9'h014: keyData[6:0] <= 7'h36; //CTRL + 9'h015: keyData[6:0] <= 7'h0C; //q + 9'h016: keyData[6:0] <= 7'h12; //1 + 9'h017: keyData[6:0] <= 7'h7F; + 9'h018: keyData[6:0] <= 7'h7F; + 9'h019: keyData[6:0] <= 7'h7F; + 9'h01a: keyData[6:0] <= 7'h06; //z + 9'h01b: keyData[6:0] <= 7'h01; //s + 9'h01c: keyData[6:0] <= 7'h00; //a + 9'h01d: keyData[6:0] <= 7'h0D; //w + 9'h01e: keyData[6:0] <= 7'h13; //2 + 9'h01f: keyData[6:0] <= 7'h7F; + 9'h020: keyData[6:0] <= 7'h7F; + 9'h021: keyData[6:0] <= 7'h08; //c + 9'h022: keyData[6:0] <= 7'h07; //x + 9'h023: keyData[6:0] <= 7'h02; //d + 9'h024: keyData[6:0] <= 7'h0E; //e + 9'h025: keyData[6:0] <= 7'h15; //4 + 9'h026: keyData[6:0] <= 7'h14; //3 + 9'h027: keyData[6:0] <= 7'h7F; + 9'h028: keyData[6:0] <= 7'h7F; + 9'h029: keyData[6:0] <= 7'h31; //SPACE + 9'h02a: keyData[6:0] <= 7'h09; //v + 9'h02b: keyData[6:0] <= 7'h03; //f + 9'h02c: keyData[6:0] <= 7'h11; //t + 9'h02d: keyData[6:0] <= 7'h0F; //r + 9'h02e: keyData[6:0] <= 7'h17; //5 + 9'h02f: keyData[6:0] <= 7'h7F; + 9'h030: keyData[6:0] <= 7'h7F; + 9'h031: keyData[6:0] <= 7'h2D; //n + 9'h032: keyData[6:0] <= 7'h0B; //b + 9'h033: keyData[6:0] <= 7'h04; //h + 9'h034: keyData[6:0] <= 7'h05; //g + 9'h035: keyData[6:0] <= 7'h10; //y + 9'h036: keyData[6:0] <= 7'h16; //6 + 9'h037: keyData[6:0] <= 7'h7F; + 9'h038: keyData[6:0] <= 7'h7F; + 9'h039: keyData[6:0] <= 7'h7F; + 9'h03a: keyData[6:0] <= 7'h2E; //m + 9'h03b: keyData[6:0] <= 7'h26; //j + 9'h03c: keyData[6:0] <= 7'h20; //u + 9'h03d: keyData[6:0] <= 7'h1A; //7 + 9'h03e: keyData[6:0] <= 7'h1C; //8 + 9'h03f: keyData[6:0] <= 7'h7F; + 9'h040: keyData[6:0] <= 7'h7F; + 9'h041: keyData[6:0] <= 7'h2B; //<, + 9'h042: keyData[6:0] <= 7'h28; //k + 9'h043: keyData[6:0] <= 7'h22; //i + 9'h044: keyData[6:0] <= 7'h1F; //o + 9'h045: keyData[6:0] <= 7'h1D; //0 + 9'h046: keyData[6:0] <= 7'h19; //9 + 9'h047: keyData[6:0] <= 7'h7F; + 9'h048: keyData[6:0] <= 7'h7F; + 9'h049: keyData[6:0] <= 7'h2F; //>. + 9'h04a: keyData[6:0] <= 7'h2C; //FORWARD SLASH + 9'h04b: keyData[6:0] <= 7'h25; //l + 9'h04c: keyData[6:0] <= 7'h29; //; + 9'h04d: keyData[6:0] <= 7'h23; //p + 9'h04e: keyData[6:0] <= 7'h1B; //- + 9'h04f: keyData[6:0] <= 7'h7F; + 9'h050: keyData[6:0] <= 7'h7F; + 9'h051: keyData[6:0] <= 7'h7F; + 9'h052: keyData[6:0] <= 7'h27; //'" + 9'h053: keyData[6:0] <= 7'h7F; + 9'h054: keyData[6:0] <= 7'h21; //[ + 9'h055: keyData[6:0] <= 7'h18; // = + 9'h056: keyData[6:0] <= 7'h7F; + 9'h057: keyData[6:0] <= 7'h7F; + 9'h058: keyData[6:0] <= 7'h39; //CAPSLOCK + 9'h059: keyData[6:0] <= 7'h7B; //RIGHT SHIFT + 9'h05a: keyData[6:0] <= 7'h24; //ENTER + 9'h05b: keyData[6:0] <= 7'h1E; //] + 9'h05c: keyData[6:0] <= 7'h7F; + 9'h05d: keyData[6:0] <= 7'h2A; //BACKSLASH + 9'h05e: keyData[6:0] <= 7'h7F; + 9'h05f: keyData[6:0] <= 7'h7F; + 9'h060: keyData[6:0] <= 7'h7F; + 9'h061: keyData[6:0] <= 7'h7F; //international left shift cut out (German '<>' key), 0x56 Set#1 code + 9'h062: keyData[6:0] <= 7'h7F; + 9'h063: keyData[6:0] <= 7'h7F; + 9'h064: keyData[6:0] <= 7'h7F; + 9'h065: keyData[6:0] <= 7'h7F; + 9'h066: keyData[6:0] <= 7'h33; //BACKSPACE + 9'h067: keyData[6:0] <= 7'h7F; + 9'h068: keyData[6:0] <= 7'h7F; + 9'h069: keyData[6:0] <= 7'h53; //KP 1 + 9'h06a: keyData[6:0] <= 7'h7F; + 9'h06b: keyData[6:0] <= 7'h56; //KP 4 + 9'h06c: keyData[6:0] <= 7'h59; //KP 7 + 9'h06d: keyData[6:0] <= 7'h7F; + 9'h06e: keyData[6:0] <= 7'h7F; + 9'h06f: keyData[6:0] <= 7'h7F; + 9'h070: keyData[6:0] <= 7'h52; //KP 0 + 9'h071: keyData[6:0] <= 7'h41; //KP . + 9'h072: keyData[6:0] <= 7'h54; //KP 2 + 9'h073: keyData[6:0] <= 7'h57; //KP 5 + 9'h074: keyData[6:0] <= 7'h58; //KP 6 + 9'h075: keyData[6:0] <= 7'h5B; //KP 8 + 9'h076: keyData[6:0] <= 7'h35; //ESCAPE + 9'h077: keyData[6:0] <= 7'h47; //NUMLOCK (Mac keypad clear?) + 9'h078: keyData[6:0] <= 7'h67; //F11 + 9'h079: keyData[6:0] <= 7'h45; //KP + + 9'h07a: keyData[6:0] <= 7'h55; //KP 3 + 9'h07b: keyData[6:0] <= 7'h4E; //KP - + 9'h07c: keyData[6:0] <= 7'h43; //KP * + 9'h07d: keyData[6:0] <= 7'h5C; //KP 9 + 9'h07e: keyData[6:0] <= 7'h7F; //SCROLL LOCK / KP ) + 9'h07f: keyData[6:0] <= 7'h7F; + 9'h080: keyData[6:0] <= 7'h7F; + 9'h081: keyData[6:0] <= 7'h7F; + 9'h082: keyData[6:0] <= 7'h7F; + 9'h083: keyData[6:0] <= 7'h62; //F7 + 9'h084: keyData[6:0] <= 7'h7F; + 9'h085: keyData[6:0] <= 7'h7F; + 9'h086: keyData[6:0] <= 7'h7F; + 9'h087: keyData[6:0] <= 7'h7F; + 9'h088: keyData[6:0] <= 7'h7F; + 9'h089: keyData[6:0] <= 7'h7F; + 9'h08a: keyData[6:0] <= 7'h7F; + 9'h08b: keyData[6:0] <= 7'h7F; + 9'h08c: keyData[6:0] <= 7'h7F; + 9'h08d: keyData[6:0] <= 7'h7F; + 9'h08e: keyData[6:0] <= 7'h7F; + 9'h08f: keyData[6:0] <= 7'h7F; + 9'h090: keyData[6:0] <= 7'h7F; + 9'h091: keyData[6:0] <= 7'h7F; + 9'h092: keyData[6:0] <= 7'h7F; + 9'h093: keyData[6:0] <= 7'h7F; + 9'h094: keyData[6:0] <= 7'h7F; + 9'h095: keyData[6:0] <= 7'h7F; + 9'h096: keyData[6:0] <= 7'h7F; + 9'h097: keyData[6:0] <= 7'h7F; + 9'h098: keyData[6:0] <= 7'h7F; + 9'h099: keyData[6:0] <= 7'h7F; + 9'h09a: keyData[6:0] <= 7'h7F; + 9'h09b: keyData[6:0] <= 7'h7F; + 9'h09c: keyData[6:0] <= 7'h7F; + 9'h09d: keyData[6:0] <= 7'h7F; + 9'h09e: keyData[6:0] <= 7'h7F; + 9'h09f: keyData[6:0] <= 7'h7F; + 9'h0a0: keyData[6:0] <= 7'h7F; + 9'h0a1: keyData[6:0] <= 7'h7F; + 9'h0a2: keyData[6:0] <= 7'h7F; + 9'h0a3: keyData[6:0] <= 7'h7F; + 9'h0a4: keyData[6:0] <= 7'h7F; + 9'h0a5: keyData[6:0] <= 7'h7F; + 9'h0a6: keyData[6:0] <= 7'h7F; + 9'h0a7: keyData[6:0] <= 7'h7F; + 9'h0a8: keyData[6:0] <= 7'h7F; + 9'h0a9: keyData[6:0] <= 7'h7F; + 9'h0aa: keyData[6:0] <= 7'h7F; + 9'h0ab: keyData[6:0] <= 7'h7F; + 9'h0ac: keyData[6:0] <= 7'h7F; + 9'h0ad: keyData[6:0] <= 7'h7F; + 9'h0ae: keyData[6:0] <= 7'h7F; + 9'h0af: keyData[6:0] <= 7'h7F; + 9'h0b0: keyData[6:0] <= 7'h7F; + 9'h0b1: keyData[6:0] <= 7'h7F; + 9'h0b2: keyData[6:0] <= 7'h7F; + 9'h0b3: keyData[6:0] <= 7'h7F; + 9'h0b4: keyData[6:0] <= 7'h7F; + 9'h0b5: keyData[6:0] <= 7'h7F; + 9'h0b6: keyData[6:0] <= 7'h7F; + 9'h0b7: keyData[6:0] <= 7'h7F; + 9'h0b8: keyData[6:0] <= 7'h7F; + 9'h0b9: keyData[6:0] <= 7'h7F; + 9'h0ba: keyData[6:0] <= 7'h7F; + 9'h0bb: keyData[6:0] <= 7'h7F; + 9'h0bc: keyData[6:0] <= 7'h7F; + 9'h0bd: keyData[6:0] <= 7'h7F; + 9'h0be: keyData[6:0] <= 7'h7F; + 9'h0bf: keyData[6:0] <= 7'h7F; + 9'h0c0: keyData[6:0] <= 7'h7F; + 9'h0c1: keyData[6:0] <= 7'h7F; + 9'h0c2: keyData[6:0] <= 7'h7F; + 9'h0c3: keyData[6:0] <= 7'h7F; + 9'h0c4: keyData[6:0] <= 7'h7F; + 9'h0c5: keyData[6:0] <= 7'h7F; + 9'h0c6: keyData[6:0] <= 7'h7F; + 9'h0c7: keyData[6:0] <= 7'h7F; + 9'h0c8: keyData[6:0] <= 7'h7F; + 9'h0c9: keyData[6:0] <= 7'h7F; + 9'h0ca: keyData[6:0] <= 7'h7F; + 9'h0cb: keyData[6:0] <= 7'h7F; + 9'h0cc: keyData[6:0] <= 7'h7F; + 9'h0cd: keyData[6:0] <= 7'h7F; + 9'h0ce: keyData[6:0] <= 7'h7F; + 9'h0cf: keyData[6:0] <= 7'h7F; + 9'h0d0: keyData[6:0] <= 7'h7F; + 9'h0d1: keyData[6:0] <= 7'h7F; + 9'h0d2: keyData[6:0] <= 7'h7F; + 9'h0d3: keyData[6:0] <= 7'h7F; + 9'h0d4: keyData[6:0] <= 7'h7F; + 9'h0d5: keyData[6:0] <= 7'h7F; + 9'h0d6: keyData[6:0] <= 7'h7F; + 9'h0d7: keyData[6:0] <= 7'h7F; + 9'h0d8: keyData[6:0] <= 7'h7F; + 9'h0d9: keyData[6:0] <= 7'h7F; + 9'h0da: keyData[6:0] <= 7'h7F; + 9'h0db: keyData[6:0] <= 7'h7F; + 9'h0dc: keyData[6:0] <= 7'h7F; + 9'h0dd: keyData[6:0] <= 7'h7F; + 9'h0de: keyData[6:0] <= 7'h7F; + 9'h0df: keyData[6:0] <= 7'h7F; + 9'h0e0: keyData[6:0] <= 7'h7F; //ps2 extended key + 9'h0e1: keyData[6:0] <= 7'h7F; + 9'h0e2: keyData[6:0] <= 7'h7F; + 9'h0e3: keyData[6:0] <= 7'h7F; + 9'h0e4: keyData[6:0] <= 7'h7F; + 9'h0e5: keyData[6:0] <= 7'h7F; + 9'h0e6: keyData[6:0] <= 7'h7F; + 9'h0e7: keyData[6:0] <= 7'h7F; + 9'h0e8: keyData[6:0] <= 7'h7F; + 9'h0e9: keyData[6:0] <= 7'h7F; + 9'h0ea: keyData[6:0] <= 7'h7F; + 9'h0eb: keyData[6:0] <= 7'h7F; + 9'h0ec: keyData[6:0] <= 7'h7F; + 9'h0ed: keyData[6:0] <= 7'h7F; + 9'h0ee: keyData[6:0] <= 7'h7F; + 9'h0ef: keyData[6:0] <= 7'h7F; + 9'h0f0: keyData[6:0] <= 7'h7F; //ps2 release code + 9'h0f1: keyData[6:0] <= 7'h7F; + 9'h0f2: keyData[6:0] <= 7'h7F; + 9'h0f3: keyData[6:0] <= 7'h7F; + 9'h0f4: keyData[6:0] <= 7'h7F; + 9'h0f5: keyData[6:0] <= 7'h7F; + 9'h0f6: keyData[6:0] <= 7'h7F; + 9'h0f7: keyData[6:0] <= 7'h7F; + 9'h0f8: keyData[6:0] <= 7'h7F; + 9'h0f9: keyData[6:0] <= 7'h7F; + 9'h0fa: keyData[6:0] <= 7'h7F; //ps2 ack code + 9'h0fb: keyData[6:0] <= 7'h7F; + 9'h0fc: keyData[6:0] <= 7'h7F; + 9'h0fd: keyData[6:0] <= 7'h7F; + 9'h0fe: keyData[6:0] <= 7'h7F; + 9'h0ff: keyData[6:0] <= 7'h7F; + 9'h100: keyData[6:0] <= 7'h7F; + 9'h101: keyData[6:0] <= 7'h7F; + 9'h102: keyData[6:0] <= 7'h7F; + 9'h103: keyData[6:0] <= 7'h7F; + 9'h104: keyData[6:0] <= 7'h7F; + 9'h105: keyData[6:0] <= 7'h7F; + 9'h106: keyData[6:0] <= 7'h7F; + 9'h107: keyData[6:0] <= 7'h7F; + 9'h108: keyData[6:0] <= 7'h7F; + 9'h109: keyData[6:0] <= 7'h7F; + 9'h10a: keyData[6:0] <= 7'h7F; + 9'h10b: keyData[6:0] <= 7'h7F; + 9'h10c: keyData[6:0] <= 7'h7F; + 9'h10d: keyData[6:0] <= 7'h7F; + 9'h10e: keyData[6:0] <= 7'h7F; + 9'h10f: keyData[6:0] <= 7'h7F; + 9'h110: keyData[6:0] <= 7'h7F; + 9'h111: keyData[6:0] <= 7'h37; //RIGHT ALT (command) + 9'h112: keyData[6:0] <= 7'h7F; + 9'h113: keyData[6:0] <= 7'h7F; + 9'h114: keyData[6:0] <= 7'h7F; + 9'h115: keyData[6:0] <= 7'h7F; + 9'h116: keyData[6:0] <= 7'h7F; + 9'h117: keyData[6:0] <= 7'h7F; + 9'h118: keyData[6:0] <= 7'h7F; + 9'h119: keyData[6:0] <= 7'h7F; + 9'h11a: keyData[6:0] <= 7'h7F; + 9'h11b: keyData[6:0] <= 7'h7F; + 9'h11c: keyData[6:0] <= 7'h7F; + 9'h11d: keyData[6:0] <= 7'h7F; + 9'h11e: keyData[6:0] <= 7'h7F; + 9'h11f: keyData[6:0] <= 7'h3A; //WINDOWS OR APPLICATION KEY (option) + 9'h120: keyData[6:0] <= 7'h7F; + 9'h121: keyData[6:0] <= 7'h7F; + 9'h122: keyData[6:0] <= 7'h7F; + 9'h123: keyData[6:0] <= 7'h7F; + 9'h124: keyData[6:0] <= 7'h7F; + 9'h125: keyData[6:0] <= 7'h7F; + 9'h126: keyData[6:0] <= 7'h7F; + 9'h127: keyData[6:0] <= 7'h7F; + 9'h128: keyData[6:0] <= 7'h7F; + 9'h129: keyData[6:0] <= 7'h7F; + 9'h12a: keyData[6:0] <= 7'h7F; + 9'h12b: keyData[6:0] <= 7'h7F; + 9'h12c: keyData[6:0] <= 7'h7F; + 9'h12d: keyData[6:0] <= 7'h7F; + 9'h12e: keyData[6:0] <= 7'h7F; + 9'h12f: keyData[6:0] <= 7'h7F; + 9'h130: keyData[6:0] <= 7'h7F; + 9'h131: keyData[6:0] <= 7'h7F; + 9'h132: keyData[6:0] <= 7'h7F; + 9'h133: keyData[6:0] <= 7'h7F; + 9'h134: keyData[6:0] <= 7'h7F; + 9'h135: keyData[6:0] <= 7'h7F; + 9'h136: keyData[6:0] <= 7'h7F; + 9'h137: keyData[6:0] <= 7'h7F; + 9'h138: keyData[6:0] <= 7'h7F; + 9'h139: keyData[6:0] <= 7'h7F; + 9'h13a: keyData[6:0] <= 7'h7F; + 9'h13b: keyData[6:0] <= 7'h7F; + 9'h13c: keyData[6:0] <= 7'h7F; + 9'h13d: keyData[6:0] <= 7'h7F; + 9'h13e: keyData[6:0] <= 7'h7F; + 9'h13f: keyData[6:0] <= 7'h7F; + 9'h140: keyData[6:0] <= 7'h7F; + 9'h141: keyData[6:0] <= 7'h7F; + 9'h142: keyData[6:0] <= 7'h7F; + 9'h143: keyData[6:0] <= 7'h7F; + 9'h144: keyData[6:0] <= 7'h7F; + 9'h145: keyData[6:0] <= 7'h7F; + 9'h146: keyData[6:0] <= 7'h7F; + 9'h147: keyData[6:0] <= 7'h7F; + 9'h148: keyData[6:0] <= 7'h7F; + 9'h149: keyData[6:0] <= 7'h7F; + 9'h14a: keyData[6:0] <= 7'h4B; //KP / + 9'h14b: keyData[6:0] <= 7'h7F; + 9'h14c: keyData[6:0] <= 7'h7F; + 9'h14d: keyData[6:0] <= 7'h7F; + 9'h14e: keyData[6:0] <= 7'h7F; + 9'h14f: keyData[6:0] <= 7'h7F; + 9'h150: keyData[6:0] <= 7'h7F; + 9'h151: keyData[6:0] <= 7'h7F; + 9'h152: keyData[6:0] <= 7'h7F; + 9'h153: keyData[6:0] <= 7'h7F; + 9'h154: keyData[6:0] <= 7'h7F; + 9'h155: keyData[6:0] <= 7'h7F; + 9'h156: keyData[6:0] <= 7'h7F; + 9'h157: keyData[6:0] <= 7'h7F; + 9'h158: keyData[6:0] <= 7'h7F; + 9'h159: keyData[6:0] <= 7'h7F; + 9'h15a: keyData[6:0] <= 7'h4C; //KP ENTER + 9'h15b: keyData[6:0] <= 7'h7F; + 9'h15c: keyData[6:0] <= 7'h7F; + 9'h15d: keyData[6:0] <= 7'h7F; + 9'h15e: keyData[6:0] <= 7'h7F; + 9'h15f: keyData[6:0] <= 7'h7F; + 9'h160: keyData[6:0] <= 7'h7F; + 9'h161: keyData[6:0] <= 7'h7F; + 9'h162: keyData[6:0] <= 7'h7F; + 9'h163: keyData[6:0] <= 7'h7F; + 9'h164: keyData[6:0] <= 7'h7F; + 9'h165: keyData[6:0] <= 7'h7F; + 9'h166: keyData[6:0] <= 7'h7F; + 9'h167: keyData[6:0] <= 7'h7F; + 9'h168: keyData[6:0] <= 7'h7F; + 9'h169: keyData[6:0] <= 7'h77; //END + 9'h16a: keyData[6:0] <= 7'h7F; + 9'h16b: keyData[6:0] <= 7'h3B; //ARROW LEFT + 9'h16c: keyData[6:0] <= 7'h73; //HOME + 9'h16d: keyData[6:0] <= 7'h7F; + 9'h16e: keyData[6:0] <= 7'h7F; + 9'h16f: keyData[6:0] <= 7'h7F; + 9'h170: keyData[6:0] <= 7'h72; //INSERT = HELP + 9'h171: keyData[6:0] <= 7'h75; //DELETE (KP clear?) + 9'h172: keyData[6:0] <= 7'h3D; //ARROW DOWN + 9'h173: keyData[6:0] <= 7'h7F; + 9'h174: keyData[6:0] <= 7'h3C; //ARROW RIGHT + 9'h175: keyData[6:0] <= 7'h3E; //ARROW UP + 9'h176: keyData[6:0] <= 7'h7F; + 9'h177: keyData[6:0] <= 7'h7F; + 9'h178: keyData[6:0] <= 7'h7F; + 9'h179: keyData[6:0] <= 7'h7F; + 9'h17a: keyData[6:0] <= 7'h79; //PGDN + 9'h17b: keyData[6:0] <= 7'h7F; + 9'h17c: keyData[6:0] <= 7'h69; //PRTSCR (F13) + 9'h17d: keyData[6:0] <= 7'h74; //PGUP + 9'h17e: keyData[6:0] <= 7'h71; //ctrl+break (F15) + 9'h17f: keyData[6:0] <= 7'h7F; + 9'h180: keyData[6:0] <= 7'h7F; + 9'h181: keyData[6:0] <= 7'h7F; + 9'h182: keyData[6:0] <= 7'h7F; + 9'h183: keyData[6:0] <= 7'h7F; + 9'h184: keyData[6:0] <= 7'h7F; + 9'h185: keyData[6:0] <= 7'h7F; + 9'h186: keyData[6:0] <= 7'h7F; + 9'h187: keyData[6:0] <= 7'h7F; + 9'h188: keyData[6:0] <= 7'h7F; + 9'h189: keyData[6:0] <= 7'h7F; + 9'h18a: keyData[6:0] <= 7'h7F; + 9'h18b: keyData[6:0] <= 7'h7F; + 9'h18c: keyData[6:0] <= 7'h7F; + 9'h18d: keyData[6:0] <= 7'h7F; + 9'h18e: keyData[6:0] <= 7'h7F; + 9'h18f: keyData[6:0] <= 7'h7F; + 9'h190: keyData[6:0] <= 7'h7F; + 9'h191: keyData[6:0] <= 7'h7F; + 9'h192: keyData[6:0] <= 7'h7F; + 9'h193: keyData[6:0] <= 7'h7F; + 9'h194: keyData[6:0] <= 7'h7F; + 9'h195: keyData[6:0] <= 7'h7F; + 9'h196: keyData[6:0] <= 7'h7F; + 9'h197: keyData[6:0] <= 7'h7F; + 9'h198: keyData[6:0] <= 7'h7F; + 9'h199: keyData[6:0] <= 7'h7F; + 9'h19a: keyData[6:0] <= 7'h7F; + 9'h19b: keyData[6:0] <= 7'h7F; + 9'h19c: keyData[6:0] <= 7'h7F; + 9'h19d: keyData[6:0] <= 7'h7F; + 9'h19e: keyData[6:0] <= 7'h7F; + 9'h19f: keyData[6:0] <= 7'h7F; + 9'h1a0: keyData[6:0] <= 7'h7F; + 9'h1a1: keyData[6:0] <= 7'h7F; + 9'h1a2: keyData[6:0] <= 7'h7F; + 9'h1a3: keyData[6:0] <= 7'h7F; + 9'h1a4: keyData[6:0] <= 7'h7F; + 9'h1a5: keyData[6:0] <= 7'h7F; + 9'h1a6: keyData[6:0] <= 7'h7F; + 9'h1a7: keyData[6:0] <= 7'h7F; + 9'h1a8: keyData[6:0] <= 7'h7F; + 9'h1a9: keyData[6:0] <= 7'h7F; + 9'h1aa: keyData[6:0] <= 7'h7F; + 9'h1ab: keyData[6:0] <= 7'h7F; + 9'h1ac: keyData[6:0] <= 7'h7F; + 9'h1ad: keyData[6:0] <= 7'h7F; + 9'h1ae: keyData[6:0] <= 7'h7F; + 9'h1af: keyData[6:0] <= 7'h7F; + 9'h1b0: keyData[6:0] <= 7'h7F; + 9'h1b1: keyData[6:0] <= 7'h7F; + 9'h1b2: keyData[6:0] <= 7'h7F; + 9'h1b3: keyData[6:0] <= 7'h7F; + 9'h1b4: keyData[6:0] <= 7'h7F; + 9'h1b5: keyData[6:0] <= 7'h7F; + 9'h1b6: keyData[6:0] <= 7'h7F; + 9'h1b7: keyData[6:0] <= 7'h7F; + 9'h1b8: keyData[6:0] <= 7'h7F; + 9'h1b9: keyData[6:0] <= 7'h7F; + 9'h1ba: keyData[6:0] <= 7'h7F; + 9'h1bb: keyData[6:0] <= 7'h7F; + 9'h1bc: keyData[6:0] <= 7'h7F; + 9'h1bd: keyData[6:0] <= 7'h7F; + 9'h1be: keyData[6:0] <= 7'h7F; + 9'h1bf: keyData[6:0] <= 7'h7F; + 9'h1c0: keyData[6:0] <= 7'h7F; + 9'h1c1: keyData[6:0] <= 7'h7F; + 9'h1c2: keyData[6:0] <= 7'h7F; + 9'h1c3: keyData[6:0] <= 7'h7F; + 9'h1c4: keyData[6:0] <= 7'h7F; + 9'h1c5: keyData[6:0] <= 7'h7F; + 9'h1c6: keyData[6:0] <= 7'h7F; + 9'h1c7: keyData[6:0] <= 7'h7F; + 9'h1c8: keyData[6:0] <= 7'h7F; + 9'h1c9: keyData[6:0] <= 7'h7F; + 9'h1ca: keyData[6:0] <= 7'h7F; + 9'h1cb: keyData[6:0] <= 7'h7F; + 9'h1cc: keyData[6:0] <= 7'h7F; + 9'h1cd: keyData[6:0] <= 7'h7F; + 9'h1ce: keyData[6:0] <= 7'h7F; + 9'h1cf: keyData[6:0] <= 7'h7F; + 9'h1d0: keyData[6:0] <= 7'h7F; + 9'h1d1: keyData[6:0] <= 7'h7F; + 9'h1d2: keyData[6:0] <= 7'h7F; + 9'h1d3: keyData[6:0] <= 7'h7F; + 9'h1d4: keyData[6:0] <= 7'h7F; + 9'h1d5: keyData[6:0] <= 7'h7F; + 9'h1d6: keyData[6:0] <= 7'h7F; + 9'h1d7: keyData[6:0] <= 7'h7F; + 9'h1d8: keyData[6:0] <= 7'h7F; + 9'h1d9: keyData[6:0] <= 7'h7F; + 9'h1da: keyData[6:0] <= 7'h7F; + 9'h1db: keyData[6:0] <= 7'h7F; + 9'h1dc: keyData[6:0] <= 7'h7F; + 9'h1dd: keyData[6:0] <= 7'h7F; + 9'h1de: keyData[6:0] <= 7'h7F; + 9'h1df: keyData[6:0] <= 7'h7F; + 9'h1e0: keyData[6:0] <= 7'h7F; //ps2 extended key(duplicate, see $e0) + 9'h1e1: keyData[6:0] <= 7'h7F; + 9'h1e2: keyData[6:0] <= 7'h7F; + 9'h1e3: keyData[6:0] <= 7'h7F; + 9'h1e4: keyData[6:0] <= 7'h7F; + 9'h1e5: keyData[6:0] <= 7'h7F; + 9'h1e6: keyData[6:0] <= 7'h7F; + 9'h1e7: keyData[6:0] <= 7'h7F; + 9'h1e8: keyData[6:0] <= 7'h7F; + 9'h1e9: keyData[6:0] <= 7'h7F; + 9'h1ea: keyData[6:0] <= 7'h7F; + 9'h1eb: keyData[6:0] <= 7'h7F; + 9'h1ec: keyData[6:0] <= 7'h7F; + 9'h1ed: keyData[6:0] <= 7'h7F; + 9'h1ee: keyData[6:0] <= 7'h7F; + 9'h1ef: keyData[6:0] <= 7'h7F; + 9'h1f0: keyData[6:0] <= 7'h7F; //ps2 release code(duplicate, see $f0) + 9'h1f1: keyData[6:0] <= 7'h7F; + 9'h1f2: keyData[6:0] <= 7'h7F; + 9'h1f3: keyData[6:0] <= 7'h7F; + 9'h1f4: keyData[6:0] <= 7'h7F; + 9'h1f5: keyData[6:0] <= 7'h7F; + 9'h1f6: keyData[6:0] <= 7'h7F; + 9'h1f7: keyData[6:0] <= 7'h7F; + 9'h1f8: keyData[6:0] <= 7'h7F; + 9'h1f9: keyData[6:0] <= 7'h7F; + 9'h1fa: keyData[6:0] <= 7'h7F; //ps2 ack code(duplicate see $fa) + 9'h1fb: keyData[6:0] <= 7'h7F; + 9'h1fc: keyData[6:0] <= 7'h7F; + 9'h1fd: keyData[6:0] <= 7'h7F; + 9'h1fe: keyData[6:0] <= 7'h7F; + 9'h1ff: keyData[6:0] <= 7'h7F; + endcase + if(capslock_key && press) capslock <= ~capslock; + if(!(capslock_key && capslock)) begin + keyData[7] <= ~press; + keyStrobe <= 1; + end + end + else begin + keyStrobe <= 0; + end + end + + if (reset) capslock <= 0; +end + +endmodule + diff --git a/rtl/addrController_top.v b/rtl/addrController_top.v index 5c07978..eba75d2 100644 --- a/rtl/addrController_top.v +++ b/rtl/addrController_top.v @@ -9,7 +9,7 @@ module addrController_top( // system config: input turbo, // 0 = normal, 1 = faster - input configROMSize, // 0 = 64K ROM, 1 = 128K ROM + input [1:0] configROMSize, // 0 = 64K ROM, 1 = 128K ROM, 2 = 256K ROM input [1:0] configRAMSize, // 0 = 128K, 1 = 512K, 2 = 1MB, 3 = 4MB RAM // 68000 CPU memory interface: @@ -38,6 +38,7 @@ module addrController_top( output selectVIA, output selectRAM, output selectROM, + output selectSEOverlay, // video: output hsync, @@ -155,14 +156,14 @@ module addrController_top( wire rom_access = (cpuBusControl && selectROM); // simulate smaller RAM/ROM sizes - assign macAddr[16] = rom_access && configROMSize == 1'b0 ? 1'b0 : // force A16 to 0 for 64K ROM access + assign macAddr[16] = rom_access && configROMSize == 2'b00 ? 1'b0 : // force A16 to 0 for 64K ROM access addrMux[16]; assign macAddr[17] = ram_access && configRAMSize == 2'b00 ? 1'b0 : // force A17 to 0 for 128K RAM access - rom_access && configROMSize == 1'b1 ? 1'b0 : // force A17 to 0 for 128K ROM access - rom_access && configROMSize == 1'b0 ? 1'b1 : // force A17 to 1 for 64K ROM access (64K ROM image is at $20000) + rom_access && configROMSize == 2'b01 ? 1'b0 : // force A17 to 0 for 128K ROM access + rom_access && configROMSize == 2'b00 ? 1'b1 : // force A17 to 1 for 64K ROM access (64K ROM image is at $20000) addrMux[17]; assign macAddr[18] = ram_access && configRAMSize == 2'b00 ? 1'b0 : // force A18 to 0 for 128K RAM access - rom_access ? 1'b0 : // force A18 to 0 for ROM access + rom_access && configROMSize != 2'b11 ? 1'b0 : // force A18 to 0 for 64K/128K/256K ROM access addrMux[18]; assign macAddr[19] = ram_access && configRAMSize[1] == 1'b0 ? 1'b0 : // force A19 to 0 for 128K or 512K RAM access rom_access ? 1'b0 : // force A19 to 0 for ROM access @@ -188,6 +189,7 @@ module addrController_top( // address decoding addrDecoder ad( + .configROMSize(configROMSize), .address(cpuAddr), ._cpuAS(_cpuAS), .memoryOverlayOn(memoryOverlayOn), @@ -196,7 +198,8 @@ module addrController_top( .selectSCSI(selectSCSI), .selectSCC(selectSCC), .selectIWM(selectIWM), - .selectVIA(selectVIA)); + .selectVIA(selectVIA), + .selectSEOverlay(selectSEOverlay)); // video videoTimer vt( diff --git a/rtl/addrDecoder.v b/rtl/addrDecoder.v index ef2ffc1..fac3fbd 100644 --- a/rtl/addrDecoder.v +++ b/rtl/addrDecoder.v @@ -80,6 +80,7 @@ */ module addrDecoder( + input [1:0] configROMSize, input [23:0] address, input _cpuAS, input memoryOverlayOn, @@ -88,7 +89,8 @@ module addrDecoder( output reg selectSCSI, output reg selectSCC, output reg selectIWM, - output reg selectVIA + output reg selectVIA, + output reg selectSEOverlay ); always @(*) begin @@ -98,34 +100,44 @@ module addrDecoder( selectSCC = 0; selectIWM = 0; selectVIA = 0; + selectSEOverlay = 0; casez (address[23:20]) - 4'b00??: begin + 4'b00??: begin //00 0000 - 3F FFFF if (memoryOverlayOn == 0) selectRAM = !_cpuAS; else begin if (address[23:20] == 0) begin // Mac Plus: repeated images of overlay ROM only extend to $0F0000 // Mac 512K: more repeated ROM images at $020000-$02FFFF + // Mac SE: overlay ROM at $00 0000 - $0F FFFF selectROM = !_cpuAS; end end end - 4'b0100: - if( address[17] == 1'b0) // <- this detects SCSI!!! + 4'b0100: begin //40 0000 - 4F FFFF + if(configROMSize[1] || address[17] == 1'b0) // <- this detects SCSI (on Plus)!!! selectROM = !_cpuAS; - 4'b0101: - if (address[19:12] == 8'h80) + selectSEOverlay = !_cpuAS; + end + 4'b0101: begin //50 000 - 5F FFFF + if (address[19]) // 58 000 - 5F FFFF selectSCSI = !_cpuAS; + selectSEOverlay = !_cpuAS; + end 4'b0110: if (memoryOverlayOn) selectRAM = !_cpuAS; 4'b10?1: selectSCC = !_cpuAS; + 4'b1100: // C0 000 - CF FFF + if (!configROMSize[1]) + selectIWM = !_cpuAS; 4'b1101: selectIWM = !_cpuAS; 4'b1110: - selectVIA = !_cpuAS; + if (address[19]) // E8 000 - EF FFF + selectVIA = !_cpuAS; default: ; // select nothing endcase diff --git a/rtl/dataController_top.sv b/rtl/dataController_top.sv index 73d37af..1b3c1cb 100644 --- a/rtl/dataController_top.sv +++ b/rtl/dataController_top.sv @@ -7,6 +7,7 @@ module dataController_top( input E_falling, // system control: + input machineType, // 0 - Mac Plus, 1 - Mac SE input _systemReset, // 68000 CPU control: @@ -28,6 +29,7 @@ module dataController_top( input selectSCC, input selectIWM, input selectVIA, + input selectSEOverlay, input _cpuVMA, // RAM/ROM: @@ -210,9 +212,19 @@ module dataController_top( end wire onesec = vblankCount == 59; + // Mac SE ROM overlay switch + reg SEOverlay; + always @(posedge clk32) begin + if (!_cpuReset) + SEOverlay <= 1; + else if (selectSEOverlay) + SEOverlay <= 0; + end + // VIA wire [2:0] snd_vol; wire snd_ena; + wire driveSel; // internal drive select, 0 - upper, 1 - lower wire [7:0] via_pa_i, via_pa_o, via_pa_oe; wire [7:0] via_pb_i, via_pb_o, via_pb_oe; @@ -223,13 +235,14 @@ module dataController_top( //port A assign via_pa_i = {sccWReq, ~via_pa_oe[6:0] | via_pa_o[6:0]}; assign snd_vol = ~via_pa_oe[2:0] | via_pa_o[2:0]; - assign snd_alt = ~(~via_pa_oe[3] | via_pa_o[3]); - assign memoryOverlayOn = ~via_pa_oe[4] | via_pa_o[4]; + assign snd_alt = machineType ? 1'b0 : ~(~via_pa_oe[3] | via_pa_o[3]); + assign driveSel = machineType ? ~via_pa_oe[4] | via_pa_o[4] : 1'b1; + assign memoryOverlayOn = machineType ? SEOverlay : ~via_pa_oe[4] | via_pa_o[4]; assign SEL = ~via_pa_oe[5] | via_pa_o[5]; assign vid_alt = ~via_pa_oe[6] | via_pa_o[6]; //port B - assign via_pb_i = {1'b1, _hblank, mouseY2, mouseX2, mouseButton, 2'b11, rtcdat_o}; + assign via_pb_i = {1'b1, {3{machineType}} | {_hblank, mouseY2, mouseX2}, machineType ? _ADBint : mouseButton, 2'b11, rtcdat_o}; assign snd_ena = ~via_pb_oe[7] | via_pb_o[7]; assign viaDataOut[7:0] = 8'hEF; @@ -284,6 +297,11 @@ module dataController_top( .dat_o (rtcdat_o) ); + wire _ADBint; + wire ADBST0 = ~via_pb_oe[4] | via_pb_o[4]; + wire ADBST1 = ~via_pb_oe[5] | via_pb_o[5]; + wire ADBListen; + reg kbdclk; reg [10:0] kbdclk_count; reg kbd_transmitting, kbd_wait_receiving, kbd_receiving; @@ -301,7 +319,7 @@ module dataController_top( if (clk8_en_p) begin if ((kbd_transmitting && !kbd_wait_receiving) || kbd_receiving) begin kbdclk_count <= kbdclk_count + 1'd1; - if (kbdclk_count == 12'd1300) begin // ~165usec + if (kbdclk_count == (machineType ? 8'd80 : 12'd1300)) begin // ~165usec - Mac Plus / faster - ADB kbdclk <= ~kbdclk; kbdclk_count <= 0; if (kbdclk) begin @@ -320,28 +338,46 @@ module dataController_top( // Keyboard control always @(posedge clk32) begin reg kbdclk_d; + reg ADBListenD; if (!_cpuReset) begin kbd_bitcnt <= 0; kbd_transmitting <= 0; kbd_wait_receiving <= 0; kbd_data_valid <= 0; + ADBListenD <= 0; end else if (clk8_en_p) begin - if (kbd_in_strobe) begin + if (kbd_in_strobe && !machineType) begin kbd_to_mac <= kbd_in_data; kbd_data_valid <= 1; end + if (adb_dout_strobe && machineType) begin + kbd_to_mac <= adb_dout; + kbd_receiving <= 1; + end + kbd_out_strobe <= 0; + adb_din_strobe <= 0; kbdclk_d <= kbdclk; // Only the Macintosh can initiate communication over the keyboard lines. On // power-up of either the Macintosh or the keyboard, the Macintosh is in // charge, and the external device is passive. The Macintosh signals that it's // ready to begin communication by pulling the keyboard data line low. - if (!kbd_transmitting && !kbd_receiving && !kbddat_i) begin + if (!machineType && !kbd_transmitting && !kbd_receiving && !kbddat_i) begin kbd_transmitting <= 1; kbd_bitcnt <= 0; end + + // ADB transmission start + if (machineType && !kbd_transmitting && !kbd_receiving) begin + ADBListenD <= ADBListen; + if (!ADBListenD && ADBListen) begin + kbd_transmitting <= 1; + kbd_bitcnt <= 0; + end + end + // The last bit of the command leaves the keyboard data line low; the // Macintosh then indicates it's ready to receive the keyboard's response by // setting the data line high. @@ -357,8 +393,14 @@ module dataController_top( if (kbd_bitcnt == 3'd7) begin if (kbd_transmitting) begin - kbd_out_strobe <= 1; - kbd_wait_receiving <= 1; + if (!machineType) begin + kbd_out_strobe <= 1; + kbd_wait_receiving <= 1; + end else begin + adb_din_strobe <= 1; + adb_din <= kbd_out_data; + kbd_transmitting <= 0; + end end if (kbd_receiving) begin kbd_receiving <= 0; @@ -381,6 +423,7 @@ module dataController_top( .dataIn(cpuDataIn), .cpuAddrRegHi(cpuAddrRegHi), .SEL(SEL), + .driveSel(driveSel), .dataOut(iwmDataOut), .insertDisk(insertDisk), .diskSides(diskSides), @@ -456,4 +499,26 @@ module dataController_top( .capslock(capslock) ); + reg [7:0] adb_din; + reg adb_din_strobe; + wire [7:0] adb_dout; + wire adb_dout_strobe; + + adb adb( + .clk(clk32), + .clk_en(clk8_en_p), + .reset(~_cpuReset), + .st({ADBST1, ADBST0}), + ._int(_ADBint), + .viaBusy(kbd_transmitting || kbd_receiving), + .listen(ADBListen), + .adb_din(adb_din), + .adb_din_strobe(adb_din_strobe), + .adb_dout(adb_dout), + .adb_dout_strobe(adb_dout_strobe), + + .ps2_mouse(ps2_mouse), + .ps2_key(ps2_key) + ); + endmodule diff --git a/rtl/iwm.v b/rtl/iwm.v index 1bed612..378215d 100644 --- a/rtl/iwm.v +++ b/rtl/iwm.v @@ -42,6 +42,7 @@ module iwm input [15:0] dataIn, input [3:0] cpuAddrRegHi, input SEL, // from VIA + input driveSel, // internal drive select, 0 - upper, 1 - lower output [15:0] dataOut, input [1:0] insertDisk, output [1:0] diskEject, @@ -94,7 +95,7 @@ module iwm .ca2(ca2), .SEL(SEL), .lstrb(lstrb), - ._enable(~diskEnableInt), + ._enable(~(diskEnableInt & driveSel)), .writeData(writeData), .readData(readDataInt), .advanceDriveHead(advanceDriveHead),