# TCL File Generated by Component Editor 17.0 # Wed Dec 13 01:40:49 CST 2017 # DO NOT MODIFY # # avalon_combiner "avalon_combiner" v17.0 # sorgelig 2017.12.13.01:40:49 # # # # request TCL package from ACDS 16.1 # package require -exact qsys 16.1 # # module avalon_combiner # set_module_property DESCRIPTION "" set_module_property NAME avalon_combiner set_module_property VERSION 17.0 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property AUTHOR sorgelig set_module_property DISPLAY_NAME avalon_combiner set_module_property INSTANTIATE_IN_SYSTEM_MODULE true set_module_property EDITABLE true set_module_property REPORT_TO_TALKBACK false set_module_property ALLOW_GREYBOX_GENERATION false set_module_property REPORT_HIERARCHY false # # file sets # add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" set_fileset_property QUARTUS_SYNTH TOP_LEVEL avalon_combiner set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE true add_fileset_file avalon_combiner.v VERILOG PATH avalon_combiner.v TOP_LEVEL_FILE # # parameters # # # display items # # # connection point clock # add_interface clock clock end set_interface_property clock clockRate 0 set_interface_property clock ENABLED true set_interface_property clock EXPORT_OF "" set_interface_property clock PORT_NAME_MAP "" set_interface_property clock CMSIS_SVD_VARIABLES "" set_interface_property clock SVD_ADDRESS_GROUP "" add_interface_port clock clk clk Input 1 # # connection point reset # add_interface reset reset end set_interface_property reset associatedClock clock set_interface_property reset synchronousEdges DEASSERT set_interface_property reset ENABLED true set_interface_property reset EXPORT_OF "" set_interface_property reset PORT_NAME_MAP "" set_interface_property reset CMSIS_SVD_VARIABLES "" set_interface_property reset SVD_ADDRESS_GROUP "" add_interface_port reset rst reset Input 1 # # connection point ctl_mixer # add_interface ctl_mixer avalon start set_interface_property ctl_mixer addressUnits WORDS set_interface_property ctl_mixer associatedClock clock set_interface_property ctl_mixer associatedReset reset set_interface_property ctl_mixer bitsPerSymbol 8 set_interface_property ctl_mixer burstOnBurstBoundariesOnly false set_interface_property ctl_mixer burstcountUnits WORDS set_interface_property ctl_mixer doStreamReads false set_interface_property ctl_mixer doStreamWrites false set_interface_property ctl_mixer holdTime 0 set_interface_property ctl_mixer linewrapBursts false set_interface_property ctl_mixer maximumPendingReadTransactions 0 set_interface_property ctl_mixer maximumPendingWriteTransactions 0 set_interface_property ctl_mixer readLatency 0 set_interface_property ctl_mixer readWaitTime 1 set_interface_property ctl_mixer setupTime 0 set_interface_property ctl_mixer timingUnits Cycles set_interface_property ctl_mixer writeWaitTime 0 set_interface_property ctl_mixer ENABLED true set_interface_property ctl_mixer EXPORT_OF "" set_interface_property ctl_mixer PORT_NAME_MAP "" set_interface_property ctl_mixer CMSIS_SVD_VARIABLES "" set_interface_property ctl_mixer SVD_ADDRESS_GROUP "" add_interface_port ctl_mixer mixer_address address Output 7 add_interface_port ctl_mixer mixer_byteenable byteenable Output 4 add_interface_port ctl_mixer mixer_write write Output 1 add_interface_port ctl_mixer mixer_writedata writedata Output 32 add_interface_port ctl_mixer mixer_waitrequest waitrequest Input 1 # # connection point ctl_scaler # add_interface ctl_scaler avalon start set_interface_property ctl_scaler addressUnits WORDS set_interface_property ctl_scaler associatedClock clock set_interface_property ctl_scaler associatedReset reset set_interface_property ctl_scaler bitsPerSymbol 8 set_interface_property ctl_scaler burstOnBurstBoundariesOnly false set_interface_property ctl_scaler burstcountUnits WORDS set_interface_property ctl_scaler doStreamReads false set_interface_property ctl_scaler doStreamWrites false set_interface_property ctl_scaler holdTime 0 set_interface_property ctl_scaler linewrapBursts false set_interface_property ctl_scaler maximumPendingReadTransactions 0 set_interface_property ctl_scaler maximumPendingWriteTransactions 0 set_interface_property ctl_scaler readLatency 0 set_interface_property ctl_scaler readWaitTime 1 set_interface_property ctl_scaler setupTime 0 set_interface_property ctl_scaler timingUnits Cycles set_interface_property ctl_scaler writeWaitTime 0 set_interface_property ctl_scaler ENABLED true set_interface_property ctl_scaler EXPORT_OF "" set_interface_property ctl_scaler PORT_NAME_MAP "" set_interface_property ctl_scaler CMSIS_SVD_VARIABLES "" set_interface_property ctl_scaler SVD_ADDRESS_GROUP "" add_interface_port ctl_scaler scaler_address address Output 7 add_interface_port ctl_scaler scaler_byteenable byteenable Output 4 add_interface_port ctl_scaler scaler_waitrequest waitrequest Input 1 add_interface_port ctl_scaler scaler_write write Output 1 add_interface_port ctl_scaler scaler_writedata writedata Output 32 # # connection point ctl_video # add_interface ctl_video avalon start set_interface_property ctl_video addressUnits WORDS set_interface_property ctl_video associatedClock clock set_interface_property ctl_video associatedReset reset set_interface_property ctl_video bitsPerSymbol 8 set_interface_property ctl_video burstOnBurstBoundariesOnly false set_interface_property ctl_video burstcountUnits WORDS set_interface_property ctl_video doStreamReads false set_interface_property ctl_video doStreamWrites false set_interface_property ctl_video holdTime 0 set_interface_property ctl_video linewrapBursts false set_interface_property ctl_video maximumPendingReadTransactions 0 set_interface_property ctl_video maximumPendingWriteTransactions 0 set_interface_property ctl_video readLatency 0 set_interface_property ctl_video readWaitTime 1 set_interface_property ctl_video setupTime 0 set_interface_property ctl_video timingUnits Cycles set_interface_property ctl_video writeWaitTime 0 set_interface_property ctl_video ENABLED true set_interface_property ctl_video EXPORT_OF "" set_interface_property ctl_video PORT_NAME_MAP "" set_interface_property ctl_video CMSIS_SVD_VARIABLES "" set_interface_property ctl_video SVD_ADDRESS_GROUP "" add_interface_port ctl_video video_address address Output 8 add_interface_port ctl_video video_byteenable byteenable Output 4 add_interface_port ctl_video video_waitrequest waitrequest Input 1 add_interface_port ctl_video video_write write Output 1 add_interface_port ctl_video video_writedata writedata Output 32 # # connection point control # add_interface control conduit end set_interface_property control associatedClock clock set_interface_property control associatedReset reset set_interface_property control ENABLED true set_interface_property control EXPORT_OF "" set_interface_property control PORT_NAME_MAP "" set_interface_property control CMSIS_SVD_VARIABLES "" set_interface_property control SVD_ADDRESS_GROUP "" add_interface_port control address address Input 9 add_interface_port control write write Input 1 add_interface_port control writedata writedata Input 32 add_interface_port control waitrequest waitrequest Output 1 add_interface_port control clock clock Output 1 add_interface_port control reset reset Output 1