# TCL File Generated by Component Editor 17.0 # Tue Feb 20 07:55:55 CST 2018 # DO NOT MODIFY # # reset_source "reset_source" v17.0 # Sorgelig 2018.02.20.07:55:55 # # # # request TCL package from ACDS 16.1 # package require -exact qsys 16.1 # # module reset_source # set_module_property DESCRIPTION "" set_module_property NAME reset_source set_module_property VERSION 17.0 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property AUTHOR Sorgelig set_module_property DISPLAY_NAME reset_source set_module_property INSTANTIATE_IN_SYSTEM_MODULE true set_module_property EDITABLE true set_module_property REPORT_TO_TALKBACK false set_module_property ALLOW_GREYBOX_GENERATION false set_module_property REPORT_HIERARCHY false # # file sets # add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" set_fileset_property QUARTUS_SYNTH TOP_LEVEL reset_source set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE true add_fileset_file reset_source.v VERILOG PATH reset_source.v TOP_LEVEL_FILE # # parameters # # # display items # # # connection point clock # add_interface clock clock end set_interface_property clock clockRate 0 set_interface_property clock ENABLED true set_interface_property clock EXPORT_OF "" set_interface_property clock PORT_NAME_MAP "" set_interface_property clock CMSIS_SVD_VARIABLES "" set_interface_property clock SVD_ADDRESS_GROUP "" add_interface_port clock clk clk Input 1 # # connection point reset_hps # add_interface reset_hps reset end set_interface_property reset_hps associatedClock "" set_interface_property reset_hps synchronousEdges NONE set_interface_property reset_hps ENABLED true set_interface_property reset_hps EXPORT_OF "" set_interface_property reset_hps PORT_NAME_MAP "" set_interface_property reset_hps CMSIS_SVD_VARIABLES "" set_interface_property reset_hps SVD_ADDRESS_GROUP "" add_interface_port reset_hps reset_hps reset Input 1 # # connection point reset_sys # add_interface reset_sys reset start set_interface_property reset_sys associatedClock "" set_interface_property reset_sys associatedDirectReset "" set_interface_property reset_sys associatedResetSinks "" set_interface_property reset_sys synchronousEdges NONE set_interface_property reset_sys ENABLED true set_interface_property reset_sys EXPORT_OF "" set_interface_property reset_sys PORT_NAME_MAP "" set_interface_property reset_sys CMSIS_SVD_VARIABLES "" set_interface_property reset_sys SVD_ADDRESS_GROUP "" add_interface_port reset_sys reset_sys reset Output 1 # # connection point reset_ctl # add_interface reset_ctl conduit end set_interface_property reset_ctl associatedClock "" set_interface_property reset_ctl associatedReset "" set_interface_property reset_ctl ENABLED true set_interface_property reset_ctl EXPORT_OF "" set_interface_property reset_ctl PORT_NAME_MAP "" set_interface_property reset_ctl CMSIS_SVD_VARIABLES "" set_interface_property reset_ctl SVD_ADDRESS_GROUP "" add_interface_port reset_ctl cold_req cold_req Input 1 add_interface_port reset_ctl reset reset Output 1 add_interface_port reset_ctl reset_req reset_req Input 1 add_interface_port reset_ctl warm_req warm_req Input 1 add_interface_port reset_ctl reset_vip reset_vip Input 1 # # connection point reset_warm # add_interface reset_warm reset start set_interface_property reset_warm associatedClock "" set_interface_property reset_warm associatedDirectReset "" set_interface_property reset_warm associatedResetSinks "" set_interface_property reset_warm synchronousEdges NONE set_interface_property reset_warm ENABLED true set_interface_property reset_warm EXPORT_OF "" set_interface_property reset_warm PORT_NAME_MAP "" set_interface_property reset_warm CMSIS_SVD_VARIABLES "" set_interface_property reset_warm SVD_ADDRESS_GROUP "" add_interface_port reset_warm reset_warm reset Output 1 # # connection point reset_cold # add_interface reset_cold reset start set_interface_property reset_cold associatedClock "" set_interface_property reset_cold associatedDirectReset "" set_interface_property reset_cold associatedResetSinks "" set_interface_property reset_cold synchronousEdges NONE set_interface_property reset_cold ENABLED true set_interface_property reset_cold EXPORT_OF "" set_interface_property reset_cold PORT_NAME_MAP "" set_interface_property reset_cold CMSIS_SVD_VARIABLES "" set_interface_property reset_cold SVD_ADDRESS_GROUP "" add_interface_port reset_cold reset_cold reset Output 1