cut down brightness of LEDs, more 2x32 pin headers reference

This commit is contained in:
Romain Dolbeau 2022-09-27 22:33:04 +02:00
parent c2a4aa8dcf
commit 024f19f027
37 changed files with 24916 additions and 27213 deletions

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 9
Sheet 2 10
Title "nubus-to-ztex B2B connector"
Date ""
Rev ""
@ -25,9 +25,12 @@ F 0 "JAB1" H 1825 4375 50 0000 C CNN
F 1 "ZTEX_AB-ztex_AB" H 1825 4284 50 0000 C CNN
F 2 "For_SeeedStudio:PinHeader_2x32_P2.54mm_Vertical_For_SeeedStudio" H 1800 2650 50 0001 C CNN
F 3 "" H 1800 2650 50 0001 C CNN
F 4 "10-89-7642" H 1800 2650 50 0001 C CNN "MPN-ALT"
F 5 "https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D" H 1800 2650 50 0001 C CNN "URL"
F 6 "X6521WV-2x32H-C30D60" H 1800 2650 50 0001 C CNN "MPN"
F 4 "10-89-7642" H 1800 2650 50 0001 C CNN "MPN (Molex)"
F 5 "https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D" H 1800 2650 50 0001 C CNN "URL (Molex)"
F 6 "X6521WV-2x32H-C30D60" H 1800 2650 50 0001 C CNN "MPN (XKB)"
F 7 "https://www.lcsc.com/product-detail/Pin-Headers_XKB-Connectivity-X6521WV-2x32H-C30D60_C2883966.html" H 1800 2650 50 0001 C CNN "URL (XKB)"
F 8 "77313-101-64LF" H 1800 2650 50 0001 C CNN "MPN"
F 9 "https://eu.mouser.com/ProductDetail/Amphenol-FCI/77313-101-64LF?qs=xJiur%252Bgubk1MSan%2F7C0v%252BA%3D%3D" H 1800 2650 50 0001 C CNN "URL"
1 1800 2650
1 0 0 -1
$EndComp
@ -97,9 +100,12 @@ F 0 "JCD1" H 7650 4375 50 0000 C CNN
F 1 "ZTEX_CD-ztex_CD" H 7650 4284 50 0000 C CNN
F 2 "For_SeeedStudio:PinHeader_2x32_P2.54mm_Vertical_For_SeeedStudio" H 7600 2650 50 0001 C CNN
F 3 "" H 7600 2650 50 0001 C CNN
F 4 "10-89-7642" H 7600 2650 50 0001 C CNN "MPN-ALT"
F 5 "https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D" H 7600 2650 50 0001 C CNN "URL"
F 6 "X6521WV-2x32H-C30D60" H 7600 2650 50 0001 C CNN "MPN"
F 4 "10-89-7642" H 1800 2650 50 0001 C CNN "MPN (Molex)"
F 5 "https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D" H 1800 2650 50 0001 C CNN "URL (Molex)"
F 6 "X6521WV-2x32H-C30D60" H 1800 2650 50 0001 C CNN "MPN (XKB)"
F 7 "https://www.lcsc.com/product-detail/Pin-Headers_XKB-Connectivity-X6521WV-2x32H-C30D60_C2883966.html" H 1800 2650 50 0001 C CNN "URL (XKB)"
F 8 "77313-101-64LF" H 1800 2650 50 0001 C CNN "MPN"
F 9 "https://eu.mouser.com/ProductDetail/Amphenol-FCI/77313-101-64LF?qs=xJiur%252Bgubk1MSan%2F7C0v%252BA%3D%3D" H 1800 2650 50 0001 C CNN "URL"
1 7600 2650
1 0 0 -1
$EndComp
@ -456,11 +462,11 @@ AR Path="/5F6B165A/61B5DFBC" Ref="R?" Part="1"
AR Path="/5F67E4B9/61B5DFBC" Ref="R?" Part="1"
AR Path="/618E8C75/61B5DFBC" Ref="R1" Part="1"
F 0 "R1" V 1780 5900 50 0000 C CNN
F 1 "549" V 1700 5900 50 0000 C CNN
F 1 "590" V 1700 5900 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 1630 5900 50 0001 C CNN
F 3 "" H 1700 5900 50 0000 C CNN
F 4 "0603WAF5490T5E" V 1700 5900 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 1700 5900 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 1700 5900 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 1700 5900 50 0001 C CNN "URL"
1 1700 5900
0 1 1 0
$EndComp
@ -508,11 +514,11 @@ AR Path="/5F6B165A/61B5F3F5" Ref="R?" Part="1"
AR Path="/5F67E4B9/61B5F3F5" Ref="R?" Part="1"
AR Path="/618E8C75/61B5F3F5" Ref="R2" Part="1"
F 0 "R2" V 1780 6400 50 0000 C CNN
F 1 "549" V 1700 6400 50 0000 C CNN
F 1 "590" V 1700 6400 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 1630 6400 50 0001 C CNN
F 3 "" H 1700 6400 50 0000 C CNN
F 4 "0603WAF5490T5E" V 1700 6400 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 1700 6400 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 1700 6400 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 1700 6400 50 0001 C CNN "URL"
1 1700 6400
0 1 1 0
$EndComp
@ -683,11 +689,11 @@ AR Path="/5F6B165A/621E3C4D" Ref="R?" Part="1"
AR Path="/5F67E4B9/621E3C4D" Ref="R?" Part="1"
AR Path="/618E8C75/621E3C4D" Ref="R3" Part="1"
F 0 "R3" V 8680 5250 50 0000 C CNN
F 1 "549" V 8600 5250 50 0000 C CNN
F 1 "590" V 8600 5250 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 8530 5250 50 0001 C CNN
F 3 "" H 8600 5250 50 0000 C CNN
F 4 "0603WAF5490T5E" V 8600 5250 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 8600 5250 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 8600 5250 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 8600 5250 50 0001 C CNN "URL"
1 8600 5250
0 1 1 0
$EndComp
@ -855,11 +861,11 @@ AR Path="/5F6B165A/62CD698B" Ref="R?" Part="1"
AR Path="/5F67E4B9/62CD698B" Ref="R?" Part="1"
AR Path="/618E8C75/62CD698B" Ref="R9" Part="1"
F 0 "R9" V 1780 6900 50 0000 C CNN
F 1 "549" V 1700 6900 50 0000 C CNN
F 1 "590" V 1700 6900 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 1630 6900 50 0001 C CNN
F 3 "" H 1700 6900 50 0000 C CNN
F 4 "0603WAF5490T5E" V 1700 6900 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 1700 6900 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 1700 6900 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 1700 6900 50 0001 C CNN "URL"
1 1700 6900
0 1 1 0
$EndComp
@ -907,11 +913,11 @@ AR Path="/5F6B165A/62CD69A8" Ref="R?" Part="1"
AR Path="/5F67E4B9/62CD69A8" Ref="R?" Part="1"
AR Path="/618E8C75/62CD69A8" Ref="R10" Part="1"
F 0 "R10" V 1780 7400 50 0000 C CNN
F 1 "549" V 1700 7400 50 0000 C CNN
F 1 "590" V 1700 7400 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 1630 7400 50 0001 C CNN
F 3 "" H 1700 7400 50 0000 C CNN
F 4 "0603WAF5490T5E" V 1700 7400 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 1700 7400 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 1700 7400 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 1700 7400 50 0001 C CNN "URL"
1 1700 7400
0 1 1 0
$EndComp
@ -949,4 +955,8 @@ Text GLabel 9900 3950 2 60 Input ~ 12
LED2
Text GLabel 9900 4050 2 60 Input ~ 12
LED3
Text Notes 3900 5000 0 50 ~ 0
Molex 10-89-7642 a.k.a. A-70280-0072, with dimension 11.1 (total), 6.1 (mating) & 2.72 (solder), Hole diameter 1.02\nXKB X6521WV-2x32H-C30D60 is 11.5/6/3, H1.02\nAmphenol 77313-824-64LF is 12.2/6.75/2.9, H1.02\nAmphenol 77313-818-64LF is 11.43/5.84/3.05, H1.02\nAmphenol 77313-101-64LF is 10.8/5.84/2.41, H1.02 ('1xx' has thicker gold coating than '8xx')\nWurth 61306421121 is 11.54/6/3, H1.10\n
Text Notes 2350 7600 1 50 ~ 0
The four LED signals are also connected to a Pmod connector\nfor debugging purposes
$EndSCHEMATC

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 6 9
Sheet 6 10
Title "nubus-to-ztex extra conenctors (fan, ...)"
Date ""
Rev ""
@ -90,69 +90,6 @@ Wire Wire Line
Wire Wire Line
5150 4850 5150 4950
Connection ~ 4600 4850
$Comp
L Connector_Generic:Conn_01x02 J8
U 1 1 61BEF34E
P 6800 4300
F 0 "J8" H 6880 4292 50 0000 L CNN
F 1 "Conn_01x02" H 6880 4201 50 0000 L CNN
F 2 "For_SeeedStudio:PinSocket_1x02_P2.54mm_Vertical_for_SeeedStudio" H 6800 4300 50 0001 C CNN
F 3 "~" H 6800 4300 50 0001 C CNN
F 4 "PM254V-11-02-H85" H 6800 4300 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PM254V-11-02-H85_C541849.html" H 6800 4300 50 0001 C CNN "URL"
1 6800 4300
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0110
U 1 1 61BEF445
P 6600 4300
F 0 "#PWR0110" H 6600 4150 50 0001 C CNN
F 1 "+3V3" V 6615 4428 50 0000 L CNN
F 2 "" H 6600 4300 50 0001 C CNN
F 3 "" H 6600 4300 50 0001 C CNN
1 6600 4300
0 -1 -1 0
$EndComp
$Comp
L power:GND #PWR0111
U 1 1 61BEF4B8
P 6600 4400
F 0 "#PWR0111" H 6600 4150 50 0001 C CNN
F 1 "GND" V 6605 4272 50 0000 R CNN
F 2 "" H 6600 4400 50 0001 C CNN
F 3 "" H 6600 4400 50 0001 C CNN
1 6600 4400
0 1 1 0
$EndComp
$Comp
L Device:C C?
U 1 1 61C19258
P 6200 4350
AR Path="/5F69F4EF/61C19258" Ref="C?" Part="1"
AR Path="/5F6B165A/61C19258" Ref="C?" Part="1"
AR Path="/61B99D2C/61C19258" Ref="C29" Part="1"
F 0 "C29" H 6225 4450 50 0000 L CNN
F 1 "47uF 10V+" H 6225 4250 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 6238 4200 50 0001 C CNN
F 3 "" H 6200 4350 50 0000 C CNN
F 4 "C2012X5R1A476MTJ00E" H 6200 4350 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html" H 6200 4350 50 0001 C CNN "URL"
1 6200 4350
1 0 0 -1
$EndComp
Wire Wire Line
6200 4200 6600 4200
Wire Wire Line
6600 4200 6600 4300
Connection ~ 6600 4300
Wire Wire Line
6600 4400 6600 4500
Wire Wire Line
6600 4500 6200 4500
Connection ~ 6600 4400
Text Notes 3950 4750 0 50 ~ 0
5V Fan
Text Notes 6800 4600 0 50 ~ 0
3.3V access
$EndSCHEMATC

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 4 9
Sheet 4 10
Title "nubus-to-ztex HDMI"
Date ""
Rev ""

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-26T19:02:55+02:00*
G04 #@! TF.CreationDate,2022-09-27T13:51:08+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Mon Sep 26 19:02:55 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Tue Sep 27 13:51:08 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-26T19:02:55+02:00*
G04 #@! TF.CreationDate,2022-09-27T13:51:08+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Mon Sep 26 19:02:55 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Tue Sep 27 13:51:08 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-26T19:02:55+02:00*
G04 #@! TF.CreationDate,2022-09-27T13:51:08+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Mon Sep 26 19:02:55 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Tue Sep 27 13:51:08 2022*
%MOMM*%
%LPD*%
G01*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,25 +1,256 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-26T19:02:55+02:00*
G04 #@! TF.CreationDate,2022-09-27T13:51:08+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Mon Sep 26 19:02:55 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Tue Sep 27 13:51:08 2022*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.300000*%
%ADD11C,0.250000*%
%ADD12C,0.200000*%
%ADD13C,0.120000*%
%ADD14C,0.150000*%
%ADD15C,0.127000*%
%ADD16C,0.015000*%
%ADD10C,0.250000*%
%ADD11C,0.125000*%
%ADD12C,0.300000*%
%ADD13C,0.200000*%
%ADD14C,0.120000*%
%ADD15C,0.150000*%
%ADD16C,0.127000*%
%ADD17C,0.015000*%
G04 APERTURE END LIST*
D10*
X126408095Y-48771428D02*
X127170000Y-48771428D01*
X126789047Y-49152380D02*
X126789047Y-48390476D01*
X127550952Y-48152380D02*
X128170000Y-48152380D01*
X127836666Y-48533333D01*
X127979523Y-48533333D01*
X128074761Y-48580952D01*
X128122380Y-48628571D01*
X128170000Y-48723809D01*
X128170000Y-48961904D01*
X128122380Y-49057142D01*
X128074761Y-49104761D01*
X127979523Y-49152380D01*
X127693809Y-49152380D01*
X127598571Y-49104761D01*
X127550952Y-49057142D01*
X128455714Y-48152380D02*
X128789047Y-49152380D01*
X129122380Y-48152380D01*
X129360476Y-48152380D02*
X129979523Y-48152380D01*
X129646190Y-48533333D01*
X129789047Y-48533333D01*
X129884285Y-48580952D01*
X129931904Y-48628571D01*
X129979523Y-48723809D01*
X129979523Y-48961904D01*
X129931904Y-49057142D01*
X129884285Y-49104761D01*
X129789047Y-49152380D01*
X129503333Y-49152380D01*
X129408095Y-49104761D01*
X129360476Y-49057142D01*
X126968095Y-52760000D02*
X126872857Y-52712380D01*
X126730000Y-52712380D01*
X126587142Y-52760000D01*
X126491904Y-52855238D01*
X126444285Y-52950476D01*
X126396666Y-53140952D01*
X126396666Y-53283809D01*
X126444285Y-53474285D01*
X126491904Y-53569523D01*
X126587142Y-53664761D01*
X126730000Y-53712380D01*
X126825238Y-53712380D01*
X126968095Y-53664761D01*
X127015714Y-53617142D01*
X127015714Y-53283809D01*
X126825238Y-53283809D01*
X127444285Y-53712380D02*
X127444285Y-52712380D01*
X128015714Y-53712380D01*
X128015714Y-52712380D01*
X128491904Y-53712380D02*
X128491904Y-52712380D01*
X128730000Y-52712380D01*
X128872857Y-52760000D01*
X128968095Y-52855238D01*
X129015714Y-52950476D01*
X129063333Y-53140952D01*
X129063333Y-53283809D01*
X129015714Y-53474285D01*
X128968095Y-53569523D01*
X128872857Y-53664761D01*
X128730000Y-53712380D01*
X128491904Y-53712380D01*
D11*
X180365714Y-7980952D02*
X180365714Y-7600000D01*
X180556190Y-7790476D02*
X180175238Y-7790476D01*
X180056190Y-7409523D02*
X180056190Y-7100000D01*
X180246666Y-7266666D01*
X180246666Y-7195238D01*
X180270476Y-7147619D01*
X180294285Y-7123809D01*
X180341904Y-7100000D01*
X180460952Y-7100000D01*
X180508571Y-7123809D01*
X180532380Y-7147619D01*
X180556190Y-7195238D01*
X180556190Y-7338095D01*
X180532380Y-7385714D01*
X180508571Y-7409523D01*
X180056190Y-6957142D02*
X180556190Y-6790476D01*
X180056190Y-6623809D01*
X180056190Y-6504761D02*
X180056190Y-6195238D01*
X180246666Y-6361904D01*
X180246666Y-6290476D01*
X180270476Y-6242857D01*
X180294285Y-6219047D01*
X180341904Y-6195238D01*
X180460952Y-6195238D01*
X180508571Y-6219047D01*
X180532380Y-6242857D01*
X180556190Y-6290476D01*
X180556190Y-6433333D01*
X180532380Y-6480952D01*
X180508571Y-6504761D01*
X182550000Y-7680952D02*
X182526190Y-7728571D01*
X182526190Y-7800000D01*
X182550000Y-7871428D01*
X182597619Y-7919047D01*
X182645238Y-7942857D01*
X182740476Y-7966666D01*
X182811904Y-7966666D01*
X182907142Y-7942857D01*
X182954761Y-7919047D01*
X183002380Y-7871428D01*
X183026190Y-7800000D01*
X183026190Y-7752380D01*
X183002380Y-7680952D01*
X182978571Y-7657142D01*
X182811904Y-7657142D01*
X182811904Y-7752380D01*
X183026190Y-7442857D02*
X182526190Y-7442857D01*
X183026190Y-7157142D01*
X182526190Y-7157142D01*
X183026190Y-6919047D02*
X182526190Y-6919047D01*
X182526190Y-6800000D01*
X182550000Y-6728571D01*
X182597619Y-6680952D01*
X182645238Y-6657142D01*
X182740476Y-6633333D01*
X182811904Y-6633333D01*
X182907142Y-6657142D01*
X182954761Y-6680952D01*
X183002380Y-6728571D01*
X183026190Y-6800000D01*
X183026190Y-6919047D01*
D12*
X183438214Y-7678571D02*
X183625714Y-6178571D01*
X184197142Y-6178571D01*
X184331071Y-6250000D01*
X184393571Y-6321428D01*
X184447142Y-6464285D01*
X184420357Y-6678571D01*
X184331071Y-6821428D01*
X184250714Y-6892857D01*
X184098928Y-6964285D01*
X183527500Y-6964285D01*
X184938214Y-7678571D02*
X185063214Y-6678571D01*
X185045357Y-6821428D02*
X185125714Y-6750000D01*
X185277500Y-6678571D01*
X185491785Y-6678571D01*
X185625714Y-6750000D01*
X185679285Y-6892857D01*
X185581071Y-7678571D01*
X185679285Y-6892857D02*
X185768571Y-6750000D01*
X185920357Y-6678571D01*
X186134642Y-6678571D01*
X186268571Y-6750000D01*
X186322142Y-6892857D01*
X186223928Y-7678571D01*
X187152500Y-7678571D02*
X187018571Y-7607142D01*
X186956071Y-7535714D01*
X186902500Y-7392857D01*
X186956071Y-6964285D01*
X187045357Y-6821428D01*
X187125714Y-6750000D01*
X187277500Y-6678571D01*
X187491785Y-6678571D01*
X187625714Y-6750000D01*
X187688214Y-6821428D01*
X187741785Y-6964285D01*
X187688214Y-7392857D01*
X187598928Y-7535714D01*
X187518571Y-7607142D01*
X187366785Y-7678571D01*
X187152500Y-7678571D01*
X188938214Y-7678571D02*
X189125714Y-6178571D01*
X188947142Y-7607142D02*
X188795357Y-7678571D01*
X188509642Y-7678571D01*
X188375714Y-7607142D01*
X188313214Y-7535714D01*
X188259642Y-7392857D01*
X188313214Y-6964285D01*
X188402500Y-6821428D01*
X188482857Y-6750000D01*
X188634642Y-6678571D01*
X188920357Y-6678571D01*
X189054285Y-6750000D01*
X190920357Y-6107142D02*
X189393571Y-8035714D01*
X191938214Y-7678571D02*
X191223928Y-7678571D01*
X191411428Y-6178571D01*
X193018571Y-7607142D02*
X192866785Y-7678571D01*
X192581071Y-7678571D01*
X192447142Y-7607142D01*
X192393571Y-7464285D01*
X192465000Y-6892857D01*
X192554285Y-6750000D01*
X192706071Y-6678571D01*
X192991785Y-6678571D01*
X193125714Y-6750000D01*
X193179285Y-6892857D01*
X193161428Y-7035714D01*
X192429285Y-7178571D01*
X194366785Y-7678571D02*
X194554285Y-6178571D01*
X194375714Y-7607142D02*
X194223928Y-7678571D01*
X193938214Y-7678571D01*
X193804285Y-7607142D01*
X193741785Y-7535714D01*
X193688214Y-7392857D01*
X193741785Y-6964285D01*
X193831071Y-6821428D01*
X193911428Y-6750000D01*
X194063214Y-6678571D01*
X194348928Y-6678571D01*
X194482857Y-6750000D01*
X119202857Y-72398571D02*
X118702857Y-71684285D01*
X118345714Y-72398571D02*
@ -330,7 +561,7 @@ X118464285Y-66414285D01*
X118321428Y-66557142D01*
X118107142Y-66628571D01*
X117750000Y-66628571D01*
D11*
D10*
X118790699Y-12217380D02*
X119409747Y-12217380D01*
X119028794Y-12598333D01*
@ -442,7 +673,7 @@ X122975223Y-14967380D02*
X123100223Y-13967380D01*
X123546651Y-14967380D01*
X123671651Y-13967380D01*
D12*
D13*
X182585714Y-83642380D02*
X182014285Y-83642380D01*
X182300000Y-83642380D02*
@ -450,7 +681,7 @@ X182300000Y-82642380D01*
X182204761Y-82785238D01*
X182109523Y-82880476D01*
X182014285Y-82928095D01*
D10*
D12*
X124357142Y-82461428D02*
X124428571Y-82256071D01*
X124428571Y-81898928D01*
@ -544,7 +775,7 @@ X200140000Y-91768571D01*
X199997142Y-91982857D01*
X199854285Y-92125714D01*
X199711428Y-92197142D01*
D12*
D13*
X113285714Y-85852380D02*
X112714285Y-85852380D01*
X113000000Y-85852380D02*
@ -566,7 +797,7 @@ X189100000Y-82442380D01*
X189004761Y-82585238D01*
X188909523Y-82680476D01*
X188814285Y-82728095D01*
D10*
D12*
X112592857Y-37745714D02*
X112521428Y-37951071D01*
X112521428Y-38308214D01*
@ -630,7 +861,7 @@ X112735714Y-44835000D01*
X112592857Y-44674285D01*
X112521428Y-44451071D01*
X112521428Y-44093928D01*
D12*
D13*
X275770000Y-105080000D02*
X275770000Y-3480000D01*
X274170000Y-84560000D02*
@ -677,7 +908,7 @@ X129700000Y-70752380D01*
X129604761Y-70895238D01*
X129509523Y-70990476D01*
X129414285Y-71038095D01*
D11*
D10*
X220631428Y-87605714D02*
X220631428Y-86843809D01*
X221012380Y-87224761D02*
@ -769,76 +1000,7 @@ X216029523Y-90021904D01*
X216124761Y-90117142D01*
X216172380Y-90260000D01*
X216172380Y-90498095D01*
X206200000Y-83261904D02*
X206152380Y-83357142D01*
X206152380Y-83500000D01*
X206200000Y-83642857D01*
X206295238Y-83738095D01*
X206390476Y-83785714D01*
X206580952Y-83833333D01*
X206723809Y-83833333D01*
X206914285Y-83785714D01*
X207009523Y-83738095D01*
X207104761Y-83642857D01*
X207152380Y-83500000D01*
X207152380Y-83404761D01*
X207104761Y-83261904D01*
X207057142Y-83214285D01*
X206723809Y-83214285D01*
X206723809Y-83404761D01*
X207152380Y-82785714D02*
X206152380Y-82785714D01*
X207152380Y-82214285D01*
X206152380Y-82214285D01*
X207152380Y-81738095D02*
X206152380Y-81738095D01*
X206152380Y-81500000D01*
X206200000Y-81357142D01*
X206295238Y-81261904D01*
X206390476Y-81214285D01*
X206580952Y-81166666D01*
X206723809Y-81166666D01*
X206914285Y-81214285D01*
X207009523Y-81261904D01*
X207104761Y-81357142D01*
X207152380Y-81500000D01*
X207152380Y-81738095D01*
X201771428Y-84661904D02*
X201771428Y-83900000D01*
X202152380Y-84280952D02*
X201390476Y-84280952D01*
X201152380Y-83519047D02*
X201152380Y-82900000D01*
X201533333Y-83233333D01*
X201533333Y-83090476D01*
X201580952Y-82995238D01*
X201628571Y-82947619D01*
X201723809Y-82900000D01*
X201961904Y-82900000D01*
X202057142Y-82947619D01*
X202104761Y-82995238D01*
X202152380Y-83090476D01*
X202152380Y-83376190D01*
X202104761Y-83471428D01*
X202057142Y-83519047D01*
X201152380Y-82614285D02*
X202152380Y-82280952D01*
X201152380Y-81947619D01*
X201152380Y-81709523D02*
X201152380Y-81090476D01*
X201533333Y-81423809D01*
X201533333Y-81280952D01*
X201580952Y-81185714D01*
X201628571Y-81138095D01*
X201723809Y-81090476D01*
X201961904Y-81090476D01*
X202057142Y-81138095D01*
X202104761Y-81185714D01*
X202152380Y-81280952D01*
X202152380Y-81566666D01*
X202104761Y-81661904D01*
X202057142Y-81709523D01*
D10*
D12*
X228137142Y-91422857D02*
X227637142Y-91422857D01*
X227637142Y-92208571D02*
@ -900,10 +1062,10 @@ X229708571Y-97308571D01*
X229994285Y-96237142D01*
X230280000Y-97308571D01*
X230637142Y-95808571D01*
D12*
D13*
X211270000Y-74450000D02*
X211270000Y-23650000D01*
D10*
D12*
X113195238Y-7203571D02*
X113195238Y-5703571D01*
X114338095Y-7203571D01*
@ -1676,7 +1838,7 @@ X229415714Y-25217142D01*
X229487142Y-25145714D01*
X229487142Y-24645714D01*
X229201428Y-24645714D01*
D12*
D13*
X274170000Y-17750000D02*
X264170000Y-17750000D01*
X15120000Y-3480000D02*
@ -1719,7 +1881,25 @@ X127470000Y-74450000D02*
X211270000Y-74450000D01*
X127470000Y-23650000D02*
X211270000Y-23650000D01*
D13*
D14*
G04 #@! TO.C,J10*
X191730000Y-8670000D02*
X191730000Y-11330000D01*
X191730000Y-8670000D02*
X178970000Y-8670000D01*
X178970000Y-8670000D02*
X178970000Y-11330000D01*
X191730000Y-11330000D02*
X178970000Y-11330000D01*
X194330000Y-11330000D02*
X193000000Y-11330000D01*
X194330000Y-10000000D02*
X194330000Y-11330000D01*
G04 #@! TO.C,C13*
X181447221Y-12760000D02*
X181772779Y-12760000D01*
X181447221Y-13780000D02*
X181772779Y-13780000D01*
G04 #@! TO.C,D7*
X136450000Y-19060293D02*
X136450000Y-21745293D01*
@ -1932,13 +2112,13 @@ X151510000Y-49400000D02*
X151510000Y-47600000D01*
X148290000Y-47600000D02*
X148290000Y-50050000D01*
D14*
D15*
G04 #@! TO.C,U14*
X145650000Y-51025000D02*
X145650000Y-46575000D01*
X138750000Y-52550000D02*
X138750000Y-46575000D01*
D13*
D14*
G04 #@! TO.C,C9*
X156837221Y-20890000D02*
X157162779Y-20890000D01*
@ -1949,7 +2129,7 @@ X156741422Y-22890000D02*
X157258578Y-22890000D01*
X156741422Y-24310000D02*
X157258578Y-24310000D01*
D15*
D16*
G04 #@! TO.C,J2*
X150000000Y-19850000D02*
X163600000Y-19850000D01*
@ -1975,13 +2155,13 @@ X161000000Y-18100000D02*
X162800000Y-19100000D01*
X150000000Y-7800000D02*
X163600000Y-7800000D01*
D13*
D14*
G04 #@! TO.C,C8*
X190412779Y-47460000D02*
X190087221Y-47460000D01*
X190412779Y-46440000D02*
X190087221Y-46440000D01*
D14*
D15*
G04 #@! TO.C,U13*
X184300000Y-48125000D02*
X184300000Y-48250000D01*
@ -1997,13 +2177,13 @@ X184300000Y-53375000D02*
X188950000Y-53375000D01*
X184300000Y-48250000D02*
X182950000Y-48250000D01*
D13*
D14*
G04 #@! TO.C,C7*
X134890000Y-72362779D02*
X134890000Y-72037221D01*
X135910000Y-72362779D02*
X135910000Y-72037221D01*
D14*
D15*
G04 #@! TO.C,U4*
X130175000Y-74875000D02*
X130175000Y-75000000D01*
@ -2019,7 +2199,7 @@ X130175000Y-80125000D02*
X134825000Y-80125000D01*
X130175000Y-75000000D02*
X128825000Y-75000000D01*
D13*
D14*
G04 #@! TO.C,C30*
X138210000Y-46637221D02*
X138210000Y-46962779D01*
@ -2097,7 +2277,7 @@ X181990000Y-73062779D02*
X181990000Y-72737221D01*
X183010000Y-73062779D02*
X183010000Y-72737221D01*
D14*
D15*
G04 #@! TO.C,U2*
X181875000Y-80825000D02*
X182000000Y-80825000D01*
@ -2133,13 +2313,13 @@ X155950000Y-80725000D02*
X155950000Y-76275000D01*
X149050000Y-82250000D02*
X149050000Y-76275000D01*
D13*
D14*
G04 #@! TO.C,C4*
X119362779Y-85290000D02*
X119037221Y-85290000D01*
X119362779Y-84270000D02*
X119037221Y-84270000D01*
D14*
D15*
G04 #@! TO.C,U1*
X114375000Y-86155000D02*
X114375000Y-86280000D01*
@ -2155,13 +2335,13 @@ X114375000Y-91405000D02*
X119025000Y-91405000D01*
X114375000Y-86280000D02*
X113025000Y-86280000D01*
D13*
D14*
G04 #@! TO.C,C6*
X139210000Y-90262779D02*
X139210000Y-89937221D01*
X138190000Y-90262779D02*
X138190000Y-89937221D01*
D14*
D15*
G04 #@! TO.C,U3*
X146075000Y-91375000D02*
X146075000Y-91250000D01*
@ -2177,18 +2357,18 @@ X146075000Y-86125000D02*
X141425000Y-86125000D01*
X146075000Y-91250000D02*
X147425000Y-91250000D01*
D12*
D13*
G04 #@! TO.C,U9*
X246610000Y-54965000D02*
G75*
G03X246610000Y-54965000I-100000J0D01*
G01*
D15*
D16*
X248750000Y-54850000D02*
X253150000Y-54850000D01*
X248750000Y-63050000D02*
X253150000Y-63050000D01*
D13*
D14*
G04 #@! TO.C,D11*
X124587634Y-44917042D02*
X121902634Y-44917042D01*
@ -2254,29 +2434,11 @@ X268347500Y-80770000D02*
X268347500Y-80320000D01*
X268347500Y-80770000D02*
X268797500Y-80770000D01*
G04 #@! TO.C,C29*
X203841422Y-81490000D02*
X204358578Y-81490000D01*
X203841422Y-82910000D02*
X204358578Y-82910000D01*
G04 #@! TO.C,C17*
X163510000Y-73062779D02*
X163510000Y-72737221D01*
X162490000Y-73062779D02*
X162490000Y-72737221D01*
G04 #@! TO.C,J8*
X204100000Y-80410000D02*
X204100000Y-77750000D01*
X204100000Y-80410000D02*
X206700000Y-80410000D01*
X206700000Y-80410000D02*
X206700000Y-77750000D01*
X204100000Y-77750000D02*
X206700000Y-77750000D01*
X201500000Y-77750000D02*
X202830000Y-77750000D01*
X201500000Y-79080000D02*
X201500000Y-77750000D01*
G04 #@! TO.C,C18*
X173990000Y-73062779D02*
X173990000Y-72737221D01*
@ -3018,8 +3180,81 @@ X131270000Y-63080000D02*
X210070000Y-63080000D01*
X210070000Y-63080000D02*
X210070000Y-57880000D01*
G04 #@! TO.C,J10*
D15*
X195222380Y-10809523D02*
X195936666Y-10809523D01*
X196079523Y-10857142D01*
X196174761Y-10952380D01*
X196222380Y-11095238D01*
X196222380Y-11190476D01*
X196222380Y-9809523D02*
X196222380Y-10380952D01*
X196222380Y-10095238D02*
X195222380Y-10095238D01*
X195365238Y-10190476D01*
X195460476Y-10285714D01*
X195508095Y-10380952D01*
X195222380Y-9190476D02*
X195222380Y-9095238D01*
X195270000Y-9000000D01*
X195317619Y-8952380D01*
X195412857Y-8904761D01*
X195603333Y-8857142D01*
X195841428Y-8857142D01*
X196031904Y-8904761D01*
X196127142Y-8952380D01*
X196174761Y-9000000D01*
X196222380Y-9095238D01*
X196222380Y-9190476D01*
X196174761Y-9285714D01*
X196127142Y-9333333D01*
X196031904Y-9380952D01*
X195841428Y-9428571D01*
X195603333Y-9428571D01*
X195412857Y-9380952D01*
X195317619Y-9333333D01*
X195270000Y-9285714D01*
X195222380Y-9190476D01*
G04 #@! TO.C,C13*
X180987142Y-15207142D02*
X180939523Y-15254761D01*
X180796666Y-15302380D01*
X180701428Y-15302380D01*
X180558571Y-15254761D01*
X180463333Y-15159523D01*
X180415714Y-15064285D01*
X180368095Y-14873809D01*
X180368095Y-14730952D01*
X180415714Y-14540476D01*
X180463333Y-14445238D01*
X180558571Y-14350000D01*
X180701428Y-14302380D01*
X180796666Y-14302380D01*
X180939523Y-14350000D01*
X180987142Y-14397619D01*
X181939523Y-15302380D02*
X181368095Y-15302380D01*
X181653809Y-15302380D02*
X181653809Y-14302380D01*
X181558571Y-14445238D01*
X181463333Y-14540476D01*
X181368095Y-14588095D01*
X182272857Y-14302380D02*
X182891904Y-14302380D01*
X182558571Y-14683333D01*
X182701428Y-14683333D01*
X182796666Y-14730952D01*
X182844285Y-14778571D01*
X182891904Y-14873809D01*
X182891904Y-15111904D01*
X182844285Y-15207142D01*
X182796666Y-15254761D01*
X182701428Y-15302380D01*
X182415714Y-15302380D01*
X182320476Y-15254761D01*
X182272857Y-15207142D01*
G04 #@! TO.C,D7*
D14*
X136681904Y-23082380D02*
X136681904Y-22082380D01*
X136920000Y-22082380D01*
@ -3355,54 +3590,54 @@ X179323333Y-14362380D01*
X179228095Y-14314761D01*
X179180476Y-14267142D01*
G04 #@! TO.C,D4*
X192025712Y-13788095D02*
X191025712Y-13788095D01*
X191025712Y-13550000D01*
X191073332Y-13407142D01*
X191168570Y-13311904D01*
X191263808Y-13264285D01*
X191454284Y-13216666D01*
X191597141Y-13216666D01*
X191787617Y-13264285D01*
X191882855Y-13311904D01*
X191978093Y-13407142D01*
X192025712Y-13550000D01*
X192025712Y-13788095D01*
X191359046Y-12359523D02*
X192025712Y-12359523D01*
X190978093Y-12597619D02*
X191692379Y-12835714D01*
X191692379Y-12216666D01*
X193025712Y-14038095D02*
X192025712Y-14038095D01*
X192025712Y-13800000D01*
X192073332Y-13657142D01*
X192168570Y-13561904D01*
X192263808Y-13514285D01*
X192454284Y-13466666D01*
X192597141Y-13466666D01*
X192787617Y-13514285D01*
X192882855Y-13561904D01*
X192978093Y-13657142D01*
X193025712Y-13800000D01*
X193025712Y-14038095D01*
X192359046Y-12609523D02*
X193025712Y-12609523D01*
X191978093Y-12847619D02*
X192692379Y-13085714D01*
X192692379Y-12466666D01*
G04 #@! TO.C,D5*
X194327380Y-13788095D02*
X193327380Y-13788095D01*
X193327380Y-13550000D01*
X193375000Y-13407142D01*
X193470238Y-13311904D01*
X193565476Y-13264285D01*
X193755952Y-13216666D01*
X193898809Y-13216666D01*
X194089285Y-13264285D01*
X194184523Y-13311904D01*
X194279761Y-13407142D01*
X194327380Y-13550000D01*
X194327380Y-13788095D01*
X193327380Y-12311904D02*
X193327380Y-12788095D01*
X193803571Y-12835714D01*
X193755952Y-12788095D01*
X193708333Y-12692857D01*
X193708333Y-12454761D01*
X193755952Y-12359523D01*
X193803571Y-12311904D01*
X193898809Y-12264285D01*
X194136904Y-12264285D01*
X194232142Y-12311904D01*
X194279761Y-12359523D01*
X194327380Y-12454761D01*
X194327380Y-12692857D01*
X194279761Y-12788095D01*
X194232142Y-12835714D01*
X195327380Y-14038095D02*
X194327380Y-14038095D01*
X194327380Y-13800000D01*
X194375000Y-13657142D01*
X194470238Y-13561904D01*
X194565476Y-13514285D01*
X194755952Y-13466666D01*
X194898809Y-13466666D01*
X195089285Y-13514285D01*
X195184523Y-13561904D01*
X195279761Y-13657142D01*
X195327380Y-13800000D01*
X195327380Y-14038095D01*
X194327380Y-12561904D02*
X194327380Y-13038095D01*
X194803571Y-13085714D01*
X194755952Y-13038095D01*
X194708333Y-12942857D01*
X194708333Y-12704761D01*
X194755952Y-12609523D01*
X194803571Y-12561904D01*
X194898809Y-12514285D01*
X195136904Y-12514285D01*
X195232142Y-12561904D01*
X195279761Y-12609523D01*
X195327380Y-12704761D01*
X195327380Y-12942857D01*
X195279761Y-13038095D01*
X195232142Y-13085714D01*
G04 #@! TO.C,R10*
X194482379Y-23407858D02*
X194006189Y-23741191D01*
@ -3713,7 +3948,7 @@ X157785714Y-21497619D01*
X157833333Y-21450000D01*
X157928571Y-21402380D01*
G04 #@! TO.C,J2*
D16*
D17*
X147620745Y-14980224D02*
X147620745Y-15695359D01*
X147573069Y-15838386D01*
@ -3732,7 +3967,7 @@ X148574258Y-15409305D01*
X148002150Y-15981413D01*
X148621934Y-15981413D01*
G04 #@! TO.C,C8*
D14*
D15*
X190083333Y-48737142D02*
X190035714Y-48784761D01*
X189892857Y-48832380D01*
@ -4989,56 +5224,6 @@ X267380833Y-78784285D01*
X267428452Y-78879523D01*
X267476071Y-78927142D01*
X267571309Y-78974761D01*
G04 #@! TO.C,C29*
X203457142Y-84457142D02*
X203409523Y-84504761D01*
X203266666Y-84552380D01*
X203171428Y-84552380D01*
X203028571Y-84504761D01*
X202933333Y-84409523D01*
X202885714Y-84314285D01*
X202838095Y-84123809D01*
X202838095Y-83980952D01*
X202885714Y-83790476D01*
X202933333Y-83695238D01*
X203028571Y-83600000D01*
X203171428Y-83552380D01*
X203266666Y-83552380D01*
X203409523Y-83600000D01*
X203457142Y-83647619D01*
X203838095Y-83647619D02*
X203885714Y-83600000D01*
X203980952Y-83552380D01*
X204219047Y-83552380D01*
X204314285Y-83600000D01*
X204361904Y-83647619D01*
X204409523Y-83742857D01*
X204409523Y-83838095D01*
X204361904Y-83980952D01*
X203790476Y-84552380D01*
X204409523Y-84552380D01*
X204885714Y-84552380D02*
X205076190Y-84552380D01*
X205171428Y-84504761D01*
X205219047Y-84457142D01*
X205314285Y-84314285D01*
X205361904Y-84123809D01*
X205361904Y-83742857D01*
X205314285Y-83647619D01*
X205266666Y-83600000D01*
X205171428Y-83552380D01*
X204980952Y-83552380D01*
X204885714Y-83600000D01*
X204838095Y-83647619D01*
X204790476Y-83742857D01*
X204790476Y-83980952D01*
X204838095Y-84076190D01*
X204885714Y-84123809D01*
X204980952Y-84171428D01*
X205171428Y-84171428D01*
X205266666Y-84123809D01*
X205314285Y-84076190D01*
X205361904Y-83980952D01*
G04 #@! TO.C,C17*
X162157142Y-70742857D02*
X162204761Y-70790476D01*
@ -5066,45 +5251,6 @@ X161538095Y-70361904D01*
X161252380Y-69457142D02*
X161252380Y-68790476D01*
X162252380Y-69219047D01*
G04 #@! TO.C,J8*
X207552380Y-79783333D02*
X208266666Y-79783333D01*
X208409523Y-79830952D01*
X208504761Y-79926190D01*
X208552380Y-80069047D01*
X208552380Y-80164285D01*
X207980952Y-79164285D02*
X207933333Y-79259523D01*
X207885714Y-79307142D01*
X207790476Y-79354761D01*
X207742857Y-79354761D01*
X207647619Y-79307142D01*
X207600000Y-79259523D01*
X207552380Y-79164285D01*
X207552380Y-78973809D01*
X207600000Y-78878571D01*
X207647619Y-78830952D01*
X207742857Y-78783333D01*
X207790476Y-78783333D01*
X207885714Y-78830952D01*
X207933333Y-78878571D01*
X207980952Y-78973809D01*
X207980952Y-79164285D01*
X208028571Y-79259523D01*
X208076190Y-79307142D01*
X208171428Y-79354761D01*
X208361904Y-79354761D01*
X208457142Y-79307142D01*
X208504761Y-79259523D01*
X208552380Y-79164285D01*
X208552380Y-78973809D01*
X208504761Y-78878571D01*
X208457142Y-78830952D01*
X208361904Y-78783333D01*
X208171428Y-78783333D01*
X208076190Y-78830952D01*
X208028571Y-78878571D01*
X207980952Y-78973809D01*
G04 #@! TO.C,C18*
X173657142Y-70642857D02*
X173704761Y-70690476D01*
@ -6008,51 +6154,51 @@ X246722857Y-83532380D02*
X247389523Y-83532380D01*
X246960952Y-84532380D01*
G04 #@! TO.C,D2*
X189807380Y-13798095D02*
X188807380Y-13798095D01*
X188807380Y-13560000D01*
X188855000Y-13417142D01*
X188950238Y-13321904D01*
X189045476Y-13274285D01*
X189235952Y-13226666D01*
X189378809Y-13226666D01*
X189569285Y-13274285D01*
X189664523Y-13321904D01*
X189759761Y-13417142D01*
X189807380Y-13560000D01*
X189807380Y-13798095D01*
X188902619Y-12845714D02*
X188855000Y-12798095D01*
X188807380Y-12702857D01*
X188807380Y-12464761D01*
X188855000Y-12369523D01*
X188902619Y-12321904D01*
X188997857Y-12274285D01*
X189093095Y-12274285D01*
X189235952Y-12321904D01*
X189807380Y-12893333D01*
X189807380Y-12274285D01*
X190807380Y-14048095D02*
X189807380Y-14048095D01*
X189807380Y-13810000D01*
X189855000Y-13667142D01*
X189950238Y-13571904D01*
X190045476Y-13524285D01*
X190235952Y-13476666D01*
X190378809Y-13476666D01*
X190569285Y-13524285D01*
X190664523Y-13571904D01*
X190759761Y-13667142D01*
X190807380Y-13810000D01*
X190807380Y-14048095D01*
X189902619Y-13095714D02*
X189855000Y-13048095D01*
X189807380Y-12952857D01*
X189807380Y-12714761D01*
X189855000Y-12619523D01*
X189902619Y-12571904D01*
X189997857Y-12524285D01*
X190093095Y-12524285D01*
X190235952Y-12571904D01*
X190807380Y-13143333D01*
X190807380Y-12524285D01*
G04 #@! TO.C,D1*
X187592380Y-13738095D02*
X186592380Y-13738095D01*
X186592380Y-13500000D01*
X186640000Y-13357142D01*
X186735238Y-13261904D01*
X186830476Y-13214285D01*
X187020952Y-13166666D01*
X187163809Y-13166666D01*
X187354285Y-13214285D01*
X187449523Y-13261904D01*
X187544761Y-13357142D01*
X187592380Y-13500000D01*
X187592380Y-13738095D01*
X187592380Y-12214285D02*
X187592380Y-12785714D01*
X187592380Y-12500000D02*
X186592380Y-12500000D01*
X186735238Y-12595238D01*
X186830476Y-12690476D01*
X186878095Y-12785714D01*
X188592380Y-13988095D02*
X187592380Y-13988095D01*
X187592380Y-13750000D01*
X187640000Y-13607142D01*
X187735238Y-13511904D01*
X187830476Y-13464285D01*
X188020952Y-13416666D01*
X188163809Y-13416666D01*
X188354285Y-13464285D01*
X188449523Y-13511904D01*
X188544761Y-13607142D01*
X188592380Y-13750000D01*
X188592380Y-13988095D01*
X188592380Y-12464285D02*
X188592380Y-13035714D01*
X188592380Y-12750000D02*
X187592380Y-12750000D01*
X187735238Y-12845238D01*
X187830476Y-12940476D01*
X187878095Y-13035714D01*
G04 #@! TO.C,R2*
X189777380Y-22636666D02*
X189301190Y-22970000D01*

View File

@ -1,21 +1,21 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-26T19:02:55+02:00*
G04 #@! TF.CreationDate,2022-09-27T13:51:08+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L2,Inr*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Mon Sep 26 19:02:55 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Tue Sep 27 13:51:08 2022*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,ViaPad*
%ADD10O,1.700000X1.700000*%
%ADD10R,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD11R,1.700000X1.700000*%
%ADD11O,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD12C,1.450000*%
@ -73,6 +73,21 @@ G04 #@! TA.AperFunction,Conductor*
G04 #@! TD*
G04 APERTURE END LIST*
D10*
G04 #@! TO.N,LED3*
G04 #@! TO.C,J10*
X193000000Y-10000000D03*
D11*
G04 #@! TO.N,LED2*
X190460000Y-10000000D03*
G04 #@! TO.N,LED1*
X187920000Y-10000000D03*
G04 #@! TO.N,LED0*
X185380000Y-10000000D03*
G04 #@! TO.N,GND*
X182840000Y-10000000D03*
G04 #@! TO.N,+3V3*
X180300000Y-10000000D03*
G04 #@! TD*
G04 #@! TO.N,PMOD-12*
G04 #@! TO.C,J9*
X121760000Y-62550000D03*
@ -95,7 +110,7 @@ X121760000Y-52390000D03*
X124300000Y-52390000D03*
G04 #@! TO.N,+3V3*
X121760000Y-49850000D03*
D11*
D10*
X124300000Y-49850000D03*
G04 #@! TD*
D12*
@ -107,14 +122,6 @@ D13*
X271897500Y-82570000D03*
X271897500Y-75570000D03*
G04 #@! TD*
D11*
G04 #@! TO.N,+3V3*
G04 #@! TO.C,J8*
X202830000Y-79080000D03*
D10*
G04 #@! TO.N,GND*
X205370000Y-79080000D03*
G04 #@! TD*
D14*
G04 #@! TO.N,GND*
G04 #@! TO.C,J7*
@ -198,11 +205,11 @@ X220780000Y-91270000D03*
G04 #@! TO.N,GND*
X223320000Y-91270000D03*
G04 #@! TD*
D11*
D10*
G04 #@! TO.N,Net-(JCD1-Pad1)*
G04 #@! TO.C,JCD1*
X130000000Y-30000000D03*
D10*
D11*
G04 #@! TO.N,Net-(JCD1-Pad2)*
X130000000Y-27460000D03*
G04 #@! TO.N,GND*
@ -621,7 +628,7 @@ X123800000Y-94920000D03*
G04 #@! TO.N,~CLK_5V*
X121260000Y-94920000D03*
G04 #@! TD*
D10*
D11*
G04 #@! TO.N,Net-(J3-Pad6)*
G04 #@! TO.C,J3*
X121900000Y-20800000D03*
@ -633,11 +640,11 @@ G04 #@! TO.N,Net-(J3-Pad3)*
X121900000Y-28420000D03*
G04 #@! TO.N,Net-(J3-Pad2)*
X121900000Y-30960000D03*
D11*
D10*
G04 #@! TO.N,GND*
X121900000Y-33500000D03*
G04 #@! TD*
D10*
D11*
G04 #@! TO.N,GND*
G04 #@! TO.C,JAB1*
X208740000Y-59210000D03*
@ -761,7 +768,7 @@ X132540000Y-59210000D03*
X132540000Y-61750000D03*
G04 #@! TO.N,+5V*
X130000000Y-59210000D03*
D11*
D10*
X130000000Y-61750000D03*
G04 #@! TD*
D23*
@ -825,12 +832,14 @@ X139252400Y-47600000D03*
X145125000Y-50200000D03*
X150850000Y-50900000D03*
X187000000Y-83100000D03*
X195480000Y-15062500D03*
X185500000Y-15052500D03*
X168650000Y-91575000D03*
X118534670Y-98720000D03*
X240200000Y-27500000D03*
X168357500Y-78180000D03*
X187120000Y-13500000D03*
X189371666Y-13500000D03*
X191623332Y-13500000D03*
X193875000Y-13500000D03*
G04 #@! TO.N,+3V3*
X135450000Y-74225000D03*
X248080000Y-63750000D03*
@ -962,10 +971,6 @@ X115200000Y-87480000D01*
D24*
X164440000Y-97460000D02*
X166980000Y-97460000D01*
D25*
X203510000Y-75210000D02*
X205370000Y-77070000D01*
D24*
X123800000Y-97460000D02*
X123800000Y-94920000D01*
D25*
@ -986,14 +991,10 @@ X144120000Y-97460000D01*
D25*
X196170000Y-97490000D02*
X196200000Y-97460000D01*
X198190000Y-75210000D02*
X203510000Y-75210000D01*
X196170000Y-104500000D02*
X145390000Y-104500000D01*
X198500000Y-104500000D02*
X196170000Y-104500000D01*
X202250000Y-71000000D02*
X207500000Y-71000000D01*
D24*
X208740000Y-27460000D02*
X216190000Y-27460000D01*
@ -1086,10 +1087,6 @@ X257030000Y-39710000D01*
D24*
X168100000Y-59210000D02*
X168100000Y-56480000D01*
D27*
X205370000Y-77070000D02*
X205370000Y-79080000D01*
D24*
X144120000Y-97460000D02*
X146660000Y-97460000D01*
D25*
@ -1163,9 +1160,6 @@ X145300000Y-90050000D01*
D24*
X170640000Y-40360000D02*
X170640000Y-30000000D01*
D25*
X198190000Y-75210000D02*
X202400000Y-71000000D01*
D27*
X127600000Y-98720000D02*
X127600000Y-104500000D01*
@ -1208,8 +1202,6 @@ D27*
X126340000Y-97460000D02*
X127600000Y-98720000D01*
D25*
X197897500Y-75210000D02*
X198190000Y-75210000D01*
X145400000Y-88100000D02*
X146900000Y-88100000D01*
X252900000Y-82730000D02*
@ -1277,12 +1269,6 @@ X196200000Y-81092500D02*
X194857500Y-79750000D01*
X196200000Y-82592500D02*
X196200000Y-81092500D01*
X195257499Y-77850001D02*
X197897500Y-75210000D01*
X195257499Y-79350001D02*
X195257499Y-77850001D01*
X194857500Y-79750000D02*
X195257499Y-79350001D01*
X179857500Y-79750000D02*
X168357500Y-79750000D01*
X183600000Y-71000000D02*
@ -1445,14 +1431,8 @@ D27*
X168250000Y-97460000D02*
X168250000Y-102000000D01*
D25*
X195470000Y-15052500D02*
X195480000Y-15062500D01*
X185500000Y-15052500D02*
X195470000Y-15052500D01*
X199042500Y-15062500D02*
X208740000Y-24760000D01*
X195480000Y-15062500D02*
X199042500Y-15062500D01*
D26*
X122962081Y-52390000D02*
X124300000Y-52390000D01*
@ -1537,6 +1517,32 @@ X266007500Y-65550000D02*
X268000000Y-65550000D01*
X264200000Y-67357500D02*
X266007500Y-65550000D01*
X187120000Y-13500000D02*
X189371666Y-13500000D01*
X189371666Y-13500000D02*
X191623332Y-13500000D01*
X191623332Y-13500000D02*
X193875000Y-13500000D01*
X195437500Y-15062500D02*
X193875000Y-13500000D01*
X199042500Y-15062500D02*
X195437500Y-15062500D01*
X182840000Y-11202081D02*
X185137919Y-13500000D01*
X186554315Y-13500000D02*
X187120000Y-13500000D01*
X185137919Y-13500000D02*
X186554315Y-13500000D01*
X182840000Y-10000000D02*
X182840000Y-11202081D01*
X203560000Y-71047500D02*
X203560000Y-71000000D01*
X194857500Y-79750000D02*
X203560000Y-71047500D01*
X203560000Y-71000000D02*
X207500000Y-71000000D01*
X202250000Y-71000000D02*
X203560000Y-71000000D01*
D26*
G04 #@! TO.N,SHIELD*
X271200000Y-55050000D02*
@ -3461,57 +3467,6 @@ X137561280Y-79677431D01*
X137852431Y-79386280D01*
X138010000Y-79005874D01*
X138010000Y-78594126D01*
X137859175Y-78230000D01*
X201332560Y-78230000D01*
X201332560Y-79930000D01*
X201381843Y-80177765D01*
X201522191Y-80387809D01*
X201732235Y-80528157D01*
X201980000Y-80577440D01*
X203680000Y-80577440D01*
X203927765Y-80528157D01*
X204137809Y-80387809D01*
X204278157Y-80177765D01*
X204298739Y-80074292D01*
X204603076Y-80351645D01*
X205013110Y-80521476D01*
X205243000Y-80400155D01*
X205243000Y-79207000D01*
X205497000Y-79207000D01*
X205497000Y-80400155D01*
X205726890Y-80521476D01*
X206136924Y-80351645D01*
X206565183Y-79961358D01*
X206811486Y-79436892D01*
X206690819Y-79207000D01*
X205497000Y-79207000D01*
X205243000Y-79207000D01*
X205223000Y-79207000D01*
X205223000Y-78953000D01*
X205243000Y-78953000D01*
X205243000Y-77759845D01*
X205497000Y-77759845D01*
X205497000Y-78953000D01*
X206690819Y-78953000D01*
X206811486Y-78723108D01*
X206565183Y-78198642D01*
X206136924Y-77808355D01*
X205726890Y-77638524D01*
X205497000Y-77759845D01*
X205243000Y-77759845D01*
X205013110Y-77638524D01*
X204603076Y-77808355D01*
X204298739Y-78085708D01*
X204278157Y-77982235D01*
X204137809Y-77772191D01*
X203927765Y-77631843D01*
X203680000Y-77582560D01*
X201980000Y-77582560D01*
X201732235Y-77631843D01*
X201522191Y-77772191D01*
X201381843Y-77982235D01*
X201332560Y-78230000D01*
X137859175Y-78230000D01*
X137852431Y-78213720D01*
X137561280Y-77922569D01*
X137180874Y-77765000D01*
@ -5863,6 +5818,114 @@ X115713720Y-10335069D01*
X115422569Y-10626220D01*
X115265000Y-11006626D01*
X112440000Y-11006626D01*
X112440000Y-10000000D01*
X178785908Y-10000000D01*
X178901161Y-10579418D01*
X179229375Y-11070625D01*
X179720582Y-11398839D01*
X180153744Y-11485000D01*
X180446256Y-11485000D01*
X180879418Y-11398839D01*
X181370625Y-11070625D01*
X181583843Y-10751522D01*
X181644817Y-10881358D01*
X182073076Y-11271645D01*
X182483110Y-11441476D01*
X182713000Y-11320155D01*
X182713000Y-10127000D01*
X182693000Y-10127000D01*
X182693000Y-9873000D01*
X182713000Y-9873000D01*
X182713000Y-8679845D01*
X182967000Y-8679845D01*
X182967000Y-9873000D01*
X182987000Y-9873000D01*
X182987000Y-10127000D01*
X182967000Y-10127000D01*
X182967000Y-11320155D01*
X183196890Y-11441476D01*
X183606924Y-11271645D01*
X184035183Y-10881358D01*
X184096157Y-10751522D01*
X184309375Y-11070625D01*
X184800582Y-11398839D01*
X185233744Y-11485000D01*
X185526256Y-11485000D01*
X185959418Y-11398839D01*
X186450625Y-11070625D01*
X186650000Y-10772239D01*
X186849375Y-11070625D01*
X187340582Y-11398839D01*
X187773744Y-11485000D01*
X188066256Y-11485000D01*
X188499418Y-11398839D01*
X188990625Y-11070625D01*
X189190000Y-10772239D01*
X189389375Y-11070625D01*
X189880582Y-11398839D01*
X190313744Y-11485000D01*
X190606256Y-11485000D01*
X191039418Y-11398839D01*
X191530625Y-11070625D01*
X191542816Y-11052381D01*
X191551843Y-11097765D01*
X191692191Y-11307809D01*
X191902235Y-11448157D01*
X192150000Y-11497440D01*
X193850000Y-11497440D01*
X194097765Y-11448157D01*
X194307809Y-11307809D01*
X194448157Y-11097765D01*
X194497440Y-10850000D01*
X194497440Y-9150000D01*
X194448157Y-8902235D01*
X194307809Y-8692191D01*
X194097765Y-8551843D01*
X193850000Y-8502560D01*
X192150000Y-8502560D01*
X191902235Y-8551843D01*
X191692191Y-8692191D01*
X191551843Y-8902235D01*
X191542816Y-8947619D01*
X191530625Y-8929375D01*
X191039418Y-8601161D01*
X190606256Y-8515000D01*
X190313744Y-8515000D01*
X189880582Y-8601161D01*
X189389375Y-8929375D01*
X189190000Y-9227761D01*
X188990625Y-8929375D01*
X188499418Y-8601161D01*
X188066256Y-8515000D01*
X187773744Y-8515000D01*
X187340582Y-8601161D01*
X186849375Y-8929375D01*
X186650000Y-9227761D01*
X186450625Y-8929375D01*
X185959418Y-8601161D01*
X185526256Y-8515000D01*
X185233744Y-8515000D01*
X184800582Y-8601161D01*
X184309375Y-8929375D01*
X184096157Y-9248478D01*
X184035183Y-9118642D01*
X183606924Y-8728355D01*
X183196890Y-8558524D01*
X182967000Y-8679845D01*
X182713000Y-8679845D01*
X182483110Y-8558524D01*
X182073076Y-8728355D01*
X181644817Y-9118642D01*
X181583843Y-9248478D01*
X181370625Y-8929375D01*
X180879418Y-8601161D01*
X180446256Y-8515000D01*
X180153744Y-8515000D01*
X179720582Y-8601161D01*
X179229375Y-8929375D01*
X178901161Y-9420582D01*
X178785908Y-10000000D01*
X112440000Y-10000000D01*
X112440000Y-5810000D01*
X266778449Y-5810000D01*
X266388733Y-6127278D01*
@ -7750,57 +7813,6 @@ X137561280Y-79677431D01*
X137852431Y-79386280D01*
X138010000Y-79005874D01*
X138010000Y-78594126D01*
X137859175Y-78230000D01*
X201332560Y-78230000D01*
X201332560Y-79930000D01*
X201381843Y-80177765D01*
X201522191Y-80387809D01*
X201732235Y-80528157D01*
X201980000Y-80577440D01*
X203680000Y-80577440D01*
X203927765Y-80528157D01*
X204137809Y-80387809D01*
X204278157Y-80177765D01*
X204298739Y-80074292D01*
X204603076Y-80351645D01*
X205013110Y-80521476D01*
X205243000Y-80400155D01*
X205243000Y-79207000D01*
X205497000Y-79207000D01*
X205497000Y-80400155D01*
X205726890Y-80521476D01*
X206136924Y-80351645D01*
X206565183Y-79961358D01*
X206811486Y-79436892D01*
X206690819Y-79207000D01*
X205497000Y-79207000D01*
X205243000Y-79207000D01*
X205223000Y-79207000D01*
X205223000Y-78953000D01*
X205243000Y-78953000D01*
X205243000Y-77759845D01*
X205497000Y-77759845D01*
X205497000Y-78953000D01*
X206690819Y-78953000D01*
X206811486Y-78723108D01*
X206565183Y-78198642D01*
X206136924Y-77808355D01*
X205726890Y-77638524D01*
X205497000Y-77759845D01*
X205243000Y-77759845D01*
X205013110Y-77638524D01*
X204603076Y-77808355D01*
X204298739Y-78085708D01*
X204278157Y-77982235D01*
X204137809Y-77772191D01*
X203927765Y-77631843D01*
X203680000Y-77582560D01*
X201980000Y-77582560D01*
X201732235Y-77631843D01*
X201522191Y-77772191D01*
X201381843Y-77982235D01*
X201332560Y-78230000D01*
X137859175Y-78230000D01*
X137852431Y-78213720D01*
X137561280Y-77922569D01*
X137180874Y-77765000D01*
@ -10152,6 +10164,114 @@ X115713720Y-10335069D01*
X115422569Y-10626220D01*
X115265000Y-11006626D01*
X112440000Y-11006626D01*
X112440000Y-10000000D01*
X178785908Y-10000000D01*
X178901161Y-10579418D01*
X179229375Y-11070625D01*
X179720582Y-11398839D01*
X180153744Y-11485000D01*
X180446256Y-11485000D01*
X180879418Y-11398839D01*
X181370625Y-11070625D01*
X181583843Y-10751522D01*
X181644817Y-10881358D01*
X182073076Y-11271645D01*
X182483110Y-11441476D01*
X182713000Y-11320155D01*
X182713000Y-10127000D01*
X182693000Y-10127000D01*
X182693000Y-9873000D01*
X182713000Y-9873000D01*
X182713000Y-8679845D01*
X182967000Y-8679845D01*
X182967000Y-9873000D01*
X182987000Y-9873000D01*
X182987000Y-10127000D01*
X182967000Y-10127000D01*
X182967000Y-11320155D01*
X183196890Y-11441476D01*
X183606924Y-11271645D01*
X184035183Y-10881358D01*
X184096157Y-10751522D01*
X184309375Y-11070625D01*
X184800582Y-11398839D01*
X185233744Y-11485000D01*
X185526256Y-11485000D01*
X185959418Y-11398839D01*
X186450625Y-11070625D01*
X186650000Y-10772239D01*
X186849375Y-11070625D01*
X187340582Y-11398839D01*
X187773744Y-11485000D01*
X188066256Y-11485000D01*
X188499418Y-11398839D01*
X188990625Y-11070625D01*
X189190000Y-10772239D01*
X189389375Y-11070625D01*
X189880582Y-11398839D01*
X190313744Y-11485000D01*
X190606256Y-11485000D01*
X191039418Y-11398839D01*
X191530625Y-11070625D01*
X191542816Y-11052381D01*
X191551843Y-11097765D01*
X191692191Y-11307809D01*
X191902235Y-11448157D01*
X192150000Y-11497440D01*
X193850000Y-11497440D01*
X194097765Y-11448157D01*
X194307809Y-11307809D01*
X194448157Y-11097765D01*
X194497440Y-10850000D01*
X194497440Y-9150000D01*
X194448157Y-8902235D01*
X194307809Y-8692191D01*
X194097765Y-8551843D01*
X193850000Y-8502560D01*
X192150000Y-8502560D01*
X191902235Y-8551843D01*
X191692191Y-8692191D01*
X191551843Y-8902235D01*
X191542816Y-8947619D01*
X191530625Y-8929375D01*
X191039418Y-8601161D01*
X190606256Y-8515000D01*
X190313744Y-8515000D01*
X189880582Y-8601161D01*
X189389375Y-8929375D01*
X189190000Y-9227761D01*
X188990625Y-8929375D01*
X188499418Y-8601161D01*
X188066256Y-8515000D01*
X187773744Y-8515000D01*
X187340582Y-8601161D01*
X186849375Y-8929375D01*
X186650000Y-9227761D01*
X186450625Y-8929375D01*
X185959418Y-8601161D01*
X185526256Y-8515000D01*
X185233744Y-8515000D01*
X184800582Y-8601161D01*
X184309375Y-8929375D01*
X184096157Y-9248478D01*
X184035183Y-9118642D01*
X183606924Y-8728355D01*
X183196890Y-8558524D01*
X182967000Y-8679845D01*
X182713000Y-8679845D01*
X182483110Y-8558524D01*
X182073076Y-8728355D01*
X181644817Y-9118642D01*
X181583843Y-9248478D01*
X181370625Y-8929375D01*
X180879418Y-8601161D01*
X180446256Y-8515000D01*
X180153744Y-8515000D01*
X179720582Y-8601161D01*
X179229375Y-8929375D01*
X178901161Y-9420582D01*
X178785908Y-10000000D01*
X112440000Y-10000000D01*
X112440000Y-5810000D01*
X266778449Y-5810000D01*
X266388733Y-6127278D01*

View File

@ -1,21 +1,21 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-26T19:02:55+02:00*
G04 #@! TF.CreationDate,2022-09-27T13:51:08+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L3,Inr*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Mon Sep 26 19:02:55 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Tue Sep 27 13:51:08 2022*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,ViaPad*
%ADD10O,1.700000X1.700000*%
%ADD10R,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD11R,1.700000X1.700000*%
%ADD11O,1.700000X1.700000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD12C,1.450000*%
@ -60,19 +60,31 @@ G04 #@! TA.AperFunction,Conductor*
%ADD25C,0.152400*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD26C,0.500000*%
%ADD26C,1.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD27C,1.000000*%
%ADD27C,0.250000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD28C,0.250000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD29C,0.254000*%
%ADD28C,0.254000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
G04 #@! TO.N,LED3*
G04 #@! TO.C,J10*
X193000000Y-10000000D03*
D11*
G04 #@! TO.N,LED2*
X190460000Y-10000000D03*
G04 #@! TO.N,LED1*
X187920000Y-10000000D03*
G04 #@! TO.N,LED0*
X185380000Y-10000000D03*
G04 #@! TO.N,GND*
X182840000Y-10000000D03*
G04 #@! TO.N,+3V3*
X180300000Y-10000000D03*
G04 #@! TD*
G04 #@! TO.N,PMOD-12*
G04 #@! TO.C,J9*
X121760000Y-62550000D03*
@ -95,7 +107,7 @@ X121760000Y-52390000D03*
X124300000Y-52390000D03*
G04 #@! TO.N,+3V3*
X121760000Y-49850000D03*
D11*
D10*
X124300000Y-49850000D03*
G04 #@! TD*
D12*
@ -107,14 +119,6 @@ D13*
X271897500Y-82570000D03*
X271897500Y-75570000D03*
G04 #@! TD*
D11*
G04 #@! TO.N,+3V3*
G04 #@! TO.C,J8*
X202830000Y-79080000D03*
D10*
G04 #@! TO.N,GND*
X205370000Y-79080000D03*
G04 #@! TD*
D14*
G04 #@! TO.N,GND*
G04 #@! TO.C,J7*
@ -198,11 +202,11 @@ X220780000Y-91270000D03*
G04 #@! TO.N,GND*
X223320000Y-91270000D03*
G04 #@! TD*
D11*
D10*
G04 #@! TO.N,Net-(JCD1-Pad1)*
G04 #@! TO.C,JCD1*
X130000000Y-30000000D03*
D10*
D11*
G04 #@! TO.N,Net-(JCD1-Pad2)*
X130000000Y-27460000D03*
G04 #@! TO.N,GND*
@ -621,7 +625,7 @@ X123800000Y-94920000D03*
G04 #@! TO.N,~CLK_5V*
X121260000Y-94920000D03*
G04 #@! TD*
D10*
D11*
G04 #@! TO.N,Net-(J3-Pad6)*
G04 #@! TO.C,J3*
X121900000Y-20800000D03*
@ -633,11 +637,11 @@ G04 #@! TO.N,Net-(J3-Pad3)*
X121900000Y-28420000D03*
G04 #@! TO.N,Net-(J3-Pad2)*
X121900000Y-30960000D03*
D11*
D10*
G04 #@! TO.N,GND*
X121900000Y-33500000D03*
G04 #@! TD*
D10*
D11*
G04 #@! TO.N,GND*
G04 #@! TO.C,JAB1*
X208740000Y-59210000D03*
@ -761,7 +765,7 @@ X132540000Y-59210000D03*
X132540000Y-61750000D03*
G04 #@! TO.N,+5V*
X130000000Y-59210000D03*
D11*
D10*
X130000000Y-61750000D03*
G04 #@! TD*
D23*
@ -825,12 +829,14 @@ X139252400Y-47600000D03*
X145125000Y-50200000D03*
X150850000Y-50900000D03*
X187000000Y-83100000D03*
X195480000Y-15062500D03*
X185500000Y-15052500D03*
X168650000Y-91575000D03*
X118534670Y-98720000D03*
X240200000Y-27500000D03*
X168357500Y-78180000D03*
X187120000Y-13500000D03*
X189371666Y-13500000D03*
X191623332Y-13500000D03*
X193875000Y-13500000D03*
G04 #@! TO.N,+3V3*
X135450000Y-74225000D03*
X248080000Y-63750000D03*
@ -1005,10 +1011,6 @@ X170000000Y-64500000D02*
X170640000Y-63860000D01*
X136727523Y-90680708D02*
X136727523Y-83900000D01*
D26*
X202830000Y-76830000D02*
X202830000Y-79080000D01*
D25*
X118265134Y-38400000D02*
X118265134Y-44400000D01*
X169000000Y-24700000D02*
@ -1171,7 +1173,7 @@ X127968318Y-44400000D02*
X128357959Y-44789641D01*
X118265134Y-44400000D02*
X127968318Y-44400000D01*
D27*
D26*
X121760000Y-49850000D02*
X124300000Y-49850000D01*
X124300000Y-49850000D02*
@ -1207,6 +1209,10 @@ X116500000Y-78000000D02*
X118300000Y-79800000D01*
X116500000Y-69150000D02*
X116500000Y-78000000D01*
X176980000Y-13320000D02*
X180300000Y-10000000D01*
X176980000Y-15429630D02*
X176980000Y-13320000D01*
G04 #@! TO.N,+5V*
X133406596Y-88000000D02*
X133421568Y-88014972D01*
@ -1230,14 +1236,14 @@ X183850000Y-82356066D01*
G04 #@! TO.N,~AD23_3V3*
X173212500Y-61782500D02*
X173180000Y-61750000D01*
D28*
D27*
G04 #@! TO.N,HDMI_5V*
X255920000Y-63320000D02*
X258382500Y-65782500D01*
X258382500Y-65782500D02*
X261760000Y-65782500D01*
G04 #@! TD*
D29*
D28*
G04 #@! TO.N,+3V3*
G36*
X256873000Y-61064289D02*
@ -1488,18 +1494,6 @@ X195443780Y-80627431D01*
X195734931Y-80336280D01*
X195892500Y-79955874D01*
X195892500Y-79544126D01*
X195818615Y-79365750D01*
X201345000Y-79365750D01*
X201345000Y-80056309D01*
X201441673Y-80289698D01*
X201620301Y-80468327D01*
X201853690Y-80565000D01*
X202544250Y-80565000D01*
X202703000Y-80406250D01*
X202703000Y-79207000D01*
X201503750Y-79207000D01*
X201345000Y-79365750D01*
X195818615Y-79365750D01*
X195734931Y-79163720D01*
X195443780Y-78872569D01*
X195063374Y-78715000D01*
@ -2056,51 +2050,6 @@ X169234931Y-79163720D01*
X169036211Y-78965000D01*
X169234931Y-78766280D01*
X169392500Y-78385874D01*
X169392500Y-78103691D01*
X201345000Y-78103691D01*
X201345000Y-78794250D01*
X201503750Y-78953000D01*
X202703000Y-78953000D01*
X202703000Y-77753750D01*
X202957000Y-77753750D01*
X202957000Y-78953000D01*
X202977000Y-78953000D01*
X202977000Y-79207000D01*
X202957000Y-79207000D01*
X202957000Y-80406250D01*
X203115750Y-80565000D01*
X203806310Y-80565000D01*
X204039699Y-80468327D01*
X204218327Y-80289698D01*
X204284904Y-80128967D01*
X204299375Y-80150625D01*
X204790582Y-80478839D01*
X205223744Y-80565000D01*
X205516256Y-80565000D01*
X205949418Y-80478839D01*
X206440625Y-80150625D01*
X206768839Y-79659418D01*
X206884092Y-79080000D01*
X206768839Y-78500582D01*
X206440625Y-78009375D01*
X205949418Y-77681161D01*
X205516256Y-77595000D01*
X205223744Y-77595000D01*
X204790582Y-77681161D01*
X204299375Y-78009375D01*
X204284904Y-78031033D01*
X204218327Y-77870302D01*
X204039699Y-77691673D01*
X203806310Y-77595000D01*
X203115750Y-77595000D01*
X202957000Y-77753750D01*
X202703000Y-77753750D01*
X202544250Y-77595000D01*
X201853690Y-77595000D01*
X201620301Y-77691673D01*
X201441673Y-77870302D01*
X201345000Y-78103691D01*
X169392500Y-78103691D01*
X169392500Y-77974126D01*
X169234931Y-77593720D01*
X168943780Y-77302569D01*
@ -4223,44 +4172,6 @@ X163469126Y-15385000D01*
X163880874Y-15385000D01*
X164261280Y-15227431D01*
X164552431Y-14936280D01*
X164589566Y-14846626D01*
X184465000Y-14846626D01*
X184465000Y-15258374D01*
X184622569Y-15638780D01*
X184913720Y-15929931D01*
X185294126Y-16087500D01*
X185705874Y-16087500D01*
X186086280Y-15929931D01*
X186377431Y-15638780D01*
X186535000Y-15258374D01*
X186535000Y-14856626D01*
X194445000Y-14856626D01*
X194445000Y-15268374D01*
X194602569Y-15648780D01*
X194893720Y-15939931D01*
X195274126Y-16097500D01*
X195685874Y-16097500D01*
X196066280Y-15939931D01*
X196357431Y-15648780D01*
X196515000Y-15268374D01*
X196515000Y-14856626D01*
X196357431Y-14476220D01*
X196066280Y-14185069D01*
X195685874Y-14027500D01*
X195274126Y-14027500D01*
X194893720Y-14185069D01*
X194602569Y-14476220D01*
X194445000Y-14856626D01*
X186535000Y-14856626D01*
X186535000Y-14846626D01*
X186377431Y-14466220D01*
X186086280Y-14175069D01*
X185705874Y-14017500D01*
X185294126Y-14017500D01*
X184913720Y-14175069D01*
X184622569Y-14466220D01*
X184465000Y-14846626D01*
X164589566Y-14846626D01*
X164710000Y-14555874D01*
X164710000Y-14144126D01*
X164552431Y-13763720D01*
@ -4285,8 +4196,50 @@ X169330000Y-14835000D01*
X170057735Y-14719738D01*
X170714234Y-14385235D01*
X171235235Y-13864234D01*
X171569738Y-13207735D01*
X171685000Y-12480000D01*
X171525719Y-13294126D01*
X186085000Y-13294126D01*
X186085000Y-13705874D01*
X186242569Y-14086280D01*
X186533720Y-14377431D01*
X186914126Y-14535000D01*
X187325874Y-14535000D01*
X187706280Y-14377431D01*
X187997431Y-14086280D01*
X188155000Y-13705874D01*
X188155000Y-13294126D01*
X188336666Y-13294126D01*
X188336666Y-13705874D01*
X188494235Y-14086280D01*
X188785386Y-14377431D01*
X189165792Y-14535000D01*
X189577540Y-14535000D01*
X189957946Y-14377431D01*
X190249097Y-14086280D01*
X190406666Y-13705874D01*
X190406666Y-13294126D01*
X190588332Y-13294126D01*
X190588332Y-13705874D01*
X190745901Y-14086280D01*
X191037052Y-14377431D01*
X191417458Y-14535000D01*
X191829206Y-14535000D01*
X192209612Y-14377431D01*
X192500763Y-14086280D01*
X192658332Y-13705874D01*
X192658332Y-13294126D01*
X192840000Y-13294126D01*
X192840000Y-13705874D01*
X192997569Y-14086280D01*
X193288720Y-14377431D01*
X193669126Y-14535000D01*
X194080874Y-14535000D01*
X194461280Y-14377431D01*
X194752431Y-14086280D01*
X194910000Y-13705874D01*
X194910000Y-13294126D01*
X194752431Y-12913720D01*
X194461280Y-12622569D01*
X194117088Y-12480000D01*
X196975000Y-12480000D01*
X197090262Y-13207735D01*
X197424765Y-13864234D01*
@ -4330,10 +4283,52 @@ X197945766Y-10574765D01*
X197424765Y-11095766D01*
X197090262Y-11752265D01*
X196975000Y-12480000D01*
X194117088Y-12480000D01*
X194080874Y-12465000D01*
X193669126Y-12465000D01*
X193288720Y-12622569D01*
X192997569Y-12913720D01*
X192840000Y-13294126D01*
X192658332Y-13294126D01*
X192500763Y-12913720D01*
X192209612Y-12622569D01*
X191829206Y-12465000D01*
X191417458Y-12465000D01*
X191037052Y-12622569D01*
X190745901Y-12913720D01*
X190588332Y-13294126D01*
X190406666Y-13294126D01*
X190249097Y-12913720D01*
X189957946Y-12622569D01*
X189577540Y-12465000D01*
X189165792Y-12465000D01*
X188785386Y-12622569D01*
X188494235Y-12913720D01*
X188336666Y-13294126D01*
X188155000Y-13294126D01*
X187997431Y-12913720D01*
X187706280Y-12622569D01*
X187325874Y-12465000D01*
X186914126Y-12465000D01*
X186533720Y-12622569D01*
X186242569Y-12913720D01*
X186085000Y-13294126D01*
X171525719Y-13294126D01*
X171569738Y-13207735D01*
X171685000Y-12480000D01*
X171569738Y-11752265D01*
X171235235Y-11095766D01*
X170714234Y-10574765D01*
X170286635Y-10356892D01*
X178858514Y-10356892D01*
X179104817Y-10881358D01*
X179533076Y-11271645D01*
X179943110Y-11441476D01*
X180173000Y-11320155D01*
X180173000Y-10127000D01*
X178979181Y-10127000D01*
X178858514Y-10356892D01*
X170286635Y-10356892D01*
X170057735Y-10240262D01*
X169330000Y-10125000D01*
X168602265Y-10240262D01*
@ -4349,6 +4344,106 @@ X153913720Y-12422569D01*
X153622569Y-12713720D01*
X153465000Y-13094126D01*
X113635622Y-13094126D01*
X113631704Y-9643108D01*
X178858514Y-9643108D01*
X178979181Y-9873000D01*
X180173000Y-9873000D01*
X180173000Y-8679845D01*
X180427000Y-8679845D01*
X180427000Y-9873000D01*
X180447000Y-9873000D01*
X180447000Y-10127000D01*
X180427000Y-10127000D01*
X180427000Y-11320155D01*
X180656890Y-11441476D01*
X181066924Y-11271645D01*
X181495183Y-10881358D01*
X181556157Y-10751522D01*
X181769375Y-11070625D01*
X182260582Y-11398839D01*
X182693744Y-11485000D01*
X182986256Y-11485000D01*
X183419418Y-11398839D01*
X183910625Y-11070625D01*
X184110000Y-10772239D01*
X184309375Y-11070625D01*
X184800582Y-11398839D01*
X185233744Y-11485000D01*
X185526256Y-11485000D01*
X185959418Y-11398839D01*
X186450625Y-11070625D01*
X186650000Y-10772239D01*
X186849375Y-11070625D01*
X187340582Y-11398839D01*
X187773744Y-11485000D01*
X188066256Y-11485000D01*
X188499418Y-11398839D01*
X188990625Y-11070625D01*
X189190000Y-10772239D01*
X189389375Y-11070625D01*
X189880582Y-11398839D01*
X190313744Y-11485000D01*
X190606256Y-11485000D01*
X191039418Y-11398839D01*
X191530625Y-11070625D01*
X191542816Y-11052381D01*
X191551843Y-11097765D01*
X191692191Y-11307809D01*
X191902235Y-11448157D01*
X192150000Y-11497440D01*
X193850000Y-11497440D01*
X194097765Y-11448157D01*
X194307809Y-11307809D01*
X194448157Y-11097765D01*
X194497440Y-10850000D01*
X194497440Y-9150000D01*
X194448157Y-8902235D01*
X194307809Y-8692191D01*
X194097765Y-8551843D01*
X193850000Y-8502560D01*
X192150000Y-8502560D01*
X191902235Y-8551843D01*
X191692191Y-8692191D01*
X191551843Y-8902235D01*
X191542816Y-8947619D01*
X191530625Y-8929375D01*
X191039418Y-8601161D01*
X190606256Y-8515000D01*
X190313744Y-8515000D01*
X189880582Y-8601161D01*
X189389375Y-8929375D01*
X189190000Y-9227761D01*
X188990625Y-8929375D01*
X188499418Y-8601161D01*
X188066256Y-8515000D01*
X187773744Y-8515000D01*
X187340582Y-8601161D01*
X186849375Y-8929375D01*
X186650000Y-9227761D01*
X186450625Y-8929375D01*
X185959418Y-8601161D01*
X185526256Y-8515000D01*
X185233744Y-8515000D01*
X184800582Y-8601161D01*
X184309375Y-8929375D01*
X184110000Y-9227761D01*
X183910625Y-8929375D01*
X183419418Y-8601161D01*
X182986256Y-8515000D01*
X182693744Y-8515000D01*
X182260582Y-8601161D01*
X181769375Y-8929375D01*
X181556157Y-9248478D01*
X181495183Y-9118642D01*
X181066924Y-8728355D01*
X180656890Y-8558524D01*
X180427000Y-8679845D01*
X180173000Y-8679845D01*
X179943110Y-8558524D01*
X179533076Y-8728355D01*
X179104817Y-9118642D01*
X178858514Y-9643108D01*
X113631704Y-9643108D01*
X113627352Y-5810000D01*
X256873000Y-5810000D01*
X256873000Y-61064289D01*
@ -4602,18 +4697,6 @@ X195443780Y-80627431D01*
X195734931Y-80336280D01*
X195892500Y-79955874D01*
X195892500Y-79544126D01*
X195818615Y-79365750D01*
X201345000Y-79365750D01*
X201345000Y-80056309D01*
X201441673Y-80289698D01*
X201620301Y-80468327D01*
X201853690Y-80565000D01*
X202544250Y-80565000D01*
X202703000Y-80406250D01*
X202703000Y-79207000D01*
X201503750Y-79207000D01*
X201345000Y-79365750D01*
X195818615Y-79365750D01*
X195734931Y-79163720D01*
X195443780Y-78872569D01*
X195063374Y-78715000D01*
@ -5170,51 +5253,6 @@ X169234931Y-79163720D01*
X169036211Y-78965000D01*
X169234931Y-78766280D01*
X169392500Y-78385874D01*
X169392500Y-78103691D01*
X201345000Y-78103691D01*
X201345000Y-78794250D01*
X201503750Y-78953000D01*
X202703000Y-78953000D01*
X202703000Y-77753750D01*
X202957000Y-77753750D01*
X202957000Y-78953000D01*
X202977000Y-78953000D01*
X202977000Y-79207000D01*
X202957000Y-79207000D01*
X202957000Y-80406250D01*
X203115750Y-80565000D01*
X203806310Y-80565000D01*
X204039699Y-80468327D01*
X204218327Y-80289698D01*
X204284904Y-80128967D01*
X204299375Y-80150625D01*
X204790582Y-80478839D01*
X205223744Y-80565000D01*
X205516256Y-80565000D01*
X205949418Y-80478839D01*
X206440625Y-80150625D01*
X206768839Y-79659418D01*
X206884092Y-79080000D01*
X206768839Y-78500582D01*
X206440625Y-78009375D01*
X205949418Y-77681161D01*
X205516256Y-77595000D01*
X205223744Y-77595000D01*
X204790582Y-77681161D01*
X204299375Y-78009375D01*
X204284904Y-78031033D01*
X204218327Y-77870302D01*
X204039699Y-77691673D01*
X203806310Y-77595000D01*
X203115750Y-77595000D01*
X202957000Y-77753750D01*
X202703000Y-77753750D01*
X202544250Y-77595000D01*
X201853690Y-77595000D01*
X201620301Y-77691673D01*
X201441673Y-77870302D01*
X201345000Y-78103691D01*
X169392500Y-78103691D01*
X169392500Y-77974126D01*
X169234931Y-77593720D01*
X168943780Y-77302569D01*
@ -7337,44 +7375,6 @@ X163469126Y-15385000D01*
X163880874Y-15385000D01*
X164261280Y-15227431D01*
X164552431Y-14936280D01*
X164589566Y-14846626D01*
X184465000Y-14846626D01*
X184465000Y-15258374D01*
X184622569Y-15638780D01*
X184913720Y-15929931D01*
X185294126Y-16087500D01*
X185705874Y-16087500D01*
X186086280Y-15929931D01*
X186377431Y-15638780D01*
X186535000Y-15258374D01*
X186535000Y-14856626D01*
X194445000Y-14856626D01*
X194445000Y-15268374D01*
X194602569Y-15648780D01*
X194893720Y-15939931D01*
X195274126Y-16097500D01*
X195685874Y-16097500D01*
X196066280Y-15939931D01*
X196357431Y-15648780D01*
X196515000Y-15268374D01*
X196515000Y-14856626D01*
X196357431Y-14476220D01*
X196066280Y-14185069D01*
X195685874Y-14027500D01*
X195274126Y-14027500D01*
X194893720Y-14185069D01*
X194602569Y-14476220D01*
X194445000Y-14856626D01*
X186535000Y-14856626D01*
X186535000Y-14846626D01*
X186377431Y-14466220D01*
X186086280Y-14175069D01*
X185705874Y-14017500D01*
X185294126Y-14017500D01*
X184913720Y-14175069D01*
X184622569Y-14466220D01*
X184465000Y-14846626D01*
X164589566Y-14846626D01*
X164710000Y-14555874D01*
X164710000Y-14144126D01*
X164552431Y-13763720D01*
@ -7399,8 +7399,50 @@ X169330000Y-14835000D01*
X170057735Y-14719738D01*
X170714234Y-14385235D01*
X171235235Y-13864234D01*
X171569738Y-13207735D01*
X171685000Y-12480000D01*
X171525719Y-13294126D01*
X186085000Y-13294126D01*
X186085000Y-13705874D01*
X186242569Y-14086280D01*
X186533720Y-14377431D01*
X186914126Y-14535000D01*
X187325874Y-14535000D01*
X187706280Y-14377431D01*
X187997431Y-14086280D01*
X188155000Y-13705874D01*
X188155000Y-13294126D01*
X188336666Y-13294126D01*
X188336666Y-13705874D01*
X188494235Y-14086280D01*
X188785386Y-14377431D01*
X189165792Y-14535000D01*
X189577540Y-14535000D01*
X189957946Y-14377431D01*
X190249097Y-14086280D01*
X190406666Y-13705874D01*
X190406666Y-13294126D01*
X190588332Y-13294126D01*
X190588332Y-13705874D01*
X190745901Y-14086280D01*
X191037052Y-14377431D01*
X191417458Y-14535000D01*
X191829206Y-14535000D01*
X192209612Y-14377431D01*
X192500763Y-14086280D01*
X192658332Y-13705874D01*
X192658332Y-13294126D01*
X192840000Y-13294126D01*
X192840000Y-13705874D01*
X192997569Y-14086280D01*
X193288720Y-14377431D01*
X193669126Y-14535000D01*
X194080874Y-14535000D01*
X194461280Y-14377431D01*
X194752431Y-14086280D01*
X194910000Y-13705874D01*
X194910000Y-13294126D01*
X194752431Y-12913720D01*
X194461280Y-12622569D01*
X194117088Y-12480000D01*
X196975000Y-12480000D01*
X197090262Y-13207735D01*
X197424765Y-13864234D01*
@ -7444,10 +7486,52 @@ X197945766Y-10574765D01*
X197424765Y-11095766D01*
X197090262Y-11752265D01*
X196975000Y-12480000D01*
X194117088Y-12480000D01*
X194080874Y-12465000D01*
X193669126Y-12465000D01*
X193288720Y-12622569D01*
X192997569Y-12913720D01*
X192840000Y-13294126D01*
X192658332Y-13294126D01*
X192500763Y-12913720D01*
X192209612Y-12622569D01*
X191829206Y-12465000D01*
X191417458Y-12465000D01*
X191037052Y-12622569D01*
X190745901Y-12913720D01*
X190588332Y-13294126D01*
X190406666Y-13294126D01*
X190249097Y-12913720D01*
X189957946Y-12622569D01*
X189577540Y-12465000D01*
X189165792Y-12465000D01*
X188785386Y-12622569D01*
X188494235Y-12913720D01*
X188336666Y-13294126D01*
X188155000Y-13294126D01*
X187997431Y-12913720D01*
X187706280Y-12622569D01*
X187325874Y-12465000D01*
X186914126Y-12465000D01*
X186533720Y-12622569D01*
X186242569Y-12913720D01*
X186085000Y-13294126D01*
X171525719Y-13294126D01*
X171569738Y-13207735D01*
X171685000Y-12480000D01*
X171569738Y-11752265D01*
X171235235Y-11095766D01*
X170714234Y-10574765D01*
X170286635Y-10356892D01*
X178858514Y-10356892D01*
X179104817Y-10881358D01*
X179533076Y-11271645D01*
X179943110Y-11441476D01*
X180173000Y-11320155D01*
X180173000Y-10127000D01*
X178979181Y-10127000D01*
X178858514Y-10356892D01*
X170286635Y-10356892D01*
X170057735Y-10240262D01*
X169330000Y-10125000D01*
X168602265Y-10240262D01*
@ -7463,6 +7547,106 @@ X153913720Y-12422569D01*
X153622569Y-12713720D01*
X153465000Y-13094126D01*
X113635622Y-13094126D01*
X113631704Y-9643108D01*
X178858514Y-9643108D01*
X178979181Y-9873000D01*
X180173000Y-9873000D01*
X180173000Y-8679845D01*
X180427000Y-8679845D01*
X180427000Y-9873000D01*
X180447000Y-9873000D01*
X180447000Y-10127000D01*
X180427000Y-10127000D01*
X180427000Y-11320155D01*
X180656890Y-11441476D01*
X181066924Y-11271645D01*
X181495183Y-10881358D01*
X181556157Y-10751522D01*
X181769375Y-11070625D01*
X182260582Y-11398839D01*
X182693744Y-11485000D01*
X182986256Y-11485000D01*
X183419418Y-11398839D01*
X183910625Y-11070625D01*
X184110000Y-10772239D01*
X184309375Y-11070625D01*
X184800582Y-11398839D01*
X185233744Y-11485000D01*
X185526256Y-11485000D01*
X185959418Y-11398839D01*
X186450625Y-11070625D01*
X186650000Y-10772239D01*
X186849375Y-11070625D01*
X187340582Y-11398839D01*
X187773744Y-11485000D01*
X188066256Y-11485000D01*
X188499418Y-11398839D01*
X188990625Y-11070625D01*
X189190000Y-10772239D01*
X189389375Y-11070625D01*
X189880582Y-11398839D01*
X190313744Y-11485000D01*
X190606256Y-11485000D01*
X191039418Y-11398839D01*
X191530625Y-11070625D01*
X191542816Y-11052381D01*
X191551843Y-11097765D01*
X191692191Y-11307809D01*
X191902235Y-11448157D01*
X192150000Y-11497440D01*
X193850000Y-11497440D01*
X194097765Y-11448157D01*
X194307809Y-11307809D01*
X194448157Y-11097765D01*
X194497440Y-10850000D01*
X194497440Y-9150000D01*
X194448157Y-8902235D01*
X194307809Y-8692191D01*
X194097765Y-8551843D01*
X193850000Y-8502560D01*
X192150000Y-8502560D01*
X191902235Y-8551843D01*
X191692191Y-8692191D01*
X191551843Y-8902235D01*
X191542816Y-8947619D01*
X191530625Y-8929375D01*
X191039418Y-8601161D01*
X190606256Y-8515000D01*
X190313744Y-8515000D01*
X189880582Y-8601161D01*
X189389375Y-8929375D01*
X189190000Y-9227761D01*
X188990625Y-8929375D01*
X188499418Y-8601161D01*
X188066256Y-8515000D01*
X187773744Y-8515000D01*
X187340582Y-8601161D01*
X186849375Y-8929375D01*
X186650000Y-9227761D01*
X186450625Y-8929375D01*
X185959418Y-8601161D01*
X185526256Y-8515000D01*
X185233744Y-8515000D01*
X184800582Y-8601161D01*
X184309375Y-8929375D01*
X184110000Y-9227761D01*
X183910625Y-8929375D01*
X183419418Y-8601161D01*
X182986256Y-8515000D01*
X182693744Y-8515000D01*
X182260582Y-8601161D01*
X181769375Y-8929375D01*
X181556157Y-9248478D01*
X181495183Y-9118642D01*
X181066924Y-8728355D01*
X180656890Y-8558524D01*
X180427000Y-8679845D01*
X180173000Y-8679845D01*
X179943110Y-8558524D01*
X179533076Y-8728355D01*
X179104817Y-9118642D01*
X178858514Y-9643108D01*
X113631704Y-9643108D01*
X113627352Y-5810000D01*
X256873000Y-5810000D01*
X256873000Y-61064289D01*

View File

@ -1,6 +1,6 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Mon Sep 26 19:02:58 2022
%%CreationDate: Tue Sep 27 13:51:10 2022
%%Title: /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps
%%Pages: 1
%%PageOrder: Ascend

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Mon Sep 26 19:02:57 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Tue Sep 27 13:51:09 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ

View File

@ -1,6 +1,6 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Mon Sep 26 19:02:58 2022
%%CreationDate: Tue Sep 27 13:51:10 2022
%%Title: /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex-PTH-drl_map.ps
%%Pages: 1
%%PageOrder: Ascend
@ -881,14 +881,6 @@ newpath
55243.2 58788.8 lineto
stroke
newpath
55451.6 74646.9 moveto
55618.3 74480.2 lineto
stroke
newpath
55618.3 74646.9 moveto
55451.6 74480.2 lineto
stroke
newpath
55576.6 50421.6 moveto
55743.3 50254.8 lineto
stroke
@ -937,6 +929,14 @@ newpath
56076.7 46118.1 lineto
stroke
newpath
56126.8 75294 moveto
56293.5 75127.3 lineto
stroke
newpath
56293.5 75294 moveto
56126.8 75127.3 lineto
stroke
newpath
56597.7 60310.1 moveto
56764.5 60143.4 lineto
stroke
@ -961,6 +961,14 @@ newpath
56597.7 50041.2 lineto
stroke
newpath
57065.3 75294 moveto
57232 75127.3 lineto
stroke
newpath
57232 75294 moveto
57065.3 75127.3 lineto
stroke
newpath
57118.7 51328.1 moveto
57285.5 51161.4 lineto
stroke
@ -993,6 +1001,14 @@ newpath
57764.8 59059.7 lineto
stroke
newpath
58003.7 75294 moveto
58170.5 75127.3 lineto
stroke
newpath
58170.5 75294 moveto
58003.7 75127.3 lineto
stroke
newpath
58119.1 58955.5 moveto
58285.8 58788.8 lineto
stroke
@ -1001,6 +1017,14 @@ newpath
58119.1 58788.8 lineto
stroke
newpath
58942.2 75294 moveto
59109 75127.3 lineto
stroke
newpath
59109 75294 moveto
58942.2 75127.3 lineto
stroke
newpath
58994.3 59768.3 moveto
59161.1 59601.5 lineto
stroke
@ -1017,14 +1041,6 @@ newpath
59351.7 47514.4 lineto
stroke
newpath
59611.2 74642.7 moveto
59777.9 74476 lineto
stroke
newpath
59777.9 74642.7 moveto
59611.2 74476 lineto
stroke
newpath
59911.3 43784.1 moveto
60078 43617.3 lineto
stroke
@ -2255,38 +2271,6 @@ newpath
stroke
61578.5 39157.6 208.399 cir0
newpath
62545.5 48089.6 moveto
62970.6 47664.4 lineto
stroke
newpath
62970.6 48089.6 moveto
62545.5 47664.4 lineto
stroke
newpath
62758 48089.6 moveto
62758 47664.4 lineto
stroke
newpath
62545.5 47877 moveto
62970.6 47877 lineto
stroke
newpath
63604.1 48089.6 moveto
64029.3 47664.4 lineto
stroke
newpath
64029.3 48089.6 moveto
63604.1 47664.4 lineto
stroke
newpath
63816.7 48089.6 moveto
63816.7 47664.4 lineto
stroke
newpath
63604.1 47877 moveto
64029.3 47877 lineto
stroke
newpath
28814 72380.6 moveto
29239.1 71955.4 lineto
stroke
@ -4303,6 +4287,96 @@ newpath
65389.3 54932.1 lineto
poly0
newpath
53119.6 76917.4 moveto
53615.6 76421.4 lineto
stroke
newpath
53615.6 76917.4 moveto
53119.6 76421.4 lineto
stroke
newpath
53367.6 76421.4 moveto
53615.6 76669.4 lineto
53367.6 76917.4 lineto
53119.6 76669.4 lineto
53367.6 76421.4 lineto
poly0
newpath
54178.2 76917.4 moveto
54674.2 76421.4 lineto
stroke
newpath
54674.2 76917.4 moveto
54178.2 76421.4 lineto
stroke
newpath
54426.2 76421.4 moveto
54674.2 76669.4 lineto
54426.2 76917.4 lineto
54178.2 76669.4 lineto
54426.2 76421.4 lineto
poly0
newpath
55236.9 76917.4 moveto
55732.9 76421.4 lineto
stroke
newpath
55732.9 76917.4 moveto
55236.9 76421.4 lineto
stroke
newpath
55484.9 76421.4 moveto
55732.9 76669.4 lineto
55484.9 76917.4 lineto
55236.9 76669.4 lineto
55484.9 76421.4 lineto
poly0
newpath
56295.6 76917.4 moveto
56791.6 76421.4 lineto
stroke
newpath
56791.6 76917.4 moveto
56295.6 76421.4 lineto
stroke
newpath
56543.6 76421.4 moveto
56791.6 76669.4 lineto
56543.6 76917.4 lineto
56295.6 76669.4 lineto
56543.6 76421.4 lineto
poly0
newpath
57354.2 76917.4 moveto
57850.2 76421.4 lineto
stroke
newpath
57850.2 76917.4 moveto
57354.2 76421.4 lineto
stroke
newpath
57602.2 76421.4 moveto
57850.2 76669.4 lineto
57602.2 76917.4 lineto
57354.2 76669.4 lineto
57602.2 76421.4 lineto
poly0
newpath
58412.9 76917.4 moveto
58908.9 76421.4 lineto
stroke
newpath
58908.9 76917.4 moveto
58412.9 76421.4 lineto
stroke
newpath
58660.9 76421.4 moveto
58908.9 76669.4 lineto
58660.9 76917.4 lineto
58412.9 76669.4 lineto
58660.9 76421.4 lineto
poly0
newpath
28720.2 60308 moveto
29216.2 59812 lineto
stroke
@ -4915,12 +4989,26 @@ newpath
44669.3 32807.7 lineto
stroke
newpath
46412.8 33876.3 moveto
46187.8 33876.3 lineto
46075.4 33820 lineto
46019.1 33763.8 lineto
45906.6 33595.1 lineto
45850.4 33370.1 lineto
46075.4 33370.1 moveto
45962.9 33426.3 lineto
45906.6 33482.6 lineto
45850.4 33595.1 lineto
45850.4 33651.3 lineto
45906.6 33763.8 lineto
45962.9 33820 lineto
46075.4 33876.3 lineto
46300.3 33876.3 lineto
46412.8 33820 lineto
46469.1 33763.8 lineto
46525.3 33651.3 lineto
46525.3 33595.1 lineto
46469.1 33482.6 lineto
46412.8 33426.3 lineto
46300.3 33370.1 lineto
46075.4 33370.1 lineto
45962.9 33313.8 lineto
45906.6 33257.6 lineto
45850.4 33145.1 lineto
45850.4 32920.1 lineto
45906.6 32807.7 lineto
45962.9 32751.4 lineto
@ -4929,14 +5017,10 @@ newpath
46412.8 32751.4 lineto
46469.1 32807.7 lineto
46525.3 32920.1 lineto
46525.3 33201.4 lineto
46469.1 33313.8 lineto
46412.8 33370.1 lineto
46300.3 33426.3 lineto
46075.4 33426.3 lineto
45962.9 33370.1 lineto
45906.6 33313.8 lineto
45850.4 33201.4 lineto
46525.3 33145.1 lineto
46469.1 33257.6 lineto
46412.8 33313.8 lineto
46300.3 33370.1 lineto
stroke
newpath
47931.4 32695.2 moveto
@ -7097,26 +7181,12 @@ newpath
43207 24690.7 lineto
stroke
newpath
43825.6 24015.8 moveto
43713.2 24072 lineto
43656.9 24128.2 lineto
43600.7 24240.7 lineto
43600.7 24297 lineto
43656.9 24409.5 lineto
43713.2 24465.7 lineto
43825.6 24521.9 lineto
44050.6 24521.9 lineto
44163.1 24465.7 lineto
44219.3 24409.5 lineto
44275.6 24297 lineto
44275.6 24240.7 lineto
44219.3 24128.2 lineto
44163.1 24072 lineto
44050.6 24015.8 lineto
43825.6 24015.8 lineto
43713.2 23959.5 lineto
43656.9 23903.3 lineto
43600.7 23790.8 lineto
44163.1 24521.9 moveto
43938.1 24521.9 lineto
43825.6 24465.7 lineto
43769.4 24409.5 lineto
43656.9 24240.7 lineto
43600.7 24015.8 lineto
43600.7 23565.8 lineto
43656.9 23453.3 lineto
43713.2 23397.1 lineto
@ -7125,10 +7195,14 @@ newpath
44163.1 23397.1 lineto
44219.3 23453.3 lineto
44275.6 23565.8 lineto
44275.6 23790.8 lineto
44219.3 23903.3 lineto
44163.1 23959.5 lineto
44050.6 24015.8 lineto
44275.6 23847 lineto
44219.3 23959.5 lineto
44163.1 24015.8 lineto
44050.6 24072 lineto
43825.6 24072 lineto
43713.2 24015.8 lineto
43656.9 23959.5 lineto
43600.7 23847 lineto
stroke
newpath
45681.7 23340.8 moveto
@ -7786,17 +7860,38 @@ newpath
43600.7 21066.4 lineto
stroke
newpath
44725.5 21291.3 moveto
44781.8 21347.6 lineto
44894.3 21403.8 lineto
44950.5 20897.6 moveto
44838 20953.9 lineto
44781.8 21010.1 lineto
44725.5 21122.6 lineto
44725.5 21178.9 lineto
44781.8 21291.3 lineto
44838 21347.6 lineto
44950.5 21403.8 lineto
45175.5 21403.8 lineto
45288 21347.6 lineto
45344.2 21291.3 lineto
45400.4 21178.9 lineto
45400.4 21066.4 lineto
45344.2 20897.6 lineto
44669.3 20222.7 lineto
45400.4 20222.7 lineto
45400.4 21122.6 lineto
45344.2 21010.1 lineto
45288 20953.9 lineto
45175.5 20897.6 lineto
44950.5 20897.6 lineto
44838 20841.4 lineto
44781.8 20785.2 lineto
44725.5 20672.7 lineto
44725.5 20447.7 lineto
44781.8 20335.2 lineto
44838 20279 lineto
44950.5 20222.7 lineto
45175.5 20222.7 lineto
45288 20279 lineto
45344.2 20335.2 lineto
45400.4 20447.7 lineto
45400.4 20672.7 lineto
45344.2 20785.2 lineto
45288 20841.4 lineto
45175.5 20897.6 lineto
stroke
newpath
46806.5 20222.7 moveto

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Mon Sep 26 19:02:57 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Tue Sep 27 13:51:09 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
@ -109,24 +109,26 @@ X7.2717Y-2.9055
X7.2835Y-1.9213
X7.2835Y-1.998
X7.2835Y-2.0748
X7.3031Y-0.5926
X7.315Y-2.8809
X7.315Y-3.1457
X7.315Y-3.3022
X7.3346Y-2.0492
X7.3583Y-2.9055
X7.3622Y-3.2717
X7.3669Y-0.5315
X7.4114Y-1.9469
X7.4114Y-2.0236
X7.4114Y-2.9011
X7.4556Y-0.5315
X7.4606Y-2.7953
X7.5217Y-1.9213
X7.5217Y-1.9724
X7.5217Y-2.0492
X7.5442Y-0.5315
X7.5551Y-2.0748
X7.6329Y-0.5315
X7.6378Y-1.998
X7.6716Y-3.1398
X7.6961Y-0.593
X7.7244Y-3.5079
X7.7244Y-3.6409
X8.6945Y-3.8571
@ -273,8 +275,6 @@ X7.874Y-3.737
X7.874Y-3.837
X7.874Y-3.937
T7
X7.9854Y-3.1134
X8.0854Y-3.1134
X4.7992Y-0.8189
X4.7992Y-0.9189
X4.7992Y-1.0189
@ -411,6 +411,12 @@ X8.1181Y-2.4311
X8.2181Y-2.3311
X8.2181Y-2.4311
T9
X7.0984Y-0.3937
X7.1984Y-0.3937
X7.2984Y-0.3937
X7.3984Y-0.3937
X7.4984Y-0.3937
X7.5984Y-0.3937
X4.7937Y-1.9626
X4.7937Y-2.0626
X4.7937Y-2.1626

View File

@ -1,4 +1,4 @@
### Module positions - created on Mon Sep 26 19:03:07 2022 ###
### Module positions - created on Tue Sep 27 13:51:33 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : bottom

View File

@ -285,22 +285,30 @@ X Pin_6 6 200 -300 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_01x02
# Connector_Generic_Conn_01x06
#
DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
DEF Connector_Generic_Conn_01x06 J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Generic_Conn_01x06" 0 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 50 -150 1 1 10 f
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 50 -350 1 1 10 f
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_2 2 -200 100 150 R 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 -200 -100 150 R 50 50 1 1 P
X Pin_5 5 -200 -200 150 R 50 50 1 1 P
X Pin_6 6 -200 -300 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#

View File

@ -1,5 +1,5 @@
Drill report for /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex.kicad_pcb
Created on Mon Sep 26 19:03:00 2022
Created on Tue Sep 27 13:51:12 2022
Copper Layer Stackup:
=============================================================
@ -12,19 +12,19 @@ Copper Layer Stackup:
Drill file 'nubus-to-ztex-PTH.drl' contains
plated through holes:
=============================================================
T1 0.40mm 0.016" (136 holes)
T1 0.40mm 0.016" (138 holes)
T2 0.60mm 0.024" (2 holes) (with 2 slots)
T3 0.80mm 0.031" (2 holes)
T4 0.85mm 0.033" (2 holes)
T5 0.90mm 0.035" (14 holes)
T6 1.00mm 0.039" (96 holes)
T7 1.02mm 0.040" (8 holes)
T7 1.02mm 0.040" (6 holes)
T8 1.14mm 0.045" (128 holes)
T9 1.19mm 0.047" (12 holes)
T9 1.19mm 0.047" (18 holes)
T10 1.20mm 0.047" (3 holes)
T11 1.30mm 0.051" (4 holes)
Total plated holes count 407
Total plated holes count 413
Drill file 'nubus-to-ztex-NPTH.drl' contains

View File

@ -1,4 +1,4 @@
### Module positions - created on Mon Sep 26 19:03:07 2022 ###
### Module positions - created on Tue Sep 27 13:51:33 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : top
@ -15,6 +15,7 @@ C9 100nF C_0603_1608Metric 157.00
C10 47uF C_0805_2012Metric 157.0000 -23.6000 0.0000 top
C11 100nF C_0603_1608Metric 147.0000 -49.4000 270.0000 top
C12 100nF C_0603_1608Metric 127.1500 -51.1250 270.0000 top
C13 100nF C_0603_1608Metric 181.6100 -13.2700 0.0000 top
C14 100nF C_0603_1608Metric 149.9000 -72.9000 90.0000 top
C17 100nF C_0603_1608Metric 163.0000 -72.9000 90.0000 top
C18 100nF C_0603_1608Metric 174.5000 -72.9000 90.0000 top
@ -28,7 +29,6 @@ C25 150uF C_1206_3216Metric 257.41
C26 100nF C_0603_1608Metric 254.6300 -89.0600 0.0000 top
C27 100nF C_0603_1608Metric 253.0500 -81.4600 180.0000 top
C28 47uF_10V+ C_0805_2012Metric 221.7800 -96.0000 0.0000 top
C29 47uF_10V+ C_0805_2012Metric 204.1000 -82.2000 0.0000 top
C30 100nF C_0603_1608Metric 137.7000 -46.8000 270.0000 top
D1 RED LED_0805_2012Metric 187.1200 -15.9900 270.0000 top
D2 RED LED_0805_2012Metric 189.3717 -15.9900 270.0000 top
@ -47,25 +47,25 @@ D14 GREEN LED_0805_2012Metric 122.90
FB1 Ferrite_Bead_Small L_0805_2012Metric 257.8700 -84.0700 0.0000 top
J5 HDMI_A HDMI_A_Amphenol_10029449-111 268.9000 -62.3000 90.0000 top
J6 USB_micro-B USB_Micro-B_Molex-105017-0001 270.6600 -79.0700 90.0000 top
R1 549 R_0603_1608Metric 187.1200 -19.5800 90.0000 top
R2 549 R_0603_1608Metric 189.3717 -19.5800 90.0000 top
R3 549 R_0603_1608Metric 114.8000 -12.0000 270.0000 top
R1 590 R_0603_1608Metric 187.1200 -19.5800 90.0000 top
R2 590 R_0603_1608Metric 189.3717 -19.5800 90.0000 top
R3 590 R_0603_1608Metric 114.8000 -12.0000 270.0000 top
R4 10k R_0603_1608Metric 160.5000 -72.2000 180.0000 top
R5 10k R_0603_1608Metric 133.4978 -86.0071 90.0000 top
R6 10k R_0603_1608Metric 131.6978 -86.0071 90.0000 top
R7 10k R_0603_1608Metric 129.8978 -86.0071 90.0000 top
R8 10k R_0603_1608Metric 128.0978 -86.0071 270.0000 top
R9 549 R_0603_1608Metric 191.6233 -19.6000 90.0000 top
R10 549 R_0603_1608Metric 193.8750 -19.6500 90.0000 top
R11 549 R_0603_1608Metric 134.8800 -16.0603 270.0000 top
R12 549 R_0603_1608Metric 137.4200 -16.0603 270.0000 top
R13 549 R_0603_1608Metric 118.6100 -69.1500 0.0000 top
R14 549 R_0603_1608Metric 175.7215 -16.2171 270.0000 top
R15 549 R_0603_1608Metric 178.2615 -16.2171 270.0000 top
R16 549 R_0603_1608Metric 119.0526 -45.8770 0.0000 top
R17 549 R_0603_1608Metric 119.0526 -42.9104 0.0000 top
R18 549 R_0603_1608Metric 119.0526 -39.9437 0.0000 top
R19 549 R_0603_1608Metric 119.0526 -36.9770 0.0000 top
R9 590 R_0603_1608Metric 191.6233 -19.6000 90.0000 top
R10 590 R_0603_1608Metric 193.8750 -19.6500 90.0000 top
R11 590 R_0603_1608Metric 134.8800 -16.0603 270.0000 top
R12 590 R_0603_1608Metric 137.4200 -16.0603 270.0000 top
R13 590 R_0603_1608Metric 118.6100 -69.1500 0.0000 top
R14 590 R_0603_1608Metric 175.7215 -16.2171 270.0000 top
R15 590 R_0603_1608Metric 178.2615 -16.2171 270.0000 top
R16 590 R_0603_1608Metric 119.0526 -45.8770 0.0000 top
R17 590 R_0603_1608Metric 119.0526 -42.9104 0.0000 top
R18 590 R_0603_1608Metric 119.0526 -39.9437 0.0000 top
R19 590 R_0603_1608Metric 119.0526 -36.9770 0.0000 top
R22 27 R_0603_1608Metric 263.5100 -76.5600 180.0000 top
R23 27 R_0603_1608Metric 263.5100 -82.1500 180.0000 top
R24 15k R_0603_1608Metric 260.8600 -77.8100 90.0000 top

View File

@ -2,7 +2,7 @@ Part/Designator,Manufacture Part Number/Seeed SKU,Quantity,URL
"R4,R5,R6,R7,R8,R26,R27,R36,R37,R38,R39,R40,R41,R42,R43,R44,R45",0603WAF1002T5E,17,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html
"R24,R25",0603WAF1502T5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html
"R22,R23",0603WAF270JT5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html
"R1,R2,R3,R9,R10,R11,R12,R13,R14,R15,R16,R17,R18,R19",0603WAF5490T5E,14,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html
"R1,R2,R3,R9,R10,R11,R12,R13,R14,R15,R16,R17,R18,R19",0603WAF5900T5E,14,https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html
J4,0850030567,1,https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D
J5,10029449-111RLF,1,https://lcsc.com/product-detail/D-Sub-DVI-HDMI-Connectors_Amphenol-ICC-10029449-111RLF_C427307.html
J6,105017-0001,1,https://lcsc.com/product-detail/USB-Connectors_MOLEX-1050170001_C136000.html
@ -11,11 +11,13 @@ J2,47219-2001,1,https://eu.mouser.com/ProductDetail/Molex/47219-2001?qs=6kT3pPPH
J7,640456-3,1,https://www.lcsc.com/product-detail/Wire-To-Board-Wire-To-Wire-Connector_TE-Connectivity-640456-3_C86503.html
"U1,U2,U3","74LVT125PW,118",3,https://eu.mouser.com/ProductDetail/Nexperia/74LVT125PW118?qs=me8TqzrmIYWdhdg6%252BsGGHw%3D%3D
"U5,U6,U7,U8","74LVT245BPW,118",4,https://www.digikey.fr/fr/products/detail/nexperia-usa-inc/74LVT245BPW-118/1231957
"JAB1,JCD1",77313-101-64LF,2,https://eu.mouser.com/ProductDetail/Amphenol-FCI/77313-101-64LF?qs=xJiur%252Bgubk1MSan%2F7C0v%252BA%3D%3D
J1,87831-1420,1,https://www2.mouser.com/ProductDetail/Molex/87831-1420?qs=QtQX4uD3c2VDCL534TqpVg%3D%3D
J9,A2541HWR-2x6P,1,https://lcsc.com/product-detail/Pin-Header-Female-Header_Changjiang-Connectors-A2541HWR-2x6P_C239357.html
"C3,C21,C28,C29",C2012X5R1A476MTJ00E,4,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html
J10,A2541HWV-6P,1,https://www.lcsc.com/product-detail/Female-Headers_CJT-Changjiang-Connectors-A2541HWV-6P_C2897443.html
"C3,C21,C28",C2012X5R1A476MTJ00E,3,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html
C20,CC0603KRX7R8BB103,1,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB103_C327204.html
"C1,C2,C4,C5,C6,C7,C8,C9,C11,C12,C14,C17,C18,C19,C22,C23,C24,C26,C27,C30",CC0603KRX7R8BB104,20,
"C1,C2,C4,C5,C6,C7,C8,C9,C11,C12,C13,C14,C17,C18,C19,C22,C23,C24,C26,C27,C30",CC0603KRX7R8BB104,21,
C10,GRM21BR60J476ME15L,1,
C25,GRM31CR60J157ME11L,1,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Murata-Electronics-GRM31CR60J157ME11L_C528968.html
C39,KM010M400E110A,1,https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html
@ -23,7 +25,6 @@ C39,KM010M400E110A,1,https://lcsc.com/product-detail/Aluminum-Electrolytic-Capac
"D1,D2,D4,D5",LTST-C170KRKT,4,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KRKT_C94868.html
"D6,D7,D8,D9,D10",LTST-C170KSKT,5,https://lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KSKT_C125091.html
D3,LTST-C170TBKT,1,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_LTST-C170TBKT-blue_C98222.html
J8,PM254V-11-02-H85,1,https://www.lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PM254V-11-02-H85_C541849.html
FB1,PZ2012U221-2R0TF,1,https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html
J3,PZ254R-11-06P,1,https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html
U10,SN65220DBVT,1,https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html
@ -32,4 +33,3 @@ U12,SN74CB3T1G125DBVR,1,https://www.lcsc.com/product-detail/Analog-Switches-Mult
U14,SN74CB3T3245PWR,1,https://www.lcsc.com/product-detail/Signal-Switches-Encoders-Decoders-Multiplexers_Texas-Instruments-SN74CB3T3245PWR_C15298.html
U9,TPD12S016PWR,1,https://lcsc.com/product-detail/Interface-Specialized_Texas-Instruments-TPD12S016PWR_C201665.html
U11,TPS2051CDBVR,1,https://lcsc.com/product-detail/Power-Distribution-Switches_Texas-Instruments-TPS2051CDBVR_C129581.html
"JAB1,JCD1",X6521WV-2x32H-C30D60,2,https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D

1 Part/Designator Manufacture Part Number/Seeed SKU Quantity URL
2 R4,R5,R6,R7,R8,R26,R27,R36,R37,R38,R39,R40,R41,R42,R43,R44,R45 0603WAF1002T5E 17 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html
3 R24,R25 0603WAF1502T5E 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html
4 R22,R23 0603WAF270JT5E 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html
5 R1,R2,R3,R9,R10,R11,R12,R13,R14,R15,R16,R17,R18,R19 0603WAF5490T5E 0603WAF5900T5E 14 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html
6 J4 0850030567 1 https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D
7 J5 10029449-111RLF 1 https://lcsc.com/product-detail/D-Sub-DVI-HDMI-Connectors_Amphenol-ICC-10029449-111RLF_C427307.html
8 J6 105017-0001 1 https://lcsc.com/product-detail/USB-Connectors_MOLEX-1050170001_C136000.html
11 J7 640456-3 1 https://www.lcsc.com/product-detail/Wire-To-Board-Wire-To-Wire-Connector_TE-Connectivity-640456-3_C86503.html
12 U1,U2,U3 74LVT125PW,118 3 https://eu.mouser.com/ProductDetail/Nexperia/74LVT125PW118?qs=me8TqzrmIYWdhdg6%252BsGGHw%3D%3D
13 U5,U6,U7,U8 74LVT245BPW,118 4 https://www.digikey.fr/fr/products/detail/nexperia-usa-inc/74LVT245BPW-118/1231957
14 JAB1,JCD1 77313-101-64LF 2 https://eu.mouser.com/ProductDetail/Amphenol-FCI/77313-101-64LF?qs=xJiur%252Bgubk1MSan%2F7C0v%252BA%3D%3D
15 J1 87831-1420 1 https://www2.mouser.com/ProductDetail/Molex/87831-1420?qs=QtQX4uD3c2VDCL534TqpVg%3D%3D
16 J9 A2541HWR-2x6P 1 https://lcsc.com/product-detail/Pin-Header-Female-Header_Changjiang-Connectors-A2541HWR-2x6P_C239357.html
17 C3,C21,C28,C29 J10 C2012X5R1A476MTJ00E A2541HWV-6P 4 1 https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html https://www.lcsc.com/product-detail/Female-Headers_CJT-Changjiang-Connectors-A2541HWV-6P_C2897443.html
18 C3,C21,C28 C2012X5R1A476MTJ00E 3 https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html
19 C20 CC0603KRX7R8BB103 1 https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB103_C327204.html
20 C1,C2,C4,C5,C6,C7,C8,C9,C11,C12,C14,C17,C18,C19,C22,C23,C24,C26,C27,C30 C1,C2,C4,C5,C6,C7,C8,C9,C11,C12,C13,C14,C17,C18,C19,C22,C23,C24,C26,C27,C30 CC0603KRX7R8BB104 20 21
21 C10 GRM21BR60J476ME15L 1
22 C25 GRM31CR60J157ME11L 1 https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Murata-Electronics-GRM31CR60J157ME11L_C528968.html
23 C39 KM010M400E110A 1 https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html
25 D1,D2,D4,D5 LTST-C170KRKT 4 https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KRKT_C94868.html
26 D6,D7,D8,D9,D10 LTST-C170KSKT 5 https://lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KSKT_C125091.html
27 D3 LTST-C170TBKT 1 https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_LTST-C170TBKT-blue_C98222.html
J8 PM254V-11-02-H85 1 https://www.lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PM254V-11-02-H85_C541849.html
28 FB1 PZ2012U221-2R0TF 1 https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html
29 J3 PZ254R-11-06P 1 https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html
30 U10 SN65220DBVT 1 https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html
33 U14 SN74CB3T3245PWR 1 https://www.lcsc.com/product-detail/Signal-Switches-Encoders-Decoders-Multiplexers_Texas-Instruments-SN74CB3T3245PWR_C15298.html
34 U9 TPD12S016PWR 1 https://lcsc.com/product-detail/Interface-Specialized_Texas-Instruments-TPD12S016PWR_C201665.html
35 U11 TPS2051CDBVR 1 https://lcsc.com/product-detail/Power-Distribution-Switches_Texas-Instruments-TPS2051CDBVR_C129581.html
JAB1,JCD1 X6521WV-2x32H-C30D60 2 https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D

View File

@ -60,12 +60,14 @@ P DIM N
317GND VIA MD0157PA00X+057136Y-019764X0315Y0000R000S3
317GND VIA MD0157PA00X+059390Y-020039X0315Y0000R000S3
317GND VIA MD0157PA00X+073622Y-032717X0315Y0000R000S3
317GND VIA MD0157PA00X+076961Y-005930X0315Y0000R000S3
317GND VIA MD0157PA00X+073031Y-005926X0315Y0000R000S3
317GND VIA MD0157PA00X+066398Y-036053X0315Y0000R000S3
317GND VIA MD0157PA00X+046667Y-038866X0315Y0000R000S3
317GND VIA MD0157PA00X+094567Y-010827X0315Y0000R000S3
317GND VIA MD0157PA00X+066282Y-030780X0315Y0000R000S3
317GND VIA MD0157PA00X+073669Y-005315X0315Y0000R000S3
317GND VIA MD0157PA00X+074556Y-005315X0315Y0000R000S3
317GND VIA MD0157PA00X+075442Y-005315X0315Y0000R000S3
317GND VIA MD0157PA00X+076329Y-005315X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+053327Y-029222X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+097669Y-025098X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+063681Y-029011X0315Y0000R000S3
@ -137,6 +139,14 @@ P DIM N
317TM0_N_O VIA MD0157PA00X+072629Y-031457X0315Y0000R000S3
317TM2_O_N VIA MD0157PA00X+073150Y-028809X0315Y0000R000S3
317TM2_OE_N VIA MD0157PA00X+072717Y-029055X0315Y0000R000S3
317LED3 J10 -1 D0469PA00X+075984Y-003937X0669Y0669R090S0
317LED2 J10 -2 D0469PA00X+074984Y-003937X0669Y0669R090S0
317LED1 J10 -3 D0469PA00X+073984Y-003937X0669Y0669R090S0
317LED0 J10 -4 D0469PA00X+072984Y-003937X0669Y0669R090S0
317GND J10 -5 D0469PA00X+071984Y-003937X0669Y0669R090S0
317+3V3 J10 -6 D0469PA00X+070984Y-003937X0669Y0669R090S0
327+3V3 C13 -1 A01X+071190Y-005224X0344Y0374R000S2
327GND C13 -2 A01X+071810Y-005224X0344Y0374R000S2
327~START_3V3 D7 -1 A01X+054098Y-008267X0384Y0551R270S2
327NET-(D7-PAD2) D7 -2 A01X+054098Y-007529X0384Y0551R270S2
327NET-(D6-PAD2) D6 -2 A01X+053102Y-007529X0384Y0551R270S2
@ -185,7 +195,7 @@ P DIM N
327~CLK2X_5V U12 -2 A01X+059016Y-019528X0417Y0256R270S2
327GND U12 -3 A01X+059390Y-019528X0417Y0256R270S2
327~CLK2X_3V3 U12 -4 A01X+059390Y-018661X0417Y0256R270S2
327+3V3 U12 -5 A01X+058642Y-018661X0417Y0256R270S2
327VCC U12 -5 A01X+058642Y-018661X0417Y0256R270S2
327N/C U14 -1 A01X+054833Y-020315X0610Y0177R270S2
327~ID3_5V U14 -2 A01X+055089Y-020315X0610Y0177R270S2
327~ID2_5V U14 -3 A01X+055344Y-020315X0610Y0177R270S2
@ -463,12 +473,8 @@ P DIM N
317SHIELD J6 -6 D0236PA00X+107046Y-029752X0472Y0748R270S0
327SHIELD J6 -6 A01X+107046Y-029988X0472Y0748R270S2
327SHIELD J6 -6 A01X+107046Y-032272X0472Y0748R270S2
327+3V3 C29 -1 A01X+079985Y-032362X0384Y0551R000S2
327GND C29 -2 A01X+080723Y-032362X0384Y0551R000S2
327GND C17 -2 A01X+064173Y-028391X0344Y0374R270S2
327+3V3 C17 -1 A01X+064173Y-029011X0344Y0374R270S2
317+3V3 J8 -1 D0402PA00X+079854Y-031134X0669Y0669R270S0
317GND J8 -2 D0402PA00X+080854Y-031134X0669Y0669R270S0
327+3V3 C18 -1 A01X+068701Y-029011X0344Y0374R270S2
327GND C18 -2 A01X+068701Y-028391X0344Y0374R270S2
327GND C19 -2 A01X+074606Y-028391X0344Y0374R270S2

File diff suppressed because it is too large Load Diff

View File

@ -2,11 +2,11 @@
(general
(thickness 1.6)
(drawings 117)
(tracks 3111)
(drawings 120)
(tracks 3124)
(zones 0)
(modules 104)
(nets 211)
(nets 210)
)
(page A4)
@ -302,7 +302,6 @@
(net 207 "Net-(D8-Pad2)")
(net 208 "Net-(D7-Pad2)")
(net 209 "Net-(D6-Pad2)")
(net 210 VCC)
(net_class Default "This is the default net class."
(clearance 0.1524)
@ -414,7 +413,6 @@
(add_net TMx_oe_n)
(add_net USBH0_D+)
(add_net USBH0_D-)
(add_net VCC)
(add_net ~ACK_3V3)
(add_net ~ACK_5V)
(add_net ~AD0_3V3)
@ -525,6 +523,90 @@
(add_net ~TM2_5V)
)
(module For_SeeedStudio:PinSocket_1x06_P2.54mm_Vertical_For_SeeedStudio (layer F.Cu) (tedit 6332C0CF) (tstamp 63361A6E)
(at 193 10 270)
(descr "Through hole straight socket strip, 1x06, 2.54mm pitch, single row (from Kicad 4.0.7), script generated")
(tags "Through hole socket strip THT 1x06 2.54mm single row")
(path /62CC4C0A/63373341)
(fp_text reference J10 (at 0 -2.77 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_01x06 (at 0 15.47 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -0.635) (end 1.27 13.97) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 13.97) (end -1.27 13.97) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 13.97) (end -1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 14.03) (end 1.33 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 14.03) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12))
(fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.75 -1.8) (end 1.75 14.45) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.75 14.45) (end -1.8 14.45) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 14.45) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 6.35) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1.19) (layers *.Cu *.Mask)
(net 204 LED3))
(pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1.19) (layers *.Cu *.Mask)
(net 201 LED2))
(pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1.19) (layers *.Cu *.Mask)
(net 135 LED1))
(pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1.19) (layers *.Cu *.Mask)
(net 132 LED0))
(pad 5 thru_hole oval (at 0 10.16 270) (size 1.7 1.7) (drill 1.19) (layers *.Cu *.Mask)
(net 1 GND))
(pad 6 thru_hole oval (at 0 12.7 270) (size 1.7 1.7) (drill 1.19) (layers *.Cu *.Mask)
(net 3 +3V3))
(model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x06_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 6333822C)
(at 181.61 13.27)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /62CC4C0A/6337308E)
(attr smd)
(fp_text reference C13 (at 0.02 1.58) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100nF (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module LED_SMD:LED_0805_2012Metric (layer F.Cu) (tedit 5B36C52C) (tstamp 63320B91)
(at 137.41 20.060293 90)
(descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
@ -724,7 +806,7 @@
(fp_text reference R11 (at -2.180293 0.13) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43 270) (layer F.Fab)
(fp_text value 590 (at 0 1.43 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
@ -760,7 +842,7 @@
(fp_text reference R12 (at -2.180293 -0.08) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43 270) (layer F.Fab)
(fp_text value 590 (at 0 1.43 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 270) (layer F.Fab)
@ -796,7 +878,7 @@
(fp_text reference R13 (at 0 -1.43) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43) (layer F.Fab)
(fp_text value 590 (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
@ -832,7 +914,7 @@
(fp_text reference R14 (at -2.30713 0.061484) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43 270) (layer F.Fab)
(fp_text value 590 (at 0 1.43 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 270) (layer F.Fab)
@ -868,7 +950,7 @@
(fp_text reference R15 (at -2.30713 -0.228516) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43 270) (layer F.Fab)
(fp_text value 590 (at 0 1.43 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
@ -901,7 +983,7 @@
(tags diode)
(path /618E8C75/62CD6995)
(attr smd)
(fp_text reference D4 (at -2.95 0.05 270) (layer F.SilkS)
(fp_text reference D4 (at -2.7 -0.95 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value RED (at 0 1.65 270) (layer F.Fab)
@ -939,7 +1021,7 @@
(tags diode)
(path /618E8C75/62CD69B2)
(attr smd)
(fp_text reference D5 (at -2.95 0 270) (layer F.SilkS)
(fp_text reference D5 (at -2.7 -1 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value RED (at 0 1.65 270) (layer F.Fab)
@ -980,7 +1062,7 @@
(fp_text reference R10 (at -3.115001 0.154999 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43 90) (layer F.Fab)
(fp_text value 590 (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 90) (layer F.Fab)
@ -1016,7 +1098,7 @@
(fp_text reference R9 (at -2.775 0.05 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43 90) (layer F.Fab)
(fp_text value 590 (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
@ -1243,7 +1325,7 @@
)
)
(module Package_TO_SOT_SMD:SOT-23-5 (layer F.Cu) (tedit 632E9DD9) (tstamp 62BD0E70)
(module Package_TO_SOT_SMD:SOT-23-5 (layer F.Cu) (tedit 6332E37B) (tstamp 62BD0E70)
(at 149.9 48.5 90)
(descr "5-pin SOT23 package")
(tags SOT-23-5)
@ -1278,7 +1360,7 @@
(pad 4 smd rect (at 1.1 0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 160 ~CLK2X_3V3))
(pad 5 smd rect (at 1.1 -0.95 90) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
(net 210 VCC))
(net 3 +3V3))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -2167,7 +2249,7 @@
(fp_text reference R3 (at 0 1.7 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43 270) (layer F.Fab)
(fp_text value 590 (at 0 1.43 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
@ -3029,7 +3111,7 @@
(fp_text reference R16 (at -2.98 0.08) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43) (layer F.Fab)
(fp_text value 590 (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0) (layer F.Fab)
@ -3065,7 +3147,7 @@
(fp_text reference R19 (at -2.98 0) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43) (layer F.Fab)
(fp_text value 590 (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
@ -3101,7 +3183,7 @@
(fp_text reference R18 (at -2.98 0.036668) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43) (layer F.Fab)
(fp_text value 590 (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0) (layer F.Fab)
@ -3137,7 +3219,7 @@
(fp_text reference R17 (at -2.98 0.043334) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43) (layer F.Fab)
(fp_text value 590 (at 0 1.43) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
@ -3237,42 +3319,6 @@
)
)
(module Capacitor_SMD:C_0805_2012Metric (layer F.Cu) (tedit 5B36C52B) (tstamp 61C09513)
(at 204.1 82.2)
(descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator")
(tags capacitor)
(path /61B99D2C/61C19258)
(attr smd)
(fp_text reference C29 (at 0 1.9) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "47uF 10V+" (at 0 1.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1))
(fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12))
(fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.5 0.5) (thickness 0.08)))
)
(pad 1 smd roundrect (at -0.9375 0) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 3 +3V3))
(pad 2 smd roundrect (at 0.9375 0) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 61BCD052)
(at 163 72.9 90)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
@ -3309,46 +3355,6 @@
)
)
(module For_SeeedStudio:PinSocket_1x02_P2.54mm_Vertical_for_SeeedStudio locked (layer F.Cu) (tedit 61BCBDC6) (tstamp 61BD7722)
(at 202.83 79.08 90)
(descr "Through hole straight socket strip, 1x02, 2.54mm pitch, single row (from Kicad 4.0.7), script generated")
(tags "Through hole socket strip THT 1x02 2.54mm single row")
(path /61B99D2C/61BEF34E)
(fp_text reference J8 (at -0.37 5.27 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_01x02 (at 0 5.31 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -0.635) (end 1.27 3.81) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 3.81) (end -1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12))
(fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.75 -1.8) (end 1.75 4.3) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.75 4.3) (end -1.8 4.3) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 4.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 1.27 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)
(net 3 +3V3))
(pad 2 thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask)
(net 1 GND))
(model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x02_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitor_SMD:C_0603_1608Metric (layer F.Cu) (tedit 5B301BBE) (tstamp 61BCD041)
(at 174.5 72.9 90)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
@ -4454,7 +4460,7 @@
(tags diode)
(path /618E8C75/61B5F405)
(attr smd)
(fp_text reference D2 (at -2.93 0.016666 270) (layer F.SilkS)
(fp_text reference D2 (at -2.68 -0.983334 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value RED (at 0 1.65 270) (layer F.Fab)
@ -4492,7 +4498,7 @@
(tags diode)
(path /618E8C75/61B5DFCC)
(attr smd)
(fp_text reference D1 (at -2.99 -0.02 270) (layer F.SilkS)
(fp_text reference D1 (at -2.74 -1.02 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value RED (at 0 1.65 270) (layer F.Fab)
@ -4533,7 +4539,7 @@
(fp_text reference R2 (at -2.89 -0.046666 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43 90) (layer F.Fab)
(fp_text value 590 (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0 90) (layer F.Fab)
@ -4569,7 +4575,7 @@
(fp_text reference R1 (at -2.85 0.22 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 549 (at 0 1.43 90) (layer F.Fab)
(fp_text value 590 (at 0 1.43 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
@ -5684,6 +5690,21 @@
)
)
(gr_text +3V3 (at 128.17 48.7) (layer F.SilkS) (tstamp 63339CB5)
(effects (font (size 1 1) (thickness 0.25)))
)
(gr_text GND (at 127.73 53.26) (layer F.SilkS) (tstamp 63339CB4)
(effects (font (size 1 1) (thickness 0.25)))
)
(gr_text +3V3 (at 180.33 7.1 90) (layer F.SilkS) (tstamp 63339CB5)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(gr_text GND (at 182.8 7.3 90) (layer F.SilkS) (tstamp 63339CB4)
(effects (font (size 0.5 0.5) (thickness 0.125)))
)
(gr_text Pmod/Led (at 195.24 7) (layer F.SilkS) (tstamp 63339692)
(effects (font (size 1.5 1.5) (thickness 0.3) italic) (justify right))
)
(gr_text RQST (at 120.81 71.72) (layer F.SilkS) (tstamp 63327884)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
@ -5938,12 +5959,6 @@
(gr_text GND (at 215.72 91.26 90) (layer F.SilkS) (tstamp 61BED83D)
(effects (font (size 1 1) (thickness 0.25)))
)
(gr_text GND (at 206.7 82.5 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(gr_text +3V3 (at 201.7 82.9 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.25)))
)
(gr_text "Fan\n5V\n1W" (at 229.28 94.08) (layer F.SilkS)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
@ -6117,7 +6132,6 @@
(segment (start 115.2 89.43) (end 115.2 87.48) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 265.95 65.55) (end 268 65.55) (width 0.25) (layer F.Cu) (net 1) (status 10))
(segment (start 164.44 97.46) (end 166.98 97.46) (width 1.5) (layer In1.Cu) (net 1) (status 30))
(segment (start 203.51 75.21) (end 205.37 77.07) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 123.8 97.46) (end 123.8 94.92) (width 1.5) (layer In1.Cu) (net 1) (status 30))
(segment (start 132.54 23.4625) (end 132.54 23.66) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 144.12 103.23) (end 145.39 104.5) (width 0.5) (layer In1.Cu) (net 1))
@ -6130,7 +6144,6 @@
(via (at 252.9 82.73) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(segment (start 144.12 100) (end 144.12 97.46) (width 1.5) (layer In1.Cu) (net 1) (status 30))
(segment (start 196.17 97.49) (end 196.2 97.46) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 198.19 75.21) (end 203.51 75.21) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 252.9 82.73) (end 252.9 84.11) (width 0.5) (layer F.Cu) (net 1) (tstamp 61B61FE2) (status 20))
(segment (start 138.7875 89.4) (end 138.7 89.3125) (width 0.1524) (layer F.Cu) (net 1) (status 30))
(segment (start 196.17 104.5) (end 145.39 104.5) (width 0.1524) (layer In1.Cu) (net 1) (tstamp 61B76C60))
@ -6138,7 +6151,6 @@
(segment (start 265.95 61.05) (end 267.98 61.05) (width 0.25) (layer F.Cu) (net 1) (status 10))
(segment (start 267.98 61.05) (end 268 61.03) (width 0.25) (layer F.Cu) (net 1))
(segment (start 198.5 104.5) (end 196.17 104.5) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 202.25 71) (end 207.5 71) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 208.74 27.46) (end 216.19 27.46) (width 1.5) (layer In1.Cu) (net 1) (status 30))
(segment (start 149.9 71) (end 163 71) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 268.31 77.77) (end 267.72 77.18) (width 0.1524) (layer F.Cu) (net 1))
@ -6194,7 +6206,6 @@
(segment (start 268 65.55) (end 268 58.05) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 263.05 39.71) (end 257.03 39.71) (width 1) (layer In1.Cu) (net 1))
(segment (start 168.1 59.21) (end 168.1 56.48) (width 1.5) (layer In1.Cu) (net 1) (status 10))
(segment (start 205.37 77.07) (end 205.37 79.08) (width 0.5) (layer In1.Cu) (net 1) (status 20))
(via (at 256.01 87.92) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(segment (start 144.12 97.46) (end 146.66 97.46) (width 1.5) (layer In1.Cu) (net 1) (status 30))
(segment (start 255.04 53.8125) (end 255.04 52.8775) (width 0.1524) (layer F.Cu) (net 1))
@ -6241,7 +6252,6 @@
(segment (start 146.66 91.41) (end 145.3 90.05) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 256.01 87.92) (end 256.01 86.36) (width 0.5) (layer F.Cu) (net 1) (tstamp 61B61ACC) (status 20))
(segment (start 170.64 40.36) (end 170.64 30) (width 1.5) (layer In1.Cu) (net 1) (status 20))
(segment (start 198.19 75.21) (end 202.4 71) (width 0.1524) (layer In1.Cu) (net 1))
(via (at 267.72 77.18) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(segment (start 127.6 98.72) (end 127.6 104.5) (width 0.5) (layer In1.Cu) (net 1))
(segment (start 265.95 58.05) (end 268 58.05) (width 0.25) (layer F.Cu) (net 1) (status 10))
@ -6267,7 +6277,6 @@
(segment (start 223.32 90.0176) (end 222.3624 89.06) (width 0.5) (layer In1.Cu) (net 1))
(segment (start 137.5 89.25) (end 137.5 89.3125) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 126.34 97.46) (end 127.6 98.72) (width 0.5) (layer In1.Cu) (net 1) (status 10))
(segment (start 197.8975 75.21) (end 198.19 75.21) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 145.4 88.1) (end 146.9 88.1) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 252.9 82.73) (end 236.45 82.73) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 267.2325 67.3575) (end 264.2 67.3575) (width 0.25) (layer F.Cu) (net 1))
@ -6306,8 +6315,6 @@
(segment (start 212.1 66.4) (end 207.5 71) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 156.82 97.46) (end 158.1 97.46) (width 1.5) (layer In1.Cu) (net 1) (status 10))
(segment (start 158.1 97.46) (end 159.36 97.46) (width 1.5) (layer In1.Cu) (net 1) (status 20))
(segment (start 205.0375 79.4125) (end 205.37 79.08) (width 0.1524) (layer F.Cu) (net 1) (status 30))
(segment (start 205.0375 82.2) (end 205.0375 79.4125) (width 0.4) (layer F.Cu) (net 1) (status 30))
(segment (start 208.74 30) (end 208.74 27.46) (width 1.5) (layer In1.Cu) (net 1) (status 30))
(segment (start 240.2 27.5) (end 240.2 27.5) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 228.23 27.5) (end 240.2 27.5) (width 0.8) (layer In1.Cu) (net 1) (status 10))
@ -6317,9 +6324,6 @@
(segment (start 115.2 89.43) (end 113.75 89.43) (width 0.25) (layer F.Cu) (net 1) (status 20))
(segment (start 196.2 81.0925) (end 194.8575 79.75) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 196.2 82.5925) (end 196.2 81.0925) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 195.257499 77.850001) (end 197.8975 75.21) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 195.257499 79.350001) (end 195.257499 77.850001) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 194.8575 79.75) (end 195.257499 79.350001) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 179.8575 79.75) (end 168.3575 79.75) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 183.6 71) (end 168.1 71) (width 0.1524) (layer In1.Cu) (net 1) (tstamp 61FB2C19))
(via (at 183.6 71) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
@ -6520,20 +6524,10 @@
(segment (start 127.15 51.9125) (end 126.1125 51.9125) (width 0.25) (layer F.Cu) (net 1))
(segment (start 125.635 52.39) (end 124.3 52.39) (width 0.25) (layer F.Cu) (net 1))
(segment (start 126.1125 51.9125) (end 125.635 52.39) (width 0.25) (layer F.Cu) (net 1))
(segment (start 187.92 15.0525) (end 189.371666 15.0525) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 187.12 15.0525) (end 187.92 15.0525) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 191.613332 15.0525) (end 191.623332 15.0625) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 189.371666 15.0525) (end 191.613332 15.0525) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 192.423332 15.0625) (end 193.875 15.0625) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 191.623332 15.0625) (end 192.423332 15.0625) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 193.875 15.0625) (end 195.48 15.0625) (width 0.1524) (layer F.Cu) (net 1))
(via (at 195.48 15.0625) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(segment (start 187.12 15.0525) (end 185.5 15.0525) (width 0.1524) (layer F.Cu) (net 1))
(via (at 185.5 15.0525) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(segment (start 195.47 15.0525) (end 195.48 15.0625) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 185.5 15.0525) (end 195.47 15.0525) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 199.0425 15.0625) (end 208.74 24.76) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 195.48 15.0625) (end 199.0425 15.0625) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 122.962081 52.39) (end 124.3 52.39) (width 1) (layer In1.Cu) (net 1))
(segment (start 121.76 52.39) (end 122.962081 52.39) (width 1) (layer In1.Cu) (net 1))
(segment (start 132.41 52.39) (end 132.54 52.52) (width 0.1524) (layer In1.Cu) (net 1))
@ -6573,6 +6567,29 @@
(segment (start 264.2 67.3575) (end 263.800001 67.757499) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 266.0075 65.55) (end 268 65.55) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 264.2 67.3575) (end 266.0075 65.55) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 182.3975 10.4425) (end 182.84 10) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 182.3975 13.27) (end 182.3975 10.4425) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 187.12 15.0525) (end 187.12 13.5) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 189.371666 15.0525) (end 189.371666 13.5) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 191.623332 15.0625) (end 191.623332 13.5) (width 0.1524) (layer F.Cu) (net 1))
(segment (start 193.875 15.0625) (end 193.875 13.5) (width 0.1524) (layer F.Cu) (net 1))
(via (at 187.12 13.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(via (at 189.371666 13.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(via (at 191.623332 13.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(via (at 193.875 13.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
(segment (start 187.12 13.5) (end 189.371666 13.5) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 189.371666 13.5) (end 191.623332 13.5) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 191.623332 13.5) (end 193.875 13.5) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 195.4375 15.0625) (end 193.875 13.5) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 199.0425 15.0625) (end 195.4375 15.0625) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 182.84 11.202081) (end 185.137919 13.5) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 186.554315 13.5) (end 187.12 13.5) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 185.137919 13.5) (end 186.554315 13.5) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 182.84 10) (end 182.84 11.202081) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 203.56 71.0475) (end 203.56 71) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 194.8575 79.75) (end 203.56 71.0475) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 203.56 71) (end 207.5 71) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 202.25 71) (end 203.56 71) (width 0.1524) (layer In1.Cu) (net 1))
(segment (start 209.589999 60.900001) (end 208.74 61.75) (width 0.1524) (layer B.Cu) (net 2) (status 30))
(segment (start 222.921399 34.808601) (end 222.921399 47.568601) (width 0.1524) (layer B.Cu) (net 2))
(segment (start 222.921399 47.568601) (end 209.589999 60.900001) (width 0.1524) (layer B.Cu) (net 2) (status 20))
@ -6626,8 +6643,6 @@
(segment (start 170 64.5) (end 170.64 63.86) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 136.727523 90.680708) (end 136.727523 83.9) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 118.265134 38.4) (end 118.265134 36.977042) (width 0.1524) (layer F.Cu) (net 3) (tstamp 61D718E8) (status 20))
(segment (start 203.275 82.7875) (end 203.3625 82.7) (width 0.1524) (layer F.Cu) (net 3) (status 30))
(segment (start 202.83 76.83) (end 202.83 79.08) (width 0.5) (layer In2.Cu) (net 3) (status 20))
(segment (start 118.265134 38.4) (end 118.265134 44.4) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 149.9 73.6875) (end 148.75 73.6875) (width 0.1524) (layer F.Cu) (net 3) (status 10))
(segment (start 256.2775 55.375) (end 256.29 55.3875) (width 0.1524) (layer F.Cu) (net 3) (status 30))
@ -6673,8 +6688,6 @@
(segment (start 119.65 85.1175) (end 119.9875 84.78) (width 0.25) (layer F.Cu) (net 3) (status 30))
(segment (start 135.847523 84.78) (end 121.2 84.78) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 136.727523 83.9) (end 135.847523 84.78) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 203.1625 79.4125) (end 202.83 79.08) (width 0.1524) (layer F.Cu) (net 3) (status 30))
(segment (start 203.1625 82.2) (end 203.1625 79.4125) (width 0.4) (layer F.Cu) (net 3) (status 30))
(segment (start 161.7625 73.7) (end 161.75 73.6875) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 173.3 73.7) (end 161.7625 73.7) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 173.3125 73.6875) (end 173.3 73.7) (width 0.1524) (layer In2.Cu) (net 3))
@ -6824,6 +6837,10 @@
(via (at 116.5 69.15) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 116.5 78) (end 118.3 79.8) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 116.5 69.15) (end 116.5 78) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 180.8225 10.5225) (end 180.3 10) (width 0.1524) (layer F.Cu) (net 3))
(segment (start 180.8225 13.27) (end 180.8225 10.5225) (width 0.1524) (layer F.Cu) (net 3))
(segment (start 176.98 13.32) (end 180.3 10) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 176.98 15.42963) (end 176.98 13.32) (width 0.1524) (layer In2.Cu) (net 3))
(segment (start 189.84 97.46) (end 192.38 97.46) (width 1.2) (layer B.Cu) (net 4) (status 30))
(segment (start 128.88 100) (end 128.88 101.096015) (width 1.5) (layer B.Cu) (net 4) (status 10))
(segment (start 128.88 101.096015) (end 130.633985 102.85) (width 1.5) (layer B.Cu) (net 4))
@ -8716,16 +8733,21 @@
(segment (start 210.251772 37.663512) (end 210.317266 37.67846) (width 0.1524) (layer F.Cu) (net 131))
(segment (start 210.430315 37.749493) (end 210.472201 37.802016) (width 0.1524) (layer F.Cu) (net 131))
(segment (start 262.433128 57.8976) (end 264.177399 57.8976) (width 0.1524) (layer F.Cu) (net 131))
(segment (start 195.190001 26.610001) (end 196.04 27.46) (width 0.1524) (layer F.Cu) (net 132))
(segment (start 191.93481 23.35481) (end 195.190001 26.610001) (width 0.1524) (layer F.Cu) (net 132))
(segment (start 190.10731 23.35481) (end 191.93481 23.35481) (width 0.1524) (layer F.Cu) (net 132))
(segment (start 187.12 20.3675) (end 190.10731 23.35481) (width 0.1524) (layer F.Cu) (net 132))
(segment (start 185.38 18.6275) (end 187.12 20.3675) (width 0.1524) (layer F.Cu) (net 132))
(segment (start 185.38 10) (end 185.38 18.6275) (width 0.1524) (layer F.Cu) (net 132))
(segment (start 196.04 27.46) (end 192.79 24.21) (width 0.1524) (layer F.Cu) (net 132))
(segment (start 190.9625 24.21) (end 187.12 20.3675) (width 0.1524) (layer F.Cu) (net 132))
(segment (start 192.79 24.21) (end 190.9625 24.21) (width 0.1524) (layer F.Cu) (net 132))
(segment (start 189.371666 18.255) (end 189.371666 16.9275) (width 0.1524) (layer F.Cu) (net 133))
(segment (start 189.371666 18.7925) (end 189.371666 18.255) (width 0.1524) (layer F.Cu) (net 133))
(segment (start 114.8 14.5625) (end 114.8 12.7875) (width 0.1524) (layer F.Cu) (net 134) (status 30))
(segment (start 189.371666 20.3675) (end 192.054166 23.05) (width 0.1524) (layer F.Cu) (net 135))
(segment (start 194.17 23.05) (end 198.58 27.46) (width 0.1524) (layer F.Cu) (net 135))
(segment (start 192.054166 23.05) (end 194.17 23.05) (width 0.1524) (layer F.Cu) (net 135))
(segment (start 188.24 11.522081) (end 187.92 11.202081) (width 0.1524) (layer F.Cu) (net 135))
(segment (start 187.92 11.202081) (end 187.92 10) (width 0.1524) (layer F.Cu) (net 135))
(segment (start 188.24 19.235834) (end 188.24 11.522081) (width 0.1524) (layer F.Cu) (net 135))
(segment (start 189.371666 20.3675) (end 188.24 19.235834) (width 0.1524) (layer F.Cu) (net 135))
(segment (start 250.0275 84.11) (end 251.95 84.11) (width 0.1524) (layer F.Cu) (net 136) (status 30))
(segment (start 264.3 76.5625) (end 264.2975 76.56) (width 0.1524) (layer F.Cu) (net 137) (status 30))
(segment (start 264.3 78.405) (end 264.3 76.5625) (width 0.1524) (layer F.Cu) (net 137) (status 30))
@ -9194,12 +9216,18 @@
(segment (start 193.405832 22.17) (end 195.83 22.17) (width 0.1524) (layer F.Cu) (net 201))
(segment (start 195.83 22.17) (end 201.12 27.46) (width 0.1524) (layer F.Cu) (net 201))
(segment (start 191.623332 20.3875) (end 193.405832 22.17) (width 0.1524) (layer F.Cu) (net 201))
(segment (start 190.46 19.224168) (end 190.46 10) (width 0.1524) (layer F.Cu) (net 201))
(segment (start 191.623332 20.3875) (end 190.46 19.224168) (width 0.1524) (layer F.Cu) (net 201))
(segment (start 191.623332 18.275) (end 191.623332 16.9375) (width 0.1524) (layer F.Cu) (net 202))
(segment (start 191.623332 18.8125) (end 191.623332 18.275) (width 0.1524) (layer F.Cu) (net 202))
(segment (start 193.875 18.325) (end 193.875 16.9375) (width 0.1524) (layer F.Cu) (net 203))
(segment (start 193.875 18.8625) (end 193.875 18.325) (width 0.1524) (layer F.Cu) (net 203))
(segment (start 196.6375 20.4375) (end 203.66 27.46) (width 0.1524) (layer F.Cu) (net 204))
(segment (start 193.875 20.4375) (end 196.6375 20.4375) (width 0.1524) (layer F.Cu) (net 204))
(segment (start 193.875 20.4375) (end 192.74 19.3025) (width 0.1524) (layer F.Cu) (net 204))
(segment (start 193 11.0024) (end 193 10) (width 0.1524) (layer F.Cu) (net 204))
(segment (start 192.74 11.2624) (end 193 11.0024) (width 0.1524) (layer F.Cu) (net 204))
(segment (start 192.74 19.3025) (end 192.74 11.2624) (width 0.1524) (layer F.Cu) (net 204))
(segment (start 178.261484 18.57213) (end 178.261484 17.00463) (width 0.1524) (layer F.Cu) (net 205))
(segment (start 178.261484 19.15963) (end 178.261484 18.57213) (width 0.1524) (layer F.Cu) (net 205))
(segment (start 175.721484 19.15963) (end 175.721484 17.00463) (width 0.1524) (layer F.Cu) (net 206))

File diff suppressed because it is too large Load Diff

View File

@ -1,4 +1,4 @@
## Footprint report - date Mon Sep 26 19:03:23 2022
## Footprint report - date Tue Sep 27 13:51:45 2022
## Created by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
@ -9,6 +9,72 @@ upper_left_corner -50.005001 -35.064286
lower_right_corner 400.005001 148.435001
$EndBOARD
$MODULE "J10"
reference "J10"
value "Conn_01x06"
footprint "For_SeeedStudio:PinSocket_1x06_P2.54mm_Vertical_For_SeeedStudio"
attribut none
position 193.000000 10.000000 orientation 270.00
layer front
$PAD "1"
Shape Rect Layer both
position 0.000000 0.000000 size 1.700000 1.700000 orientation 0.00
drill 1.190000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "2"
Shape Oval Layer both
position 0.000000 2.540000 size 1.700000 1.700000 orientation 0.00
drill 1.190000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "3"
Shape Oval Layer both
position 0.000000 5.080000 size 1.700000 1.700000 orientation 0.00
drill 1.190000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "4"
Shape Oval Layer both
position 0.000000 7.620000 size 1.700000 1.700000 orientation 0.00
drill 1.190000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "5"
Shape Oval Layer both
position 0.000000 10.160000 size 1.700000 1.700000 orientation 0.00
drill 1.190000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "6"
Shape Oval Layer both
position 0.000000 12.700000 size 1.700000 1.700000 orientation 0.00
drill 1.190000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE J10
$MODULE "C13"
reference "C13"
value "100nF"
footprint "Capacitor_SMD:C_0603_1608Metric"
attribut smd
position 181.610000 13.270000 orientation 0.00
layer front
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE C13
$MODULE "D7"
reference "D7"
value "YELLOW"
@ -116,7 +182,7 @@ $EndMODULE D10
$MODULE "R11"
reference "R11"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 134.880000 16.060293 orientation 270.00
@ -137,7 +203,7 @@ $EndMODULE R11
$MODULE "R12"
reference "R12"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 137.420000 16.060293 orientation 270.00
@ -158,7 +224,7 @@ $EndMODULE R12
$MODULE "R13"
reference "R13"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 118.610000 69.150000 orientation 0.00
@ -179,7 +245,7 @@ $EndMODULE R13
$MODULE "R14"
reference "R14"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 175.721484 16.217130 orientation 270.00
@ -200,7 +266,7 @@ $EndMODULE R14
$MODULE "R15"
reference "R15"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 178.261484 16.217130 orientation 270.00
@ -263,7 +329,7 @@ $EndMODULE D5
$MODULE "R10"
reference "R10"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 193.875000 19.650000 orientation 90.00
@ -284,7 +350,7 @@ $EndMODULE R10
$MODULE "R9"
reference "R9"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 191.623332 19.600000 orientation 90.00
@ -1220,7 +1286,7 @@ $EndMODULE D3
$MODULE "R3"
reference "R3"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 114.800000 12.000000 orientation 270.00
@ -2336,7 +2402,7 @@ $EndMODULE D12
$MODULE "R16"
reference "R16"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 119.052634 45.877042 orientation 0.00
@ -2357,7 +2423,7 @@ $EndMODULE R16
$MODULE "R19"
reference "R19"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 119.052634 36.977042 orientation 0.00
@ -2378,7 +2444,7 @@ $EndMODULE R19
$MODULE "R18"
reference "R18"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 119.052634 39.943708 orientation 0.00
@ -2399,7 +2465,7 @@ $EndMODULE R18
$MODULE "R17"
reference "R17"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 119.052634 42.910374 orientation 0.00
@ -2505,27 +2571,6 @@ shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE J6
$MODULE "C29"
reference "C29"
value "47uF 10V+"
footprint "Capacitor_SMD:C_0805_2012Metric"
attribut smd
position 204.100000 82.200000 orientation 0.00
layer front
$PAD "1"
Shape Roundrect Layer front
position -0.937500 0.000000 size 0.975000 1.400000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "2"
Shape Roundrect Layer front
position 0.937500 0.000000 size 0.975000 1.400000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE C29
$MODULE "C17"
reference "C17"
value "100nF"
@ -2547,27 +2592,6 @@ shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE C17
$MODULE "J8"
reference "J8"
value "Conn_01x02"
footprint "For_SeeedStudio:PinSocket_1x02_P2.54mm_Vertical_for_SeeedStudio"
attribut none
position 202.830000 79.080000 orientation 90.00
layer front
$PAD "1"
Shape Rect Layer both
position 0.000000 0.000000 size 1.700000 1.700000 orientation 0.00
drill 1.020000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "2"
Shape Oval Layer both
position 0.000000 2.540000 size 1.700000 1.700000 orientation 0.00
drill 1.020000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE J8
$MODULE "C18"
reference "C18"
value "100nF"
@ -3629,7 +3653,7 @@ $EndMODULE D1
$MODULE "R2"
reference "R2"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 189.371666 19.580000 orientation 90.00
@ -3650,7 +3674,7 @@ $EndMODULE R2
$MODULE "R1"
reference "R1"
value "549"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 187.120000 19.580000 orientation 90.00

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 9
Sheet 1 10
Title ""
Date ""
Rev ""

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A2 23386 16535
encoding utf-8
Sheet 3 9
Sheet 3 10
Title "nubus-to-ztex NuBus connection & level shifting"
Date ""
Rev ""
@ -1188,11 +1188,11 @@ AR Path="/5F67E4B9/61D85DF0" Ref="R?" Part="1"
AR Path="/618E8C75/61D85DF0" Ref="R?" Part="1"
AR Path="/618F532C/61D85DF0" Ref="R16" Part="1"
F 0 "R16" V 16830 7850 50 0000 C CNN
F 1 "549" V 16750 7850 50 0000 C CNN
F 1 "590" V 16750 7850 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 16680 7850 50 0001 C CNN
F 3 "" H 16750 7850 50 0000 C CNN
F 4 "0603WAF5490T5E" V 16750 7850 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 16750 7850 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 16750 7850 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 16750 7850 50 0001 C CNN "URL"
1 16750 7850
0 1 1 0
$EndComp
@ -1227,11 +1227,11 @@ AR Path="/5F67E4B9/61D85E0D" Ref="R?" Part="1"
AR Path="/618E8C75/61D85E0D" Ref="R?" Part="1"
AR Path="/618F532C/61D85E0D" Ref="R17" Part="1"
F 0 "R17" V 16830 8350 50 0000 C CNN
F 1 "549" V 16750 8350 50 0000 C CNN
F 1 "590" V 16750 8350 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 16680 8350 50 0001 C CNN
F 3 "" H 16750 8350 50 0000 C CNN
F 4 "0603WAF5490T5E" V 16750 8350 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 16750 8350 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 16750 8350 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 16750 8350 50 0001 C CNN "URL"
1 16750 8350
0 1 1 0
$EndComp
@ -1266,11 +1266,11 @@ AR Path="/5F67E4B9/61D85E2A" Ref="R?" Part="1"
AR Path="/618E8C75/61D85E2A" Ref="R?" Part="1"
AR Path="/618F532C/61D85E2A" Ref="R18" Part="1"
F 0 "R18" V 16830 8850 50 0000 C CNN
F 1 "549" V 16750 8850 50 0000 C CNN
F 1 "590" V 16750 8850 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 16680 8850 50 0001 C CNN
F 3 "" H 16750 8850 50 0000 C CNN
F 4 "0603WAF5490T5E" V 16750 8850 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 16750 8850 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 16750 8850 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 16750 8850 50 0001 C CNN "URL"
1 16750 8850
0 1 1 0
$EndComp
@ -1305,11 +1305,11 @@ AR Path="/5F67E4B9/61D85E47" Ref="R?" Part="1"
AR Path="/618E8C75/61D85E47" Ref="R?" Part="1"
AR Path="/618F532C/61D85E47" Ref="R19" Part="1"
F 0 "R19" V 16830 9350 50 0000 C CNN
F 1 "549" V 16750 9350 50 0000 C CNN
F 1 "590" V 16750 9350 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 16680 9350 50 0001 C CNN
F 3 "" H 16750 9350 50 0000 C CNN
F 4 "0603WAF5490T5E" V 16750 9350 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 16750 9350 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 16750 9350 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 16750 9350 50 0001 C CNN "URL"
1 16750 9350
0 1 1 0
$EndComp
@ -2582,11 +2582,11 @@ AR Path="/618E8C75/6332F9A9" Ref="R?" Part="1"
AR Path="/618F532C/6332F9A9" Ref="R11" Part="1"
AR Path="/6332A9A8/6332F9A9" Ref="R?" Part="1"
F 0 "R11" V 13780 7750 50 0000 C CNN
F 1 "549" V 13700 7750 50 0000 C CNN
F 1 "590" V 13700 7750 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 13630 7750 50 0001 C CNN
F 3 "" H 13700 7750 50 0000 C CNN
F 4 "0603WAF5490T5E" V 13700 7750 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 13700 7750 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 13700 7750 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 13700 7750 50 0001 C CNN "URL"
1 13700 7750
0 1 1 0
$EndComp
@ -2638,11 +2638,11 @@ AR Path="/618E8C75/6334203F" Ref="R?" Part="1"
AR Path="/618F532C/6334203F" Ref="R14" Part="1"
AR Path="/6332A9A8/6334203F" Ref="R?" Part="1"
F 0 "R14" V 13780 9000 50 0000 C CNN
F 1 "549" V 13700 9000 50 0000 C CNN
F 1 "590" V 13700 9000 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 13630 9000 50 0001 C CNN
F 3 "" H 13700 9000 50 0000 C CNN
F 4 "0603WAF5490T5E" V 13700 9000 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 13700 9000 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 13700 9000 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 13700 9000 50 0001 C CNN "URL"
1 13700 9000
0 1 1 0
$EndComp
@ -2692,11 +2692,11 @@ AR Path="/618E8C75/6334334C" Ref="R?" Part="1"
AR Path="/618F532C/6334334C" Ref="R15" Part="1"
AR Path="/6332A9A8/6334334C" Ref="R?" Part="1"
F 0 "R15" V 13780 9450 50 0000 C CNN
F 1 "549" V 13700 9450 50 0000 C CNN
F 1 "590" V 13700 9450 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 13630 9450 50 0001 C CNN
F 3 "" H 13700 9450 50 0000 C CNN
F 4 "0603WAF5490T5E" V 13700 9450 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 13700 9450 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 13700 9450 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 13700 9450 50 0001 C CNN "URL"
1 13700 9450
0 1 1 0
$EndComp
@ -2750,11 +2750,11 @@ AR Path="/618E8C75/6334A052" Ref="R?" Part="1"
AR Path="/618F532C/6334A052" Ref="R12" Part="1"
AR Path="/6332A9A8/6334A052" Ref="R?" Part="1"
F 0 "R12" V 13780 8150 50 0000 C CNN
F 1 "549" V 13700 8150 50 0000 C CNN
F 1 "590" V 13700 8150 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 13630 8150 50 0001 C CNN
F 3 "" H 13700 8150 50 0000 C CNN
F 4 "0603WAF5490T5E" V 13700 8150 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 13700 8150 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 13700 8150 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 13700 8150 50 0001 C CNN "URL"
1 13700 8150
0 1 1 0
$EndComp
@ -2806,11 +2806,11 @@ AR Path="/618E8C75/63352DBD" Ref="R?" Part="1"
AR Path="/618F532C/63352DBD" Ref="R13" Part="1"
AR Path="/6332A9A8/63352DBD" Ref="R?" Part="1"
F 0 "R13" V 13780 8550 50 0000 C CNN
F 1 "549" V 13700 8550 50 0000 C CNN
F 1 "590" V 13700 8550 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 13630 8550 50 0001 C CNN
F 3 "" H 13700 8550 50 0000 C CNN
F 4 "0603WAF5490T5E" V 13700 8550 50 0001 C CNN "MPN"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html" V 13700 8550 50 0001 C CNN "URL"
F 4 "0603WAF5900T5E" V 13700 8550 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html" V 13700 8550 50 0001 C CNN "URL"
1 13700 8550
0 1 1 0
$EndComp
@ -2848,4 +2848,26 @@ F 3 "" H 13850 8550 50 0001 C CNN
$EndComp
Text GLabel 12700 8550 0 50 Input ~ 0
~RQST_3V3
$Comp
L power:GND #PWR0110
U 1 1 633B34AD
P 7450 13600
F 0 "#PWR0110" H 7450 13350 50 0001 C CNN
F 1 "GND" H 7455 13427 50 0000 C CNN
F 2 "" H 7450 13600 50 0001 C CNN
F 3 "" H 7450 13600 50 0001 C CNN
1 7450 13600
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0111
U 1 1 633B3A1A
P 7450 13300
F 0 "#PWR0111" H 7450 13150 50 0001 C CNN
F 1 "+3V3" H 7465 13473 50 0000 C CNN
F 2 "" H 7450 13300 50 0001 C CNN
F 3 "" H 7450 13300 50 0001 C CNN
1 7450 13300
1 0 0 -1
$EndComp
$EndSCHEMATC

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 9 9
Sheet 9 10
Title "sbus-to-ztex blinkey stuff"
Date ""
Rev ""
@ -105,4 +105,85 @@ Wire Wire Line
Wire Wire Line
6350 3000 6450 3000
Connection ~ 6250 3200
Wire Wire Line
5600 4400 5900 4400
Wire Wire Line
5600 4500 6100 4500
$Comp
L power:GND #PWR0149
U 1 1 63373073
P 6100 4500
F 0 "#PWR0149" H 6100 4250 50 0001 C CNN
F 1 "GND" H 6105 4327 50 0000 C CNN
F 2 "" H 6100 4500 50 0001 C CNN
F 3 "" H 6100 4500 50 0001 C CNN
1 6100 4500
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0150
U 1 1 63373079
P 5900 4400
F 0 "#PWR0150" H 5900 4250 50 0001 C CNN
F 1 "+3V3" H 5915 4573 50 0000 C CNN
F 2 "" H 5900 4400 50 0001 C CNN
F 3 "" H 5900 4400 50 0001 C CNN
1 5900 4400
1 0 0 -1
$EndComp
$Comp
L Device:C C?
U 1 1 6337308E
P 6100 4350
AR Path="/5F679B53/6337308E" Ref="C?" Part="1"
AR Path="/5F6B165A/6337308E" Ref="C?" Part="1"
AR Path="/61631F14/6337308E" Ref="C?" Part="1"
AR Path="/62CC4C0A/6337308E" Ref="C13" Part="1"
F 0 "C13" H 6125 4450 50 0000 L CNN
F 1 "100nF" H 6125 4250 50 0000 L CNN
F 2 "Capacitor_SMD:C_0603_1608Metric" H 6138 4200 50 0001 C CNN
F 3 "" H 6100 4350 50 0000 C CNN
F 4 "www.yageo.com" H 6100 4350 50 0001 C CNN "MNF1_URL"
F 5 "CC0603KRX7R8BB104" H 6100 4350 50 0001 C CNN "MPN"
F 6 "603-CC603KRX7R8BB104" H 6100 4350 50 0001 C CNN "Mouser"
F 7 "?" H 6100 4350 50 0001 C CNN "Digikey"
F 8 "?" H 6100 4350 50 0001 C CNN "LCSC"
F 9 "?" H 6100 4350 50 0001 C CNN "Koncar"
F 10 "TB" H 6100 4350 50 0001 C CNN "Side"
1 6100 4350
1 0 0 -1
$EndComp
Connection ~ 6100 4500
Wire Wire Line
5900 4400 6000 4400
Wire Wire Line
6000 4400 6000 4200
Wire Wire Line
6000 4200 6100 4200
Connection ~ 5900 4400
$Comp
L Connector_Generic:Conn_01x06 J10
U 1 1 63373341
P 5400 4700
F 0 "J10" H 5320 4175 50 0000 C CNN
F 1 "Conn_01x06" H 5320 4266 50 0000 C CNN
F 2 "For_SeeedStudio:PinSocket_1x06_P2.54mm_Vertical_For_SeeedStudio" H 5400 4700 50 0001 C CNN
F 3 "~" H 5400 4700 50 0001 C CNN
F 4 "A2541HWV-6P" H 5400 4700 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Female-Headers_CJT-Changjiang-Connectors-A2541HWV-6P_C2897443.html" H 5400 4700 50 0001 C CNN "URL"
1 5400 4700
-1 0 0 1
$EndComp
Text GLabel 5600 4600 2 60 Input ~ 12
LED0
Text GLabel 5600 4700 2 60 Input ~ 12
LED1
Text GLabel 5600 4800 2 60 Input ~ 12
LED2
Text GLabel 5600 4900 2 60 Input ~ 12
LED3
Text Notes 5400 2900 0 50 ~ 0
Dual-row Pmod\nInternal, in line w/ the carrier
Text Notes 4850 4150 0 50 ~ 0
Single-row Pmod\nSharing the user LED signals, mostly for debugging\nInternal, perpendicular to carrier
$EndSCHEMATC

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 8 9
Sheet 8 10
Title "sbus-to-ztex sdcard"
Date ""
Rev ""

Binary file not shown.

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 5 9
Sheet 5 10
Title "nubus-to-ztex USB"
Date ""
Rev ""

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 7 9
Sheet 7 10
Title "nubus-to-ztex VGA"
Date ""
Rev ""