diff --git a/nubus-to-ztex/B2B.sch b/nubus-to-ztex/B2B.sch index 6cda3d5..068d99d 100644 --- a/nubus-to-ztex/B2B.sch +++ b/nubus-to-ztex/B2B.sch @@ -58,12 +58,12 @@ U 1 1 5F69129B P 9250 850 F 0 "J3" H 9356 1228 50 0000 C CNN F 1 "Conn_01x06_Male" H 9356 1137 50 0000 C CNN -F 2 "For_SeeedStudio:PinHeader_1x06_P2.54mm_Horizontal_For_SeeedStudio" H 9250 850 50 0001 C CNN -F 3 "~" H 9250 850 50 0001 C CNN -F 4 "22-28-8060" H 9250 850 50 0001 C CNN "MPN-ALT" -F 5 "PZ254R-11-06P" H 9250 850 50 0001 C CNN "MPN" -F 6 "https://www2.mouser.com/ProductDetail/Molex/22-28-8060?qs=4XSMV6Twtb2rYD%2F%2F316gfQ==" H 9250 850 50 0001 C CNN "URL-ALT" -F 7 "https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html" H 9250 850 50 0001 C CNN "URL" +F 2 "For_SeeedStudio:SAMTEC_TSM-106-01-L-SH" H 9250 850 50 0001 C CNN +F 3 "https://www.mouser.com/datasheet/2/527/tsm-2854655.pdf" H 9250 850 50 0001 C CNN +F 4 "TSM-106-01-T-SH" H 9250 850 50 0001 C CNN "MPN" +F 5 "https://www.mouser.fr/ProductDetail/Samtec/TSM-106-01-T-SH?qs=0lQeLiL1qybjt4R2%252BGOpsA%3D%3D" H 9250 850 50 0001 C CNN "URL" +F 6 "'T' is tin 'L' is gold ; with extra -A (and footprint change) to get alignment pin ; -01 is post length (-02 would be fine)" H 9250 850 50 0001 C CNN "MPN comments" +F 7 "DNP" H 9250 850 50 0000 C CNN "DNP" 1 9250 850 1 0 0 -1 $EndComp @@ -118,6 +118,7 @@ F 2 "For_SeeedStudio:MOLEX_87832-1420" H 3150 7250 50 0001 C CNN F 3 "https://www.molex.com/pdm_docs/sd/878331420_sd.pdf" H 3150 7250 50 0001 C CNN F 4 "87832-1420" H 3150 7250 50 0001 C CNN "MPN" F 5 "https://www.mouser.fr/ProductDetail/Molex/87832-1420?qs=9Wl4wMk6Njp2JciwISLQUQ%3D%3D" H 3150 7250 50 0001 C CNN "URL" +F 6 "DNP" H 3150 7250 50 0000 C CNN "DNP" 1 3150 7250 1 0 0 -1 $EndComp diff --git a/nubus-to-ztex/For_SeeedStudio.pretty/SAMTEC_TSM-106-01-L-SH.kicad_mod b/nubus-to-ztex/For_SeeedStudio.pretty/SAMTEC_TSM-106-01-L-SH.kicad_mod new file mode 100644 index 0000000..0a1b16b --- /dev/null +++ b/nubus-to-ztex/For_SeeedStudio.pretty/SAMTEC_TSM-106-01-L-SH.kicad_mod @@ -0,0 +1,33 @@ + +(module SAMTEC_TSM-106-01-L-SH (layer F.Cu) (tedit 6370A91F) + (descr "") + (fp_text reference REF** (at -5.445 -8.995 0) (layer F.SilkS) + (effects (font (size 1.0 1.0) (thickness 0.15))) + ) + (fp_text value SAMTEC_TSM-106-01-L-SH (at 5.35 8.735 0) (layer F.Fab) + (effects (font (size 1.0 1.0) (thickness 0.15))) + ) + (pad 1 smd rect (at -6.35 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 2 smd rect (at -3.81 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 3 smd rect (at -1.27 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 4 smd rect (at 1.27 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 5 smd rect (at 3.81 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 6 smd rect (at 6.35 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (fp_line (start -7.62 1.27) (end -7.62 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 -1.27) (end 7.62 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 -1.27) (end 7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 1.27) (end -7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 1.27) (end -7.62 -7.11) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 -7.11) (end 7.62 -7.11) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 -7.11) (end 7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 1.27) (end -7.62 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 -1.27) (end 7.62 1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 -1.27) (end -7.62 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 1.27) (end -7.62 1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start -7.87 7.12) (end -7.87 -7.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.87 -7.36) (end 7.87 -7.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.87 -7.36) (end 7.87 7.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.87 7.12) (end -7.87 7.12) (layer F.CrtYd) (width 0.05)) + (fp_circle (center -8.27 5.28) (end -8.17 5.28) (layer F.SilkS) (width 0.2)) + (fp_circle (center -8.27 5.28) (end -8.17 5.28) (layer F.Fab) (width 0.2)) +) \ No newline at end of file diff --git a/nubus-to-ztex/For_SeeedStudio.pretty/SAMTEC_TSM-106-01-T-SH-A.kicad_mod b/nubus-to-ztex/For_SeeedStudio.pretty/SAMTEC_TSM-106-01-T-SH-A.kicad_mod new file mode 100644 index 0000000..5aaf17b --- /dev/null +++ b/nubus-to-ztex/For_SeeedStudio.pretty/SAMTEC_TSM-106-01-T-SH-A.kicad_mod @@ -0,0 +1,35 @@ + +(module SAMTEC_TSM-106-01-T-SH-A (layer F.Cu) (tedit 6370B16B) + (descr "") + (fp_text reference REF** (at -5.445 -8.995 0) (layer F.SilkS) + (effects (font (size 1.0 1.0) (thickness 0.15))) + ) + (fp_text value SAMTEC_TSM-106-01-T-SH-A (at 6.62 8.735 0) (layer F.Fab) + (effects (font (size 1.0 1.0) (thickness 0.15))) + ) + (pad None np_thru_hole circle (at -5.08 0.0) (size 1.78 1.78) (drill 1.78) (layers *.Cu *.Mask)) + (pad None np_thru_hole circle (at 5.08 0.0) (size 1.78 1.78) (drill 1.78) (layers *.Cu *.Mask)) + (pad 1 smd rect (at -6.35 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 2 smd rect (at -3.81 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 3 smd rect (at -1.27 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 4 smd rect (at 1.27 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 5 smd rect (at 3.81 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (pad 6 smd rect (at 6.35 5.28) (size 1.27 3.18) (layers F.Cu F.Mask F.Paste)) + (fp_line (start -7.62 1.27) (end -7.62 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 -1.27) (end 7.62 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 -1.27) (end 7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 1.27) (end -7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 1.27) (end -7.62 -7.11) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 -7.11) (end 7.62 -7.11) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 -7.11) (end 7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 1.27) (end -7.62 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 -1.27) (end 7.62 1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 -1.27) (end -7.62 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 1.27) (end -7.62 1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start -7.87 7.12) (end -7.87 -7.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.87 -7.36) (end 7.87 -7.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.87 -7.36) (end 7.87 7.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.87 7.12) (end -7.87 7.12) (layer F.CrtYd) (width 0.05)) + (fp_circle (center -8.27 5.28) (end -8.17 5.28) (layer F.SilkS) (width 0.2)) + (fp_circle (center -8.27 5.28) (end -8.17 5.28) (layer F.Fab) (width 0.2)) +) \ No newline at end of file diff --git a/nubus-to-ztex/SAMTEC-SMH-108-02-X-D.step b/nubus-to-ztex/SAMTEC-SMH-108-02-X-D.step new file mode 100644 index 0000000..c4a4134 --- /dev/null +++ b/nubus-to-ztex/SAMTEC-SMH-108-02-X-D.step @@ -0,0 +1,13560 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ +/* OPTION: using custom renumber hook */ + +FILE_DESCRIPTION( +/* description */ ('STEP AP214'), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ 'SMH-108-02-L-D', +/* time_stamp */ '2022-11-11T10:03:36+01:00', +/* author */ ('License CC BY-ND 4.0'), +/* organization */ ('CADENAS'), +/* preprocessor_version */ 'ST-DEVELOPER v18.102', +/* originating_system */ 'PARTsolutions', +/* authorisation */ ' '); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN {1 0 10303 214 3 1 1}')); +ENDSEC; + +DATA; +#10=PROPERTY_DEFINITION_REPRESENTATION(#14,#12); +#11=PROPERTY_DEFINITION_REPRESENTATION(#15,#13); +#12=REPRESENTATION('',(#16),#13361); +#13=REPRESENTATION('',(#17),#13361); +#14=PROPERTY_DEFINITION('pmi validation property','',#13373); +#15=PROPERTY_DEFINITION('pmi validation property','',#13373); +#16=VALUE_REPRESENTATION_ITEM('number of annotations',COUNT_MEASURE(0.)); +#17=VALUE_REPRESENTATION_ITEM('number of views',COUNT_MEASURE(0.)); +#18=COLOUR_RGB('',0.689999997615814,0.689999997615814,0.689999997615814); +#19=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#23,#13375); +#20=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#24,#13377); +#21=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO1','C-08-03-08-D_SMH', +'C-08-03-08-D_SMH',#13378,#13379,''); +#22=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO2','SMH-108-D_socket', +'SMH-108-D_socket',#13378,#13380,''); +#23=( +REPRESENTATION_RELATIONSHIP(' ',' ',#8322,#8321) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#24=( +REPRESENTATION_RELATIONSHIP(' ',' ',#8323,#8321) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#26) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#25=ITEM_DEFINED_TRANSFORMATION(' ',' ',#8324,#8751); +#26=ITEM_DEFINED_TRANSFORMATION(' ',' ',#8324,#8854); +#27=SHAPE_REPRESENTATION_RELATIONSHIP('','',#8322,#29); +#28=SHAPE_REPRESENTATION_RELATIONSHIP('','',#8323,#30); +#29=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#8316),#13362); +#30=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#8317),#13363); +#31=CIRCLE('',#8390,0.00999999999999978); +#32=CIRCLE('',#8391,0.00999999999999978); +#33=CIRCLE('',#8393,0.00999999999999978); +#34=CIRCLE('',#8394,0.00999999999999978); +#35=CIRCLE('',#8396,0.00999999999999978); +#36=CIRCLE('',#8397,0.00999999999999978); +#37=CIRCLE('',#8399,0.00999999999999978); +#38=CIRCLE('',#8400,0.00999999999999978); +#39=CIRCLE('',#8402,0.00999999999999978); +#40=CIRCLE('',#8403,0.00999999999999978); +#41=CIRCLE('',#8405,0.00999999999999978); +#42=CIRCLE('',#8406,0.00999999999999978); +#43=CIRCLE('',#8408,0.00999999999999978); +#44=CIRCLE('',#8409,0.00999999999999978); +#45=CIRCLE('',#8411,0.00999999999999978); +#46=CIRCLE('',#8412,0.00999999999999978); +#47=CIRCLE('',#8438,0.00499999999999998); +#48=CIRCLE('',#8439,0.00499999999999998); +#49=CIRCLE('',#8441,0.00499999999999998); +#50=CIRCLE('',#8442,0.00499999999999998); +#51=CIRCLE('',#8444,0.00499999999999998); +#52=CIRCLE('',#8445,0.00499999999999998); +#53=CIRCLE('',#8447,0.00499999999999998); +#54=CIRCLE('',#8448,0.00499999999999998); +#55=CIRCLE('',#8450,0.00499999999999998); +#56=CIRCLE('',#8451,0.00499999999999998); +#57=CIRCLE('',#8453,0.00499999999999998); +#58=CIRCLE('',#8454,0.00499999999999998); +#59=CIRCLE('',#8456,0.00499999999999998); +#60=CIRCLE('',#8457,0.00499999999999998); +#61=CIRCLE('',#8459,0.00499999999999998); +#62=CIRCLE('',#8460,0.00499999999999998); +#63=CIRCLE('',#8470,0.00999999999999989); +#64=CIRCLE('',#8471,0.00999999999999989); +#65=CIRCLE('',#8473,0.00999999999999989); +#66=CIRCLE('',#8474,0.00999999999999989); +#67=CIRCLE('',#8476,0.00999999999999989); +#68=CIRCLE('',#8477,0.00999999999999989); +#69=CIRCLE('',#8479,0.00999999999999989); +#70=CIRCLE('',#8480,0.00999999999999989); +#71=CIRCLE('',#8482,0.00999999999999989); +#72=CIRCLE('',#8483,0.00999999999999989); +#73=CIRCLE('',#8485,0.00999999999999989); +#74=CIRCLE('',#8486,0.00999999999999989); +#75=CIRCLE('',#8488,0.00999999999999989); +#76=CIRCLE('',#8489,0.00999999999999989); +#77=CIRCLE('',#8491,0.00999999999999989); +#78=CIRCLE('',#8492,0.00999999999999989); +#79=CIRCLE('',#8503,0.00500000000000002); +#80=CIRCLE('',#8504,0.00500000000000002); +#81=CIRCLE('',#8506,0.00500000000000002); +#82=CIRCLE('',#8507,0.00500000000000002); +#83=CIRCLE('',#8509,0.00500000000000002); +#84=CIRCLE('',#8510,0.00500000000000002); +#85=CIRCLE('',#8512,0.00500000000000002); +#86=CIRCLE('',#8513,0.00500000000000002); +#87=CIRCLE('',#8515,0.00500000000000002); +#88=CIRCLE('',#8516,0.00500000000000002); +#89=CIRCLE('',#8518,0.00500000000000002); +#90=CIRCLE('',#8519,0.00500000000000002); +#91=CIRCLE('',#8521,0.00500000000000002); +#92=CIRCLE('',#8522,0.00500000000000002); +#93=CIRCLE('',#8524,0.00500000000000002); +#94=CIRCLE('',#8525,0.00500000000000002); +#95=CIRCLE('',#8543,0.00999999999999985); +#96=CIRCLE('',#8544,0.00999999999999985); +#97=CIRCLE('',#8546,0.00999999999999985); +#98=CIRCLE('',#8547,0.00999999999999985); +#99=CIRCLE('',#8549,0.00999999999999985); +#100=CIRCLE('',#8550,0.00999999999999985); +#101=CIRCLE('',#8552,0.00999999999999985); +#102=CIRCLE('',#8553,0.00999999999999985); +#103=CIRCLE('',#8555,0.00999999999999985); +#104=CIRCLE('',#8556,0.00999999999999985); +#105=CIRCLE('',#8558,0.00999999999999985); +#106=CIRCLE('',#8559,0.00999999999999985); +#107=CIRCLE('',#8561,0.00999999999999985); +#108=CIRCLE('',#8562,0.00999999999999985); +#109=CIRCLE('',#8564,0.00999999999999985); +#110=CIRCLE('',#8565,0.00999999999999985); +#111=CIRCLE('',#8591,0.00499999999999996); +#112=CIRCLE('',#8592,0.00499999999999996); +#113=CIRCLE('',#8594,0.00499999999999996); +#114=CIRCLE('',#8595,0.00499999999999996); +#115=CIRCLE('',#8597,0.00499999999999996); +#116=CIRCLE('',#8598,0.00499999999999996); +#117=CIRCLE('',#8600,0.00499999999999996); +#118=CIRCLE('',#8601,0.00499999999999996); +#119=CIRCLE('',#8603,0.00499999999999996); +#120=CIRCLE('',#8604,0.00499999999999996); +#121=CIRCLE('',#8606,0.00499999999999996); +#122=CIRCLE('',#8607,0.00499999999999996); +#123=CIRCLE('',#8609,0.00499999999999996); +#124=CIRCLE('',#8610,0.00499999999999996); +#125=CIRCLE('',#8612,0.00499999999999996); +#126=CIRCLE('',#8613,0.00499999999999996); +#127=CIRCLE('',#8623,0.01); +#128=CIRCLE('',#8624,0.01); +#129=CIRCLE('',#8626,0.01); +#130=CIRCLE('',#8627,0.01); +#131=CIRCLE('',#8629,0.01); +#132=CIRCLE('',#8630,0.01); +#133=CIRCLE('',#8632,0.01); +#134=CIRCLE('',#8633,0.01); +#135=CIRCLE('',#8635,0.01); +#136=CIRCLE('',#8636,0.01); +#137=CIRCLE('',#8638,0.01); +#138=CIRCLE('',#8639,0.01); +#139=CIRCLE('',#8641,0.01); +#140=CIRCLE('',#8642,0.01); +#141=CIRCLE('',#8644,0.01); +#142=CIRCLE('',#8645,0.01); +#143=CIRCLE('',#8648,0.00499999999999994); +#144=CIRCLE('',#8649,0.00499999999999994); +#145=CIRCLE('',#8651,0.00499999999999994); +#146=CIRCLE('',#8652,0.00499999999999994); +#147=CIRCLE('',#8654,0.00499999999999994); +#148=CIRCLE('',#8655,0.00499999999999994); +#149=CIRCLE('',#8657,0.00499999999999994); +#150=CIRCLE('',#8658,0.00499999999999994); +#151=CIRCLE('',#8660,0.00499999999999994); +#152=CIRCLE('',#8661,0.00499999999999994); +#153=CIRCLE('',#8663,0.00499999999999994); +#154=CIRCLE('',#8664,0.00499999999999994); +#155=CIRCLE('',#8666,0.00499999999999994); +#156=CIRCLE('',#8667,0.00499999999999994); +#157=CIRCLE('',#8669,0.00499999999999994); +#158=CIRCLE('',#8670,0.00499999999999994); +#159=CYLINDRICAL_SURFACE('',#8389,0.00999999999999978); +#160=CYLINDRICAL_SURFACE('',#8392,0.00999999999999978); +#161=CYLINDRICAL_SURFACE('',#8395,0.00999999999999978); +#162=CYLINDRICAL_SURFACE('',#8398,0.00999999999999978); +#163=CYLINDRICAL_SURFACE('',#8401,0.00999999999999978); +#164=CYLINDRICAL_SURFACE('',#8404,0.00999999999999978); +#165=CYLINDRICAL_SURFACE('',#8407,0.00999999999999978); +#166=CYLINDRICAL_SURFACE('',#8410,0.00999999999999978); +#167=CYLINDRICAL_SURFACE('',#8437,0.00499999999999998); +#168=CYLINDRICAL_SURFACE('',#8440,0.00499999999999998); +#169=CYLINDRICAL_SURFACE('',#8443,0.00499999999999998); +#170=CYLINDRICAL_SURFACE('',#8446,0.00499999999999998); +#171=CYLINDRICAL_SURFACE('',#8449,0.00499999999999998); +#172=CYLINDRICAL_SURFACE('',#8452,0.00499999999999998); +#173=CYLINDRICAL_SURFACE('',#8455,0.00499999999999998); +#174=CYLINDRICAL_SURFACE('',#8458,0.00499999999999998); +#175=CYLINDRICAL_SURFACE('',#8469,0.00999999999999989); +#176=CYLINDRICAL_SURFACE('',#8472,0.00999999999999989); +#177=CYLINDRICAL_SURFACE('',#8475,0.00999999999999989); +#178=CYLINDRICAL_SURFACE('',#8478,0.00999999999999989); +#179=CYLINDRICAL_SURFACE('',#8481,0.00999999999999989); +#180=CYLINDRICAL_SURFACE('',#8484,0.00999999999999989); +#181=CYLINDRICAL_SURFACE('',#8487,0.00999999999999989); +#182=CYLINDRICAL_SURFACE('',#8490,0.00999999999999989); +#183=CYLINDRICAL_SURFACE('',#8502,0.00500000000000002); +#184=CYLINDRICAL_SURFACE('',#8505,0.00500000000000002); +#185=CYLINDRICAL_SURFACE('',#8508,0.00500000000000002); +#186=CYLINDRICAL_SURFACE('',#8511,0.00500000000000002); +#187=CYLINDRICAL_SURFACE('',#8514,0.00500000000000002); +#188=CYLINDRICAL_SURFACE('',#8517,0.00500000000000002); +#189=CYLINDRICAL_SURFACE('',#8520,0.00500000000000002); +#190=CYLINDRICAL_SURFACE('',#8523,0.00500000000000002); +#191=CYLINDRICAL_SURFACE('',#8542,0.00999999999999985); +#192=CYLINDRICAL_SURFACE('',#8545,0.00999999999999985); +#193=CYLINDRICAL_SURFACE('',#8548,0.00999999999999985); +#194=CYLINDRICAL_SURFACE('',#8551,0.00999999999999985); +#195=CYLINDRICAL_SURFACE('',#8554,0.00999999999999985); +#196=CYLINDRICAL_SURFACE('',#8557,0.00999999999999985); +#197=CYLINDRICAL_SURFACE('',#8560,0.00999999999999985); +#198=CYLINDRICAL_SURFACE('',#8563,0.00999999999999985); +#199=CYLINDRICAL_SURFACE('',#8590,0.00499999999999996); +#200=CYLINDRICAL_SURFACE('',#8593,0.00499999999999996); +#201=CYLINDRICAL_SURFACE('',#8596,0.00499999999999996); +#202=CYLINDRICAL_SURFACE('',#8599,0.00499999999999996); +#203=CYLINDRICAL_SURFACE('',#8602,0.00499999999999996); +#204=CYLINDRICAL_SURFACE('',#8605,0.00499999999999996); +#205=CYLINDRICAL_SURFACE('',#8608,0.00499999999999996); +#206=CYLINDRICAL_SURFACE('',#8611,0.00499999999999996); +#207=CYLINDRICAL_SURFACE('',#8622,0.01); +#208=CYLINDRICAL_SURFACE('',#8625,0.01); +#209=CYLINDRICAL_SURFACE('',#8628,0.01); +#210=CYLINDRICAL_SURFACE('',#8631,0.01); +#211=CYLINDRICAL_SURFACE('',#8634,0.01); +#212=CYLINDRICAL_SURFACE('',#8637,0.01); +#213=CYLINDRICAL_SURFACE('',#8640,0.01); +#214=CYLINDRICAL_SURFACE('',#8643,0.01); +#215=CYLINDRICAL_SURFACE('',#8647,0.00499999999999994); +#216=CYLINDRICAL_SURFACE('',#8650,0.00499999999999994); +#217=CYLINDRICAL_SURFACE('',#8653,0.00499999999999994); +#218=CYLINDRICAL_SURFACE('',#8656,0.00499999999999994); +#219=CYLINDRICAL_SURFACE('',#8659,0.00499999999999994); +#220=CYLINDRICAL_SURFACE('',#8662,0.00499999999999994); +#221=CYLINDRICAL_SURFACE('',#8665,0.00499999999999994); +#222=CYLINDRICAL_SURFACE('',#8668,0.00499999999999994); +#223=ORIENTED_EDGE('',*,*,#2599,.T.); +#224=ORIENTED_EDGE('',*,*,#2600,.T.); +#225=ORIENTED_EDGE('',*,*,#2601,.F.); +#226=ORIENTED_EDGE('',*,*,#2602,.F.); +#227=ORIENTED_EDGE('',*,*,#2603,.F.); +#228=ORIENTED_EDGE('',*,*,#2604,.T.); +#229=ORIENTED_EDGE('',*,*,#2605,.T.); +#230=ORIENTED_EDGE('',*,*,#2606,.T.); +#231=ORIENTED_EDGE('',*,*,#2607,.F.); +#232=ORIENTED_EDGE('',*,*,#2608,.T.); +#233=ORIENTED_EDGE('',*,*,#2609,.T.); +#234=ORIENTED_EDGE('',*,*,#2610,.T.); +#235=ORIENTED_EDGE('',*,*,#2611,.F.); +#236=ORIENTED_EDGE('',*,*,#2612,.T.); +#237=ORIENTED_EDGE('',*,*,#2613,.T.); +#238=ORIENTED_EDGE('',*,*,#2614,.T.); +#239=ORIENTED_EDGE('',*,*,#2615,.F.); +#240=ORIENTED_EDGE('',*,*,#2616,.T.); +#241=ORIENTED_EDGE('',*,*,#2617,.T.); +#242=ORIENTED_EDGE('',*,*,#2618,.T.); +#243=ORIENTED_EDGE('',*,*,#2619,.F.); +#244=ORIENTED_EDGE('',*,*,#2620,.T.); +#245=ORIENTED_EDGE('',*,*,#2621,.T.); +#246=ORIENTED_EDGE('',*,*,#2622,.T.); +#247=ORIENTED_EDGE('',*,*,#2623,.F.); +#248=ORIENTED_EDGE('',*,*,#2624,.T.); +#249=ORIENTED_EDGE('',*,*,#2625,.T.); +#250=ORIENTED_EDGE('',*,*,#2626,.T.); +#251=ORIENTED_EDGE('',*,*,#2627,.F.); +#252=ORIENTED_EDGE('',*,*,#2628,.T.); +#253=ORIENTED_EDGE('',*,*,#2629,.T.); +#254=ORIENTED_EDGE('',*,*,#2630,.T.); +#255=ORIENTED_EDGE('',*,*,#2601,.T.); +#256=ORIENTED_EDGE('',*,*,#2631,.T.); +#257=ORIENTED_EDGE('',*,*,#2632,.F.); +#258=ORIENTED_EDGE('',*,*,#2633,.F.); +#259=ORIENTED_EDGE('',*,*,#2634,.F.); +#260=ORIENTED_EDGE('',*,*,#2635,.T.); +#261=ORIENTED_EDGE('',*,*,#2603,.T.); +#262=ORIENTED_EDGE('',*,*,#2636,.T.); +#263=ORIENTED_EDGE('',*,*,#2637,.F.); +#264=ORIENTED_EDGE('',*,*,#2638,.T.); +#265=ORIENTED_EDGE('',*,*,#2607,.T.); +#266=ORIENTED_EDGE('',*,*,#2639,.T.); +#267=ORIENTED_EDGE('',*,*,#2640,.F.); +#268=ORIENTED_EDGE('',*,*,#2641,.T.); +#269=ORIENTED_EDGE('',*,*,#2611,.T.); +#270=ORIENTED_EDGE('',*,*,#2642,.T.); +#271=ORIENTED_EDGE('',*,*,#2643,.F.); +#272=ORIENTED_EDGE('',*,*,#2644,.T.); +#273=ORIENTED_EDGE('',*,*,#2615,.T.); +#274=ORIENTED_EDGE('',*,*,#2645,.T.); +#275=ORIENTED_EDGE('',*,*,#2646,.F.); +#276=ORIENTED_EDGE('',*,*,#2647,.T.); +#277=ORIENTED_EDGE('',*,*,#2619,.T.); +#278=ORIENTED_EDGE('',*,*,#2648,.T.); +#279=ORIENTED_EDGE('',*,*,#2649,.F.); +#280=ORIENTED_EDGE('',*,*,#2650,.T.); +#281=ORIENTED_EDGE('',*,*,#2623,.T.); +#282=ORIENTED_EDGE('',*,*,#2651,.T.); +#283=ORIENTED_EDGE('',*,*,#2652,.F.); +#284=ORIENTED_EDGE('',*,*,#2653,.T.); +#285=ORIENTED_EDGE('',*,*,#2627,.T.); +#286=ORIENTED_EDGE('',*,*,#2654,.T.); +#287=ORIENTED_EDGE('',*,*,#2632,.T.); +#288=ORIENTED_EDGE('',*,*,#2655,.T.); +#289=ORIENTED_EDGE('',*,*,#2656,.F.); +#290=ORIENTED_EDGE('',*,*,#2657,.F.); +#291=ORIENTED_EDGE('',*,*,#2658,.F.); +#292=ORIENTED_EDGE('',*,*,#2659,.T.); +#293=ORIENTED_EDGE('',*,*,#2634,.T.); +#294=ORIENTED_EDGE('',*,*,#2660,.T.); +#295=ORIENTED_EDGE('',*,*,#2661,.F.); +#296=ORIENTED_EDGE('',*,*,#2662,.T.); +#297=ORIENTED_EDGE('',*,*,#2637,.T.); +#298=ORIENTED_EDGE('',*,*,#2663,.T.); +#299=ORIENTED_EDGE('',*,*,#2664,.F.); +#300=ORIENTED_EDGE('',*,*,#2665,.T.); +#301=ORIENTED_EDGE('',*,*,#2640,.T.); +#302=ORIENTED_EDGE('',*,*,#2666,.T.); +#303=ORIENTED_EDGE('',*,*,#2667,.F.); +#304=ORIENTED_EDGE('',*,*,#2668,.T.); +#305=ORIENTED_EDGE('',*,*,#2643,.T.); +#306=ORIENTED_EDGE('',*,*,#2669,.T.); +#307=ORIENTED_EDGE('',*,*,#2670,.F.); +#308=ORIENTED_EDGE('',*,*,#2671,.T.); +#309=ORIENTED_EDGE('',*,*,#2646,.T.); +#310=ORIENTED_EDGE('',*,*,#2672,.T.); +#311=ORIENTED_EDGE('',*,*,#2673,.F.); +#312=ORIENTED_EDGE('',*,*,#2674,.T.); +#313=ORIENTED_EDGE('',*,*,#2649,.T.); +#314=ORIENTED_EDGE('',*,*,#2675,.T.); +#315=ORIENTED_EDGE('',*,*,#2676,.F.); +#316=ORIENTED_EDGE('',*,*,#2677,.T.); +#317=ORIENTED_EDGE('',*,*,#2652,.T.); +#318=ORIENTED_EDGE('',*,*,#2678,.T.); +#319=ORIENTED_EDGE('',*,*,#2656,.T.); +#320=ORIENTED_EDGE('',*,*,#2679,.T.); +#321=ORIENTED_EDGE('',*,*,#2680,.F.); +#322=ORIENTED_EDGE('',*,*,#2681,.F.); +#323=ORIENTED_EDGE('',*,*,#2682,.F.); +#324=ORIENTED_EDGE('',*,*,#2683,.T.); +#325=ORIENTED_EDGE('',*,*,#2658,.T.); +#326=ORIENTED_EDGE('',*,*,#2684,.T.); +#327=ORIENTED_EDGE('',*,*,#2685,.F.); +#328=ORIENTED_EDGE('',*,*,#2686,.T.); +#329=ORIENTED_EDGE('',*,*,#2661,.T.); +#330=ORIENTED_EDGE('',*,*,#2687,.T.); +#331=ORIENTED_EDGE('',*,*,#2688,.F.); +#332=ORIENTED_EDGE('',*,*,#2689,.T.); +#333=ORIENTED_EDGE('',*,*,#2664,.T.); +#334=ORIENTED_EDGE('',*,*,#2690,.T.); +#335=ORIENTED_EDGE('',*,*,#2691,.F.); +#336=ORIENTED_EDGE('',*,*,#2692,.T.); +#337=ORIENTED_EDGE('',*,*,#2667,.T.); +#338=ORIENTED_EDGE('',*,*,#2693,.T.); +#339=ORIENTED_EDGE('',*,*,#2694,.F.); +#340=ORIENTED_EDGE('',*,*,#2695,.T.); +#341=ORIENTED_EDGE('',*,*,#2670,.T.); +#342=ORIENTED_EDGE('',*,*,#2696,.T.); +#343=ORIENTED_EDGE('',*,*,#2697,.F.); +#344=ORIENTED_EDGE('',*,*,#2698,.T.); +#345=ORIENTED_EDGE('',*,*,#2673,.T.); +#346=ORIENTED_EDGE('',*,*,#2699,.T.); +#347=ORIENTED_EDGE('',*,*,#2700,.F.); +#348=ORIENTED_EDGE('',*,*,#2701,.T.); +#349=ORIENTED_EDGE('',*,*,#2676,.T.); +#350=ORIENTED_EDGE('',*,*,#2702,.T.); +#351=ORIENTED_EDGE('',*,*,#2703,.T.); +#352=ORIENTED_EDGE('',*,*,#2704,.T.); +#353=ORIENTED_EDGE('',*,*,#2705,.F.); +#354=ORIENTED_EDGE('',*,*,#2706,.F.); +#355=ORIENTED_EDGE('',*,*,#2707,.F.); +#356=ORIENTED_EDGE('',*,*,#2708,.T.); +#357=ORIENTED_EDGE('',*,*,#2709,.T.); +#358=ORIENTED_EDGE('',*,*,#2710,.T.); +#359=ORIENTED_EDGE('',*,*,#2711,.F.); +#360=ORIENTED_EDGE('',*,*,#2712,.T.); +#361=ORIENTED_EDGE('',*,*,#2713,.T.); +#362=ORIENTED_EDGE('',*,*,#2714,.T.); +#363=ORIENTED_EDGE('',*,*,#2715,.F.); +#364=ORIENTED_EDGE('',*,*,#2716,.T.); +#365=ORIENTED_EDGE('',*,*,#2717,.T.); +#366=ORIENTED_EDGE('',*,*,#2718,.T.); +#367=ORIENTED_EDGE('',*,*,#2719,.F.); +#368=ORIENTED_EDGE('',*,*,#2720,.T.); +#369=ORIENTED_EDGE('',*,*,#2721,.T.); +#370=ORIENTED_EDGE('',*,*,#2722,.T.); +#371=ORIENTED_EDGE('',*,*,#2723,.F.); +#372=ORIENTED_EDGE('',*,*,#2724,.T.); +#373=ORIENTED_EDGE('',*,*,#2725,.T.); +#374=ORIENTED_EDGE('',*,*,#2726,.T.); +#375=ORIENTED_EDGE('',*,*,#2727,.F.); +#376=ORIENTED_EDGE('',*,*,#2728,.T.); +#377=ORIENTED_EDGE('',*,*,#2729,.T.); +#378=ORIENTED_EDGE('',*,*,#2730,.T.); +#379=ORIENTED_EDGE('',*,*,#2731,.F.); +#380=ORIENTED_EDGE('',*,*,#2732,.T.); +#381=ORIENTED_EDGE('',*,*,#2733,.T.); +#382=ORIENTED_EDGE('',*,*,#2734,.T.); +#383=ORIENTED_EDGE('',*,*,#2705,.T.); +#384=ORIENTED_EDGE('',*,*,#2735,.T.); +#385=ORIENTED_EDGE('',*,*,#2736,.F.); +#386=ORIENTED_EDGE('',*,*,#2737,.F.); +#387=ORIENTED_EDGE('',*,*,#2738,.F.); +#388=ORIENTED_EDGE('',*,*,#2739,.T.); +#389=ORIENTED_EDGE('',*,*,#2707,.T.); +#390=ORIENTED_EDGE('',*,*,#2740,.T.); +#391=ORIENTED_EDGE('',*,*,#2741,.F.); +#392=ORIENTED_EDGE('',*,*,#2742,.T.); +#393=ORIENTED_EDGE('',*,*,#2711,.T.); +#394=ORIENTED_EDGE('',*,*,#2743,.T.); +#395=ORIENTED_EDGE('',*,*,#2744,.F.); +#396=ORIENTED_EDGE('',*,*,#2745,.T.); +#397=ORIENTED_EDGE('',*,*,#2715,.T.); +#398=ORIENTED_EDGE('',*,*,#2746,.T.); +#399=ORIENTED_EDGE('',*,*,#2747,.F.); +#400=ORIENTED_EDGE('',*,*,#2748,.T.); +#401=ORIENTED_EDGE('',*,*,#2719,.T.); +#402=ORIENTED_EDGE('',*,*,#2749,.T.); +#403=ORIENTED_EDGE('',*,*,#2750,.F.); +#404=ORIENTED_EDGE('',*,*,#2751,.T.); +#405=ORIENTED_EDGE('',*,*,#2723,.T.); +#406=ORIENTED_EDGE('',*,*,#2752,.T.); +#407=ORIENTED_EDGE('',*,*,#2753,.F.); +#408=ORIENTED_EDGE('',*,*,#2754,.T.); +#409=ORIENTED_EDGE('',*,*,#2727,.T.); +#410=ORIENTED_EDGE('',*,*,#2755,.T.); +#411=ORIENTED_EDGE('',*,*,#2756,.F.); +#412=ORIENTED_EDGE('',*,*,#2757,.T.); +#413=ORIENTED_EDGE('',*,*,#2731,.T.); +#414=ORIENTED_EDGE('',*,*,#2758,.T.); +#415=ORIENTED_EDGE('',*,*,#2736,.T.); +#416=ORIENTED_EDGE('',*,*,#2759,.T.); +#417=ORIENTED_EDGE('',*,*,#2760,.F.); +#418=ORIENTED_EDGE('',*,*,#2761,.F.); +#419=ORIENTED_EDGE('',*,*,#2762,.F.); +#420=ORIENTED_EDGE('',*,*,#2763,.T.); +#421=ORIENTED_EDGE('',*,*,#2738,.T.); +#422=ORIENTED_EDGE('',*,*,#2764,.T.); +#423=ORIENTED_EDGE('',*,*,#2765,.F.); +#424=ORIENTED_EDGE('',*,*,#2766,.T.); +#425=ORIENTED_EDGE('',*,*,#2741,.T.); +#426=ORIENTED_EDGE('',*,*,#2767,.T.); +#427=ORIENTED_EDGE('',*,*,#2768,.F.); +#428=ORIENTED_EDGE('',*,*,#2769,.T.); +#429=ORIENTED_EDGE('',*,*,#2744,.T.); +#430=ORIENTED_EDGE('',*,*,#2770,.T.); +#431=ORIENTED_EDGE('',*,*,#2771,.F.); +#432=ORIENTED_EDGE('',*,*,#2772,.T.); +#433=ORIENTED_EDGE('',*,*,#2747,.T.); +#434=ORIENTED_EDGE('',*,*,#2773,.T.); +#435=ORIENTED_EDGE('',*,*,#2774,.F.); +#436=ORIENTED_EDGE('',*,*,#2775,.T.); +#437=ORIENTED_EDGE('',*,*,#2750,.T.); +#438=ORIENTED_EDGE('',*,*,#2776,.T.); +#439=ORIENTED_EDGE('',*,*,#2777,.F.); +#440=ORIENTED_EDGE('',*,*,#2778,.T.); +#441=ORIENTED_EDGE('',*,*,#2753,.T.); +#442=ORIENTED_EDGE('',*,*,#2779,.T.); +#443=ORIENTED_EDGE('',*,*,#2780,.F.); +#444=ORIENTED_EDGE('',*,*,#2781,.T.); +#445=ORIENTED_EDGE('',*,*,#2756,.T.); +#446=ORIENTED_EDGE('',*,*,#2782,.T.); +#447=ORIENTED_EDGE('',*,*,#2760,.T.); +#448=ORIENTED_EDGE('',*,*,#2783,.T.); +#449=ORIENTED_EDGE('',*,*,#2784,.F.); +#450=ORIENTED_EDGE('',*,*,#2785,.F.); +#451=ORIENTED_EDGE('',*,*,#2786,.F.); +#452=ORIENTED_EDGE('',*,*,#2787,.T.); +#453=ORIENTED_EDGE('',*,*,#2762,.T.); +#454=ORIENTED_EDGE('',*,*,#2788,.T.); +#455=ORIENTED_EDGE('',*,*,#2789,.F.); +#456=ORIENTED_EDGE('',*,*,#2790,.T.); +#457=ORIENTED_EDGE('',*,*,#2765,.T.); +#458=ORIENTED_EDGE('',*,*,#2791,.T.); +#459=ORIENTED_EDGE('',*,*,#2792,.F.); +#460=ORIENTED_EDGE('',*,*,#2793,.T.); +#461=ORIENTED_EDGE('',*,*,#2768,.T.); +#462=ORIENTED_EDGE('',*,*,#2794,.T.); +#463=ORIENTED_EDGE('',*,*,#2795,.F.); +#464=ORIENTED_EDGE('',*,*,#2796,.T.); +#465=ORIENTED_EDGE('',*,*,#2771,.T.); +#466=ORIENTED_EDGE('',*,*,#2797,.T.); +#467=ORIENTED_EDGE('',*,*,#2798,.F.); +#468=ORIENTED_EDGE('',*,*,#2799,.T.); +#469=ORIENTED_EDGE('',*,*,#2774,.T.); +#470=ORIENTED_EDGE('',*,*,#2800,.T.); +#471=ORIENTED_EDGE('',*,*,#2801,.F.); +#472=ORIENTED_EDGE('',*,*,#2802,.T.); +#473=ORIENTED_EDGE('',*,*,#2777,.T.); +#474=ORIENTED_EDGE('',*,*,#2803,.T.); +#475=ORIENTED_EDGE('',*,*,#2804,.F.); +#476=ORIENTED_EDGE('',*,*,#2805,.T.); +#477=ORIENTED_EDGE('',*,*,#2780,.T.); +#478=ORIENTED_EDGE('',*,*,#2806,.T.); +#479=ORIENTED_EDGE('',*,*,#2807,.F.); +#480=ORIENTED_EDGE('',*,*,#2808,.F.); +#481=ORIENTED_EDGE('',*,*,#2809,.F.); +#482=ORIENTED_EDGE('',*,*,#2810,.T.); +#483=ORIENTED_EDGE('',*,*,#2811,.F.); +#484=ORIENTED_EDGE('',*,*,#2812,.T.); +#485=ORIENTED_EDGE('',*,*,#2813,.F.); +#486=ORIENTED_EDGE('',*,*,#2814,.F.); +#487=ORIENTED_EDGE('',*,*,#2815,.F.); +#488=ORIENTED_EDGE('',*,*,#2816,.T.); +#489=ORIENTED_EDGE('',*,*,#2817,.F.); +#490=ORIENTED_EDGE('',*,*,#2818,.F.); +#491=ORIENTED_EDGE('',*,*,#2819,.F.); +#492=ORIENTED_EDGE('',*,*,#2820,.T.); +#493=ORIENTED_EDGE('',*,*,#2821,.F.); +#494=ORIENTED_EDGE('',*,*,#2822,.F.); +#495=ORIENTED_EDGE('',*,*,#2823,.F.); +#496=ORIENTED_EDGE('',*,*,#2824,.T.); +#497=ORIENTED_EDGE('',*,*,#2825,.F.); +#498=ORIENTED_EDGE('',*,*,#2826,.F.); +#499=ORIENTED_EDGE('',*,*,#2827,.F.); +#500=ORIENTED_EDGE('',*,*,#2828,.T.); +#501=ORIENTED_EDGE('',*,*,#2829,.F.); +#502=ORIENTED_EDGE('',*,*,#2830,.F.); +#503=ORIENTED_EDGE('',*,*,#2831,.F.); +#504=ORIENTED_EDGE('',*,*,#2832,.T.); +#505=ORIENTED_EDGE('',*,*,#2833,.F.); +#506=ORIENTED_EDGE('',*,*,#2834,.F.); +#507=ORIENTED_EDGE('',*,*,#2835,.F.); +#508=ORIENTED_EDGE('',*,*,#2836,.T.); +#509=ORIENTED_EDGE('',*,*,#2837,.T.); +#510=ORIENTED_EDGE('',*,*,#2838,.F.); +#511=ORIENTED_EDGE('',*,*,#2839,.F.); +#512=ORIENTED_EDGE('',*,*,#2840,.F.); +#513=ORIENTED_EDGE('',*,*,#2841,.F.); +#514=ORIENTED_EDGE('',*,*,#2808,.T.); +#515=ORIENTED_EDGE('',*,*,#2842,.F.); +#516=ORIENTED_EDGE('',*,*,#2814,.T.); +#517=ORIENTED_EDGE('',*,*,#2843,.F.); +#518=ORIENTED_EDGE('',*,*,#2844,.F.); +#519=ORIENTED_EDGE('',*,*,#2845,.F.); +#520=ORIENTED_EDGE('',*,*,#2818,.T.); +#521=ORIENTED_EDGE('',*,*,#2846,.F.); +#522=ORIENTED_EDGE('',*,*,#2847,.F.); +#523=ORIENTED_EDGE('',*,*,#2848,.F.); +#524=ORIENTED_EDGE('',*,*,#2822,.T.); +#525=ORIENTED_EDGE('',*,*,#2849,.F.); +#526=ORIENTED_EDGE('',*,*,#2850,.F.); +#527=ORIENTED_EDGE('',*,*,#2851,.F.); +#528=ORIENTED_EDGE('',*,*,#2826,.T.); +#529=ORIENTED_EDGE('',*,*,#2852,.F.); +#530=ORIENTED_EDGE('',*,*,#2853,.F.); +#531=ORIENTED_EDGE('',*,*,#2854,.F.); +#532=ORIENTED_EDGE('',*,*,#2830,.T.); +#533=ORIENTED_EDGE('',*,*,#2855,.F.); +#534=ORIENTED_EDGE('',*,*,#2856,.F.); +#535=ORIENTED_EDGE('',*,*,#2857,.F.); +#536=ORIENTED_EDGE('',*,*,#2834,.T.); +#537=ORIENTED_EDGE('',*,*,#2858,.F.); +#538=ORIENTED_EDGE('',*,*,#2859,.F.); +#539=ORIENTED_EDGE('',*,*,#2860,.F.); +#540=ORIENTED_EDGE('',*,*,#2838,.T.); +#541=ORIENTED_EDGE('',*,*,#2861,.T.); +#542=ORIENTED_EDGE('',*,*,#2862,.F.); +#543=ORIENTED_EDGE('',*,*,#2863,.T.); +#544=ORIENTED_EDGE('',*,*,#2864,.F.); +#545=ORIENTED_EDGE('',*,*,#2865,.F.); +#546=ORIENTED_EDGE('',*,*,#2840,.T.); +#547=ORIENTED_EDGE('',*,*,#2866,.F.); +#548=ORIENTED_EDGE('',*,*,#2844,.T.); +#549=ORIENTED_EDGE('',*,*,#2867,.T.); +#550=ORIENTED_EDGE('',*,*,#2868,.F.); +#551=ORIENTED_EDGE('',*,*,#2869,.F.); +#552=ORIENTED_EDGE('',*,*,#2847,.T.); +#553=ORIENTED_EDGE('',*,*,#2870,.T.); +#554=ORIENTED_EDGE('',*,*,#2871,.F.); +#555=ORIENTED_EDGE('',*,*,#2872,.F.); +#556=ORIENTED_EDGE('',*,*,#2850,.T.); +#557=ORIENTED_EDGE('',*,*,#2873,.T.); +#558=ORIENTED_EDGE('',*,*,#2874,.F.); +#559=ORIENTED_EDGE('',*,*,#2875,.F.); +#560=ORIENTED_EDGE('',*,*,#2853,.T.); +#561=ORIENTED_EDGE('',*,*,#2876,.T.); +#562=ORIENTED_EDGE('',*,*,#2877,.F.); +#563=ORIENTED_EDGE('',*,*,#2878,.F.); +#564=ORIENTED_EDGE('',*,*,#2856,.T.); +#565=ORIENTED_EDGE('',*,*,#2879,.T.); +#566=ORIENTED_EDGE('',*,*,#2880,.F.); +#567=ORIENTED_EDGE('',*,*,#2881,.F.); +#568=ORIENTED_EDGE('',*,*,#2859,.T.); +#569=ORIENTED_EDGE('',*,*,#2882,.T.); +#570=ORIENTED_EDGE('',*,*,#2883,.F.); +#571=ORIENTED_EDGE('',*,*,#2884,.F.); +#572=ORIENTED_EDGE('',*,*,#2862,.T.); +#573=ORIENTED_EDGE('',*,*,#2885,.T.); +#574=ORIENTED_EDGE('',*,*,#2886,.F.); +#575=ORIENTED_EDGE('',*,*,#2887,.F.); +#576=ORIENTED_EDGE('',*,*,#2888,.F.); +#577=ORIENTED_EDGE('',*,*,#2889,.F.); +#578=ORIENTED_EDGE('',*,*,#2864,.T.); +#579=ORIENTED_EDGE('',*,*,#2890,.F.); +#580=ORIENTED_EDGE('',*,*,#2868,.T.); +#581=ORIENTED_EDGE('',*,*,#2891,.F.); +#582=ORIENTED_EDGE('',*,*,#2892,.F.); +#583=ORIENTED_EDGE('',*,*,#2893,.F.); +#584=ORIENTED_EDGE('',*,*,#2871,.T.); +#585=ORIENTED_EDGE('',*,*,#2894,.F.); +#586=ORIENTED_EDGE('',*,*,#2895,.F.); +#587=ORIENTED_EDGE('',*,*,#2896,.F.); +#588=ORIENTED_EDGE('',*,*,#2874,.T.); +#589=ORIENTED_EDGE('',*,*,#2897,.F.); +#590=ORIENTED_EDGE('',*,*,#2898,.F.); +#591=ORIENTED_EDGE('',*,*,#2899,.F.); +#592=ORIENTED_EDGE('',*,*,#2877,.T.); +#593=ORIENTED_EDGE('',*,*,#2900,.F.); +#594=ORIENTED_EDGE('',*,*,#2901,.F.); +#595=ORIENTED_EDGE('',*,*,#2902,.F.); +#596=ORIENTED_EDGE('',*,*,#2880,.T.); +#597=ORIENTED_EDGE('',*,*,#2903,.F.); +#598=ORIENTED_EDGE('',*,*,#2904,.F.); +#599=ORIENTED_EDGE('',*,*,#2905,.F.); +#600=ORIENTED_EDGE('',*,*,#2883,.T.); +#601=ORIENTED_EDGE('',*,*,#2906,.F.); +#602=ORIENTED_EDGE('',*,*,#2907,.F.); +#603=ORIENTED_EDGE('',*,*,#2908,.F.); +#604=ORIENTED_EDGE('',*,*,#2886,.T.); +#605=ORIENTED_EDGE('',*,*,#2909,.T.); +#606=ORIENTED_EDGE('',*,*,#2910,.F.); +#607=ORIENTED_EDGE('',*,*,#2888,.T.); +#608=ORIENTED_EDGE('',*,*,#2911,.T.); +#609=ORIENTED_EDGE('',*,*,#2912,.F.); +#610=ORIENTED_EDGE('',*,*,#2913,.T.); +#611=ORIENTED_EDGE('',*,*,#2914,.F.); +#612=ORIENTED_EDGE('',*,*,#2915,.T.); +#613=ORIENTED_EDGE('',*,*,#2892,.T.); +#614=ORIENTED_EDGE('',*,*,#2916,.T.); +#615=ORIENTED_EDGE('',*,*,#2917,.F.); +#616=ORIENTED_EDGE('',*,*,#2918,.T.); +#617=ORIENTED_EDGE('',*,*,#2895,.T.); +#618=ORIENTED_EDGE('',*,*,#2919,.T.); +#619=ORIENTED_EDGE('',*,*,#2920,.F.); +#620=ORIENTED_EDGE('',*,*,#2921,.T.); +#621=ORIENTED_EDGE('',*,*,#2898,.T.); +#622=ORIENTED_EDGE('',*,*,#2922,.T.); +#623=ORIENTED_EDGE('',*,*,#2923,.F.); +#624=ORIENTED_EDGE('',*,*,#2924,.T.); +#625=ORIENTED_EDGE('',*,*,#2901,.T.); +#626=ORIENTED_EDGE('',*,*,#2925,.T.); +#627=ORIENTED_EDGE('',*,*,#2926,.F.); +#628=ORIENTED_EDGE('',*,*,#2927,.T.); +#629=ORIENTED_EDGE('',*,*,#2904,.T.); +#630=ORIENTED_EDGE('',*,*,#2928,.T.); +#631=ORIENTED_EDGE('',*,*,#2929,.F.); +#632=ORIENTED_EDGE('',*,*,#2930,.T.); +#633=ORIENTED_EDGE('',*,*,#2907,.T.); +#634=ORIENTED_EDGE('',*,*,#2931,.T.); +#635=ORIENTED_EDGE('',*,*,#2932,.F.); +#636=ORIENTED_EDGE('',*,*,#2933,.T.); +#637=ORIENTED_EDGE('',*,*,#2910,.T.); +#638=ORIENTED_EDGE('',*,*,#2934,.F.); +#639=ORIENTED_EDGE('',*,*,#2935,.F.); +#640=ORIENTED_EDGE('',*,*,#2936,.F.); +#641=ORIENTED_EDGE('',*,*,#2937,.F.); +#642=ORIENTED_EDGE('',*,*,#2912,.T.); +#643=ORIENTED_EDGE('',*,*,#2938,.F.); +#644=ORIENTED_EDGE('',*,*,#2914,.T.); +#645=ORIENTED_EDGE('',*,*,#2939,.F.); +#646=ORIENTED_EDGE('',*,*,#2940,.F.); +#647=ORIENTED_EDGE('',*,*,#2941,.F.); +#648=ORIENTED_EDGE('',*,*,#2917,.T.); +#649=ORIENTED_EDGE('',*,*,#2942,.F.); +#650=ORIENTED_EDGE('',*,*,#2943,.F.); +#651=ORIENTED_EDGE('',*,*,#2944,.F.); +#652=ORIENTED_EDGE('',*,*,#2920,.T.); +#653=ORIENTED_EDGE('',*,*,#2945,.F.); +#654=ORIENTED_EDGE('',*,*,#2946,.F.); +#655=ORIENTED_EDGE('',*,*,#2947,.F.); +#656=ORIENTED_EDGE('',*,*,#2923,.T.); +#657=ORIENTED_EDGE('',*,*,#2948,.F.); +#658=ORIENTED_EDGE('',*,*,#2949,.F.); +#659=ORIENTED_EDGE('',*,*,#2950,.F.); +#660=ORIENTED_EDGE('',*,*,#2926,.T.); +#661=ORIENTED_EDGE('',*,*,#2951,.F.); +#662=ORIENTED_EDGE('',*,*,#2952,.F.); +#663=ORIENTED_EDGE('',*,*,#2953,.F.); +#664=ORIENTED_EDGE('',*,*,#2929,.T.); +#665=ORIENTED_EDGE('',*,*,#2954,.F.); +#666=ORIENTED_EDGE('',*,*,#2955,.F.); +#667=ORIENTED_EDGE('',*,*,#2956,.F.); +#668=ORIENTED_EDGE('',*,*,#2932,.T.); +#669=ORIENTED_EDGE('',*,*,#2957,.T.); +#670=ORIENTED_EDGE('',*,*,#2958,.F.); +#671=ORIENTED_EDGE('',*,*,#2959,.F.); +#672=ORIENTED_EDGE('',*,*,#2960,.F.); +#673=ORIENTED_EDGE('',*,*,#2961,.F.); +#674=ORIENTED_EDGE('',*,*,#2936,.T.); +#675=ORIENTED_EDGE('',*,*,#2962,.F.); +#676=ORIENTED_EDGE('',*,*,#2940,.T.); +#677=ORIENTED_EDGE('',*,*,#2963,.F.); +#678=ORIENTED_EDGE('',*,*,#2964,.F.); +#679=ORIENTED_EDGE('',*,*,#2965,.F.); +#680=ORIENTED_EDGE('',*,*,#2943,.T.); +#681=ORIENTED_EDGE('',*,*,#2966,.F.); +#682=ORIENTED_EDGE('',*,*,#2967,.F.); +#683=ORIENTED_EDGE('',*,*,#2968,.F.); +#684=ORIENTED_EDGE('',*,*,#2946,.T.); +#685=ORIENTED_EDGE('',*,*,#2969,.F.); +#686=ORIENTED_EDGE('',*,*,#2970,.F.); +#687=ORIENTED_EDGE('',*,*,#2971,.F.); +#688=ORIENTED_EDGE('',*,*,#2949,.T.); +#689=ORIENTED_EDGE('',*,*,#2972,.F.); +#690=ORIENTED_EDGE('',*,*,#2973,.F.); +#691=ORIENTED_EDGE('',*,*,#2974,.F.); +#692=ORIENTED_EDGE('',*,*,#2952,.T.); +#693=ORIENTED_EDGE('',*,*,#2975,.F.); +#694=ORIENTED_EDGE('',*,*,#2976,.F.); +#695=ORIENTED_EDGE('',*,*,#2977,.F.); +#696=ORIENTED_EDGE('',*,*,#2955,.T.); +#697=ORIENTED_EDGE('',*,*,#2978,.F.); +#698=ORIENTED_EDGE('',*,*,#2979,.F.); +#699=ORIENTED_EDGE('',*,*,#2980,.F.); +#700=ORIENTED_EDGE('',*,*,#2958,.T.); +#701=ORIENTED_EDGE('',*,*,#2981,.T.); +#702=ORIENTED_EDGE('',*,*,#2982,.F.); +#703=ORIENTED_EDGE('',*,*,#2983,.F.); +#704=ORIENTED_EDGE('',*,*,#2984,.T.); +#705=ORIENTED_EDGE('',*,*,#2599,.F.); +#706=ORIENTED_EDGE('',*,*,#2985,.F.); +#707=ORIENTED_EDGE('',*,*,#2960,.T.); +#708=ORIENTED_EDGE('',*,*,#2986,.F.); +#709=ORIENTED_EDGE('',*,*,#2987,.F.); +#710=ORIENTED_EDGE('',*,*,#2988,.F.); +#711=ORIENTED_EDGE('',*,*,#2964,.T.); +#712=ORIENTED_EDGE('',*,*,#2989,.F.); +#713=ORIENTED_EDGE('',*,*,#2990,.F.); +#714=ORIENTED_EDGE('',*,*,#2991,.F.); +#715=ORIENTED_EDGE('',*,*,#2967,.T.); +#716=ORIENTED_EDGE('',*,*,#2992,.F.); +#717=ORIENTED_EDGE('',*,*,#2993,.F.); +#718=ORIENTED_EDGE('',*,*,#2994,.F.); +#719=ORIENTED_EDGE('',*,*,#2970,.T.); +#720=ORIENTED_EDGE('',*,*,#2995,.F.); +#721=ORIENTED_EDGE('',*,*,#2996,.F.); +#722=ORIENTED_EDGE('',*,*,#2997,.F.); +#723=ORIENTED_EDGE('',*,*,#2973,.T.); +#724=ORIENTED_EDGE('',*,*,#2998,.F.); +#725=ORIENTED_EDGE('',*,*,#2999,.F.); +#726=ORIENTED_EDGE('',*,*,#3000,.F.); +#727=ORIENTED_EDGE('',*,*,#2976,.T.); +#728=ORIENTED_EDGE('',*,*,#3001,.F.); +#729=ORIENTED_EDGE('',*,*,#3002,.F.); +#730=ORIENTED_EDGE('',*,*,#3003,.F.); +#731=ORIENTED_EDGE('',*,*,#2979,.T.); +#732=ORIENTED_EDGE('',*,*,#3004,.F.); +#733=ORIENTED_EDGE('',*,*,#3005,.F.); +#734=ORIENTED_EDGE('',*,*,#3006,.F.); +#735=ORIENTED_EDGE('',*,*,#2982,.T.); +#736=ORIENTED_EDGE('',*,*,#3007,.T.); +#737=ORIENTED_EDGE('',*,*,#2629,.F.); +#738=ORIENTED_EDGE('',*,*,#3008,.T.); +#739=ORIENTED_EDGE('',*,*,#3009,.F.); +#740=ORIENTED_EDGE('',*,*,#3010,.T.); +#741=ORIENTED_EDGE('',*,*,#3011,.F.); +#742=ORIENTED_EDGE('',*,*,#3012,.T.); +#743=ORIENTED_EDGE('',*,*,#3013,.F.); +#744=ORIENTED_EDGE('',*,*,#3014,.T.); +#745=ORIENTED_EDGE('',*,*,#2625,.F.); +#746=ORIENTED_EDGE('',*,*,#3015,.T.); +#747=ORIENTED_EDGE('',*,*,#3016,.F.); +#748=ORIENTED_EDGE('',*,*,#3017,.T.); +#749=ORIENTED_EDGE('',*,*,#2621,.F.); +#750=ORIENTED_EDGE('',*,*,#3018,.T.); +#751=ORIENTED_EDGE('',*,*,#3019,.F.); +#752=ORIENTED_EDGE('',*,*,#3020,.T.); +#753=ORIENTED_EDGE('',*,*,#2617,.F.); +#754=ORIENTED_EDGE('',*,*,#3021,.T.); +#755=ORIENTED_EDGE('',*,*,#3022,.F.); +#756=ORIENTED_EDGE('',*,*,#3023,.T.); +#757=ORIENTED_EDGE('',*,*,#2613,.F.); +#758=ORIENTED_EDGE('',*,*,#3024,.T.); +#759=ORIENTED_EDGE('',*,*,#3025,.F.); +#760=ORIENTED_EDGE('',*,*,#3026,.T.); +#761=ORIENTED_EDGE('',*,*,#2609,.F.); +#762=ORIENTED_EDGE('',*,*,#3027,.T.); +#763=ORIENTED_EDGE('',*,*,#3028,.F.); +#764=ORIENTED_EDGE('',*,*,#3029,.T.); +#765=ORIENTED_EDGE('',*,*,#2605,.F.); +#766=ORIENTED_EDGE('',*,*,#3030,.T.); +#767=ORIENTED_EDGE('',*,*,#3031,.F.); +#768=ORIENTED_EDGE('',*,*,#3032,.F.); +#769=ORIENTED_EDGE('',*,*,#3033,.F.); +#770=ORIENTED_EDGE('',*,*,#3034,.T.); +#771=ORIENTED_EDGE('',*,*,#3035,.F.); +#772=ORIENTED_EDGE('',*,*,#3036,.T.); +#773=ORIENTED_EDGE('',*,*,#3037,.F.); +#774=ORIENTED_EDGE('',*,*,#3038,.F.); +#775=ORIENTED_EDGE('',*,*,#3039,.F.); +#776=ORIENTED_EDGE('',*,*,#3040,.T.); +#777=ORIENTED_EDGE('',*,*,#3041,.F.); +#778=ORIENTED_EDGE('',*,*,#3042,.F.); +#779=ORIENTED_EDGE('',*,*,#3043,.F.); +#780=ORIENTED_EDGE('',*,*,#3044,.T.); +#781=ORIENTED_EDGE('',*,*,#3045,.F.); +#782=ORIENTED_EDGE('',*,*,#3046,.F.); +#783=ORIENTED_EDGE('',*,*,#3047,.F.); +#784=ORIENTED_EDGE('',*,*,#3048,.T.); +#785=ORIENTED_EDGE('',*,*,#3049,.F.); +#786=ORIENTED_EDGE('',*,*,#3050,.F.); +#787=ORIENTED_EDGE('',*,*,#3051,.F.); +#788=ORIENTED_EDGE('',*,*,#3052,.T.); +#789=ORIENTED_EDGE('',*,*,#3053,.F.); +#790=ORIENTED_EDGE('',*,*,#3054,.F.); +#791=ORIENTED_EDGE('',*,*,#3055,.F.); +#792=ORIENTED_EDGE('',*,*,#3056,.T.); +#793=ORIENTED_EDGE('',*,*,#3057,.F.); +#794=ORIENTED_EDGE('',*,*,#3058,.F.); +#795=ORIENTED_EDGE('',*,*,#3059,.F.); +#796=ORIENTED_EDGE('',*,*,#3060,.T.); +#797=ORIENTED_EDGE('',*,*,#3061,.T.); +#798=ORIENTED_EDGE('',*,*,#3062,.F.); +#799=ORIENTED_EDGE('',*,*,#3032,.T.); +#800=ORIENTED_EDGE('',*,*,#3063,.T.); +#801=ORIENTED_EDGE('',*,*,#3064,.F.); +#802=ORIENTED_EDGE('',*,*,#3065,.T.); +#803=ORIENTED_EDGE('',*,*,#3066,.F.); +#804=ORIENTED_EDGE('',*,*,#3067,.T.); +#805=ORIENTED_EDGE('',*,*,#3038,.T.); +#806=ORIENTED_EDGE('',*,*,#3068,.T.); +#807=ORIENTED_EDGE('',*,*,#3069,.F.); +#808=ORIENTED_EDGE('',*,*,#3070,.T.); +#809=ORIENTED_EDGE('',*,*,#3042,.T.); +#810=ORIENTED_EDGE('',*,*,#3071,.T.); +#811=ORIENTED_EDGE('',*,*,#3072,.F.); +#812=ORIENTED_EDGE('',*,*,#3073,.T.); +#813=ORIENTED_EDGE('',*,*,#3046,.T.); +#814=ORIENTED_EDGE('',*,*,#3074,.T.); +#815=ORIENTED_EDGE('',*,*,#3075,.F.); +#816=ORIENTED_EDGE('',*,*,#3076,.T.); +#817=ORIENTED_EDGE('',*,*,#3050,.T.); +#818=ORIENTED_EDGE('',*,*,#3077,.T.); +#819=ORIENTED_EDGE('',*,*,#3078,.F.); +#820=ORIENTED_EDGE('',*,*,#3079,.T.); +#821=ORIENTED_EDGE('',*,*,#3054,.T.); +#822=ORIENTED_EDGE('',*,*,#3080,.T.); +#823=ORIENTED_EDGE('',*,*,#3081,.F.); +#824=ORIENTED_EDGE('',*,*,#3082,.T.); +#825=ORIENTED_EDGE('',*,*,#3058,.T.); +#826=ORIENTED_EDGE('',*,*,#3083,.T.); +#827=ORIENTED_EDGE('',*,*,#3084,.F.); +#828=ORIENTED_EDGE('',*,*,#3085,.T.); +#829=ORIENTED_EDGE('',*,*,#3062,.T.); +#830=ORIENTED_EDGE('',*,*,#3086,.F.); +#831=ORIENTED_EDGE('',*,*,#3087,.F.); +#832=ORIENTED_EDGE('',*,*,#2810,.F.); +#833=ORIENTED_EDGE('',*,*,#3088,.F.); +#834=ORIENTED_EDGE('',*,*,#3064,.T.); +#835=ORIENTED_EDGE('',*,*,#3089,.F.); +#836=ORIENTED_EDGE('',*,*,#3066,.T.); +#837=ORIENTED_EDGE('',*,*,#3090,.F.); +#838=ORIENTED_EDGE('',*,*,#2812,.F.); +#839=ORIENTED_EDGE('',*,*,#3091,.F.); +#840=ORIENTED_EDGE('',*,*,#3069,.T.); +#841=ORIENTED_EDGE('',*,*,#3092,.F.); +#842=ORIENTED_EDGE('',*,*,#2816,.F.); +#843=ORIENTED_EDGE('',*,*,#3093,.F.); +#844=ORIENTED_EDGE('',*,*,#3072,.T.); +#845=ORIENTED_EDGE('',*,*,#3094,.F.); +#846=ORIENTED_EDGE('',*,*,#2820,.F.); +#847=ORIENTED_EDGE('',*,*,#3095,.F.); +#848=ORIENTED_EDGE('',*,*,#3075,.T.); +#849=ORIENTED_EDGE('',*,*,#3096,.F.); +#850=ORIENTED_EDGE('',*,*,#2824,.F.); +#851=ORIENTED_EDGE('',*,*,#3097,.F.); +#852=ORIENTED_EDGE('',*,*,#3078,.T.); +#853=ORIENTED_EDGE('',*,*,#3098,.F.); +#854=ORIENTED_EDGE('',*,*,#2828,.F.); +#855=ORIENTED_EDGE('',*,*,#3099,.F.); +#856=ORIENTED_EDGE('',*,*,#3081,.T.); +#857=ORIENTED_EDGE('',*,*,#3100,.F.); +#858=ORIENTED_EDGE('',*,*,#2832,.F.); +#859=ORIENTED_EDGE('',*,*,#3101,.F.); +#860=ORIENTED_EDGE('',*,*,#3084,.T.); +#861=ORIENTED_EDGE('',*,*,#3102,.T.); +#862=ORIENTED_EDGE('',*,*,#2836,.F.); +#863=ORIENTED_EDGE('',*,*,#3103,.F.); +#864=ORIENTED_EDGE('',*,*,#3104,.T.); +#865=ORIENTED_EDGE('',*,*,#3105,.F.); +#866=ORIENTED_EDGE('',*,*,#3106,.T.); +#867=ORIENTED_EDGE('',*,*,#3107,.F.); +#868=ORIENTED_EDGE('',*,*,#3108,.T.); +#869=ORIENTED_EDGE('',*,*,#3109,.F.); +#870=ORIENTED_EDGE('',*,*,#3110,.T.); +#871=ORIENTED_EDGE('',*,*,#3111,.F.); +#872=ORIENTED_EDGE('',*,*,#3112,.T.); +#873=ORIENTED_EDGE('',*,*,#3113,.F.); +#874=ORIENTED_EDGE('',*,*,#3114,.T.); +#875=ORIENTED_EDGE('',*,*,#3115,.F.); +#876=ORIENTED_EDGE('',*,*,#3116,.T.); +#877=ORIENTED_EDGE('',*,*,#3117,.F.); +#878=ORIENTED_EDGE('',*,*,#3118,.T.); +#879=ORIENTED_EDGE('',*,*,#3119,.F.); +#880=ORIENTED_EDGE('',*,*,#3120,.T.); +#881=ORIENTED_EDGE('',*,*,#3121,.F.); +#882=ORIENTED_EDGE('',*,*,#3122,.T.); +#883=ORIENTED_EDGE('',*,*,#3123,.F.); +#884=ORIENTED_EDGE('',*,*,#3124,.T.); +#885=ORIENTED_EDGE('',*,*,#3125,.F.); +#886=ORIENTED_EDGE('',*,*,#3126,.T.); +#887=ORIENTED_EDGE('',*,*,#3127,.F.); +#888=ORIENTED_EDGE('',*,*,#3128,.T.); +#889=ORIENTED_EDGE('',*,*,#3129,.F.); +#890=ORIENTED_EDGE('',*,*,#3130,.T.); +#891=ORIENTED_EDGE('',*,*,#3131,.F.); +#892=ORIENTED_EDGE('',*,*,#3132,.T.); +#893=ORIENTED_EDGE('',*,*,#3133,.T.); +#894=ORIENTED_EDGE('',*,*,#3134,.T.); +#895=ORIENTED_EDGE('',*,*,#3135,.F.); +#896=ORIENTED_EDGE('',*,*,#3136,.F.); +#897=ORIENTED_EDGE('',*,*,#3137,.F.); +#898=ORIENTED_EDGE('',*,*,#3138,.T.); +#899=ORIENTED_EDGE('',*,*,#3139,.F.); +#900=ORIENTED_EDGE('',*,*,#3140,.T.); +#901=ORIENTED_EDGE('',*,*,#3141,.F.); +#902=ORIENTED_EDGE('',*,*,#3142,.F.); +#903=ORIENTED_EDGE('',*,*,#3143,.F.); +#904=ORIENTED_EDGE('',*,*,#3144,.T.); +#905=ORIENTED_EDGE('',*,*,#3145,.F.); +#906=ORIENTED_EDGE('',*,*,#3146,.F.); +#907=ORIENTED_EDGE('',*,*,#3147,.F.); +#908=ORIENTED_EDGE('',*,*,#3148,.T.); +#909=ORIENTED_EDGE('',*,*,#3149,.F.); +#910=ORIENTED_EDGE('',*,*,#3150,.F.); +#911=ORIENTED_EDGE('',*,*,#3151,.F.); +#912=ORIENTED_EDGE('',*,*,#3152,.T.); +#913=ORIENTED_EDGE('',*,*,#3153,.F.); +#914=ORIENTED_EDGE('',*,*,#3154,.F.); +#915=ORIENTED_EDGE('',*,*,#3155,.F.); +#916=ORIENTED_EDGE('',*,*,#3156,.T.); +#917=ORIENTED_EDGE('',*,*,#3157,.F.); +#918=ORIENTED_EDGE('',*,*,#3158,.F.); +#919=ORIENTED_EDGE('',*,*,#3159,.F.); +#920=ORIENTED_EDGE('',*,*,#3160,.T.); +#921=ORIENTED_EDGE('',*,*,#3161,.F.); +#922=ORIENTED_EDGE('',*,*,#3162,.F.); +#923=ORIENTED_EDGE('',*,*,#3163,.F.); +#924=ORIENTED_EDGE('',*,*,#3164,.T.); +#925=ORIENTED_EDGE('',*,*,#3165,.T.); +#926=ORIENTED_EDGE('',*,*,#3166,.F.); +#927=ORIENTED_EDGE('',*,*,#3167,.F.); +#928=ORIENTED_EDGE('',*,*,#3168,.F.); +#929=ORIENTED_EDGE('',*,*,#3169,.F.); +#930=ORIENTED_EDGE('',*,*,#3136,.T.); +#931=ORIENTED_EDGE('',*,*,#3170,.F.); +#932=ORIENTED_EDGE('',*,*,#3142,.T.); +#933=ORIENTED_EDGE('',*,*,#3171,.F.); +#934=ORIENTED_EDGE('',*,*,#3172,.F.); +#935=ORIENTED_EDGE('',*,*,#3173,.F.); +#936=ORIENTED_EDGE('',*,*,#3146,.T.); +#937=ORIENTED_EDGE('',*,*,#3174,.F.); +#938=ORIENTED_EDGE('',*,*,#3175,.F.); +#939=ORIENTED_EDGE('',*,*,#3176,.F.); +#940=ORIENTED_EDGE('',*,*,#3150,.T.); +#941=ORIENTED_EDGE('',*,*,#3177,.F.); +#942=ORIENTED_EDGE('',*,*,#3178,.F.); +#943=ORIENTED_EDGE('',*,*,#3179,.F.); +#944=ORIENTED_EDGE('',*,*,#3154,.T.); +#945=ORIENTED_EDGE('',*,*,#3180,.F.); +#946=ORIENTED_EDGE('',*,*,#3181,.F.); +#947=ORIENTED_EDGE('',*,*,#3182,.F.); +#948=ORIENTED_EDGE('',*,*,#3158,.T.); +#949=ORIENTED_EDGE('',*,*,#3183,.F.); +#950=ORIENTED_EDGE('',*,*,#3184,.F.); +#951=ORIENTED_EDGE('',*,*,#3185,.F.); +#952=ORIENTED_EDGE('',*,*,#3162,.T.); +#953=ORIENTED_EDGE('',*,*,#3186,.F.); +#954=ORIENTED_EDGE('',*,*,#3187,.F.); +#955=ORIENTED_EDGE('',*,*,#3188,.F.); +#956=ORIENTED_EDGE('',*,*,#3166,.T.); +#957=ORIENTED_EDGE('',*,*,#3189,.T.); +#958=ORIENTED_EDGE('',*,*,#3190,.F.); +#959=ORIENTED_EDGE('',*,*,#3191,.F.); +#960=ORIENTED_EDGE('',*,*,#3192,.F.); +#961=ORIENTED_EDGE('',*,*,#3193,.F.); +#962=ORIENTED_EDGE('',*,*,#3168,.T.); +#963=ORIENTED_EDGE('',*,*,#3194,.F.); +#964=ORIENTED_EDGE('',*,*,#3172,.T.); +#965=ORIENTED_EDGE('',*,*,#3195,.F.); +#966=ORIENTED_EDGE('',*,*,#3196,.F.); +#967=ORIENTED_EDGE('',*,*,#3197,.F.); +#968=ORIENTED_EDGE('',*,*,#3175,.T.); +#969=ORIENTED_EDGE('',*,*,#3198,.F.); +#970=ORIENTED_EDGE('',*,*,#3199,.F.); +#971=ORIENTED_EDGE('',*,*,#3200,.F.); +#972=ORIENTED_EDGE('',*,*,#3178,.T.); +#973=ORIENTED_EDGE('',*,*,#3201,.F.); +#974=ORIENTED_EDGE('',*,*,#3202,.F.); +#975=ORIENTED_EDGE('',*,*,#3203,.F.); +#976=ORIENTED_EDGE('',*,*,#3181,.T.); +#977=ORIENTED_EDGE('',*,*,#3204,.F.); +#978=ORIENTED_EDGE('',*,*,#3205,.F.); +#979=ORIENTED_EDGE('',*,*,#3206,.F.); +#980=ORIENTED_EDGE('',*,*,#3184,.T.); +#981=ORIENTED_EDGE('',*,*,#3207,.F.); +#982=ORIENTED_EDGE('',*,*,#3208,.F.); +#983=ORIENTED_EDGE('',*,*,#3209,.F.); +#984=ORIENTED_EDGE('',*,*,#3187,.T.); +#985=ORIENTED_EDGE('',*,*,#3210,.F.); +#986=ORIENTED_EDGE('',*,*,#3211,.F.); +#987=ORIENTED_EDGE('',*,*,#3212,.F.); +#988=ORIENTED_EDGE('',*,*,#3190,.T.); +#989=ORIENTED_EDGE('',*,*,#3213,.T.); +#990=ORIENTED_EDGE('',*,*,#3214,.F.); +#991=ORIENTED_EDGE('',*,*,#3215,.F.); +#992=ORIENTED_EDGE('',*,*,#3216,.F.); +#993=ORIENTED_EDGE('',*,*,#3217,.F.); +#994=ORIENTED_EDGE('',*,*,#3192,.T.); +#995=ORIENTED_EDGE('',*,*,#3218,.F.); +#996=ORIENTED_EDGE('',*,*,#3196,.T.); +#997=ORIENTED_EDGE('',*,*,#3219,.F.); +#998=ORIENTED_EDGE('',*,*,#3220,.F.); +#999=ORIENTED_EDGE('',*,*,#3221,.F.); +#1000=ORIENTED_EDGE('',*,*,#3199,.T.); +#1001=ORIENTED_EDGE('',*,*,#3222,.F.); +#1002=ORIENTED_EDGE('',*,*,#3223,.F.); +#1003=ORIENTED_EDGE('',*,*,#3224,.F.); +#1004=ORIENTED_EDGE('',*,*,#3202,.T.); +#1005=ORIENTED_EDGE('',*,*,#3225,.F.); +#1006=ORIENTED_EDGE('',*,*,#3226,.F.); +#1007=ORIENTED_EDGE('',*,*,#3227,.F.); +#1008=ORIENTED_EDGE('',*,*,#3205,.T.); +#1009=ORIENTED_EDGE('',*,*,#3228,.F.); +#1010=ORIENTED_EDGE('',*,*,#3229,.F.); +#1011=ORIENTED_EDGE('',*,*,#3230,.F.); +#1012=ORIENTED_EDGE('',*,*,#3208,.T.); +#1013=ORIENTED_EDGE('',*,*,#3231,.F.); +#1014=ORIENTED_EDGE('',*,*,#3232,.F.); +#1015=ORIENTED_EDGE('',*,*,#3233,.F.); +#1016=ORIENTED_EDGE('',*,*,#3211,.T.); +#1017=ORIENTED_EDGE('',*,*,#3234,.F.); +#1018=ORIENTED_EDGE('',*,*,#3235,.F.); +#1019=ORIENTED_EDGE('',*,*,#3236,.F.); +#1020=ORIENTED_EDGE('',*,*,#3214,.T.); +#1021=ORIENTED_EDGE('',*,*,#3237,.T.); +#1022=ORIENTED_EDGE('',*,*,#3238,.F.); +#1023=ORIENTED_EDGE('',*,*,#3216,.T.); +#1024=ORIENTED_EDGE('',*,*,#3239,.T.); +#1025=ORIENTED_EDGE('',*,*,#3240,.F.); +#1026=ORIENTED_EDGE('',*,*,#3241,.T.); +#1027=ORIENTED_EDGE('',*,*,#3242,.F.); +#1028=ORIENTED_EDGE('',*,*,#3243,.T.); +#1029=ORIENTED_EDGE('',*,*,#3220,.T.); +#1030=ORIENTED_EDGE('',*,*,#3244,.T.); +#1031=ORIENTED_EDGE('',*,*,#3245,.F.); +#1032=ORIENTED_EDGE('',*,*,#3246,.T.); +#1033=ORIENTED_EDGE('',*,*,#3223,.T.); +#1034=ORIENTED_EDGE('',*,*,#3247,.T.); +#1035=ORIENTED_EDGE('',*,*,#3248,.F.); +#1036=ORIENTED_EDGE('',*,*,#3249,.T.); +#1037=ORIENTED_EDGE('',*,*,#3226,.T.); +#1038=ORIENTED_EDGE('',*,*,#3250,.T.); +#1039=ORIENTED_EDGE('',*,*,#3251,.F.); +#1040=ORIENTED_EDGE('',*,*,#3252,.T.); +#1041=ORIENTED_EDGE('',*,*,#3229,.T.); +#1042=ORIENTED_EDGE('',*,*,#3253,.T.); +#1043=ORIENTED_EDGE('',*,*,#3254,.F.); +#1044=ORIENTED_EDGE('',*,*,#3255,.T.); +#1045=ORIENTED_EDGE('',*,*,#3232,.T.); +#1046=ORIENTED_EDGE('',*,*,#3256,.T.); +#1047=ORIENTED_EDGE('',*,*,#3257,.F.); +#1048=ORIENTED_EDGE('',*,*,#3258,.T.); +#1049=ORIENTED_EDGE('',*,*,#3235,.T.); +#1050=ORIENTED_EDGE('',*,*,#3259,.T.); +#1051=ORIENTED_EDGE('',*,*,#3260,.F.); +#1052=ORIENTED_EDGE('',*,*,#3261,.T.); +#1053=ORIENTED_EDGE('',*,*,#3238,.T.); +#1054=ORIENTED_EDGE('',*,*,#3262,.F.); +#1055=ORIENTED_EDGE('',*,*,#3263,.F.); +#1056=ORIENTED_EDGE('',*,*,#3264,.F.); +#1057=ORIENTED_EDGE('',*,*,#3265,.F.); +#1058=ORIENTED_EDGE('',*,*,#3240,.T.); +#1059=ORIENTED_EDGE('',*,*,#3266,.F.); +#1060=ORIENTED_EDGE('',*,*,#3242,.T.); +#1061=ORIENTED_EDGE('',*,*,#3267,.F.); +#1062=ORIENTED_EDGE('',*,*,#3268,.F.); +#1063=ORIENTED_EDGE('',*,*,#3269,.F.); +#1064=ORIENTED_EDGE('',*,*,#3245,.T.); +#1065=ORIENTED_EDGE('',*,*,#3270,.F.); +#1066=ORIENTED_EDGE('',*,*,#3271,.F.); +#1067=ORIENTED_EDGE('',*,*,#3272,.F.); +#1068=ORIENTED_EDGE('',*,*,#3248,.T.); +#1069=ORIENTED_EDGE('',*,*,#3273,.F.); +#1070=ORIENTED_EDGE('',*,*,#3274,.F.); +#1071=ORIENTED_EDGE('',*,*,#3275,.F.); +#1072=ORIENTED_EDGE('',*,*,#3251,.T.); +#1073=ORIENTED_EDGE('',*,*,#3276,.F.); +#1074=ORIENTED_EDGE('',*,*,#3277,.F.); +#1075=ORIENTED_EDGE('',*,*,#3278,.F.); +#1076=ORIENTED_EDGE('',*,*,#3254,.T.); +#1077=ORIENTED_EDGE('',*,*,#3279,.F.); +#1078=ORIENTED_EDGE('',*,*,#3280,.F.); +#1079=ORIENTED_EDGE('',*,*,#3281,.F.); +#1080=ORIENTED_EDGE('',*,*,#3257,.T.); +#1081=ORIENTED_EDGE('',*,*,#3282,.F.); +#1082=ORIENTED_EDGE('',*,*,#3283,.F.); +#1083=ORIENTED_EDGE('',*,*,#3284,.F.); +#1084=ORIENTED_EDGE('',*,*,#3260,.T.); +#1085=ORIENTED_EDGE('',*,*,#3285,.T.); +#1086=ORIENTED_EDGE('',*,*,#3286,.F.); +#1087=ORIENTED_EDGE('',*,*,#3287,.F.); +#1088=ORIENTED_EDGE('',*,*,#3106,.F.); +#1089=ORIENTED_EDGE('',*,*,#3288,.F.); +#1090=ORIENTED_EDGE('',*,*,#3264,.T.); +#1091=ORIENTED_EDGE('',*,*,#3289,.F.); +#1092=ORIENTED_EDGE('',*,*,#3268,.T.); +#1093=ORIENTED_EDGE('',*,*,#3290,.F.); +#1094=ORIENTED_EDGE('',*,*,#3108,.F.); +#1095=ORIENTED_EDGE('',*,*,#3291,.F.); +#1096=ORIENTED_EDGE('',*,*,#3271,.T.); +#1097=ORIENTED_EDGE('',*,*,#3292,.F.); +#1098=ORIENTED_EDGE('',*,*,#3112,.F.); +#1099=ORIENTED_EDGE('',*,*,#3293,.F.); +#1100=ORIENTED_EDGE('',*,*,#3274,.T.); +#1101=ORIENTED_EDGE('',*,*,#3294,.F.); +#1102=ORIENTED_EDGE('',*,*,#3116,.F.); +#1103=ORIENTED_EDGE('',*,*,#3295,.F.); +#1104=ORIENTED_EDGE('',*,*,#3277,.T.); +#1105=ORIENTED_EDGE('',*,*,#3296,.F.); +#1106=ORIENTED_EDGE('',*,*,#3120,.F.); +#1107=ORIENTED_EDGE('',*,*,#3297,.F.); +#1108=ORIENTED_EDGE('',*,*,#3280,.T.); +#1109=ORIENTED_EDGE('',*,*,#3298,.F.); +#1110=ORIENTED_EDGE('',*,*,#3124,.F.); +#1111=ORIENTED_EDGE('',*,*,#3299,.F.); +#1112=ORIENTED_EDGE('',*,*,#3283,.T.); +#1113=ORIENTED_EDGE('',*,*,#3300,.F.); +#1114=ORIENTED_EDGE('',*,*,#3128,.F.); +#1115=ORIENTED_EDGE('',*,*,#3301,.F.); +#1116=ORIENTED_EDGE('',*,*,#3286,.T.); +#1117=ORIENTED_EDGE('',*,*,#3302,.T.); +#1118=ORIENTED_EDGE('',*,*,#3132,.F.); +#1119=ORIENTED_EDGE('',*,*,#3303,.F.); +#1120=ORIENTED_EDGE('',*,*,#3304,.T.); +#1121=ORIENTED_EDGE('',*,*,#2804,.T.); +#1122=ORIENTED_EDGE('',*,*,#3305,.T.); +#1123=ORIENTED_EDGE('',*,*,#3306,.F.); +#1124=ORIENTED_EDGE('',*,*,#3307,.F.); +#1125=ORIENTED_EDGE('',*,*,#3308,.F.); +#1126=ORIENTED_EDGE('',*,*,#3309,.F.); +#1127=ORIENTED_EDGE('',*,*,#3310,.F.); +#1128=ORIENTED_EDGE('',*,*,#3311,.F.); +#1129=ORIENTED_EDGE('',*,*,#3312,.F.); +#1130=ORIENTED_EDGE('',*,*,#3313,.F.); +#1131=ORIENTED_EDGE('',*,*,#3314,.F.); +#1132=ORIENTED_EDGE('',*,*,#3315,.F.); +#1133=ORIENTED_EDGE('',*,*,#3316,.F.); +#1134=ORIENTED_EDGE('',*,*,#3317,.F.); +#1135=ORIENTED_EDGE('',*,*,#3318,.F.); +#1136=ORIENTED_EDGE('',*,*,#3319,.F.); +#1137=ORIENTED_EDGE('',*,*,#3320,.F.); +#1138=ORIENTED_EDGE('',*,*,#3321,.F.); +#1139=ORIENTED_EDGE('',*,*,#3322,.F.); +#1140=ORIENTED_EDGE('',*,*,#3323,.F.); +#1141=ORIENTED_EDGE('',*,*,#3324,.F.); +#1142=ORIENTED_EDGE('',*,*,#3325,.F.); +#1143=ORIENTED_EDGE('',*,*,#3326,.F.); +#1144=ORIENTED_EDGE('',*,*,#3327,.F.); +#1145=ORIENTED_EDGE('',*,*,#3328,.F.); +#1146=ORIENTED_EDGE('',*,*,#3329,.F.); +#1147=ORIENTED_EDGE('',*,*,#3330,.F.); +#1148=ORIENTED_EDGE('',*,*,#3331,.F.); +#1149=ORIENTED_EDGE('',*,*,#3332,.F.); +#1150=ORIENTED_EDGE('',*,*,#3333,.F.); +#1151=ORIENTED_EDGE('',*,*,#3334,.F.); +#1152=ORIENTED_EDGE('',*,*,#3335,.F.); +#1153=ORIENTED_EDGE('',*,*,#2784,.T.); +#1154=ORIENTED_EDGE('',*,*,#3336,.T.); +#1155=ORIENTED_EDGE('',*,*,#3337,.F.); +#1156=ORIENTED_EDGE('',*,*,#3338,.T.); +#1157=ORIENTED_EDGE('',*,*,#2786,.T.); +#1158=ORIENTED_EDGE('',*,*,#3339,.T.); +#1159=ORIENTED_EDGE('',*,*,#3340,.F.); +#1160=ORIENTED_EDGE('',*,*,#3341,.T.); +#1161=ORIENTED_EDGE('',*,*,#2789,.T.); +#1162=ORIENTED_EDGE('',*,*,#3342,.T.); +#1163=ORIENTED_EDGE('',*,*,#3343,.F.); +#1164=ORIENTED_EDGE('',*,*,#3344,.T.); +#1165=ORIENTED_EDGE('',*,*,#2792,.T.); +#1166=ORIENTED_EDGE('',*,*,#3345,.T.); +#1167=ORIENTED_EDGE('',*,*,#3346,.F.); +#1168=ORIENTED_EDGE('',*,*,#3347,.T.); +#1169=ORIENTED_EDGE('',*,*,#2795,.T.); +#1170=ORIENTED_EDGE('',*,*,#3348,.T.); +#1171=ORIENTED_EDGE('',*,*,#3349,.F.); +#1172=ORIENTED_EDGE('',*,*,#3350,.T.); +#1173=ORIENTED_EDGE('',*,*,#2798,.T.); +#1174=ORIENTED_EDGE('',*,*,#3351,.T.); +#1175=ORIENTED_EDGE('',*,*,#3352,.F.); +#1176=ORIENTED_EDGE('',*,*,#3353,.T.); +#1177=ORIENTED_EDGE('',*,*,#2801,.T.); +#1178=ORIENTED_EDGE('',*,*,#3354,.T.); +#1179=ORIENTED_EDGE('',*,*,#3355,.F.); +#1180=ORIENTED_EDGE('',*,*,#3356,.T.); +#1181=ORIENTED_EDGE('',*,*,#3357,.T.); +#1182=ORIENTED_EDGE('',*,*,#3358,.T.); +#1183=ORIENTED_EDGE('',*,*,#3334,.T.); +#1184=ORIENTED_EDGE('',*,*,#3359,.T.); +#1185=ORIENTED_EDGE('',*,*,#3360,.F.); +#1186=ORIENTED_EDGE('',*,*,#3361,.T.); +#1187=ORIENTED_EDGE('',*,*,#3362,.F.); +#1188=ORIENTED_EDGE('',*,*,#3363,.T.); +#1189=ORIENTED_EDGE('',*,*,#3330,.T.); +#1190=ORIENTED_EDGE('',*,*,#3364,.T.); +#1191=ORIENTED_EDGE('',*,*,#3365,.F.); +#1192=ORIENTED_EDGE('',*,*,#3366,.T.); +#1193=ORIENTED_EDGE('',*,*,#3326,.T.); +#1194=ORIENTED_EDGE('',*,*,#3367,.T.); +#1195=ORIENTED_EDGE('',*,*,#3368,.F.); +#1196=ORIENTED_EDGE('',*,*,#3369,.T.); +#1197=ORIENTED_EDGE('',*,*,#3322,.T.); +#1198=ORIENTED_EDGE('',*,*,#3370,.T.); +#1199=ORIENTED_EDGE('',*,*,#3371,.F.); +#1200=ORIENTED_EDGE('',*,*,#3372,.T.); +#1201=ORIENTED_EDGE('',*,*,#3318,.T.); +#1202=ORIENTED_EDGE('',*,*,#3373,.T.); +#1203=ORIENTED_EDGE('',*,*,#3374,.F.); +#1204=ORIENTED_EDGE('',*,*,#3375,.T.); +#1205=ORIENTED_EDGE('',*,*,#3314,.T.); +#1206=ORIENTED_EDGE('',*,*,#3376,.T.); +#1207=ORIENTED_EDGE('',*,*,#3377,.F.); +#1208=ORIENTED_EDGE('',*,*,#3378,.T.); +#1209=ORIENTED_EDGE('',*,*,#3310,.T.); +#1210=ORIENTED_EDGE('',*,*,#3379,.T.); +#1211=ORIENTED_EDGE('',*,*,#3380,.F.); +#1212=ORIENTED_EDGE('',*,*,#3381,.T.); +#1213=ORIENTED_EDGE('',*,*,#3306,.T.); +#1214=ORIENTED_EDGE('',*,*,#3382,.F.); +#1215=ORIENTED_EDGE('',*,*,#3383,.F.); +#1216=ORIENTED_EDGE('',*,*,#3138,.F.); +#1217=ORIENTED_EDGE('',*,*,#3384,.F.); +#1218=ORIENTED_EDGE('',*,*,#3360,.T.); +#1219=ORIENTED_EDGE('',*,*,#3385,.F.); +#1220=ORIENTED_EDGE('',*,*,#3362,.T.); +#1221=ORIENTED_EDGE('',*,*,#3386,.F.); +#1222=ORIENTED_EDGE('',*,*,#3140,.F.); +#1223=ORIENTED_EDGE('',*,*,#3387,.F.); +#1224=ORIENTED_EDGE('',*,*,#3365,.T.); +#1225=ORIENTED_EDGE('',*,*,#3388,.F.); +#1226=ORIENTED_EDGE('',*,*,#3144,.F.); +#1227=ORIENTED_EDGE('',*,*,#3389,.F.); +#1228=ORIENTED_EDGE('',*,*,#3368,.T.); +#1229=ORIENTED_EDGE('',*,*,#3390,.F.); +#1230=ORIENTED_EDGE('',*,*,#3148,.F.); +#1231=ORIENTED_EDGE('',*,*,#3391,.F.); +#1232=ORIENTED_EDGE('',*,*,#3371,.T.); +#1233=ORIENTED_EDGE('',*,*,#3392,.F.); +#1234=ORIENTED_EDGE('',*,*,#3152,.F.); +#1235=ORIENTED_EDGE('',*,*,#3393,.F.); +#1236=ORIENTED_EDGE('',*,*,#3374,.T.); +#1237=ORIENTED_EDGE('',*,*,#3394,.F.); +#1238=ORIENTED_EDGE('',*,*,#3156,.F.); +#1239=ORIENTED_EDGE('',*,*,#3395,.F.); +#1240=ORIENTED_EDGE('',*,*,#3377,.T.); +#1241=ORIENTED_EDGE('',*,*,#3396,.F.); +#1242=ORIENTED_EDGE('',*,*,#3160,.F.); +#1243=ORIENTED_EDGE('',*,*,#3397,.F.); +#1244=ORIENTED_EDGE('',*,*,#3380,.T.); +#1245=ORIENTED_EDGE('',*,*,#3398,.T.); +#1246=ORIENTED_EDGE('',*,*,#3164,.F.); +#1247=ORIENTED_EDGE('',*,*,#3399,.F.); +#1248=ORIENTED_EDGE('',*,*,#3400,.T.); +#1249=ORIENTED_EDGE('',*,*,#3355,.T.); +#1250=ORIENTED_EDGE('',*,*,#3401,.T.); +#1251=ORIENTED_EDGE('',*,*,#2729,.F.); +#1252=ORIENTED_EDGE('',*,*,#3402,.T.); +#1253=ORIENTED_EDGE('',*,*,#3352,.T.); +#1254=ORIENTED_EDGE('',*,*,#3403,.T.); +#1255=ORIENTED_EDGE('',*,*,#2725,.F.); +#1256=ORIENTED_EDGE('',*,*,#3404,.T.); +#1257=ORIENTED_EDGE('',*,*,#3349,.T.); +#1258=ORIENTED_EDGE('',*,*,#3405,.T.); +#1259=ORIENTED_EDGE('',*,*,#2721,.F.); +#1260=ORIENTED_EDGE('',*,*,#3406,.T.); +#1261=ORIENTED_EDGE('',*,*,#3346,.T.); +#1262=ORIENTED_EDGE('',*,*,#3407,.T.); +#1263=ORIENTED_EDGE('',*,*,#2717,.F.); +#1264=ORIENTED_EDGE('',*,*,#3408,.T.); +#1265=ORIENTED_EDGE('',*,*,#3343,.T.); +#1266=ORIENTED_EDGE('',*,*,#3409,.T.); +#1267=ORIENTED_EDGE('',*,*,#2713,.F.); +#1268=ORIENTED_EDGE('',*,*,#3410,.T.); +#1269=ORIENTED_EDGE('',*,*,#3340,.T.); +#1270=ORIENTED_EDGE('',*,*,#3411,.T.); +#1271=ORIENTED_EDGE('',*,*,#2709,.F.); +#1272=ORIENTED_EDGE('',*,*,#3412,.T.); +#1273=ORIENTED_EDGE('',*,*,#3337,.T.); +#1274=ORIENTED_EDGE('',*,*,#3413,.T.); +#1275=ORIENTED_EDGE('',*,*,#2703,.F.); +#1276=ORIENTED_EDGE('',*,*,#3414,.F.); +#1277=ORIENTED_EDGE('',*,*,#2680,.T.); +#1278=ORIENTED_EDGE('',*,*,#3415,.T.); +#1279=ORIENTED_EDGE('',*,*,#2983,.T.); +#1280=ORIENTED_EDGE('',*,*,#3416,.T.); +#1281=ORIENTED_EDGE('',*,*,#2682,.T.); +#1282=ORIENTED_EDGE('',*,*,#3417,.T.); +#1283=ORIENTED_EDGE('',*,*,#3028,.T.); +#1284=ORIENTED_EDGE('',*,*,#3418,.T.); +#1285=ORIENTED_EDGE('',*,*,#2685,.T.); +#1286=ORIENTED_EDGE('',*,*,#3419,.T.); +#1287=ORIENTED_EDGE('',*,*,#3025,.T.); +#1288=ORIENTED_EDGE('',*,*,#3420,.T.); +#1289=ORIENTED_EDGE('',*,*,#2688,.T.); +#1290=ORIENTED_EDGE('',*,*,#3421,.T.); +#1291=ORIENTED_EDGE('',*,*,#3022,.T.); +#1292=ORIENTED_EDGE('',*,*,#3422,.T.); +#1293=ORIENTED_EDGE('',*,*,#2691,.T.); +#1294=ORIENTED_EDGE('',*,*,#3423,.T.); +#1295=ORIENTED_EDGE('',*,*,#3019,.T.); +#1296=ORIENTED_EDGE('',*,*,#3424,.T.); +#1297=ORIENTED_EDGE('',*,*,#2694,.T.); +#1298=ORIENTED_EDGE('',*,*,#3425,.T.); +#1299=ORIENTED_EDGE('',*,*,#3016,.T.); +#1300=ORIENTED_EDGE('',*,*,#3426,.T.); +#1301=ORIENTED_EDGE('',*,*,#2697,.T.); +#1302=ORIENTED_EDGE('',*,*,#3427,.T.); +#1303=ORIENTED_EDGE('',*,*,#3013,.T.); +#1304=ORIENTED_EDGE('',*,*,#3428,.T.); +#1305=ORIENTED_EDGE('',*,*,#3429,.T.); +#1306=ORIENTED_EDGE('',*,*,#3430,.T.); +#1307=ORIENTED_EDGE('',*,*,#3009,.T.); +#1308=ORIENTED_EDGE('',*,*,#3431,.T.); +#1309=ORIENTED_EDGE('',*,*,#2700,.T.); +#1310=ORIENTED_EDGE('',*,*,#3432,.T.); +#1311=ORIENTED_EDGE('',*,*,#2733,.F.); +#1312=ORIENTED_EDGE('',*,*,#3433,.T.); +#1313=ORIENTED_EDGE('',*,*,#3303,.T.); +#1314=ORIENTED_EDGE('',*,*,#3434,.T.); +#1315=ORIENTED_EDGE('',*,*,#3435,.T.); +#1316=ORIENTED_EDGE('',*,*,#3134,.F.); +#1317=ORIENTED_EDGE('',*,*,#3436,.T.); +#1318=ORIENTED_EDGE('',*,*,#3060,.F.); +#1319=ORIENTED_EDGE('',*,*,#3437,.T.); +#1320=ORIENTED_EDGE('',*,*,#3005,.T.); +#1321=ORIENTED_EDGE('',*,*,#3438,.F.); +#1322=ORIENTED_EDGE('',*,*,#3056,.F.); +#1323=ORIENTED_EDGE('',*,*,#3439,.T.); +#1324=ORIENTED_EDGE('',*,*,#3002,.T.); +#1325=ORIENTED_EDGE('',*,*,#3440,.F.); +#1326=ORIENTED_EDGE('',*,*,#3052,.F.); +#1327=ORIENTED_EDGE('',*,*,#3441,.T.); +#1328=ORIENTED_EDGE('',*,*,#2999,.T.); +#1329=ORIENTED_EDGE('',*,*,#3442,.F.); +#1330=ORIENTED_EDGE('',*,*,#3048,.F.); +#1331=ORIENTED_EDGE('',*,*,#3443,.T.); +#1332=ORIENTED_EDGE('',*,*,#2996,.T.); +#1333=ORIENTED_EDGE('',*,*,#3444,.F.); +#1334=ORIENTED_EDGE('',*,*,#3044,.F.); +#1335=ORIENTED_EDGE('',*,*,#3445,.T.); +#1336=ORIENTED_EDGE('',*,*,#2993,.T.); +#1337=ORIENTED_EDGE('',*,*,#3446,.F.); +#1338=ORIENTED_EDGE('',*,*,#3040,.F.); +#1339=ORIENTED_EDGE('',*,*,#3447,.T.); +#1340=ORIENTED_EDGE('',*,*,#2990,.T.); +#1341=ORIENTED_EDGE('',*,*,#3448,.F.); +#1342=ORIENTED_EDGE('',*,*,#3036,.F.); +#1343=ORIENTED_EDGE('',*,*,#3449,.T.); +#1344=ORIENTED_EDGE('',*,*,#2987,.T.); +#1345=ORIENTED_EDGE('',*,*,#3450,.F.); +#1346=ORIENTED_EDGE('',*,*,#3034,.F.); +#1347=ORIENTED_EDGE('',*,*,#3451,.F.); +#1348=ORIENTED_EDGE('',*,*,#3104,.F.); +#1349=ORIENTED_EDGE('',*,*,#3452,.F.); +#1350=ORIENTED_EDGE('',*,*,#3332,.T.); +#1351=ORIENTED_EDGE('',*,*,#3453,.T.); +#1352=ORIENTED_EDGE('',*,*,#3110,.F.); +#1353=ORIENTED_EDGE('',*,*,#3454,.F.); +#1354=ORIENTED_EDGE('',*,*,#3328,.T.); +#1355=ORIENTED_EDGE('',*,*,#3455,.T.); +#1356=ORIENTED_EDGE('',*,*,#3114,.F.); +#1357=ORIENTED_EDGE('',*,*,#3456,.F.); +#1358=ORIENTED_EDGE('',*,*,#3324,.T.); +#1359=ORIENTED_EDGE('',*,*,#3457,.T.); +#1360=ORIENTED_EDGE('',*,*,#3118,.F.); +#1361=ORIENTED_EDGE('',*,*,#3458,.F.); +#1362=ORIENTED_EDGE('',*,*,#3320,.T.); +#1363=ORIENTED_EDGE('',*,*,#3459,.T.); +#1364=ORIENTED_EDGE('',*,*,#3122,.F.); +#1365=ORIENTED_EDGE('',*,*,#3460,.F.); +#1366=ORIENTED_EDGE('',*,*,#3316,.T.); +#1367=ORIENTED_EDGE('',*,*,#3461,.T.); +#1368=ORIENTED_EDGE('',*,*,#3126,.F.); +#1369=ORIENTED_EDGE('',*,*,#3462,.F.); +#1370=ORIENTED_EDGE('',*,*,#3312,.T.); +#1371=ORIENTED_EDGE('',*,*,#3463,.T.); +#1372=ORIENTED_EDGE('',*,*,#3130,.F.); +#1373=ORIENTED_EDGE('',*,*,#3464,.F.); +#1374=ORIENTED_EDGE('',*,*,#3308,.T.); +#1375=ORIENTED_EDGE('',*,*,#3465,.F.); +#1376=ORIENTED_EDGE('',*,*,#3466,.T.); +#1377=ORIENTED_EDGE('',*,*,#3011,.T.); +#1378=ORIENTED_EDGE('',*,*,#3467,.T.); +#1379=ORIENTED_EDGE('',*,*,#3468,.F.); +#1380=ORIENTED_EDGE('',*,*,#3469,.T.); +#1381=ORIENTED_EDGE('',*,*,#3465,.T.); +#1382=ORIENTED_EDGE('',*,*,#3470,.T.); +#1383=ORIENTED_EDGE('',*,*,#3471,.F.); +#1384=ORIENTED_EDGE('',*,*,#3472,.T.); +#1385=ORIENTED_EDGE('',*,*,#3468,.T.); +#1386=ORIENTED_EDGE('',*,*,#3473,.T.); +#1387=ORIENTED_EDGE('',*,*,#3429,.F.); +#1388=ORIENTED_EDGE('',*,*,#3474,.T.); +#1389=ORIENTED_EDGE('',*,*,#3471,.T.); +#1390=ORIENTED_EDGE('',*,*,#3475,.T.); +#1391=ORIENTED_EDGE('',*,*,#2985,.T.); +#1392=ORIENTED_EDGE('',*,*,#2602,.T.); +#1393=ORIENTED_EDGE('',*,*,#2633,.T.); +#1394=ORIENTED_EDGE('',*,*,#2657,.T.); +#1395=ORIENTED_EDGE('',*,*,#2681,.T.); +#1396=ORIENTED_EDGE('',*,*,#3414,.T.); +#1397=ORIENTED_EDGE('',*,*,#2706,.T.); +#1398=ORIENTED_EDGE('',*,*,#2737,.T.); +#1399=ORIENTED_EDGE('',*,*,#2761,.T.); +#1400=ORIENTED_EDGE('',*,*,#2785,.T.); +#1401=ORIENTED_EDGE('',*,*,#3335,.T.); +#1402=ORIENTED_EDGE('',*,*,#3361,.F.); +#1403=ORIENTED_EDGE('',*,*,#3384,.T.); +#1404=ORIENTED_EDGE('',*,*,#3137,.T.); +#1405=ORIENTED_EDGE('',*,*,#3169,.T.); +#1406=ORIENTED_EDGE('',*,*,#3193,.T.); +#1407=ORIENTED_EDGE('',*,*,#3217,.T.); +#1408=ORIENTED_EDGE('',*,*,#3241,.F.); +#1409=ORIENTED_EDGE('',*,*,#3265,.T.); +#1410=ORIENTED_EDGE('',*,*,#3288,.T.); +#1411=ORIENTED_EDGE('',*,*,#3105,.T.); +#1412=ORIENTED_EDGE('',*,*,#3451,.T.); +#1413=ORIENTED_EDGE('',*,*,#3033,.T.); +#1414=ORIENTED_EDGE('',*,*,#3065,.F.); +#1415=ORIENTED_EDGE('',*,*,#3088,.T.); +#1416=ORIENTED_EDGE('',*,*,#2809,.T.); +#1417=ORIENTED_EDGE('',*,*,#2841,.T.); +#1418=ORIENTED_EDGE('',*,*,#2865,.T.); +#1419=ORIENTED_EDGE('',*,*,#2889,.T.); +#1420=ORIENTED_EDGE('',*,*,#2913,.F.); +#1421=ORIENTED_EDGE('',*,*,#2937,.T.); +#1422=ORIENTED_EDGE('',*,*,#2961,.T.); +#1423=ORIENTED_EDGE('',*,*,#3061,.F.); +#1424=ORIENTED_EDGE('',*,*,#3436,.F.); +#1425=ORIENTED_EDGE('',*,*,#3133,.F.); +#1426=ORIENTED_EDGE('',*,*,#3302,.F.); +#1427=ORIENTED_EDGE('',*,*,#3285,.F.); +#1428=ORIENTED_EDGE('',*,*,#3262,.T.); +#1429=ORIENTED_EDGE('',*,*,#3237,.F.); +#1430=ORIENTED_EDGE('',*,*,#3213,.F.); +#1431=ORIENTED_EDGE('',*,*,#3189,.F.); +#1432=ORIENTED_EDGE('',*,*,#3165,.F.); +#1433=ORIENTED_EDGE('',*,*,#3398,.F.); +#1434=ORIENTED_EDGE('',*,*,#3382,.T.); +#1435=ORIENTED_EDGE('',*,*,#3305,.F.); +#1436=ORIENTED_EDGE('',*,*,#2806,.F.); +#1437=ORIENTED_EDGE('',*,*,#2782,.F.); +#1438=ORIENTED_EDGE('',*,*,#2758,.F.); +#1439=ORIENTED_EDGE('',*,*,#2734,.F.); +#1440=ORIENTED_EDGE('',*,*,#3432,.F.); +#1441=ORIENTED_EDGE('',*,*,#2702,.F.); +#1442=ORIENTED_EDGE('',*,*,#2678,.F.); +#1443=ORIENTED_EDGE('',*,*,#2654,.F.); +#1444=ORIENTED_EDGE('',*,*,#2630,.F.); +#1445=ORIENTED_EDGE('',*,*,#3007,.F.); +#1446=ORIENTED_EDGE('',*,*,#2981,.F.); +#1447=ORIENTED_EDGE('',*,*,#2957,.F.); +#1448=ORIENTED_EDGE('',*,*,#2934,.T.); +#1449=ORIENTED_EDGE('',*,*,#2909,.F.); +#1450=ORIENTED_EDGE('',*,*,#2885,.F.); +#1451=ORIENTED_EDGE('',*,*,#2861,.F.); +#1452=ORIENTED_EDGE('',*,*,#2837,.F.); +#1453=ORIENTED_EDGE('',*,*,#3102,.F.); +#1454=ORIENTED_EDGE('',*,*,#3086,.T.); +#1455=ORIENTED_EDGE('',*,*,#3476,.F.); +#1456=ORIENTED_EDGE('',*,*,#3477,.T.); +#1457=ORIENTED_EDGE('',*,*,#3399,.T.); +#1458=ORIENTED_EDGE('',*,*,#3478,.T.); +#1459=ORIENTED_EDGE('',*,*,#3479,.F.); +#1460=ORIENTED_EDGE('',*,*,#3480,.T.); +#1461=ORIENTED_EDGE('',*,*,#3476,.T.); +#1462=ORIENTED_EDGE('',*,*,#3481,.T.); +#1463=ORIENTED_EDGE('',*,*,#3482,.F.); +#1464=ORIENTED_EDGE('',*,*,#3483,.T.); +#1465=ORIENTED_EDGE('',*,*,#3479,.T.); +#1466=ORIENTED_EDGE('',*,*,#3484,.T.); +#1467=ORIENTED_EDGE('',*,*,#3357,.F.); +#1468=ORIENTED_EDGE('',*,*,#3485,.T.); +#1469=ORIENTED_EDGE('',*,*,#3482,.T.); +#1470=ORIENTED_EDGE('',*,*,#3486,.T.); +#1471=ORIENTED_EDGE('',*,*,#3129,.T.); +#1472=ORIENTED_EDGE('',*,*,#3300,.T.); +#1473=ORIENTED_EDGE('',*,*,#3282,.T.); +#1474=ORIENTED_EDGE('',*,*,#3259,.F.); +#1475=ORIENTED_EDGE('',*,*,#3234,.T.); +#1476=ORIENTED_EDGE('',*,*,#3210,.T.); +#1477=ORIENTED_EDGE('',*,*,#3186,.T.); +#1478=ORIENTED_EDGE('',*,*,#3161,.T.); +#1479=ORIENTED_EDGE('',*,*,#3396,.T.); +#1480=ORIENTED_EDGE('',*,*,#3379,.F.); +#1481=ORIENTED_EDGE('',*,*,#3309,.T.); +#1482=ORIENTED_EDGE('',*,*,#3464,.T.); +#1483=ORIENTED_EDGE('',*,*,#3131,.T.); +#1484=ORIENTED_EDGE('',*,*,#3435,.F.); +#1485=ORIENTED_EDGE('',*,*,#3307,.T.); +#1486=ORIENTED_EDGE('',*,*,#3381,.F.); +#1487=ORIENTED_EDGE('',*,*,#3397,.T.); +#1488=ORIENTED_EDGE('',*,*,#3163,.T.); +#1489=ORIENTED_EDGE('',*,*,#3188,.T.); +#1490=ORIENTED_EDGE('',*,*,#3212,.T.); +#1491=ORIENTED_EDGE('',*,*,#3236,.T.); +#1492=ORIENTED_EDGE('',*,*,#3261,.F.); +#1493=ORIENTED_EDGE('',*,*,#3284,.T.); +#1494=ORIENTED_EDGE('',*,*,#3301,.T.); +#1495=ORIENTED_EDGE('',*,*,#3125,.T.); +#1496=ORIENTED_EDGE('',*,*,#3298,.T.); +#1497=ORIENTED_EDGE('',*,*,#3279,.T.); +#1498=ORIENTED_EDGE('',*,*,#3256,.F.); +#1499=ORIENTED_EDGE('',*,*,#3231,.T.); +#1500=ORIENTED_EDGE('',*,*,#3207,.T.); +#1501=ORIENTED_EDGE('',*,*,#3183,.T.); +#1502=ORIENTED_EDGE('',*,*,#3157,.T.); +#1503=ORIENTED_EDGE('',*,*,#3394,.T.); +#1504=ORIENTED_EDGE('',*,*,#3376,.F.); +#1505=ORIENTED_EDGE('',*,*,#3313,.T.); +#1506=ORIENTED_EDGE('',*,*,#3462,.T.); +#1507=ORIENTED_EDGE('',*,*,#3127,.T.); +#1508=ORIENTED_EDGE('',*,*,#3463,.F.); +#1509=ORIENTED_EDGE('',*,*,#3311,.T.); +#1510=ORIENTED_EDGE('',*,*,#3378,.F.); +#1511=ORIENTED_EDGE('',*,*,#3395,.T.); +#1512=ORIENTED_EDGE('',*,*,#3159,.T.); +#1513=ORIENTED_EDGE('',*,*,#3185,.T.); +#1514=ORIENTED_EDGE('',*,*,#3209,.T.); +#1515=ORIENTED_EDGE('',*,*,#3233,.T.); +#1516=ORIENTED_EDGE('',*,*,#3258,.F.); +#1517=ORIENTED_EDGE('',*,*,#3281,.T.); +#1518=ORIENTED_EDGE('',*,*,#3299,.T.); +#1519=ORIENTED_EDGE('',*,*,#3121,.T.); +#1520=ORIENTED_EDGE('',*,*,#3296,.T.); +#1521=ORIENTED_EDGE('',*,*,#3276,.T.); +#1522=ORIENTED_EDGE('',*,*,#3253,.F.); +#1523=ORIENTED_EDGE('',*,*,#3228,.T.); +#1524=ORIENTED_EDGE('',*,*,#3204,.T.); +#1525=ORIENTED_EDGE('',*,*,#3180,.T.); +#1526=ORIENTED_EDGE('',*,*,#3153,.T.); +#1527=ORIENTED_EDGE('',*,*,#3392,.T.); +#1528=ORIENTED_EDGE('',*,*,#3373,.F.); +#1529=ORIENTED_EDGE('',*,*,#3317,.T.); +#1530=ORIENTED_EDGE('',*,*,#3460,.T.); +#1531=ORIENTED_EDGE('',*,*,#3123,.T.); +#1532=ORIENTED_EDGE('',*,*,#3461,.F.); +#1533=ORIENTED_EDGE('',*,*,#3315,.T.); +#1534=ORIENTED_EDGE('',*,*,#3375,.F.); +#1535=ORIENTED_EDGE('',*,*,#3393,.T.); +#1536=ORIENTED_EDGE('',*,*,#3155,.T.); +#1537=ORIENTED_EDGE('',*,*,#3182,.T.); +#1538=ORIENTED_EDGE('',*,*,#3206,.T.); +#1539=ORIENTED_EDGE('',*,*,#3230,.T.); +#1540=ORIENTED_EDGE('',*,*,#3255,.F.); +#1541=ORIENTED_EDGE('',*,*,#3278,.T.); +#1542=ORIENTED_EDGE('',*,*,#3297,.T.); +#1543=ORIENTED_EDGE('',*,*,#3117,.T.); +#1544=ORIENTED_EDGE('',*,*,#3294,.T.); +#1545=ORIENTED_EDGE('',*,*,#3273,.T.); +#1546=ORIENTED_EDGE('',*,*,#3250,.F.); +#1547=ORIENTED_EDGE('',*,*,#3225,.T.); +#1548=ORIENTED_EDGE('',*,*,#3201,.T.); +#1549=ORIENTED_EDGE('',*,*,#3177,.T.); +#1550=ORIENTED_EDGE('',*,*,#3149,.T.); +#1551=ORIENTED_EDGE('',*,*,#3390,.T.); +#1552=ORIENTED_EDGE('',*,*,#3370,.F.); +#1553=ORIENTED_EDGE('',*,*,#3321,.T.); +#1554=ORIENTED_EDGE('',*,*,#3458,.T.); +#1555=ORIENTED_EDGE('',*,*,#3119,.T.); +#1556=ORIENTED_EDGE('',*,*,#3459,.F.); +#1557=ORIENTED_EDGE('',*,*,#3319,.T.); +#1558=ORIENTED_EDGE('',*,*,#3372,.F.); +#1559=ORIENTED_EDGE('',*,*,#3391,.T.); +#1560=ORIENTED_EDGE('',*,*,#3151,.T.); +#1561=ORIENTED_EDGE('',*,*,#3179,.T.); +#1562=ORIENTED_EDGE('',*,*,#3203,.T.); +#1563=ORIENTED_EDGE('',*,*,#3227,.T.); +#1564=ORIENTED_EDGE('',*,*,#3252,.F.); +#1565=ORIENTED_EDGE('',*,*,#3275,.T.); +#1566=ORIENTED_EDGE('',*,*,#3295,.T.); +#1567=ORIENTED_EDGE('',*,*,#3113,.T.); +#1568=ORIENTED_EDGE('',*,*,#3292,.T.); +#1569=ORIENTED_EDGE('',*,*,#3270,.T.); +#1570=ORIENTED_EDGE('',*,*,#3247,.F.); +#1571=ORIENTED_EDGE('',*,*,#3222,.T.); +#1572=ORIENTED_EDGE('',*,*,#3198,.T.); +#1573=ORIENTED_EDGE('',*,*,#3174,.T.); +#1574=ORIENTED_EDGE('',*,*,#3145,.T.); +#1575=ORIENTED_EDGE('',*,*,#3388,.T.); +#1576=ORIENTED_EDGE('',*,*,#3367,.F.); +#1577=ORIENTED_EDGE('',*,*,#3325,.T.); +#1578=ORIENTED_EDGE('',*,*,#3456,.T.); +#1579=ORIENTED_EDGE('',*,*,#3115,.T.); +#1580=ORIENTED_EDGE('',*,*,#3457,.F.); +#1581=ORIENTED_EDGE('',*,*,#3323,.T.); +#1582=ORIENTED_EDGE('',*,*,#3369,.F.); +#1583=ORIENTED_EDGE('',*,*,#3389,.T.); +#1584=ORIENTED_EDGE('',*,*,#3147,.T.); +#1585=ORIENTED_EDGE('',*,*,#3176,.T.); +#1586=ORIENTED_EDGE('',*,*,#3200,.T.); +#1587=ORIENTED_EDGE('',*,*,#3224,.T.); +#1588=ORIENTED_EDGE('',*,*,#3249,.F.); +#1589=ORIENTED_EDGE('',*,*,#3272,.T.); +#1590=ORIENTED_EDGE('',*,*,#3293,.T.); +#1591=ORIENTED_EDGE('',*,*,#3109,.T.); +#1592=ORIENTED_EDGE('',*,*,#3290,.T.); +#1593=ORIENTED_EDGE('',*,*,#3267,.T.); +#1594=ORIENTED_EDGE('',*,*,#3244,.F.); +#1595=ORIENTED_EDGE('',*,*,#3219,.T.); +#1596=ORIENTED_EDGE('',*,*,#3195,.T.); +#1597=ORIENTED_EDGE('',*,*,#3171,.T.); +#1598=ORIENTED_EDGE('',*,*,#3141,.T.); +#1599=ORIENTED_EDGE('',*,*,#3386,.T.); +#1600=ORIENTED_EDGE('',*,*,#3364,.F.); +#1601=ORIENTED_EDGE('',*,*,#3329,.T.); +#1602=ORIENTED_EDGE('',*,*,#3454,.T.); +#1603=ORIENTED_EDGE('',*,*,#3111,.T.); +#1604=ORIENTED_EDGE('',*,*,#3455,.F.); +#1605=ORIENTED_EDGE('',*,*,#3327,.T.); +#1606=ORIENTED_EDGE('',*,*,#3366,.F.); +#1607=ORIENTED_EDGE('',*,*,#3387,.T.); +#1608=ORIENTED_EDGE('',*,*,#3143,.T.); +#1609=ORIENTED_EDGE('',*,*,#3173,.T.); +#1610=ORIENTED_EDGE('',*,*,#3197,.T.); +#1611=ORIENTED_EDGE('',*,*,#3221,.T.); +#1612=ORIENTED_EDGE('',*,*,#3246,.F.); +#1613=ORIENTED_EDGE('',*,*,#3269,.T.); +#1614=ORIENTED_EDGE('',*,*,#3291,.T.); +#1615=ORIENTED_EDGE('',*,*,#3103,.T.); +#1616=ORIENTED_EDGE('',*,*,#3287,.T.); +#1617=ORIENTED_EDGE('',*,*,#3263,.T.); +#1618=ORIENTED_EDGE('',*,*,#3239,.F.); +#1619=ORIENTED_EDGE('',*,*,#3215,.T.); +#1620=ORIENTED_EDGE('',*,*,#3191,.T.); +#1621=ORIENTED_EDGE('',*,*,#3167,.T.); +#1622=ORIENTED_EDGE('',*,*,#3135,.T.); +#1623=ORIENTED_EDGE('',*,*,#3383,.T.); +#1624=ORIENTED_EDGE('',*,*,#3359,.F.); +#1625=ORIENTED_EDGE('',*,*,#3333,.T.); +#1626=ORIENTED_EDGE('',*,*,#3452,.T.); +#1627=ORIENTED_EDGE('',*,*,#3107,.T.); +#1628=ORIENTED_EDGE('',*,*,#3453,.F.); +#1629=ORIENTED_EDGE('',*,*,#3331,.T.); +#1630=ORIENTED_EDGE('',*,*,#3363,.F.); +#1631=ORIENTED_EDGE('',*,*,#3385,.T.); +#1632=ORIENTED_EDGE('',*,*,#3139,.T.); +#1633=ORIENTED_EDGE('',*,*,#3170,.T.); +#1634=ORIENTED_EDGE('',*,*,#3194,.T.); +#1635=ORIENTED_EDGE('',*,*,#3218,.T.); +#1636=ORIENTED_EDGE('',*,*,#3243,.F.); +#1637=ORIENTED_EDGE('',*,*,#3266,.T.); +#1638=ORIENTED_EDGE('',*,*,#3289,.T.); +#1639=ORIENTED_EDGE('',*,*,#2906,.T.); +#1640=ORIENTED_EDGE('',*,*,#2882,.F.); +#1641=ORIENTED_EDGE('',*,*,#2858,.T.); +#1642=ORIENTED_EDGE('',*,*,#2833,.T.); +#1643=ORIENTED_EDGE('',*,*,#3100,.T.); +#1644=ORIENTED_EDGE('',*,*,#3083,.F.); +#1645=ORIENTED_EDGE('',*,*,#3057,.T.); +#1646=ORIENTED_EDGE('',*,*,#3438,.T.); +#1647=ORIENTED_EDGE('',*,*,#3004,.T.); +#1648=ORIENTED_EDGE('',*,*,#2978,.T.); +#1649=ORIENTED_EDGE('',*,*,#2954,.T.); +#1650=ORIENTED_EDGE('',*,*,#2931,.F.); +#1651=ORIENTED_EDGE('',*,*,#2908,.T.); +#1652=ORIENTED_EDGE('',*,*,#2933,.F.); +#1653=ORIENTED_EDGE('',*,*,#2956,.T.); +#1654=ORIENTED_EDGE('',*,*,#2980,.T.); +#1655=ORIENTED_EDGE('',*,*,#3006,.T.); +#1656=ORIENTED_EDGE('',*,*,#3437,.F.); +#1657=ORIENTED_EDGE('',*,*,#3059,.T.); +#1658=ORIENTED_EDGE('',*,*,#3085,.F.); +#1659=ORIENTED_EDGE('',*,*,#3101,.T.); +#1660=ORIENTED_EDGE('',*,*,#2835,.T.); +#1661=ORIENTED_EDGE('',*,*,#2860,.T.); +#1662=ORIENTED_EDGE('',*,*,#2884,.T.); +#1663=ORIENTED_EDGE('',*,*,#2903,.T.); +#1664=ORIENTED_EDGE('',*,*,#2879,.F.); +#1665=ORIENTED_EDGE('',*,*,#2855,.T.); +#1666=ORIENTED_EDGE('',*,*,#2829,.T.); +#1667=ORIENTED_EDGE('',*,*,#3098,.T.); +#1668=ORIENTED_EDGE('',*,*,#3080,.F.); +#1669=ORIENTED_EDGE('',*,*,#3053,.T.); +#1670=ORIENTED_EDGE('',*,*,#3440,.T.); +#1671=ORIENTED_EDGE('',*,*,#3001,.T.); +#1672=ORIENTED_EDGE('',*,*,#2975,.T.); +#1673=ORIENTED_EDGE('',*,*,#2951,.T.); +#1674=ORIENTED_EDGE('',*,*,#2928,.F.); +#1675=ORIENTED_EDGE('',*,*,#2905,.T.); +#1676=ORIENTED_EDGE('',*,*,#2930,.F.); +#1677=ORIENTED_EDGE('',*,*,#2953,.T.); +#1678=ORIENTED_EDGE('',*,*,#2977,.T.); +#1679=ORIENTED_EDGE('',*,*,#3003,.T.); +#1680=ORIENTED_EDGE('',*,*,#3439,.F.); +#1681=ORIENTED_EDGE('',*,*,#3055,.T.); +#1682=ORIENTED_EDGE('',*,*,#3082,.F.); +#1683=ORIENTED_EDGE('',*,*,#3099,.T.); +#1684=ORIENTED_EDGE('',*,*,#2831,.T.); +#1685=ORIENTED_EDGE('',*,*,#2857,.T.); +#1686=ORIENTED_EDGE('',*,*,#2881,.T.); +#1687=ORIENTED_EDGE('',*,*,#2900,.T.); +#1688=ORIENTED_EDGE('',*,*,#2876,.F.); +#1689=ORIENTED_EDGE('',*,*,#2852,.T.); +#1690=ORIENTED_EDGE('',*,*,#2825,.T.); +#1691=ORIENTED_EDGE('',*,*,#3096,.T.); +#1692=ORIENTED_EDGE('',*,*,#3077,.F.); +#1693=ORIENTED_EDGE('',*,*,#3049,.T.); +#1694=ORIENTED_EDGE('',*,*,#3442,.T.); +#1695=ORIENTED_EDGE('',*,*,#2998,.T.); +#1696=ORIENTED_EDGE('',*,*,#2972,.T.); +#1697=ORIENTED_EDGE('',*,*,#2948,.T.); +#1698=ORIENTED_EDGE('',*,*,#2925,.F.); +#1699=ORIENTED_EDGE('',*,*,#2902,.T.); +#1700=ORIENTED_EDGE('',*,*,#2927,.F.); +#1701=ORIENTED_EDGE('',*,*,#2950,.T.); +#1702=ORIENTED_EDGE('',*,*,#2974,.T.); +#1703=ORIENTED_EDGE('',*,*,#3000,.T.); +#1704=ORIENTED_EDGE('',*,*,#3441,.F.); +#1705=ORIENTED_EDGE('',*,*,#3051,.T.); +#1706=ORIENTED_EDGE('',*,*,#3079,.F.); +#1707=ORIENTED_EDGE('',*,*,#3097,.T.); +#1708=ORIENTED_EDGE('',*,*,#2827,.T.); +#1709=ORIENTED_EDGE('',*,*,#2854,.T.); +#1710=ORIENTED_EDGE('',*,*,#2878,.T.); +#1711=ORIENTED_EDGE('',*,*,#2897,.T.); +#1712=ORIENTED_EDGE('',*,*,#2873,.F.); +#1713=ORIENTED_EDGE('',*,*,#2849,.T.); +#1714=ORIENTED_EDGE('',*,*,#2821,.T.); +#1715=ORIENTED_EDGE('',*,*,#3094,.T.); +#1716=ORIENTED_EDGE('',*,*,#3074,.F.); +#1717=ORIENTED_EDGE('',*,*,#3045,.T.); +#1718=ORIENTED_EDGE('',*,*,#3444,.T.); +#1719=ORIENTED_EDGE('',*,*,#2995,.T.); +#1720=ORIENTED_EDGE('',*,*,#2969,.T.); +#1721=ORIENTED_EDGE('',*,*,#2945,.T.); +#1722=ORIENTED_EDGE('',*,*,#2922,.F.); +#1723=ORIENTED_EDGE('',*,*,#2899,.T.); +#1724=ORIENTED_EDGE('',*,*,#2924,.F.); +#1725=ORIENTED_EDGE('',*,*,#2947,.T.); +#1726=ORIENTED_EDGE('',*,*,#2971,.T.); +#1727=ORIENTED_EDGE('',*,*,#2997,.T.); +#1728=ORIENTED_EDGE('',*,*,#3443,.F.); +#1729=ORIENTED_EDGE('',*,*,#3047,.T.); +#1730=ORIENTED_EDGE('',*,*,#3076,.F.); +#1731=ORIENTED_EDGE('',*,*,#3095,.T.); +#1732=ORIENTED_EDGE('',*,*,#2823,.T.); +#1733=ORIENTED_EDGE('',*,*,#2851,.T.); +#1734=ORIENTED_EDGE('',*,*,#2875,.T.); +#1735=ORIENTED_EDGE('',*,*,#2894,.T.); +#1736=ORIENTED_EDGE('',*,*,#2870,.F.); +#1737=ORIENTED_EDGE('',*,*,#2846,.T.); +#1738=ORIENTED_EDGE('',*,*,#2817,.T.); +#1739=ORIENTED_EDGE('',*,*,#3092,.T.); +#1740=ORIENTED_EDGE('',*,*,#3071,.F.); +#1741=ORIENTED_EDGE('',*,*,#3041,.T.); +#1742=ORIENTED_EDGE('',*,*,#3446,.T.); +#1743=ORIENTED_EDGE('',*,*,#2992,.T.); +#1744=ORIENTED_EDGE('',*,*,#2966,.T.); +#1745=ORIENTED_EDGE('',*,*,#2942,.T.); +#1746=ORIENTED_EDGE('',*,*,#2919,.F.); +#1747=ORIENTED_EDGE('',*,*,#2896,.T.); +#1748=ORIENTED_EDGE('',*,*,#2921,.F.); +#1749=ORIENTED_EDGE('',*,*,#2944,.T.); +#1750=ORIENTED_EDGE('',*,*,#2968,.T.); +#1751=ORIENTED_EDGE('',*,*,#2994,.T.); +#1752=ORIENTED_EDGE('',*,*,#3445,.F.); +#1753=ORIENTED_EDGE('',*,*,#3043,.T.); +#1754=ORIENTED_EDGE('',*,*,#3073,.F.); +#1755=ORIENTED_EDGE('',*,*,#3093,.T.); +#1756=ORIENTED_EDGE('',*,*,#2819,.T.); +#1757=ORIENTED_EDGE('',*,*,#2848,.T.); +#1758=ORIENTED_EDGE('',*,*,#2872,.T.); +#1759=ORIENTED_EDGE('',*,*,#2891,.T.); +#1760=ORIENTED_EDGE('',*,*,#2867,.F.); +#1761=ORIENTED_EDGE('',*,*,#2843,.T.); +#1762=ORIENTED_EDGE('',*,*,#2813,.T.); +#1763=ORIENTED_EDGE('',*,*,#3090,.T.); +#1764=ORIENTED_EDGE('',*,*,#3068,.F.); +#1765=ORIENTED_EDGE('',*,*,#3037,.T.); +#1766=ORIENTED_EDGE('',*,*,#3448,.T.); +#1767=ORIENTED_EDGE('',*,*,#2989,.T.); +#1768=ORIENTED_EDGE('',*,*,#2963,.T.); +#1769=ORIENTED_EDGE('',*,*,#2939,.T.); +#1770=ORIENTED_EDGE('',*,*,#2916,.F.); +#1771=ORIENTED_EDGE('',*,*,#2893,.T.); +#1772=ORIENTED_EDGE('',*,*,#2918,.F.); +#1773=ORIENTED_EDGE('',*,*,#2941,.T.); +#1774=ORIENTED_EDGE('',*,*,#2965,.T.); +#1775=ORIENTED_EDGE('',*,*,#2991,.T.); +#1776=ORIENTED_EDGE('',*,*,#3447,.F.); +#1777=ORIENTED_EDGE('',*,*,#3039,.T.); +#1778=ORIENTED_EDGE('',*,*,#3070,.F.); +#1779=ORIENTED_EDGE('',*,*,#3091,.T.); +#1780=ORIENTED_EDGE('',*,*,#2815,.T.); +#1781=ORIENTED_EDGE('',*,*,#2845,.T.); +#1782=ORIENTED_EDGE('',*,*,#2869,.T.); +#1783=ORIENTED_EDGE('',*,*,#2887,.T.); +#1784=ORIENTED_EDGE('',*,*,#2863,.F.); +#1785=ORIENTED_EDGE('',*,*,#2839,.T.); +#1786=ORIENTED_EDGE('',*,*,#2807,.T.); +#1787=ORIENTED_EDGE('',*,*,#3087,.T.); +#1788=ORIENTED_EDGE('',*,*,#3063,.F.); +#1789=ORIENTED_EDGE('',*,*,#3031,.T.); +#1790=ORIENTED_EDGE('',*,*,#3450,.T.); +#1791=ORIENTED_EDGE('',*,*,#2986,.T.); +#1792=ORIENTED_EDGE('',*,*,#2959,.T.); +#1793=ORIENTED_EDGE('',*,*,#2935,.T.); +#1794=ORIENTED_EDGE('',*,*,#2911,.F.); +#1795=ORIENTED_EDGE('',*,*,#2890,.T.); +#1796=ORIENTED_EDGE('',*,*,#2915,.F.); +#1797=ORIENTED_EDGE('',*,*,#2938,.T.); +#1798=ORIENTED_EDGE('',*,*,#2962,.T.); +#1799=ORIENTED_EDGE('',*,*,#2988,.T.); +#1800=ORIENTED_EDGE('',*,*,#3449,.F.); +#1801=ORIENTED_EDGE('',*,*,#3035,.T.); +#1802=ORIENTED_EDGE('',*,*,#3067,.F.); +#1803=ORIENTED_EDGE('',*,*,#3089,.T.); +#1804=ORIENTED_EDGE('',*,*,#2811,.T.); +#1805=ORIENTED_EDGE('',*,*,#2842,.T.); +#1806=ORIENTED_EDGE('',*,*,#2866,.T.); +#1807=ORIENTED_EDGE('',*,*,#3486,.F.); +#1808=ORIENTED_EDGE('',*,*,#3484,.F.); +#1809=ORIENTED_EDGE('',*,*,#3481,.F.); +#1810=ORIENTED_EDGE('',*,*,#3478,.F.); +#1811=ORIENTED_EDGE('',*,*,#3434,.F.); +#1812=ORIENTED_EDGE('',*,*,#3358,.F.); +#1813=ORIENTED_EDGE('',*,*,#3433,.F.); +#1814=ORIENTED_EDGE('',*,*,#2732,.F.); +#1815=ORIENTED_EDGE('',*,*,#2757,.F.); +#1816=ORIENTED_EDGE('',*,*,#2781,.F.); +#1817=ORIENTED_EDGE('',*,*,#2805,.F.); +#1818=ORIENTED_EDGE('',*,*,#3304,.F.); +#1819=ORIENTED_EDGE('',*,*,#2803,.F.); +#1820=ORIENTED_EDGE('',*,*,#2779,.F.); +#1821=ORIENTED_EDGE('',*,*,#2755,.F.); +#1822=ORIENTED_EDGE('',*,*,#2730,.F.); +#1823=ORIENTED_EDGE('',*,*,#3401,.F.); +#1824=ORIENTED_EDGE('',*,*,#3354,.F.); +#1825=ORIENTED_EDGE('',*,*,#3400,.F.); +#1826=ORIENTED_EDGE('',*,*,#3477,.F.); +#1827=ORIENTED_EDGE('',*,*,#3480,.F.); +#1828=ORIENTED_EDGE('',*,*,#3483,.F.); +#1829=ORIENTED_EDGE('',*,*,#3485,.F.); +#1830=ORIENTED_EDGE('',*,*,#3356,.F.); +#1831=ORIENTED_EDGE('',*,*,#2800,.F.); +#1832=ORIENTED_EDGE('',*,*,#2776,.F.); +#1833=ORIENTED_EDGE('',*,*,#2752,.F.); +#1834=ORIENTED_EDGE('',*,*,#2726,.F.); +#1835=ORIENTED_EDGE('',*,*,#3403,.F.); +#1836=ORIENTED_EDGE('',*,*,#3351,.F.); +#1837=ORIENTED_EDGE('',*,*,#3402,.F.); +#1838=ORIENTED_EDGE('',*,*,#2728,.F.); +#1839=ORIENTED_EDGE('',*,*,#2754,.F.); +#1840=ORIENTED_EDGE('',*,*,#2778,.F.); +#1841=ORIENTED_EDGE('',*,*,#2802,.F.); +#1842=ORIENTED_EDGE('',*,*,#3353,.F.); +#1843=ORIENTED_EDGE('',*,*,#2797,.F.); +#1844=ORIENTED_EDGE('',*,*,#2773,.F.); +#1845=ORIENTED_EDGE('',*,*,#2749,.F.); +#1846=ORIENTED_EDGE('',*,*,#2722,.F.); +#1847=ORIENTED_EDGE('',*,*,#3405,.F.); +#1848=ORIENTED_EDGE('',*,*,#3348,.F.); +#1849=ORIENTED_EDGE('',*,*,#3404,.F.); +#1850=ORIENTED_EDGE('',*,*,#2724,.F.); +#1851=ORIENTED_EDGE('',*,*,#2751,.F.); +#1852=ORIENTED_EDGE('',*,*,#2775,.F.); +#1853=ORIENTED_EDGE('',*,*,#2799,.F.); +#1854=ORIENTED_EDGE('',*,*,#3350,.F.); +#1855=ORIENTED_EDGE('',*,*,#2794,.F.); +#1856=ORIENTED_EDGE('',*,*,#2770,.F.); +#1857=ORIENTED_EDGE('',*,*,#2746,.F.); +#1858=ORIENTED_EDGE('',*,*,#2718,.F.); +#1859=ORIENTED_EDGE('',*,*,#3407,.F.); +#1860=ORIENTED_EDGE('',*,*,#3345,.F.); +#1861=ORIENTED_EDGE('',*,*,#3406,.F.); +#1862=ORIENTED_EDGE('',*,*,#2720,.F.); +#1863=ORIENTED_EDGE('',*,*,#2748,.F.); +#1864=ORIENTED_EDGE('',*,*,#2772,.F.); +#1865=ORIENTED_EDGE('',*,*,#2796,.F.); +#1866=ORIENTED_EDGE('',*,*,#3347,.F.); +#1867=ORIENTED_EDGE('',*,*,#2791,.F.); +#1868=ORIENTED_EDGE('',*,*,#2767,.F.); +#1869=ORIENTED_EDGE('',*,*,#2743,.F.); +#1870=ORIENTED_EDGE('',*,*,#2714,.F.); +#1871=ORIENTED_EDGE('',*,*,#3409,.F.); +#1872=ORIENTED_EDGE('',*,*,#3342,.F.); +#1873=ORIENTED_EDGE('',*,*,#3408,.F.); +#1874=ORIENTED_EDGE('',*,*,#2716,.F.); +#1875=ORIENTED_EDGE('',*,*,#2745,.F.); +#1876=ORIENTED_EDGE('',*,*,#2769,.F.); +#1877=ORIENTED_EDGE('',*,*,#2793,.F.); +#1878=ORIENTED_EDGE('',*,*,#3344,.F.); +#1879=ORIENTED_EDGE('',*,*,#2788,.F.); +#1880=ORIENTED_EDGE('',*,*,#2764,.F.); +#1881=ORIENTED_EDGE('',*,*,#2740,.F.); +#1882=ORIENTED_EDGE('',*,*,#2710,.F.); +#1883=ORIENTED_EDGE('',*,*,#3411,.F.); +#1884=ORIENTED_EDGE('',*,*,#3339,.F.); +#1885=ORIENTED_EDGE('',*,*,#3410,.F.); +#1886=ORIENTED_EDGE('',*,*,#2712,.F.); +#1887=ORIENTED_EDGE('',*,*,#2742,.F.); +#1888=ORIENTED_EDGE('',*,*,#2766,.F.); +#1889=ORIENTED_EDGE('',*,*,#2790,.F.); +#1890=ORIENTED_EDGE('',*,*,#3341,.F.); +#1891=ORIENTED_EDGE('',*,*,#2783,.F.); +#1892=ORIENTED_EDGE('',*,*,#2759,.F.); +#1893=ORIENTED_EDGE('',*,*,#2735,.F.); +#1894=ORIENTED_EDGE('',*,*,#2704,.F.); +#1895=ORIENTED_EDGE('',*,*,#3413,.F.); +#1896=ORIENTED_EDGE('',*,*,#3336,.F.); +#1897=ORIENTED_EDGE('',*,*,#3412,.F.); +#1898=ORIENTED_EDGE('',*,*,#2708,.F.); +#1899=ORIENTED_EDGE('',*,*,#2739,.F.); +#1900=ORIENTED_EDGE('',*,*,#2763,.F.); +#1901=ORIENTED_EDGE('',*,*,#2787,.F.); +#1902=ORIENTED_EDGE('',*,*,#3338,.F.); +#1903=ORIENTED_EDGE('',*,*,#2604,.F.); +#1904=ORIENTED_EDGE('',*,*,#2635,.F.); +#1905=ORIENTED_EDGE('',*,*,#2659,.F.); +#1906=ORIENTED_EDGE('',*,*,#2683,.F.); +#1907=ORIENTED_EDGE('',*,*,#3416,.F.); +#1908=ORIENTED_EDGE('',*,*,#3030,.F.); +#1909=ORIENTED_EDGE('',*,*,#3415,.F.); +#1910=ORIENTED_EDGE('',*,*,#2679,.F.); +#1911=ORIENTED_EDGE('',*,*,#2655,.F.); +#1912=ORIENTED_EDGE('',*,*,#2631,.F.); +#1913=ORIENTED_EDGE('',*,*,#2600,.F.); +#1914=ORIENTED_EDGE('',*,*,#2984,.F.); +#1915=ORIENTED_EDGE('',*,*,#2608,.F.); +#1916=ORIENTED_EDGE('',*,*,#2638,.F.); +#1917=ORIENTED_EDGE('',*,*,#2662,.F.); +#1918=ORIENTED_EDGE('',*,*,#2686,.F.); +#1919=ORIENTED_EDGE('',*,*,#3418,.F.); +#1920=ORIENTED_EDGE('',*,*,#3027,.F.); +#1921=ORIENTED_EDGE('',*,*,#3417,.F.); +#1922=ORIENTED_EDGE('',*,*,#2684,.F.); +#1923=ORIENTED_EDGE('',*,*,#2660,.F.); +#1924=ORIENTED_EDGE('',*,*,#2636,.F.); +#1925=ORIENTED_EDGE('',*,*,#2606,.F.); +#1926=ORIENTED_EDGE('',*,*,#3029,.F.); +#1927=ORIENTED_EDGE('',*,*,#2612,.F.); +#1928=ORIENTED_EDGE('',*,*,#2641,.F.); +#1929=ORIENTED_EDGE('',*,*,#2665,.F.); +#1930=ORIENTED_EDGE('',*,*,#2689,.F.); +#1931=ORIENTED_EDGE('',*,*,#3420,.F.); +#1932=ORIENTED_EDGE('',*,*,#3024,.F.); +#1933=ORIENTED_EDGE('',*,*,#3419,.F.); +#1934=ORIENTED_EDGE('',*,*,#2687,.F.); +#1935=ORIENTED_EDGE('',*,*,#2663,.F.); +#1936=ORIENTED_EDGE('',*,*,#2639,.F.); +#1937=ORIENTED_EDGE('',*,*,#2610,.F.); +#1938=ORIENTED_EDGE('',*,*,#3026,.F.); +#1939=ORIENTED_EDGE('',*,*,#2616,.F.); +#1940=ORIENTED_EDGE('',*,*,#2644,.F.); +#1941=ORIENTED_EDGE('',*,*,#2668,.F.); +#1942=ORIENTED_EDGE('',*,*,#2692,.F.); +#1943=ORIENTED_EDGE('',*,*,#3422,.F.); +#1944=ORIENTED_EDGE('',*,*,#3021,.F.); +#1945=ORIENTED_EDGE('',*,*,#3421,.F.); +#1946=ORIENTED_EDGE('',*,*,#2690,.F.); +#1947=ORIENTED_EDGE('',*,*,#2666,.F.); +#1948=ORIENTED_EDGE('',*,*,#2642,.F.); +#1949=ORIENTED_EDGE('',*,*,#2614,.F.); +#1950=ORIENTED_EDGE('',*,*,#3023,.F.); +#1951=ORIENTED_EDGE('',*,*,#2620,.F.); +#1952=ORIENTED_EDGE('',*,*,#2647,.F.); +#1953=ORIENTED_EDGE('',*,*,#2671,.F.); +#1954=ORIENTED_EDGE('',*,*,#2695,.F.); +#1955=ORIENTED_EDGE('',*,*,#3424,.F.); +#1956=ORIENTED_EDGE('',*,*,#3018,.F.); +#1957=ORIENTED_EDGE('',*,*,#3423,.F.); +#1958=ORIENTED_EDGE('',*,*,#2693,.F.); +#1959=ORIENTED_EDGE('',*,*,#2669,.F.); +#1960=ORIENTED_EDGE('',*,*,#2645,.F.); +#1961=ORIENTED_EDGE('',*,*,#2618,.F.); +#1962=ORIENTED_EDGE('',*,*,#3020,.F.); +#1963=ORIENTED_EDGE('',*,*,#2624,.F.); +#1964=ORIENTED_EDGE('',*,*,#2650,.F.); +#1965=ORIENTED_EDGE('',*,*,#2674,.F.); +#1966=ORIENTED_EDGE('',*,*,#2698,.F.); +#1967=ORIENTED_EDGE('',*,*,#3426,.F.); +#1968=ORIENTED_EDGE('',*,*,#3015,.F.); +#1969=ORIENTED_EDGE('',*,*,#3425,.F.); +#1970=ORIENTED_EDGE('',*,*,#2696,.F.); +#1971=ORIENTED_EDGE('',*,*,#2672,.F.); +#1972=ORIENTED_EDGE('',*,*,#2648,.F.); +#1973=ORIENTED_EDGE('',*,*,#2622,.F.); +#1974=ORIENTED_EDGE('',*,*,#3017,.F.); +#1975=ORIENTED_EDGE('',*,*,#3466,.F.); +#1976=ORIENTED_EDGE('',*,*,#3469,.F.); +#1977=ORIENTED_EDGE('',*,*,#3472,.F.); +#1978=ORIENTED_EDGE('',*,*,#3474,.F.); +#1979=ORIENTED_EDGE('',*,*,#3428,.F.); +#1980=ORIENTED_EDGE('',*,*,#3012,.F.); +#1981=ORIENTED_EDGE('',*,*,#3427,.F.); +#1982=ORIENTED_EDGE('',*,*,#2699,.F.); +#1983=ORIENTED_EDGE('',*,*,#2675,.F.); +#1984=ORIENTED_EDGE('',*,*,#2651,.F.); +#1985=ORIENTED_EDGE('',*,*,#2626,.F.); +#1986=ORIENTED_EDGE('',*,*,#3014,.F.); +#1987=ORIENTED_EDGE('',*,*,#2628,.F.); +#1988=ORIENTED_EDGE('',*,*,#2653,.F.); +#1989=ORIENTED_EDGE('',*,*,#2677,.F.); +#1990=ORIENTED_EDGE('',*,*,#2701,.F.); +#1991=ORIENTED_EDGE('',*,*,#3431,.F.); +#1992=ORIENTED_EDGE('',*,*,#3008,.F.); +#1993=ORIENTED_EDGE('',*,*,#3430,.F.); +#1994=ORIENTED_EDGE('',*,*,#3475,.F.); +#1995=ORIENTED_EDGE('',*,*,#3473,.F.); +#1996=ORIENTED_EDGE('',*,*,#3470,.F.); +#1997=ORIENTED_EDGE('',*,*,#3467,.F.); +#1998=ORIENTED_EDGE('',*,*,#3010,.F.); +#1999=ORIENTED_EDGE('',*,*,#3487,.T.); +#2000=ORIENTED_EDGE('',*,*,#3488,.T.); +#2001=ORIENTED_EDGE('',*,*,#3489,.T.); +#2002=ORIENTED_EDGE('',*,*,#3490,.T.); +#2003=ORIENTED_EDGE('',*,*,#3491,.T.); +#2004=ORIENTED_EDGE('',*,*,#3492,.T.); +#2005=ORIENTED_EDGE('',*,*,#3493,.T.); +#2006=ORIENTED_EDGE('',*,*,#3494,.T.); +#2007=ORIENTED_EDGE('',*,*,#3495,.T.); +#2008=ORIENTED_EDGE('',*,*,#3496,.T.); +#2009=ORIENTED_EDGE('',*,*,#3497,.T.); +#2010=ORIENTED_EDGE('',*,*,#3498,.T.); +#2011=ORIENTED_EDGE('',*,*,#3499,.T.); +#2012=ORIENTED_EDGE('',*,*,#3500,.T.); +#2013=ORIENTED_EDGE('',*,*,#3501,.T.); +#2014=ORIENTED_EDGE('',*,*,#3502,.T.); +#2015=ORIENTED_EDGE('',*,*,#3503,.T.); +#2016=ORIENTED_EDGE('',*,*,#3504,.T.); +#2017=ORIENTED_EDGE('',*,*,#3505,.T.); +#2018=ORIENTED_EDGE('',*,*,#3506,.T.); +#2019=ORIENTED_EDGE('',*,*,#3507,.T.); +#2020=ORIENTED_EDGE('',*,*,#3508,.T.); +#2021=ORIENTED_EDGE('',*,*,#3509,.T.); +#2022=ORIENTED_EDGE('',*,*,#3510,.T.); +#2023=ORIENTED_EDGE('',*,*,#3511,.T.); +#2024=ORIENTED_EDGE('',*,*,#3512,.T.); +#2025=ORIENTED_EDGE('',*,*,#3513,.T.); +#2026=ORIENTED_EDGE('',*,*,#3514,.T.); +#2027=ORIENTED_EDGE('',*,*,#3515,.T.); +#2028=ORIENTED_EDGE('',*,*,#3516,.T.); +#2029=ORIENTED_EDGE('',*,*,#3517,.T.); +#2030=ORIENTED_EDGE('',*,*,#3518,.T.); +#2031=ORIENTED_EDGE('',*,*,#3519,.F.); +#2032=ORIENTED_EDGE('',*,*,#3520,.F.); +#2033=ORIENTED_EDGE('',*,*,#3521,.T.); +#2034=ORIENTED_EDGE('',*,*,#3522,.T.); +#2035=ORIENTED_EDGE('',*,*,#3523,.F.); +#2036=ORIENTED_EDGE('',*,*,#3524,.T.); +#2037=ORIENTED_EDGE('',*,*,#3525,.F.); +#2038=ORIENTED_EDGE('',*,*,#3526,.F.); +#2039=ORIENTED_EDGE('',*,*,#3527,.T.); +#2040=ORIENTED_EDGE('',*,*,#3528,.T.); +#2041=ORIENTED_EDGE('',*,*,#3529,.F.); +#2042=ORIENTED_EDGE('',*,*,#3530,.F.); +#2043=ORIENTED_EDGE('',*,*,#3531,.F.); +#2044=ORIENTED_EDGE('',*,*,#3532,.T.); +#2045=ORIENTED_EDGE('',*,*,#3533,.F.); +#2046=ORIENTED_EDGE('',*,*,#3534,.F.); +#2047=ORIENTED_EDGE('',*,*,#3535,.F.); +#2048=ORIENTED_EDGE('',*,*,#3536,.T.); +#2049=ORIENTED_EDGE('',*,*,#3537,.F.); +#2050=ORIENTED_EDGE('',*,*,#3538,.F.); +#2051=ORIENTED_EDGE('',*,*,#3539,.F.); +#2052=ORIENTED_EDGE('',*,*,#3540,.T.); +#2053=ORIENTED_EDGE('',*,*,#3541,.F.); +#2054=ORIENTED_EDGE('',*,*,#3542,.F.); +#2055=ORIENTED_EDGE('',*,*,#3543,.F.); +#2056=ORIENTED_EDGE('',*,*,#3544,.T.); +#2057=ORIENTED_EDGE('',*,*,#3545,.F.); +#2058=ORIENTED_EDGE('',*,*,#3546,.F.); +#2059=ORIENTED_EDGE('',*,*,#3547,.F.); +#2060=ORIENTED_EDGE('',*,*,#3548,.T.); +#2061=ORIENTED_EDGE('',*,*,#3549,.F.); +#2062=ORIENTED_EDGE('',*,*,#3550,.F.); +#2063=ORIENTED_EDGE('',*,*,#3551,.F.); +#2064=ORIENTED_EDGE('',*,*,#3552,.T.); +#2065=ORIENTED_EDGE('',*,*,#3553,.F.); +#2066=ORIENTED_EDGE('',*,*,#3554,.F.); +#2067=ORIENTED_EDGE('',*,*,#3555,.F.); +#2068=ORIENTED_EDGE('',*,*,#3556,.T.); +#2069=ORIENTED_EDGE('',*,*,#3557,.F.); +#2070=ORIENTED_EDGE('',*,*,#3558,.F.); +#2071=ORIENTED_EDGE('',*,*,#3559,.T.); +#2072=ORIENTED_EDGE('',*,*,#3560,.T.); +#2073=ORIENTED_EDGE('',*,*,#3561,.F.); +#2074=ORIENTED_EDGE('',*,*,#3562,.T.); +#2075=ORIENTED_EDGE('',*,*,#3561,.T.); +#2076=ORIENTED_EDGE('',*,*,#3563,.T.); +#2077=ORIENTED_EDGE('',*,*,#3564,.F.); +#2078=ORIENTED_EDGE('',*,*,#3565,.T.); +#2079=ORIENTED_EDGE('',*,*,#3564,.T.); +#2080=ORIENTED_EDGE('',*,*,#3566,.T.); +#2081=ORIENTED_EDGE('',*,*,#3567,.F.); +#2082=ORIENTED_EDGE('',*,*,#3568,.T.); +#2083=ORIENTED_EDGE('',*,*,#3569,.T.); +#2084=ORIENTED_EDGE('',*,*,#3570,.T.); +#2085=ORIENTED_EDGE('',*,*,#3571,.F.); +#2086=ORIENTED_EDGE('',*,*,#3572,.T.); +#2087=ORIENTED_EDGE('',*,*,#3571,.T.); +#2088=ORIENTED_EDGE('',*,*,#3573,.T.); +#2089=ORIENTED_EDGE('',*,*,#3574,.F.); +#2090=ORIENTED_EDGE('',*,*,#3575,.T.); +#2091=ORIENTED_EDGE('',*,*,#3574,.T.); +#2092=ORIENTED_EDGE('',*,*,#3576,.T.); +#2093=ORIENTED_EDGE('',*,*,#3577,.F.); +#2094=ORIENTED_EDGE('',*,*,#3578,.T.); +#2095=ORIENTED_EDGE('',*,*,#3579,.T.); +#2096=ORIENTED_EDGE('',*,*,#3580,.T.); +#2097=ORIENTED_EDGE('',*,*,#3581,.F.); +#2098=ORIENTED_EDGE('',*,*,#3582,.T.); +#2099=ORIENTED_EDGE('',*,*,#3581,.T.); +#2100=ORIENTED_EDGE('',*,*,#3583,.T.); +#2101=ORIENTED_EDGE('',*,*,#3584,.F.); +#2102=ORIENTED_EDGE('',*,*,#3585,.T.); +#2103=ORIENTED_EDGE('',*,*,#3584,.T.); +#2104=ORIENTED_EDGE('',*,*,#3586,.T.); +#2105=ORIENTED_EDGE('',*,*,#3587,.F.); +#2106=ORIENTED_EDGE('',*,*,#3588,.T.); +#2107=ORIENTED_EDGE('',*,*,#3589,.T.); +#2108=ORIENTED_EDGE('',*,*,#3590,.T.); +#2109=ORIENTED_EDGE('',*,*,#3591,.F.); +#2110=ORIENTED_EDGE('',*,*,#3592,.T.); +#2111=ORIENTED_EDGE('',*,*,#3591,.T.); +#2112=ORIENTED_EDGE('',*,*,#3593,.T.); +#2113=ORIENTED_EDGE('',*,*,#3594,.F.); +#2114=ORIENTED_EDGE('',*,*,#3595,.T.); +#2115=ORIENTED_EDGE('',*,*,#3594,.T.); +#2116=ORIENTED_EDGE('',*,*,#3596,.T.); +#2117=ORIENTED_EDGE('',*,*,#3597,.F.); +#2118=ORIENTED_EDGE('',*,*,#3598,.T.); +#2119=ORIENTED_EDGE('',*,*,#3599,.T.); +#2120=ORIENTED_EDGE('',*,*,#3600,.T.); +#2121=ORIENTED_EDGE('',*,*,#3601,.F.); +#2122=ORIENTED_EDGE('',*,*,#3602,.T.); +#2123=ORIENTED_EDGE('',*,*,#3601,.T.); +#2124=ORIENTED_EDGE('',*,*,#3603,.T.); +#2125=ORIENTED_EDGE('',*,*,#3604,.F.); +#2126=ORIENTED_EDGE('',*,*,#3605,.T.); +#2127=ORIENTED_EDGE('',*,*,#3604,.T.); +#2128=ORIENTED_EDGE('',*,*,#3606,.T.); +#2129=ORIENTED_EDGE('',*,*,#3607,.F.); +#2130=ORIENTED_EDGE('',*,*,#3608,.T.); +#2131=ORIENTED_EDGE('',*,*,#3609,.T.); +#2132=ORIENTED_EDGE('',*,*,#3610,.T.); +#2133=ORIENTED_EDGE('',*,*,#3611,.F.); +#2134=ORIENTED_EDGE('',*,*,#3612,.T.); +#2135=ORIENTED_EDGE('',*,*,#3611,.T.); +#2136=ORIENTED_EDGE('',*,*,#3613,.T.); +#2137=ORIENTED_EDGE('',*,*,#3614,.F.); +#2138=ORIENTED_EDGE('',*,*,#3615,.T.); +#2139=ORIENTED_EDGE('',*,*,#3614,.T.); +#2140=ORIENTED_EDGE('',*,*,#3616,.T.); +#2141=ORIENTED_EDGE('',*,*,#3617,.F.); +#2142=ORIENTED_EDGE('',*,*,#3618,.T.); +#2143=ORIENTED_EDGE('',*,*,#3619,.T.); +#2144=ORIENTED_EDGE('',*,*,#3620,.T.); +#2145=ORIENTED_EDGE('',*,*,#3621,.F.); +#2146=ORIENTED_EDGE('',*,*,#3622,.T.); +#2147=ORIENTED_EDGE('',*,*,#3621,.T.); +#2148=ORIENTED_EDGE('',*,*,#3623,.T.); +#2149=ORIENTED_EDGE('',*,*,#3624,.F.); +#2150=ORIENTED_EDGE('',*,*,#3625,.T.); +#2151=ORIENTED_EDGE('',*,*,#3624,.T.); +#2152=ORIENTED_EDGE('',*,*,#3626,.T.); +#2153=ORIENTED_EDGE('',*,*,#3627,.F.); +#2154=ORIENTED_EDGE('',*,*,#3628,.T.); +#2155=ORIENTED_EDGE('',*,*,#3629,.T.); +#2156=ORIENTED_EDGE('',*,*,#3630,.T.); +#2157=ORIENTED_EDGE('',*,*,#3631,.F.); +#2158=ORIENTED_EDGE('',*,*,#3632,.T.); +#2159=ORIENTED_EDGE('',*,*,#3631,.T.); +#2160=ORIENTED_EDGE('',*,*,#3633,.T.); +#2161=ORIENTED_EDGE('',*,*,#3634,.F.); +#2162=ORIENTED_EDGE('',*,*,#3635,.T.); +#2163=ORIENTED_EDGE('',*,*,#3634,.T.); +#2164=ORIENTED_EDGE('',*,*,#3636,.T.); +#2165=ORIENTED_EDGE('',*,*,#3637,.F.); +#2166=ORIENTED_EDGE('',*,*,#3638,.T.); +#2167=ORIENTED_EDGE('',*,*,#3639,.T.); +#2168=ORIENTED_EDGE('',*,*,#3640,.T.); +#2169=ORIENTED_EDGE('',*,*,#3641,.F.); +#2170=ORIENTED_EDGE('',*,*,#3642,.T.); +#2171=ORIENTED_EDGE('',*,*,#3641,.T.); +#2172=ORIENTED_EDGE('',*,*,#3643,.T.); +#2173=ORIENTED_EDGE('',*,*,#3644,.F.); +#2174=ORIENTED_EDGE('',*,*,#3645,.T.); +#2175=ORIENTED_EDGE('',*,*,#3644,.T.); +#2176=ORIENTED_EDGE('',*,*,#3646,.T.); +#2177=ORIENTED_EDGE('',*,*,#3647,.F.); +#2178=ORIENTED_EDGE('',*,*,#3648,.T.); +#2179=ORIENTED_EDGE('',*,*,#3649,.T.); +#2180=ORIENTED_EDGE('',*,*,#3650,.T.); +#2181=ORIENTED_EDGE('',*,*,#3651,.F.); +#2182=ORIENTED_EDGE('',*,*,#3652,.T.); +#2183=ORIENTED_EDGE('',*,*,#3651,.T.); +#2184=ORIENTED_EDGE('',*,*,#3653,.T.); +#2185=ORIENTED_EDGE('',*,*,#3654,.F.); +#2186=ORIENTED_EDGE('',*,*,#3655,.T.); +#2187=ORIENTED_EDGE('',*,*,#3654,.T.); +#2188=ORIENTED_EDGE('',*,*,#3656,.T.); +#2189=ORIENTED_EDGE('',*,*,#3657,.F.); +#2190=ORIENTED_EDGE('',*,*,#3658,.T.); +#2191=ORIENTED_EDGE('',*,*,#3659,.T.); +#2192=ORIENTED_EDGE('',*,*,#3660,.T.); +#2193=ORIENTED_EDGE('',*,*,#3661,.F.); +#2194=ORIENTED_EDGE('',*,*,#3662,.T.); +#2195=ORIENTED_EDGE('',*,*,#3661,.T.); +#2196=ORIENTED_EDGE('',*,*,#3663,.T.); +#2197=ORIENTED_EDGE('',*,*,#3664,.F.); +#2198=ORIENTED_EDGE('',*,*,#3665,.T.); +#2199=ORIENTED_EDGE('',*,*,#3664,.T.); +#2200=ORIENTED_EDGE('',*,*,#3666,.T.); +#2201=ORIENTED_EDGE('',*,*,#3667,.F.); +#2202=ORIENTED_EDGE('',*,*,#3668,.T.); +#2203=ORIENTED_EDGE('',*,*,#3669,.T.); +#2204=ORIENTED_EDGE('',*,*,#3670,.T.); +#2205=ORIENTED_EDGE('',*,*,#3671,.F.); +#2206=ORIENTED_EDGE('',*,*,#3672,.T.); +#2207=ORIENTED_EDGE('',*,*,#3671,.T.); +#2208=ORIENTED_EDGE('',*,*,#3673,.T.); +#2209=ORIENTED_EDGE('',*,*,#3674,.F.); +#2210=ORIENTED_EDGE('',*,*,#3675,.T.); +#2211=ORIENTED_EDGE('',*,*,#3674,.T.); +#2212=ORIENTED_EDGE('',*,*,#3676,.T.); +#2213=ORIENTED_EDGE('',*,*,#3677,.F.); +#2214=ORIENTED_EDGE('',*,*,#3678,.T.); +#2215=ORIENTED_EDGE('',*,*,#3679,.T.); +#2216=ORIENTED_EDGE('',*,*,#3680,.T.); +#2217=ORIENTED_EDGE('',*,*,#3681,.F.); +#2218=ORIENTED_EDGE('',*,*,#3682,.T.); +#2219=ORIENTED_EDGE('',*,*,#3681,.T.); +#2220=ORIENTED_EDGE('',*,*,#3683,.T.); +#2221=ORIENTED_EDGE('',*,*,#3684,.F.); +#2222=ORIENTED_EDGE('',*,*,#3685,.T.); +#2223=ORIENTED_EDGE('',*,*,#3684,.T.); +#2224=ORIENTED_EDGE('',*,*,#3686,.T.); +#2225=ORIENTED_EDGE('',*,*,#3687,.F.); +#2226=ORIENTED_EDGE('',*,*,#3688,.T.); +#2227=ORIENTED_EDGE('',*,*,#3689,.T.); +#2228=ORIENTED_EDGE('',*,*,#3690,.T.); +#2229=ORIENTED_EDGE('',*,*,#3691,.F.); +#2230=ORIENTED_EDGE('',*,*,#3692,.T.); +#2231=ORIENTED_EDGE('',*,*,#3691,.T.); +#2232=ORIENTED_EDGE('',*,*,#3693,.T.); +#2233=ORIENTED_EDGE('',*,*,#3694,.F.); +#2234=ORIENTED_EDGE('',*,*,#3695,.T.); +#2235=ORIENTED_EDGE('',*,*,#3694,.T.); +#2236=ORIENTED_EDGE('',*,*,#3696,.T.); +#2237=ORIENTED_EDGE('',*,*,#3697,.F.); +#2238=ORIENTED_EDGE('',*,*,#3698,.T.); +#2239=ORIENTED_EDGE('',*,*,#3699,.T.); +#2240=ORIENTED_EDGE('',*,*,#3700,.T.); +#2241=ORIENTED_EDGE('',*,*,#3701,.F.); +#2242=ORIENTED_EDGE('',*,*,#3702,.T.); +#2243=ORIENTED_EDGE('',*,*,#3701,.T.); +#2244=ORIENTED_EDGE('',*,*,#3703,.T.); +#2245=ORIENTED_EDGE('',*,*,#3704,.F.); +#2246=ORIENTED_EDGE('',*,*,#3705,.T.); +#2247=ORIENTED_EDGE('',*,*,#3704,.T.); +#2248=ORIENTED_EDGE('',*,*,#3706,.T.); +#2249=ORIENTED_EDGE('',*,*,#3707,.F.); +#2250=ORIENTED_EDGE('',*,*,#3708,.T.); +#2251=ORIENTED_EDGE('',*,*,#3709,.T.); +#2252=ORIENTED_EDGE('',*,*,#3710,.T.); +#2253=ORIENTED_EDGE('',*,*,#3711,.F.); +#2254=ORIENTED_EDGE('',*,*,#3712,.T.); +#2255=ORIENTED_EDGE('',*,*,#3711,.T.); +#2256=ORIENTED_EDGE('',*,*,#3713,.T.); +#2257=ORIENTED_EDGE('',*,*,#3714,.F.); +#2258=ORIENTED_EDGE('',*,*,#3715,.T.); +#2259=ORIENTED_EDGE('',*,*,#3714,.T.); +#2260=ORIENTED_EDGE('',*,*,#3716,.T.); +#2261=ORIENTED_EDGE('',*,*,#3717,.F.); +#2262=ORIENTED_EDGE('',*,*,#3718,.T.); +#2263=ORIENTED_EDGE('',*,*,#3567,.T.); +#2264=ORIENTED_EDGE('',*,*,#3719,.T.); +#2265=ORIENTED_EDGE('',*,*,#3559,.F.); +#2266=ORIENTED_EDGE('',*,*,#3720,.T.); +#2267=ORIENTED_EDGE('',*,*,#3577,.T.); +#2268=ORIENTED_EDGE('',*,*,#3721,.T.); +#2269=ORIENTED_EDGE('',*,*,#3569,.F.); +#2270=ORIENTED_EDGE('',*,*,#3722,.T.); +#2271=ORIENTED_EDGE('',*,*,#3587,.T.); +#2272=ORIENTED_EDGE('',*,*,#3723,.T.); +#2273=ORIENTED_EDGE('',*,*,#3579,.F.); +#2274=ORIENTED_EDGE('',*,*,#3724,.T.); +#2275=ORIENTED_EDGE('',*,*,#3597,.T.); +#2276=ORIENTED_EDGE('',*,*,#3725,.T.); +#2277=ORIENTED_EDGE('',*,*,#3589,.F.); +#2278=ORIENTED_EDGE('',*,*,#3726,.T.); +#2279=ORIENTED_EDGE('',*,*,#3607,.T.); +#2280=ORIENTED_EDGE('',*,*,#3727,.T.); +#2281=ORIENTED_EDGE('',*,*,#3599,.F.); +#2282=ORIENTED_EDGE('',*,*,#3728,.T.); +#2283=ORIENTED_EDGE('',*,*,#3617,.T.); +#2284=ORIENTED_EDGE('',*,*,#3729,.T.); +#2285=ORIENTED_EDGE('',*,*,#3609,.F.); +#2286=ORIENTED_EDGE('',*,*,#3730,.T.); +#2287=ORIENTED_EDGE('',*,*,#3627,.T.); +#2288=ORIENTED_EDGE('',*,*,#3731,.T.); +#2289=ORIENTED_EDGE('',*,*,#3619,.F.); +#2290=ORIENTED_EDGE('',*,*,#3732,.T.); +#2291=ORIENTED_EDGE('',*,*,#3637,.T.); +#2292=ORIENTED_EDGE('',*,*,#3733,.T.); +#2293=ORIENTED_EDGE('',*,*,#3629,.F.); +#2294=ORIENTED_EDGE('',*,*,#3734,.T.); +#2295=ORIENTED_EDGE('',*,*,#3647,.T.); +#2296=ORIENTED_EDGE('',*,*,#3735,.T.); +#2297=ORIENTED_EDGE('',*,*,#3639,.F.); +#2298=ORIENTED_EDGE('',*,*,#3736,.T.); +#2299=ORIENTED_EDGE('',*,*,#3657,.T.); +#2300=ORIENTED_EDGE('',*,*,#3737,.T.); +#2301=ORIENTED_EDGE('',*,*,#3649,.F.); +#2302=ORIENTED_EDGE('',*,*,#3738,.T.); +#2303=ORIENTED_EDGE('',*,*,#3667,.T.); +#2304=ORIENTED_EDGE('',*,*,#3739,.T.); +#2305=ORIENTED_EDGE('',*,*,#3659,.F.); +#2306=ORIENTED_EDGE('',*,*,#3740,.T.); +#2307=ORIENTED_EDGE('',*,*,#3677,.T.); +#2308=ORIENTED_EDGE('',*,*,#3741,.T.); +#2309=ORIENTED_EDGE('',*,*,#3669,.F.); +#2310=ORIENTED_EDGE('',*,*,#3742,.T.); +#2311=ORIENTED_EDGE('',*,*,#3687,.T.); +#2312=ORIENTED_EDGE('',*,*,#3743,.T.); +#2313=ORIENTED_EDGE('',*,*,#3679,.F.); +#2314=ORIENTED_EDGE('',*,*,#3744,.T.); +#2315=ORIENTED_EDGE('',*,*,#3697,.T.); +#2316=ORIENTED_EDGE('',*,*,#3745,.T.); +#2317=ORIENTED_EDGE('',*,*,#3689,.F.); +#2318=ORIENTED_EDGE('',*,*,#3746,.T.); +#2319=ORIENTED_EDGE('',*,*,#3707,.T.); +#2320=ORIENTED_EDGE('',*,*,#3747,.T.); +#2321=ORIENTED_EDGE('',*,*,#3699,.F.); +#2322=ORIENTED_EDGE('',*,*,#3748,.T.); +#2323=ORIENTED_EDGE('',*,*,#3717,.T.); +#2324=ORIENTED_EDGE('',*,*,#3749,.T.); +#2325=ORIENTED_EDGE('',*,*,#3709,.F.); +#2326=ORIENTED_EDGE('',*,*,#3750,.T.); +#2327=ORIENTED_EDGE('',*,*,#3751,.T.); +#2328=ORIENTED_EDGE('',*,*,#3522,.F.); +#2329=ORIENTED_EDGE('',*,*,#3752,.F.); +#2330=ORIENTED_EDGE('',*,*,#3524,.F.); +#2331=ORIENTED_EDGE('',*,*,#3753,.F.); +#2332=ORIENTED_EDGE('',*,*,#3556,.F.); +#2333=ORIENTED_EDGE('',*,*,#3754,.T.); +#2334=ORIENTED_EDGE('',*,*,#3490,.F.); +#2335=ORIENTED_EDGE('',*,*,#3755,.F.); +#2336=ORIENTED_EDGE('',*,*,#3552,.F.); +#2337=ORIENTED_EDGE('',*,*,#3756,.T.); +#2338=ORIENTED_EDGE('',*,*,#3494,.F.); +#2339=ORIENTED_EDGE('',*,*,#3757,.F.); +#2340=ORIENTED_EDGE('',*,*,#3548,.F.); +#2341=ORIENTED_EDGE('',*,*,#3758,.T.); +#2342=ORIENTED_EDGE('',*,*,#3498,.F.); +#2343=ORIENTED_EDGE('',*,*,#3759,.F.); +#2344=ORIENTED_EDGE('',*,*,#3544,.F.); +#2345=ORIENTED_EDGE('',*,*,#3760,.T.); +#2346=ORIENTED_EDGE('',*,*,#3502,.F.); +#2347=ORIENTED_EDGE('',*,*,#3761,.F.); +#2348=ORIENTED_EDGE('',*,*,#3540,.F.); +#2349=ORIENTED_EDGE('',*,*,#3762,.T.); +#2350=ORIENTED_EDGE('',*,*,#3506,.F.); +#2351=ORIENTED_EDGE('',*,*,#3763,.F.); +#2352=ORIENTED_EDGE('',*,*,#3536,.F.); +#2353=ORIENTED_EDGE('',*,*,#3764,.T.); +#2354=ORIENTED_EDGE('',*,*,#3510,.F.); +#2355=ORIENTED_EDGE('',*,*,#3765,.F.); +#2356=ORIENTED_EDGE('',*,*,#3532,.F.); +#2357=ORIENTED_EDGE('',*,*,#3766,.T.); +#2358=ORIENTED_EDGE('',*,*,#3514,.F.); +#2359=ORIENTED_EDGE('',*,*,#3767,.F.); +#2360=ORIENTED_EDGE('',*,*,#3528,.F.); +#2361=ORIENTED_EDGE('',*,*,#3768,.F.); +#2362=ORIENTED_EDGE('',*,*,#3518,.F.); +#2363=ORIENTED_EDGE('',*,*,#3768,.T.); +#2364=ORIENTED_EDGE('',*,*,#3527,.F.); +#2365=ORIENTED_EDGE('',*,*,#3769,.F.); +#2366=ORIENTED_EDGE('',*,*,#3519,.T.); +#2367=ORIENTED_EDGE('',*,*,#3752,.T.); +#2368=ORIENTED_EDGE('',*,*,#3521,.F.); +#2369=ORIENTED_EDGE('',*,*,#3770,.F.); +#2370=ORIENTED_EDGE('',*,*,#3525,.T.); +#2371=ORIENTED_EDGE('',*,*,#3712,.F.); +#2372=ORIENTED_EDGE('',*,*,#3715,.F.); +#2373=ORIENTED_EDGE('',*,*,#3718,.F.); +#2374=ORIENTED_EDGE('',*,*,#3750,.F.); +#2375=ORIENTED_EDGE('',*,*,#3702,.F.); +#2376=ORIENTED_EDGE('',*,*,#3705,.F.); +#2377=ORIENTED_EDGE('',*,*,#3708,.F.); +#2378=ORIENTED_EDGE('',*,*,#3748,.F.); +#2379=ORIENTED_EDGE('',*,*,#3692,.F.); +#2380=ORIENTED_EDGE('',*,*,#3695,.F.); +#2381=ORIENTED_EDGE('',*,*,#3698,.F.); +#2382=ORIENTED_EDGE('',*,*,#3746,.F.); +#2383=ORIENTED_EDGE('',*,*,#3682,.F.); +#2384=ORIENTED_EDGE('',*,*,#3685,.F.); +#2385=ORIENTED_EDGE('',*,*,#3688,.F.); +#2386=ORIENTED_EDGE('',*,*,#3744,.F.); +#2387=ORIENTED_EDGE('',*,*,#3672,.F.); +#2388=ORIENTED_EDGE('',*,*,#3675,.F.); +#2389=ORIENTED_EDGE('',*,*,#3678,.F.); +#2390=ORIENTED_EDGE('',*,*,#3742,.F.); +#2391=ORIENTED_EDGE('',*,*,#3662,.F.); +#2392=ORIENTED_EDGE('',*,*,#3665,.F.); +#2393=ORIENTED_EDGE('',*,*,#3668,.F.); +#2394=ORIENTED_EDGE('',*,*,#3740,.F.); +#2395=ORIENTED_EDGE('',*,*,#3652,.F.); +#2396=ORIENTED_EDGE('',*,*,#3655,.F.); +#2397=ORIENTED_EDGE('',*,*,#3658,.F.); +#2398=ORIENTED_EDGE('',*,*,#3738,.F.); +#2399=ORIENTED_EDGE('',*,*,#3642,.F.); +#2400=ORIENTED_EDGE('',*,*,#3645,.F.); +#2401=ORIENTED_EDGE('',*,*,#3648,.F.); +#2402=ORIENTED_EDGE('',*,*,#3736,.F.); +#2403=ORIENTED_EDGE('',*,*,#3632,.F.); +#2404=ORIENTED_EDGE('',*,*,#3635,.F.); +#2405=ORIENTED_EDGE('',*,*,#3638,.F.); +#2406=ORIENTED_EDGE('',*,*,#3734,.F.); +#2407=ORIENTED_EDGE('',*,*,#3622,.F.); +#2408=ORIENTED_EDGE('',*,*,#3625,.F.); +#2409=ORIENTED_EDGE('',*,*,#3628,.F.); +#2410=ORIENTED_EDGE('',*,*,#3732,.F.); +#2411=ORIENTED_EDGE('',*,*,#3612,.F.); +#2412=ORIENTED_EDGE('',*,*,#3615,.F.); +#2413=ORIENTED_EDGE('',*,*,#3618,.F.); +#2414=ORIENTED_EDGE('',*,*,#3730,.F.); +#2415=ORIENTED_EDGE('',*,*,#3602,.F.); +#2416=ORIENTED_EDGE('',*,*,#3605,.F.); +#2417=ORIENTED_EDGE('',*,*,#3608,.F.); +#2418=ORIENTED_EDGE('',*,*,#3728,.F.); +#2419=ORIENTED_EDGE('',*,*,#3592,.F.); +#2420=ORIENTED_EDGE('',*,*,#3595,.F.); +#2421=ORIENTED_EDGE('',*,*,#3598,.F.); +#2422=ORIENTED_EDGE('',*,*,#3726,.F.); +#2423=ORIENTED_EDGE('',*,*,#3582,.F.); +#2424=ORIENTED_EDGE('',*,*,#3585,.F.); +#2425=ORIENTED_EDGE('',*,*,#3588,.F.); +#2426=ORIENTED_EDGE('',*,*,#3724,.F.); +#2427=ORIENTED_EDGE('',*,*,#3572,.F.); +#2428=ORIENTED_EDGE('',*,*,#3575,.F.); +#2429=ORIENTED_EDGE('',*,*,#3578,.F.); +#2430=ORIENTED_EDGE('',*,*,#3722,.F.); +#2431=ORIENTED_EDGE('',*,*,#3562,.F.); +#2432=ORIENTED_EDGE('',*,*,#3565,.F.); +#2433=ORIENTED_EDGE('',*,*,#3568,.F.); +#2434=ORIENTED_EDGE('',*,*,#3720,.F.); +#2435=ORIENTED_EDGE('',*,*,#3520,.T.); +#2436=ORIENTED_EDGE('',*,*,#3769,.T.); +#2437=ORIENTED_EDGE('',*,*,#3526,.T.); +#2438=ORIENTED_EDGE('',*,*,#3770,.T.); +#2439=ORIENTED_EDGE('',*,*,#3515,.F.); +#2440=ORIENTED_EDGE('',*,*,#3766,.F.); +#2441=ORIENTED_EDGE('',*,*,#3531,.T.); +#2442=ORIENTED_EDGE('',*,*,#3771,.T.); +#2443=ORIENTED_EDGE('',*,*,#3517,.F.); +#2444=ORIENTED_EDGE('',*,*,#3772,.F.); +#2445=ORIENTED_EDGE('',*,*,#3529,.T.); +#2446=ORIENTED_EDGE('',*,*,#3767,.T.); +#2447=ORIENTED_EDGE('',*,*,#3747,.F.); +#2448=ORIENTED_EDGE('',*,*,#3706,.F.); +#2449=ORIENTED_EDGE('',*,*,#3703,.F.); +#2450=ORIENTED_EDGE('',*,*,#3700,.F.); +#2451=ORIENTED_EDGE('',*,*,#3749,.F.); +#2452=ORIENTED_EDGE('',*,*,#3716,.F.); +#2453=ORIENTED_EDGE('',*,*,#3713,.F.); +#2454=ORIENTED_EDGE('',*,*,#3710,.F.); +#2455=ORIENTED_EDGE('',*,*,#3516,.F.); +#2456=ORIENTED_EDGE('',*,*,#3771,.F.); +#2457=ORIENTED_EDGE('',*,*,#3530,.T.); +#2458=ORIENTED_EDGE('',*,*,#3772,.T.); +#2459=ORIENTED_EDGE('',*,*,#3511,.F.); +#2460=ORIENTED_EDGE('',*,*,#3764,.F.); +#2461=ORIENTED_EDGE('',*,*,#3535,.T.); +#2462=ORIENTED_EDGE('',*,*,#3773,.T.); +#2463=ORIENTED_EDGE('',*,*,#3513,.F.); +#2464=ORIENTED_EDGE('',*,*,#3774,.F.); +#2465=ORIENTED_EDGE('',*,*,#3533,.T.); +#2466=ORIENTED_EDGE('',*,*,#3765,.T.); +#2467=ORIENTED_EDGE('',*,*,#3743,.F.); +#2468=ORIENTED_EDGE('',*,*,#3686,.F.); +#2469=ORIENTED_EDGE('',*,*,#3683,.F.); +#2470=ORIENTED_EDGE('',*,*,#3680,.F.); +#2471=ORIENTED_EDGE('',*,*,#3745,.F.); +#2472=ORIENTED_EDGE('',*,*,#3696,.F.); +#2473=ORIENTED_EDGE('',*,*,#3693,.F.); +#2474=ORIENTED_EDGE('',*,*,#3690,.F.); +#2475=ORIENTED_EDGE('',*,*,#3512,.F.); +#2476=ORIENTED_EDGE('',*,*,#3773,.F.); +#2477=ORIENTED_EDGE('',*,*,#3534,.T.); +#2478=ORIENTED_EDGE('',*,*,#3774,.T.); +#2479=ORIENTED_EDGE('',*,*,#3507,.F.); +#2480=ORIENTED_EDGE('',*,*,#3762,.F.); +#2481=ORIENTED_EDGE('',*,*,#3539,.T.); +#2482=ORIENTED_EDGE('',*,*,#3775,.T.); +#2483=ORIENTED_EDGE('',*,*,#3509,.F.); +#2484=ORIENTED_EDGE('',*,*,#3776,.F.); +#2485=ORIENTED_EDGE('',*,*,#3537,.T.); +#2486=ORIENTED_EDGE('',*,*,#3763,.T.); +#2487=ORIENTED_EDGE('',*,*,#3739,.F.); +#2488=ORIENTED_EDGE('',*,*,#3666,.F.); +#2489=ORIENTED_EDGE('',*,*,#3663,.F.); +#2490=ORIENTED_EDGE('',*,*,#3660,.F.); +#2491=ORIENTED_EDGE('',*,*,#3741,.F.); +#2492=ORIENTED_EDGE('',*,*,#3676,.F.); +#2493=ORIENTED_EDGE('',*,*,#3673,.F.); +#2494=ORIENTED_EDGE('',*,*,#3670,.F.); +#2495=ORIENTED_EDGE('',*,*,#3508,.F.); +#2496=ORIENTED_EDGE('',*,*,#3775,.F.); +#2497=ORIENTED_EDGE('',*,*,#3538,.T.); +#2498=ORIENTED_EDGE('',*,*,#3776,.T.); +#2499=ORIENTED_EDGE('',*,*,#3503,.F.); +#2500=ORIENTED_EDGE('',*,*,#3760,.F.); +#2501=ORIENTED_EDGE('',*,*,#3543,.T.); +#2502=ORIENTED_EDGE('',*,*,#3777,.T.); +#2503=ORIENTED_EDGE('',*,*,#3505,.F.); +#2504=ORIENTED_EDGE('',*,*,#3778,.F.); +#2505=ORIENTED_EDGE('',*,*,#3541,.T.); +#2506=ORIENTED_EDGE('',*,*,#3761,.T.); +#2507=ORIENTED_EDGE('',*,*,#3735,.F.); +#2508=ORIENTED_EDGE('',*,*,#3646,.F.); +#2509=ORIENTED_EDGE('',*,*,#3643,.F.); +#2510=ORIENTED_EDGE('',*,*,#3640,.F.); +#2511=ORIENTED_EDGE('',*,*,#3737,.F.); +#2512=ORIENTED_EDGE('',*,*,#3656,.F.); +#2513=ORIENTED_EDGE('',*,*,#3653,.F.); +#2514=ORIENTED_EDGE('',*,*,#3650,.F.); +#2515=ORIENTED_EDGE('',*,*,#3504,.F.); +#2516=ORIENTED_EDGE('',*,*,#3777,.F.); +#2517=ORIENTED_EDGE('',*,*,#3542,.T.); +#2518=ORIENTED_EDGE('',*,*,#3778,.T.); +#2519=ORIENTED_EDGE('',*,*,#3499,.F.); +#2520=ORIENTED_EDGE('',*,*,#3758,.F.); +#2521=ORIENTED_EDGE('',*,*,#3547,.T.); +#2522=ORIENTED_EDGE('',*,*,#3779,.T.); +#2523=ORIENTED_EDGE('',*,*,#3501,.F.); +#2524=ORIENTED_EDGE('',*,*,#3780,.F.); +#2525=ORIENTED_EDGE('',*,*,#3545,.T.); +#2526=ORIENTED_EDGE('',*,*,#3759,.T.); +#2527=ORIENTED_EDGE('',*,*,#3731,.F.); +#2528=ORIENTED_EDGE('',*,*,#3626,.F.); +#2529=ORIENTED_EDGE('',*,*,#3623,.F.); +#2530=ORIENTED_EDGE('',*,*,#3620,.F.); +#2531=ORIENTED_EDGE('',*,*,#3733,.F.); +#2532=ORIENTED_EDGE('',*,*,#3636,.F.); +#2533=ORIENTED_EDGE('',*,*,#3633,.F.); +#2534=ORIENTED_EDGE('',*,*,#3630,.F.); +#2535=ORIENTED_EDGE('',*,*,#3500,.F.); +#2536=ORIENTED_EDGE('',*,*,#3779,.F.); +#2537=ORIENTED_EDGE('',*,*,#3546,.T.); +#2538=ORIENTED_EDGE('',*,*,#3780,.T.); +#2539=ORIENTED_EDGE('',*,*,#3495,.F.); +#2540=ORIENTED_EDGE('',*,*,#3756,.F.); +#2541=ORIENTED_EDGE('',*,*,#3551,.T.); +#2542=ORIENTED_EDGE('',*,*,#3781,.T.); +#2543=ORIENTED_EDGE('',*,*,#3497,.F.); +#2544=ORIENTED_EDGE('',*,*,#3782,.F.); +#2545=ORIENTED_EDGE('',*,*,#3549,.T.); +#2546=ORIENTED_EDGE('',*,*,#3757,.T.); +#2547=ORIENTED_EDGE('',*,*,#3727,.F.); +#2548=ORIENTED_EDGE('',*,*,#3606,.F.); +#2549=ORIENTED_EDGE('',*,*,#3603,.F.); +#2550=ORIENTED_EDGE('',*,*,#3600,.F.); +#2551=ORIENTED_EDGE('',*,*,#3729,.F.); +#2552=ORIENTED_EDGE('',*,*,#3616,.F.); +#2553=ORIENTED_EDGE('',*,*,#3613,.F.); +#2554=ORIENTED_EDGE('',*,*,#3610,.F.); +#2555=ORIENTED_EDGE('',*,*,#3496,.F.); +#2556=ORIENTED_EDGE('',*,*,#3781,.F.); +#2557=ORIENTED_EDGE('',*,*,#3550,.T.); +#2558=ORIENTED_EDGE('',*,*,#3782,.T.); +#2559=ORIENTED_EDGE('',*,*,#3491,.F.); +#2560=ORIENTED_EDGE('',*,*,#3754,.F.); +#2561=ORIENTED_EDGE('',*,*,#3555,.T.); +#2562=ORIENTED_EDGE('',*,*,#3783,.T.); +#2563=ORIENTED_EDGE('',*,*,#3493,.F.); +#2564=ORIENTED_EDGE('',*,*,#3784,.F.); +#2565=ORIENTED_EDGE('',*,*,#3553,.T.); +#2566=ORIENTED_EDGE('',*,*,#3755,.T.); +#2567=ORIENTED_EDGE('',*,*,#3723,.F.); +#2568=ORIENTED_EDGE('',*,*,#3586,.F.); +#2569=ORIENTED_EDGE('',*,*,#3583,.F.); +#2570=ORIENTED_EDGE('',*,*,#3580,.F.); +#2571=ORIENTED_EDGE('',*,*,#3725,.F.); +#2572=ORIENTED_EDGE('',*,*,#3596,.F.); +#2573=ORIENTED_EDGE('',*,*,#3593,.F.); +#2574=ORIENTED_EDGE('',*,*,#3590,.F.); +#2575=ORIENTED_EDGE('',*,*,#3492,.F.); +#2576=ORIENTED_EDGE('',*,*,#3783,.F.); +#2577=ORIENTED_EDGE('',*,*,#3554,.T.); +#2578=ORIENTED_EDGE('',*,*,#3784,.T.); +#2579=ORIENTED_EDGE('',*,*,#3487,.F.); +#2580=ORIENTED_EDGE('',*,*,#3751,.F.); +#2581=ORIENTED_EDGE('',*,*,#3523,.T.); +#2582=ORIENTED_EDGE('',*,*,#3785,.T.); +#2583=ORIENTED_EDGE('',*,*,#3719,.F.); +#2584=ORIENTED_EDGE('',*,*,#3566,.F.); +#2585=ORIENTED_EDGE('',*,*,#3563,.F.); +#2586=ORIENTED_EDGE('',*,*,#3560,.F.); +#2587=ORIENTED_EDGE('',*,*,#3721,.F.); +#2588=ORIENTED_EDGE('',*,*,#3576,.F.); +#2589=ORIENTED_EDGE('',*,*,#3573,.F.); +#2590=ORIENTED_EDGE('',*,*,#3570,.F.); +#2591=ORIENTED_EDGE('',*,*,#3488,.F.); +#2592=ORIENTED_EDGE('',*,*,#3785,.F.); +#2593=ORIENTED_EDGE('',*,*,#3558,.T.); +#2594=ORIENTED_EDGE('',*,*,#3786,.T.); +#2595=ORIENTED_EDGE('',*,*,#3489,.F.); +#2596=ORIENTED_EDGE('',*,*,#3786,.F.); +#2597=ORIENTED_EDGE('',*,*,#3557,.T.); +#2598=ORIENTED_EDGE('',*,*,#3753,.T.); +#2599=EDGE_CURVE('',#3787,#3788,#4579,.T.); +#2600=EDGE_CURVE('',#3788,#3789,#4580,.T.); +#2601=EDGE_CURVE('',#3790,#3789,#4581,.T.); +#2602=EDGE_CURVE('',#3787,#3790,#4582,.T.); +#2603=EDGE_CURVE('',#3791,#3792,#4583,.T.); +#2604=EDGE_CURVE('',#3791,#3793,#4584,.T.); +#2605=EDGE_CURVE('',#3793,#3794,#4585,.T.); +#2606=EDGE_CURVE('',#3794,#3792,#4586,.T.); +#2607=EDGE_CURVE('',#3795,#3796,#4587,.T.); +#2608=EDGE_CURVE('',#3795,#3797,#4588,.T.); +#2609=EDGE_CURVE('',#3797,#3798,#4589,.T.); +#2610=EDGE_CURVE('',#3798,#3796,#4590,.T.); +#2611=EDGE_CURVE('',#3799,#3800,#4591,.T.); +#2612=EDGE_CURVE('',#3799,#3801,#4592,.T.); +#2613=EDGE_CURVE('',#3801,#3802,#4593,.T.); +#2614=EDGE_CURVE('',#3802,#3800,#4594,.T.); +#2615=EDGE_CURVE('',#3803,#3804,#4595,.T.); +#2616=EDGE_CURVE('',#3803,#3805,#4596,.T.); +#2617=EDGE_CURVE('',#3805,#3806,#4597,.T.); +#2618=EDGE_CURVE('',#3806,#3804,#4598,.T.); +#2619=EDGE_CURVE('',#3807,#3808,#4599,.T.); +#2620=EDGE_CURVE('',#3807,#3809,#4600,.T.); +#2621=EDGE_CURVE('',#3809,#3810,#4601,.T.); +#2622=EDGE_CURVE('',#3810,#3808,#4602,.T.); +#2623=EDGE_CURVE('',#3811,#3812,#4603,.T.); +#2624=EDGE_CURVE('',#3811,#3813,#4604,.T.); +#2625=EDGE_CURVE('',#3813,#3814,#4605,.T.); +#2626=EDGE_CURVE('',#3814,#3812,#4606,.T.); +#2627=EDGE_CURVE('',#3815,#3816,#4607,.T.); +#2628=EDGE_CURVE('',#3815,#3817,#4608,.T.); +#2629=EDGE_CURVE('',#3817,#3818,#4609,.T.); +#2630=EDGE_CURVE('',#3818,#3816,#4610,.T.); +#2631=EDGE_CURVE('',#3789,#3819,#4611,.T.); +#2632=EDGE_CURVE('',#3820,#3819,#4612,.T.); +#2633=EDGE_CURVE('',#3790,#3820,#4613,.T.); +#2634=EDGE_CURVE('',#3821,#3822,#4614,.T.); +#2635=EDGE_CURVE('',#3821,#3791,#4615,.T.); +#2636=EDGE_CURVE('',#3792,#3822,#4616,.T.); +#2637=EDGE_CURVE('',#3823,#3824,#4617,.T.); +#2638=EDGE_CURVE('',#3823,#3795,#4618,.T.); +#2639=EDGE_CURVE('',#3796,#3824,#4619,.T.); +#2640=EDGE_CURVE('',#3825,#3826,#4620,.T.); +#2641=EDGE_CURVE('',#3825,#3799,#4621,.T.); +#2642=EDGE_CURVE('',#3800,#3826,#4622,.T.); +#2643=EDGE_CURVE('',#3827,#3828,#4623,.T.); +#2644=EDGE_CURVE('',#3827,#3803,#4624,.T.); +#2645=EDGE_CURVE('',#3804,#3828,#4625,.T.); +#2646=EDGE_CURVE('',#3829,#3830,#4626,.T.); +#2647=EDGE_CURVE('',#3829,#3807,#4627,.T.); +#2648=EDGE_CURVE('',#3808,#3830,#4628,.T.); +#2649=EDGE_CURVE('',#3831,#3832,#4629,.T.); +#2650=EDGE_CURVE('',#3831,#3811,#4630,.T.); +#2651=EDGE_CURVE('',#3812,#3832,#4631,.T.); +#2652=EDGE_CURVE('',#3833,#3834,#4632,.T.); +#2653=EDGE_CURVE('',#3833,#3815,#4633,.T.); +#2654=EDGE_CURVE('',#3816,#3834,#4634,.T.); +#2655=EDGE_CURVE('',#3819,#3835,#4635,.T.); +#2656=EDGE_CURVE('',#3836,#3835,#4636,.T.); +#2657=EDGE_CURVE('',#3820,#3836,#4637,.T.); +#2658=EDGE_CURVE('',#3837,#3838,#4638,.T.); +#2659=EDGE_CURVE('',#3837,#3821,#4639,.T.); +#2660=EDGE_CURVE('',#3822,#3838,#4640,.T.); +#2661=EDGE_CURVE('',#3839,#3840,#4641,.T.); +#2662=EDGE_CURVE('',#3839,#3823,#4642,.T.); +#2663=EDGE_CURVE('',#3824,#3840,#4643,.T.); +#2664=EDGE_CURVE('',#3841,#3842,#4644,.T.); +#2665=EDGE_CURVE('',#3841,#3825,#4645,.T.); +#2666=EDGE_CURVE('',#3826,#3842,#4646,.T.); +#2667=EDGE_CURVE('',#3843,#3844,#4647,.T.); +#2668=EDGE_CURVE('',#3843,#3827,#4648,.T.); +#2669=EDGE_CURVE('',#3828,#3844,#4649,.T.); +#2670=EDGE_CURVE('',#3845,#3846,#4650,.T.); +#2671=EDGE_CURVE('',#3845,#3829,#4651,.T.); +#2672=EDGE_CURVE('',#3830,#3846,#4652,.T.); +#2673=EDGE_CURVE('',#3847,#3848,#4653,.T.); +#2674=EDGE_CURVE('',#3847,#3831,#4654,.T.); +#2675=EDGE_CURVE('',#3832,#3848,#4655,.T.); +#2676=EDGE_CURVE('',#3849,#3850,#4656,.T.); +#2677=EDGE_CURVE('',#3849,#3833,#4657,.T.); +#2678=EDGE_CURVE('',#3834,#3850,#4658,.T.); +#2679=EDGE_CURVE('',#3835,#3851,#4659,.T.); +#2680=EDGE_CURVE('',#3852,#3851,#4660,.T.); +#2681=EDGE_CURVE('',#3836,#3852,#4661,.T.); +#2682=EDGE_CURVE('',#3853,#3854,#4662,.T.); +#2683=EDGE_CURVE('',#3853,#3837,#4663,.T.); +#2684=EDGE_CURVE('',#3838,#3854,#4664,.T.); +#2685=EDGE_CURVE('',#3855,#3856,#4665,.T.); +#2686=EDGE_CURVE('',#3855,#3839,#4666,.T.); +#2687=EDGE_CURVE('',#3840,#3856,#4667,.T.); +#2688=EDGE_CURVE('',#3857,#3858,#4668,.T.); +#2689=EDGE_CURVE('',#3857,#3841,#4669,.T.); +#2690=EDGE_CURVE('',#3842,#3858,#4670,.T.); +#2691=EDGE_CURVE('',#3859,#3860,#4671,.T.); +#2692=EDGE_CURVE('',#3859,#3843,#4672,.T.); +#2693=EDGE_CURVE('',#3844,#3860,#4673,.T.); +#2694=EDGE_CURVE('',#3861,#3862,#4674,.T.); +#2695=EDGE_CURVE('',#3861,#3845,#4675,.T.); +#2696=EDGE_CURVE('',#3846,#3862,#4676,.T.); +#2697=EDGE_CURVE('',#3863,#3864,#4677,.T.); +#2698=EDGE_CURVE('',#3863,#3847,#4678,.T.); +#2699=EDGE_CURVE('',#3848,#3864,#4679,.T.); +#2700=EDGE_CURVE('',#3865,#3866,#4680,.T.); +#2701=EDGE_CURVE('',#3865,#3849,#4681,.T.); +#2702=EDGE_CURVE('',#3850,#3866,#4682,.T.); +#2703=EDGE_CURVE('',#3867,#3868,#4683,.T.); +#2704=EDGE_CURVE('',#3868,#3869,#4684,.T.); +#2705=EDGE_CURVE('',#3870,#3869,#4685,.T.); +#2706=EDGE_CURVE('',#3867,#3870,#4686,.T.); +#2707=EDGE_CURVE('',#3871,#3872,#4687,.T.); +#2708=EDGE_CURVE('',#3871,#3873,#4688,.T.); +#2709=EDGE_CURVE('',#3873,#3874,#4689,.T.); +#2710=EDGE_CURVE('',#3874,#3872,#4690,.T.); +#2711=EDGE_CURVE('',#3875,#3876,#4691,.T.); +#2712=EDGE_CURVE('',#3875,#3877,#4692,.T.); +#2713=EDGE_CURVE('',#3877,#3878,#4693,.T.); +#2714=EDGE_CURVE('',#3878,#3876,#4694,.T.); +#2715=EDGE_CURVE('',#3879,#3880,#4695,.T.); +#2716=EDGE_CURVE('',#3879,#3881,#4696,.T.); +#2717=EDGE_CURVE('',#3881,#3882,#4697,.T.); +#2718=EDGE_CURVE('',#3882,#3880,#4698,.T.); +#2719=EDGE_CURVE('',#3883,#3884,#4699,.T.); +#2720=EDGE_CURVE('',#3883,#3885,#4700,.T.); +#2721=EDGE_CURVE('',#3885,#3886,#4701,.T.); +#2722=EDGE_CURVE('',#3886,#3884,#4702,.T.); +#2723=EDGE_CURVE('',#3887,#3888,#4703,.T.); +#2724=EDGE_CURVE('',#3887,#3889,#4704,.T.); +#2725=EDGE_CURVE('',#3889,#3890,#4705,.T.); +#2726=EDGE_CURVE('',#3890,#3888,#4706,.T.); +#2727=EDGE_CURVE('',#3891,#3892,#4707,.T.); +#2728=EDGE_CURVE('',#3891,#3893,#4708,.T.); +#2729=EDGE_CURVE('',#3893,#3894,#4709,.T.); +#2730=EDGE_CURVE('',#3894,#3892,#4710,.T.); +#2731=EDGE_CURVE('',#3895,#3896,#4711,.T.); +#2732=EDGE_CURVE('',#3895,#3897,#4712,.T.); +#2733=EDGE_CURVE('',#3897,#3898,#4713,.T.); +#2734=EDGE_CURVE('',#3898,#3896,#4714,.T.); +#2735=EDGE_CURVE('',#3869,#3899,#4715,.T.); +#2736=EDGE_CURVE('',#3900,#3899,#4716,.T.); +#2737=EDGE_CURVE('',#3870,#3900,#4717,.T.); +#2738=EDGE_CURVE('',#3901,#3902,#4718,.T.); +#2739=EDGE_CURVE('',#3901,#3871,#4719,.T.); +#2740=EDGE_CURVE('',#3872,#3902,#4720,.T.); +#2741=EDGE_CURVE('',#3903,#3904,#4721,.T.); +#2742=EDGE_CURVE('',#3903,#3875,#4722,.T.); +#2743=EDGE_CURVE('',#3876,#3904,#4723,.T.); +#2744=EDGE_CURVE('',#3905,#3906,#4724,.T.); +#2745=EDGE_CURVE('',#3905,#3879,#4725,.T.); +#2746=EDGE_CURVE('',#3880,#3906,#4726,.T.); +#2747=EDGE_CURVE('',#3907,#3908,#4727,.T.); +#2748=EDGE_CURVE('',#3907,#3883,#4728,.T.); +#2749=EDGE_CURVE('',#3884,#3908,#4729,.T.); +#2750=EDGE_CURVE('',#3909,#3910,#4730,.T.); +#2751=EDGE_CURVE('',#3909,#3887,#4731,.T.); +#2752=EDGE_CURVE('',#3888,#3910,#4732,.T.); +#2753=EDGE_CURVE('',#3911,#3912,#4733,.T.); +#2754=EDGE_CURVE('',#3911,#3891,#4734,.T.); +#2755=EDGE_CURVE('',#3892,#3912,#4735,.T.); +#2756=EDGE_CURVE('',#3913,#3914,#4736,.T.); +#2757=EDGE_CURVE('',#3913,#3895,#4737,.T.); +#2758=EDGE_CURVE('',#3896,#3914,#4738,.T.); +#2759=EDGE_CURVE('',#3899,#3915,#4739,.T.); +#2760=EDGE_CURVE('',#3916,#3915,#4740,.T.); +#2761=EDGE_CURVE('',#3900,#3916,#4741,.T.); +#2762=EDGE_CURVE('',#3917,#3918,#4742,.T.); +#2763=EDGE_CURVE('',#3917,#3901,#4743,.T.); +#2764=EDGE_CURVE('',#3902,#3918,#4744,.T.); +#2765=EDGE_CURVE('',#3919,#3920,#4745,.T.); +#2766=EDGE_CURVE('',#3919,#3903,#4746,.T.); +#2767=EDGE_CURVE('',#3904,#3920,#4747,.T.); +#2768=EDGE_CURVE('',#3921,#3922,#4748,.T.); +#2769=EDGE_CURVE('',#3921,#3905,#4749,.T.); +#2770=EDGE_CURVE('',#3906,#3922,#4750,.T.); +#2771=EDGE_CURVE('',#3923,#3924,#4751,.T.); +#2772=EDGE_CURVE('',#3923,#3907,#4752,.T.); +#2773=EDGE_CURVE('',#3908,#3924,#4753,.T.); +#2774=EDGE_CURVE('',#3925,#3926,#4754,.T.); +#2775=EDGE_CURVE('',#3925,#3909,#4755,.T.); +#2776=EDGE_CURVE('',#3910,#3926,#4756,.T.); +#2777=EDGE_CURVE('',#3927,#3928,#4757,.T.); +#2778=EDGE_CURVE('',#3927,#3911,#4758,.T.); +#2779=EDGE_CURVE('',#3912,#3928,#4759,.T.); +#2780=EDGE_CURVE('',#3929,#3930,#4760,.T.); +#2781=EDGE_CURVE('',#3929,#3913,#4761,.T.); +#2782=EDGE_CURVE('',#3914,#3930,#4762,.T.); +#2783=EDGE_CURVE('',#3915,#3931,#4763,.T.); +#2784=EDGE_CURVE('',#3932,#3931,#4764,.T.); +#2785=EDGE_CURVE('',#3916,#3932,#4765,.T.); +#2786=EDGE_CURVE('',#3933,#3934,#4766,.T.); +#2787=EDGE_CURVE('',#3933,#3917,#4767,.T.); +#2788=EDGE_CURVE('',#3918,#3934,#4768,.T.); +#2789=EDGE_CURVE('',#3935,#3936,#4769,.T.); +#2790=EDGE_CURVE('',#3935,#3919,#4770,.T.); +#2791=EDGE_CURVE('',#3920,#3936,#4771,.T.); +#2792=EDGE_CURVE('',#3937,#3938,#4772,.T.); +#2793=EDGE_CURVE('',#3937,#3921,#4773,.T.); +#2794=EDGE_CURVE('',#3922,#3938,#4774,.T.); +#2795=EDGE_CURVE('',#3939,#3940,#4775,.T.); +#2796=EDGE_CURVE('',#3939,#3923,#4776,.T.); +#2797=EDGE_CURVE('',#3924,#3940,#4777,.T.); +#2798=EDGE_CURVE('',#3941,#3942,#4778,.T.); +#2799=EDGE_CURVE('',#3941,#3925,#4779,.T.); +#2800=EDGE_CURVE('',#3926,#3942,#4780,.T.); +#2801=EDGE_CURVE('',#3943,#3944,#4781,.T.); +#2802=EDGE_CURVE('',#3943,#3927,#4782,.T.); +#2803=EDGE_CURVE('',#3928,#3944,#4783,.T.); +#2804=EDGE_CURVE('',#3945,#3946,#4784,.T.); +#2805=EDGE_CURVE('',#3945,#3929,#4785,.T.); +#2806=EDGE_CURVE('',#3930,#3946,#4786,.T.); +#2807=EDGE_CURVE('',#3947,#3948,#31,.T.); +#2808=EDGE_CURVE('',#3949,#3947,#4787,.T.); +#2809=EDGE_CURVE('',#3950,#3949,#32,.T.); +#2810=EDGE_CURVE('',#3950,#3948,#4788,.T.); +#2811=EDGE_CURVE('',#3951,#3952,#33,.T.); +#2812=EDGE_CURVE('',#3951,#3953,#4789,.T.); +#2813=EDGE_CURVE('',#3954,#3953,#34,.T.); +#2814=EDGE_CURVE('',#3952,#3954,#4790,.T.); +#2815=EDGE_CURVE('',#3955,#3956,#35,.T.); +#2816=EDGE_CURVE('',#3955,#3957,#4791,.T.); +#2817=EDGE_CURVE('',#3958,#3957,#36,.T.); +#2818=EDGE_CURVE('',#3956,#3958,#4792,.T.); +#2819=EDGE_CURVE('',#3959,#3960,#37,.T.); +#2820=EDGE_CURVE('',#3959,#3961,#4793,.T.); +#2821=EDGE_CURVE('',#3962,#3961,#38,.T.); +#2822=EDGE_CURVE('',#3960,#3962,#4794,.T.); +#2823=EDGE_CURVE('',#3963,#3964,#39,.T.); +#2824=EDGE_CURVE('',#3963,#3965,#4795,.T.); +#2825=EDGE_CURVE('',#3966,#3965,#40,.T.); +#2826=EDGE_CURVE('',#3964,#3966,#4796,.T.); +#2827=EDGE_CURVE('',#3967,#3968,#41,.T.); +#2828=EDGE_CURVE('',#3967,#3969,#4797,.T.); +#2829=EDGE_CURVE('',#3970,#3969,#42,.T.); +#2830=EDGE_CURVE('',#3968,#3970,#4798,.T.); +#2831=EDGE_CURVE('',#3971,#3972,#43,.T.); +#2832=EDGE_CURVE('',#3971,#3973,#4799,.T.); +#2833=EDGE_CURVE('',#3974,#3973,#44,.T.); +#2834=EDGE_CURVE('',#3972,#3974,#4800,.T.); +#2835=EDGE_CURVE('',#3975,#3976,#45,.T.); +#2836=EDGE_CURVE('',#3975,#3977,#4801,.T.); +#2837=EDGE_CURVE('',#3977,#3978,#46,.T.); +#2838=EDGE_CURVE('',#3976,#3978,#4802,.T.); +#2839=EDGE_CURVE('',#3979,#3947,#4803,.T.); +#2840=EDGE_CURVE('',#3980,#3979,#4804,.T.); +#2841=EDGE_CURVE('',#3949,#3980,#4805,.T.); +#2842=EDGE_CURVE('',#3952,#3981,#4806,.T.); +#2843=EDGE_CURVE('',#3982,#3954,#4807,.T.); +#2844=EDGE_CURVE('',#3981,#3982,#4808,.T.); +#2845=EDGE_CURVE('',#3956,#3983,#4809,.T.); +#2846=EDGE_CURVE('',#3984,#3958,#4810,.T.); +#2847=EDGE_CURVE('',#3983,#3984,#4811,.T.); +#2848=EDGE_CURVE('',#3960,#3985,#4812,.T.); +#2849=EDGE_CURVE('',#3986,#3962,#4813,.T.); +#2850=EDGE_CURVE('',#3985,#3986,#4814,.T.); +#2851=EDGE_CURVE('',#3964,#3987,#4815,.T.); +#2852=EDGE_CURVE('',#3988,#3966,#4816,.T.); +#2853=EDGE_CURVE('',#3987,#3988,#4817,.T.); +#2854=EDGE_CURVE('',#3968,#3989,#4818,.T.); +#2855=EDGE_CURVE('',#3990,#3970,#4819,.T.); +#2856=EDGE_CURVE('',#3989,#3990,#4820,.T.); +#2857=EDGE_CURVE('',#3972,#3991,#4821,.T.); +#2858=EDGE_CURVE('',#3992,#3974,#4822,.T.); +#2859=EDGE_CURVE('',#3991,#3992,#4823,.T.); +#2860=EDGE_CURVE('',#3976,#3993,#4824,.T.); +#2861=EDGE_CURVE('',#3978,#3994,#4825,.T.); +#2862=EDGE_CURVE('',#3993,#3994,#4826,.T.); +#2863=EDGE_CURVE('',#3979,#3995,#4827,.T.); +#2864=EDGE_CURVE('',#3996,#3995,#4828,.T.); +#2865=EDGE_CURVE('',#3980,#3996,#4829,.T.); +#2866=EDGE_CURVE('',#3981,#3997,#4830,.T.); +#2867=EDGE_CURVE('',#3982,#3998,#4831,.T.); +#2868=EDGE_CURVE('',#3997,#3998,#4832,.T.); +#2869=EDGE_CURVE('',#3983,#3999,#4833,.T.); +#2870=EDGE_CURVE('',#3984,#4000,#4834,.T.); +#2871=EDGE_CURVE('',#3999,#4000,#4835,.T.); +#2872=EDGE_CURVE('',#3985,#4001,#4836,.T.); +#2873=EDGE_CURVE('',#3986,#4002,#4837,.T.); +#2874=EDGE_CURVE('',#4001,#4002,#4838,.T.); +#2875=EDGE_CURVE('',#3987,#4003,#4839,.T.); +#2876=EDGE_CURVE('',#3988,#4004,#4840,.T.); +#2877=EDGE_CURVE('',#4003,#4004,#4841,.T.); +#2878=EDGE_CURVE('',#3989,#4005,#4842,.T.); +#2879=EDGE_CURVE('',#3990,#4006,#4843,.T.); +#2880=EDGE_CURVE('',#4005,#4006,#4844,.T.); +#2881=EDGE_CURVE('',#3991,#4007,#4845,.T.); +#2882=EDGE_CURVE('',#3992,#4008,#4846,.T.); +#2883=EDGE_CURVE('',#4007,#4008,#4847,.T.); +#2884=EDGE_CURVE('',#3993,#4009,#4848,.T.); +#2885=EDGE_CURVE('',#3994,#4010,#4849,.T.); +#2886=EDGE_CURVE('',#4009,#4010,#4850,.T.); +#2887=EDGE_CURVE('',#4011,#3995,#4851,.T.); +#2888=EDGE_CURVE('',#4012,#4011,#4852,.T.); +#2889=EDGE_CURVE('',#3996,#4012,#4853,.T.); +#2890=EDGE_CURVE('',#3997,#4013,#4854,.T.); +#2891=EDGE_CURVE('',#4014,#3998,#4855,.T.); +#2892=EDGE_CURVE('',#4013,#4014,#4856,.T.); +#2893=EDGE_CURVE('',#3999,#4015,#4857,.T.); +#2894=EDGE_CURVE('',#4016,#4000,#4858,.T.); +#2895=EDGE_CURVE('',#4015,#4016,#4859,.T.); +#2896=EDGE_CURVE('',#4001,#4017,#4860,.T.); +#2897=EDGE_CURVE('',#4018,#4002,#4861,.T.); +#2898=EDGE_CURVE('',#4017,#4018,#4862,.T.); +#2899=EDGE_CURVE('',#4003,#4019,#4863,.T.); +#2900=EDGE_CURVE('',#4020,#4004,#4864,.T.); +#2901=EDGE_CURVE('',#4019,#4020,#4865,.T.); +#2902=EDGE_CURVE('',#4005,#4021,#4866,.T.); +#2903=EDGE_CURVE('',#4022,#4006,#4867,.T.); +#2904=EDGE_CURVE('',#4021,#4022,#4868,.T.); +#2905=EDGE_CURVE('',#4007,#4023,#4869,.T.); +#2906=EDGE_CURVE('',#4024,#4008,#4870,.T.); +#2907=EDGE_CURVE('',#4023,#4024,#4871,.T.); +#2908=EDGE_CURVE('',#4009,#4025,#4872,.T.); +#2909=EDGE_CURVE('',#4010,#4026,#4873,.T.); +#2910=EDGE_CURVE('',#4025,#4026,#4874,.T.); +#2911=EDGE_CURVE('',#4011,#4027,#47,.T.); +#2912=EDGE_CURVE('',#4028,#4027,#4875,.T.); +#2913=EDGE_CURVE('',#4028,#4012,#48,.T.); +#2914=EDGE_CURVE('',#4029,#4030,#4876,.T.); +#2915=EDGE_CURVE('',#4029,#4013,#49,.T.); +#2916=EDGE_CURVE('',#4014,#4030,#50,.T.); +#2917=EDGE_CURVE('',#4031,#4032,#4877,.T.); +#2918=EDGE_CURVE('',#4031,#4015,#51,.T.); +#2919=EDGE_CURVE('',#4016,#4032,#52,.T.); +#2920=EDGE_CURVE('',#4033,#4034,#4878,.T.); +#2921=EDGE_CURVE('',#4033,#4017,#53,.T.); +#2922=EDGE_CURVE('',#4018,#4034,#54,.T.); +#2923=EDGE_CURVE('',#4035,#4036,#4879,.T.); +#2924=EDGE_CURVE('',#4035,#4019,#55,.T.); +#2925=EDGE_CURVE('',#4020,#4036,#56,.T.); +#2926=EDGE_CURVE('',#4037,#4038,#4880,.T.); +#2927=EDGE_CURVE('',#4037,#4021,#57,.T.); +#2928=EDGE_CURVE('',#4022,#4038,#58,.T.); +#2929=EDGE_CURVE('',#4039,#4040,#4881,.T.); +#2930=EDGE_CURVE('',#4039,#4023,#59,.T.); +#2931=EDGE_CURVE('',#4024,#4040,#60,.T.); +#2932=EDGE_CURVE('',#4041,#4042,#4882,.T.); +#2933=EDGE_CURVE('',#4041,#4025,#61,.T.); +#2934=EDGE_CURVE('',#4042,#4026,#62,.T.); +#2935=EDGE_CURVE('',#4043,#4027,#4883,.T.); +#2936=EDGE_CURVE('',#4044,#4043,#4884,.T.); +#2937=EDGE_CURVE('',#4028,#4044,#4885,.T.); +#2938=EDGE_CURVE('',#4029,#4045,#4886,.T.); +#2939=EDGE_CURVE('',#4046,#4030,#4887,.T.); +#2940=EDGE_CURVE('',#4045,#4046,#4888,.T.); +#2941=EDGE_CURVE('',#4031,#4047,#4889,.T.); +#2942=EDGE_CURVE('',#4048,#4032,#4890,.T.); +#2943=EDGE_CURVE('',#4047,#4048,#4891,.T.); +#2944=EDGE_CURVE('',#4033,#4049,#4892,.T.); +#2945=EDGE_CURVE('',#4050,#4034,#4893,.T.); +#2946=EDGE_CURVE('',#4049,#4050,#4894,.T.); +#2947=EDGE_CURVE('',#4035,#4051,#4895,.T.); +#2948=EDGE_CURVE('',#4052,#4036,#4896,.T.); +#2949=EDGE_CURVE('',#4051,#4052,#4897,.T.); +#2950=EDGE_CURVE('',#4037,#4053,#4898,.T.); +#2951=EDGE_CURVE('',#4054,#4038,#4899,.T.); +#2952=EDGE_CURVE('',#4053,#4054,#4900,.T.); +#2953=EDGE_CURVE('',#4039,#4055,#4901,.T.); +#2954=EDGE_CURVE('',#4056,#4040,#4902,.T.); +#2955=EDGE_CURVE('',#4055,#4056,#4903,.T.); +#2956=EDGE_CURVE('',#4041,#4057,#4904,.T.); +#2957=EDGE_CURVE('',#4042,#4058,#4905,.T.); +#2958=EDGE_CURVE('',#4057,#4058,#4906,.T.); +#2959=EDGE_CURVE('',#4059,#4043,#63,.T.); +#2960=EDGE_CURVE('',#4060,#4059,#4907,.T.); +#2961=EDGE_CURVE('',#4044,#4060,#64,.T.); +#2962=EDGE_CURVE('',#4045,#4061,#65,.T.); +#2963=EDGE_CURVE('',#4062,#4046,#66,.T.); +#2964=EDGE_CURVE('',#4061,#4062,#4908,.T.); +#2965=EDGE_CURVE('',#4047,#4063,#67,.T.); +#2966=EDGE_CURVE('',#4064,#4048,#68,.T.); +#2967=EDGE_CURVE('',#4063,#4064,#4909,.T.); +#2968=EDGE_CURVE('',#4049,#4065,#69,.T.); +#2969=EDGE_CURVE('',#4066,#4050,#70,.T.); +#2970=EDGE_CURVE('',#4065,#4066,#4910,.T.); +#2971=EDGE_CURVE('',#4051,#4067,#71,.T.); +#2972=EDGE_CURVE('',#4068,#4052,#72,.T.); +#2973=EDGE_CURVE('',#4067,#4068,#4911,.T.); +#2974=EDGE_CURVE('',#4053,#4069,#73,.T.); +#2975=EDGE_CURVE('',#4070,#4054,#74,.T.); +#2976=EDGE_CURVE('',#4069,#4070,#4912,.T.); +#2977=EDGE_CURVE('',#4055,#4071,#75,.T.); +#2978=EDGE_CURVE('',#4072,#4056,#76,.T.); +#2979=EDGE_CURVE('',#4071,#4072,#4913,.T.); +#2980=EDGE_CURVE('',#4057,#4073,#77,.T.); +#2981=EDGE_CURVE('',#4058,#4074,#78,.T.); +#2982=EDGE_CURVE('',#4073,#4074,#4914,.T.); +#2983=EDGE_CURVE('',#4075,#4076,#4915,.T.); +#2984=EDGE_CURVE('',#4075,#3788,#4916,.T.); +#2985=EDGE_CURVE('',#4060,#3787,#4917,.T.); +#2986=EDGE_CURVE('',#4077,#4059,#4918,.T.); +#2987=EDGE_CURVE('',#4078,#4077,#4919,.T.); +#2988=EDGE_CURVE('',#4061,#4078,#4920,.T.); +#2989=EDGE_CURVE('',#4079,#4062,#4921,.T.); +#2990=EDGE_CURVE('',#4080,#4079,#4922,.T.); +#2991=EDGE_CURVE('',#4063,#4080,#4923,.T.); +#2992=EDGE_CURVE('',#4081,#4064,#4924,.T.); +#2993=EDGE_CURVE('',#4082,#4081,#4925,.T.); +#2994=EDGE_CURVE('',#4065,#4082,#4926,.T.); +#2995=EDGE_CURVE('',#4083,#4066,#4927,.T.); +#2996=EDGE_CURVE('',#4084,#4083,#4928,.T.); +#2997=EDGE_CURVE('',#4067,#4084,#4929,.T.); +#2998=EDGE_CURVE('',#4085,#4068,#4930,.T.); +#2999=EDGE_CURVE('',#4086,#4085,#4931,.T.); +#3000=EDGE_CURVE('',#4069,#4086,#4932,.T.); +#3001=EDGE_CURVE('',#4087,#4070,#4933,.T.); +#3002=EDGE_CURVE('',#4088,#4087,#4934,.T.); +#3003=EDGE_CURVE('',#4071,#4088,#4935,.T.); +#3004=EDGE_CURVE('',#4089,#4072,#4936,.T.); +#3005=EDGE_CURVE('',#4090,#4089,#4937,.T.); +#3006=EDGE_CURVE('',#4073,#4090,#4938,.T.); +#3007=EDGE_CURVE('',#4074,#3818,#4939,.T.); +#3008=EDGE_CURVE('',#3817,#4091,#4940,.T.); +#3009=EDGE_CURVE('',#4092,#4091,#4941,.T.); +#3010=EDGE_CURVE('',#4092,#4093,#4942,.T.); +#3011=EDGE_CURVE('',#4094,#4093,#4943,.T.); +#3012=EDGE_CURVE('',#4094,#4095,#4944,.T.); +#3013=EDGE_CURVE('',#4096,#4095,#4945,.T.); +#3014=EDGE_CURVE('',#4096,#3814,#4946,.T.); +#3015=EDGE_CURVE('',#3813,#4097,#4947,.T.); +#3016=EDGE_CURVE('',#4098,#4097,#4948,.T.); +#3017=EDGE_CURVE('',#4098,#3810,#4949,.T.); +#3018=EDGE_CURVE('',#3809,#4099,#4950,.T.); +#3019=EDGE_CURVE('',#4100,#4099,#4951,.T.); +#3020=EDGE_CURVE('',#4100,#3806,#4952,.T.); +#3021=EDGE_CURVE('',#3805,#4101,#4953,.T.); +#3022=EDGE_CURVE('',#4102,#4101,#4954,.T.); +#3023=EDGE_CURVE('',#4102,#3802,#4955,.T.); +#3024=EDGE_CURVE('',#3801,#4103,#4956,.T.); +#3025=EDGE_CURVE('',#4104,#4103,#4957,.T.); +#3026=EDGE_CURVE('',#4104,#3798,#4958,.T.); +#3027=EDGE_CURVE('',#3797,#4105,#4959,.T.); +#3028=EDGE_CURVE('',#4106,#4105,#4960,.T.); +#3029=EDGE_CURVE('',#4106,#3794,#4961,.T.); +#3030=EDGE_CURVE('',#3793,#4076,#4962,.T.); +#3031=EDGE_CURVE('',#4107,#4108,#4963,.T.); +#3032=EDGE_CURVE('',#4109,#4107,#4964,.T.); +#3033=EDGE_CURVE('',#4110,#4109,#4965,.T.); +#3034=EDGE_CURVE('',#4110,#4108,#4966,.T.); +#3035=EDGE_CURVE('',#4111,#4112,#4967,.T.); +#3036=EDGE_CURVE('',#4111,#4113,#4968,.T.); +#3037=EDGE_CURVE('',#4114,#4113,#4969,.T.); +#3038=EDGE_CURVE('',#4112,#4114,#4970,.T.); +#3039=EDGE_CURVE('',#4115,#4116,#4971,.T.); +#3040=EDGE_CURVE('',#4115,#4117,#4972,.T.); +#3041=EDGE_CURVE('',#4118,#4117,#4973,.T.); +#3042=EDGE_CURVE('',#4116,#4118,#4974,.T.); +#3043=EDGE_CURVE('',#4119,#4120,#4975,.T.); +#3044=EDGE_CURVE('',#4119,#4121,#4976,.T.); +#3045=EDGE_CURVE('',#4122,#4121,#4977,.T.); +#3046=EDGE_CURVE('',#4120,#4122,#4978,.T.); +#3047=EDGE_CURVE('',#4123,#4124,#4979,.T.); +#3048=EDGE_CURVE('',#4123,#4125,#4980,.T.); +#3049=EDGE_CURVE('',#4126,#4125,#4981,.T.); +#3050=EDGE_CURVE('',#4124,#4126,#4982,.T.); +#3051=EDGE_CURVE('',#4127,#4128,#4983,.T.); +#3052=EDGE_CURVE('',#4127,#4129,#4984,.T.); +#3053=EDGE_CURVE('',#4130,#4129,#4985,.T.); +#3054=EDGE_CURVE('',#4128,#4130,#4986,.T.); +#3055=EDGE_CURVE('',#4131,#4132,#4987,.T.); +#3056=EDGE_CURVE('',#4131,#4133,#4988,.T.); +#3057=EDGE_CURVE('',#4134,#4133,#4989,.T.); +#3058=EDGE_CURVE('',#4132,#4134,#4990,.T.); +#3059=EDGE_CURVE('',#4135,#4136,#4991,.T.); +#3060=EDGE_CURVE('',#4135,#4137,#4992,.T.); +#3061=EDGE_CURVE('',#4137,#4138,#4993,.T.); +#3062=EDGE_CURVE('',#4136,#4138,#4994,.T.); +#3063=EDGE_CURVE('',#4107,#4139,#79,.T.); +#3064=EDGE_CURVE('',#4140,#4139,#4995,.T.); +#3065=EDGE_CURVE('',#4140,#4109,#80,.T.); +#3066=EDGE_CURVE('',#4141,#4142,#4996,.T.); +#3067=EDGE_CURVE('',#4141,#4112,#81,.T.); +#3068=EDGE_CURVE('',#4114,#4142,#82,.T.); +#3069=EDGE_CURVE('',#4143,#4144,#4997,.T.); +#3070=EDGE_CURVE('',#4143,#4116,#83,.T.); +#3071=EDGE_CURVE('',#4118,#4144,#84,.T.); +#3072=EDGE_CURVE('',#4145,#4146,#4998,.T.); +#3073=EDGE_CURVE('',#4145,#4120,#85,.T.); +#3074=EDGE_CURVE('',#4122,#4146,#86,.T.); +#3075=EDGE_CURVE('',#4147,#4148,#4999,.T.); +#3076=EDGE_CURVE('',#4147,#4124,#87,.T.); +#3077=EDGE_CURVE('',#4126,#4148,#88,.T.); +#3078=EDGE_CURVE('',#4149,#4150,#5000,.T.); +#3079=EDGE_CURVE('',#4149,#4128,#89,.T.); +#3080=EDGE_CURVE('',#4130,#4150,#90,.T.); +#3081=EDGE_CURVE('',#4151,#4152,#5001,.T.); +#3082=EDGE_CURVE('',#4151,#4132,#91,.T.); +#3083=EDGE_CURVE('',#4134,#4152,#92,.T.); +#3084=EDGE_CURVE('',#4153,#4154,#5002,.T.); +#3085=EDGE_CURVE('',#4153,#4136,#93,.T.); +#3086=EDGE_CURVE('',#4154,#4138,#94,.T.); +#3087=EDGE_CURVE('',#3948,#4139,#5003,.T.); +#3088=EDGE_CURVE('',#4140,#3950,#5004,.T.); +#3089=EDGE_CURVE('',#4141,#3951,#5005,.T.); +#3090=EDGE_CURVE('',#3953,#4142,#5006,.T.); +#3091=EDGE_CURVE('',#4143,#3955,#5007,.T.); +#3092=EDGE_CURVE('',#3957,#4144,#5008,.T.); +#3093=EDGE_CURVE('',#4145,#3959,#5009,.T.); +#3094=EDGE_CURVE('',#3961,#4146,#5010,.T.); +#3095=EDGE_CURVE('',#4147,#3963,#5011,.T.); +#3096=EDGE_CURVE('',#3965,#4148,#5012,.T.); +#3097=EDGE_CURVE('',#4149,#3967,#5013,.T.); +#3098=EDGE_CURVE('',#3969,#4150,#5014,.T.); +#3099=EDGE_CURVE('',#4151,#3971,#5015,.T.); +#3100=EDGE_CURVE('',#3973,#4152,#5016,.T.); +#3101=EDGE_CURVE('',#4153,#3975,#5017,.T.); +#3102=EDGE_CURVE('',#4154,#3977,#5018,.T.); +#3103=EDGE_CURVE('',#4155,#4156,#5019,.T.); +#3104=EDGE_CURVE('',#4155,#4157,#5020,.T.); +#3105=EDGE_CURVE('',#4158,#4157,#5021,.T.); +#3106=EDGE_CURVE('',#4158,#4156,#5022,.T.); +#3107=EDGE_CURVE('',#4159,#4160,#5023,.T.); +#3108=EDGE_CURVE('',#4159,#4161,#5024,.T.); +#3109=EDGE_CURVE('',#4162,#4161,#5025,.T.); +#3110=EDGE_CURVE('',#4162,#4160,#5026,.T.); +#3111=EDGE_CURVE('',#4163,#4164,#5027,.T.); +#3112=EDGE_CURVE('',#4163,#4165,#5028,.T.); +#3113=EDGE_CURVE('',#4166,#4165,#5029,.T.); +#3114=EDGE_CURVE('',#4166,#4164,#5030,.T.); +#3115=EDGE_CURVE('',#4167,#4168,#5031,.T.); +#3116=EDGE_CURVE('',#4167,#4169,#5032,.T.); +#3117=EDGE_CURVE('',#4170,#4169,#5033,.T.); +#3118=EDGE_CURVE('',#4170,#4168,#5034,.T.); +#3119=EDGE_CURVE('',#4171,#4172,#5035,.T.); +#3120=EDGE_CURVE('',#4171,#4173,#5036,.T.); +#3121=EDGE_CURVE('',#4174,#4173,#5037,.T.); +#3122=EDGE_CURVE('',#4174,#4172,#5038,.T.); +#3123=EDGE_CURVE('',#4175,#4176,#5039,.T.); +#3124=EDGE_CURVE('',#4175,#4177,#5040,.T.); +#3125=EDGE_CURVE('',#4178,#4177,#5041,.T.); +#3126=EDGE_CURVE('',#4178,#4176,#5042,.T.); +#3127=EDGE_CURVE('',#4179,#4180,#5043,.T.); +#3128=EDGE_CURVE('',#4179,#4181,#5044,.T.); +#3129=EDGE_CURVE('',#4182,#4181,#5045,.T.); +#3130=EDGE_CURVE('',#4182,#4180,#5046,.T.); +#3131=EDGE_CURVE('',#4183,#4184,#5047,.T.); +#3132=EDGE_CURVE('',#4183,#4185,#5048,.T.); +#3133=EDGE_CURVE('',#4185,#4186,#5049,.T.); +#3134=EDGE_CURVE('',#4186,#4184,#5050,.T.); +#3135=EDGE_CURVE('',#4187,#4188,#95,.T.); +#3136=EDGE_CURVE('',#4189,#4187,#5051,.T.); +#3137=EDGE_CURVE('',#4190,#4189,#96,.T.); +#3138=EDGE_CURVE('',#4190,#4188,#5052,.T.); +#3139=EDGE_CURVE('',#4191,#4192,#97,.T.); +#3140=EDGE_CURVE('',#4191,#4193,#5053,.T.); +#3141=EDGE_CURVE('',#4194,#4193,#98,.T.); +#3142=EDGE_CURVE('',#4192,#4194,#5054,.T.); +#3143=EDGE_CURVE('',#4195,#4196,#99,.T.); +#3144=EDGE_CURVE('',#4195,#4197,#5055,.T.); +#3145=EDGE_CURVE('',#4198,#4197,#100,.T.); +#3146=EDGE_CURVE('',#4196,#4198,#5056,.T.); +#3147=EDGE_CURVE('',#4199,#4200,#101,.T.); +#3148=EDGE_CURVE('',#4199,#4201,#5057,.T.); +#3149=EDGE_CURVE('',#4202,#4201,#102,.T.); +#3150=EDGE_CURVE('',#4200,#4202,#5058,.T.); +#3151=EDGE_CURVE('',#4203,#4204,#103,.T.); +#3152=EDGE_CURVE('',#4203,#4205,#5059,.T.); +#3153=EDGE_CURVE('',#4206,#4205,#104,.T.); +#3154=EDGE_CURVE('',#4204,#4206,#5060,.T.); +#3155=EDGE_CURVE('',#4207,#4208,#105,.T.); +#3156=EDGE_CURVE('',#4207,#4209,#5061,.T.); +#3157=EDGE_CURVE('',#4210,#4209,#106,.T.); +#3158=EDGE_CURVE('',#4208,#4210,#5062,.T.); +#3159=EDGE_CURVE('',#4211,#4212,#107,.T.); +#3160=EDGE_CURVE('',#4211,#4213,#5063,.T.); +#3161=EDGE_CURVE('',#4214,#4213,#108,.T.); +#3162=EDGE_CURVE('',#4212,#4214,#5064,.T.); +#3163=EDGE_CURVE('',#4215,#4216,#109,.T.); +#3164=EDGE_CURVE('',#4215,#4217,#5065,.T.); +#3165=EDGE_CURVE('',#4217,#4218,#110,.T.); +#3166=EDGE_CURVE('',#4216,#4218,#5066,.T.); +#3167=EDGE_CURVE('',#4219,#4187,#5067,.T.); +#3168=EDGE_CURVE('',#4220,#4219,#5068,.T.); +#3169=EDGE_CURVE('',#4189,#4220,#5069,.T.); +#3170=EDGE_CURVE('',#4192,#4221,#5070,.T.); +#3171=EDGE_CURVE('',#4222,#4194,#5071,.T.); +#3172=EDGE_CURVE('',#4221,#4222,#5072,.T.); +#3173=EDGE_CURVE('',#4196,#4223,#5073,.T.); +#3174=EDGE_CURVE('',#4224,#4198,#5074,.T.); +#3175=EDGE_CURVE('',#4223,#4224,#5075,.T.); +#3176=EDGE_CURVE('',#4200,#4225,#5076,.T.); +#3177=EDGE_CURVE('',#4226,#4202,#5077,.T.); +#3178=EDGE_CURVE('',#4225,#4226,#5078,.T.); +#3179=EDGE_CURVE('',#4204,#4227,#5079,.T.); +#3180=EDGE_CURVE('',#4228,#4206,#5080,.T.); +#3181=EDGE_CURVE('',#4227,#4228,#5081,.T.); +#3182=EDGE_CURVE('',#4208,#4229,#5082,.T.); +#3183=EDGE_CURVE('',#4230,#4210,#5083,.T.); +#3184=EDGE_CURVE('',#4229,#4230,#5084,.T.); +#3185=EDGE_CURVE('',#4212,#4231,#5085,.T.); +#3186=EDGE_CURVE('',#4232,#4214,#5086,.T.); +#3187=EDGE_CURVE('',#4231,#4232,#5087,.T.); +#3188=EDGE_CURVE('',#4216,#4233,#5088,.T.); +#3189=EDGE_CURVE('',#4218,#4234,#5089,.T.); +#3190=EDGE_CURVE('',#4233,#4234,#5090,.T.); +#3191=EDGE_CURVE('',#4235,#4219,#5091,.T.); +#3192=EDGE_CURVE('',#4236,#4235,#5092,.T.); +#3193=EDGE_CURVE('',#4220,#4236,#5093,.T.); +#3194=EDGE_CURVE('',#4221,#4237,#5094,.T.); +#3195=EDGE_CURVE('',#4238,#4222,#5095,.T.); +#3196=EDGE_CURVE('',#4237,#4238,#5096,.T.); +#3197=EDGE_CURVE('',#4223,#4239,#5097,.T.); +#3198=EDGE_CURVE('',#4240,#4224,#5098,.T.); +#3199=EDGE_CURVE('',#4239,#4240,#5099,.T.); +#3200=EDGE_CURVE('',#4225,#4241,#5100,.T.); +#3201=EDGE_CURVE('',#4242,#4226,#5101,.T.); +#3202=EDGE_CURVE('',#4241,#4242,#5102,.T.); +#3203=EDGE_CURVE('',#4227,#4243,#5103,.T.); +#3204=EDGE_CURVE('',#4244,#4228,#5104,.T.); +#3205=EDGE_CURVE('',#4243,#4244,#5105,.T.); +#3206=EDGE_CURVE('',#4229,#4245,#5106,.T.); +#3207=EDGE_CURVE('',#4246,#4230,#5107,.T.); +#3208=EDGE_CURVE('',#4245,#4246,#5108,.T.); +#3209=EDGE_CURVE('',#4231,#4247,#5109,.T.); +#3210=EDGE_CURVE('',#4248,#4232,#5110,.T.); +#3211=EDGE_CURVE('',#4247,#4248,#5111,.T.); +#3212=EDGE_CURVE('',#4233,#4249,#5112,.T.); +#3213=EDGE_CURVE('',#4234,#4250,#5113,.T.); +#3214=EDGE_CURVE('',#4249,#4250,#5114,.T.); +#3215=EDGE_CURVE('',#4251,#4235,#5115,.T.); +#3216=EDGE_CURVE('',#4252,#4251,#5116,.T.); +#3217=EDGE_CURVE('',#4236,#4252,#5117,.T.); +#3218=EDGE_CURVE('',#4237,#4253,#5118,.T.); +#3219=EDGE_CURVE('',#4254,#4238,#5119,.T.); +#3220=EDGE_CURVE('',#4253,#4254,#5120,.T.); +#3221=EDGE_CURVE('',#4239,#4255,#5121,.T.); +#3222=EDGE_CURVE('',#4256,#4240,#5122,.T.); +#3223=EDGE_CURVE('',#4255,#4256,#5123,.T.); +#3224=EDGE_CURVE('',#4241,#4257,#5124,.T.); +#3225=EDGE_CURVE('',#4258,#4242,#5125,.T.); +#3226=EDGE_CURVE('',#4257,#4258,#5126,.T.); +#3227=EDGE_CURVE('',#4243,#4259,#5127,.T.); +#3228=EDGE_CURVE('',#4260,#4244,#5128,.T.); +#3229=EDGE_CURVE('',#4259,#4260,#5129,.T.); +#3230=EDGE_CURVE('',#4245,#4261,#5130,.T.); +#3231=EDGE_CURVE('',#4262,#4246,#5131,.T.); +#3232=EDGE_CURVE('',#4261,#4262,#5132,.T.); +#3233=EDGE_CURVE('',#4247,#4263,#5133,.T.); +#3234=EDGE_CURVE('',#4264,#4248,#5134,.T.); +#3235=EDGE_CURVE('',#4263,#4264,#5135,.T.); +#3236=EDGE_CURVE('',#4249,#4265,#5136,.T.); +#3237=EDGE_CURVE('',#4250,#4266,#5137,.T.); +#3238=EDGE_CURVE('',#4265,#4266,#5138,.T.); +#3239=EDGE_CURVE('',#4251,#4267,#111,.T.); +#3240=EDGE_CURVE('',#4268,#4267,#5139,.T.); +#3241=EDGE_CURVE('',#4268,#4252,#112,.T.); +#3242=EDGE_CURVE('',#4269,#4270,#5140,.T.); +#3243=EDGE_CURVE('',#4269,#4253,#113,.T.); +#3244=EDGE_CURVE('',#4254,#4270,#114,.T.); +#3245=EDGE_CURVE('',#4271,#4272,#5141,.T.); +#3246=EDGE_CURVE('',#4271,#4255,#115,.T.); +#3247=EDGE_CURVE('',#4256,#4272,#116,.T.); +#3248=EDGE_CURVE('',#4273,#4274,#5142,.T.); +#3249=EDGE_CURVE('',#4273,#4257,#117,.T.); +#3250=EDGE_CURVE('',#4258,#4274,#118,.T.); +#3251=EDGE_CURVE('',#4275,#4276,#5143,.T.); +#3252=EDGE_CURVE('',#4275,#4259,#119,.T.); +#3253=EDGE_CURVE('',#4260,#4276,#120,.T.); +#3254=EDGE_CURVE('',#4277,#4278,#5144,.T.); +#3255=EDGE_CURVE('',#4277,#4261,#121,.T.); +#3256=EDGE_CURVE('',#4262,#4278,#122,.T.); +#3257=EDGE_CURVE('',#4279,#4280,#5145,.T.); +#3258=EDGE_CURVE('',#4279,#4263,#123,.T.); +#3259=EDGE_CURVE('',#4264,#4280,#124,.T.); +#3260=EDGE_CURVE('',#4281,#4282,#5146,.T.); +#3261=EDGE_CURVE('',#4281,#4265,#125,.T.); +#3262=EDGE_CURVE('',#4282,#4266,#126,.T.); +#3263=EDGE_CURVE('',#4283,#4267,#5147,.T.); +#3264=EDGE_CURVE('',#4284,#4283,#5148,.T.); +#3265=EDGE_CURVE('',#4268,#4284,#5149,.T.); +#3266=EDGE_CURVE('',#4269,#4285,#5150,.T.); +#3267=EDGE_CURVE('',#4286,#4270,#5151,.T.); +#3268=EDGE_CURVE('',#4285,#4286,#5152,.T.); +#3269=EDGE_CURVE('',#4271,#4287,#5153,.T.); +#3270=EDGE_CURVE('',#4288,#4272,#5154,.T.); +#3271=EDGE_CURVE('',#4287,#4288,#5155,.T.); +#3272=EDGE_CURVE('',#4273,#4289,#5156,.T.); +#3273=EDGE_CURVE('',#4290,#4274,#5157,.T.); +#3274=EDGE_CURVE('',#4289,#4290,#5158,.T.); +#3275=EDGE_CURVE('',#4275,#4291,#5159,.T.); +#3276=EDGE_CURVE('',#4292,#4276,#5160,.T.); +#3277=EDGE_CURVE('',#4291,#4292,#5161,.T.); +#3278=EDGE_CURVE('',#4277,#4293,#5162,.T.); +#3279=EDGE_CURVE('',#4294,#4278,#5163,.T.); +#3280=EDGE_CURVE('',#4293,#4294,#5164,.T.); +#3281=EDGE_CURVE('',#4279,#4295,#5165,.T.); +#3282=EDGE_CURVE('',#4296,#4280,#5166,.T.); +#3283=EDGE_CURVE('',#4295,#4296,#5167,.T.); +#3284=EDGE_CURVE('',#4281,#4297,#5168,.T.); +#3285=EDGE_CURVE('',#4282,#4298,#5169,.T.); +#3286=EDGE_CURVE('',#4297,#4298,#5170,.T.); +#3287=EDGE_CURVE('',#4156,#4283,#127,.T.); +#3288=EDGE_CURVE('',#4284,#4158,#128,.T.); +#3289=EDGE_CURVE('',#4285,#4159,#129,.T.); +#3290=EDGE_CURVE('',#4161,#4286,#130,.T.); +#3291=EDGE_CURVE('',#4287,#4163,#131,.T.); +#3292=EDGE_CURVE('',#4165,#4288,#132,.T.); +#3293=EDGE_CURVE('',#4289,#4167,#133,.T.); +#3294=EDGE_CURVE('',#4169,#4290,#134,.T.); +#3295=EDGE_CURVE('',#4291,#4171,#135,.T.); +#3296=EDGE_CURVE('',#4173,#4292,#136,.T.); +#3297=EDGE_CURVE('',#4293,#4175,#137,.T.); +#3298=EDGE_CURVE('',#4177,#4294,#138,.T.); +#3299=EDGE_CURVE('',#4295,#4179,#139,.T.); +#3300=EDGE_CURVE('',#4181,#4296,#140,.T.); +#3301=EDGE_CURVE('',#4297,#4183,#141,.T.); +#3302=EDGE_CURVE('',#4298,#4185,#142,.T.); +#3303=EDGE_CURVE('',#4299,#4300,#5171,.T.); +#3304=EDGE_CURVE('',#4299,#3945,#5172,.T.); +#3305=EDGE_CURVE('',#3946,#4301,#5173,.T.); +#3306=EDGE_CURVE('',#4302,#4301,#5174,.T.); +#3307=EDGE_CURVE('',#4303,#4302,#5175,.T.); +#3308=EDGE_CURVE('',#4304,#4303,#5176,.T.); +#3309=EDGE_CURVE('',#4305,#4304,#5177,.T.); +#3310=EDGE_CURVE('',#4306,#4305,#5178,.T.); +#3311=EDGE_CURVE('',#4307,#4306,#5179,.T.); +#3312=EDGE_CURVE('',#4308,#4307,#5180,.T.); +#3313=EDGE_CURVE('',#4309,#4308,#5181,.T.); +#3314=EDGE_CURVE('',#4310,#4309,#5182,.T.); +#3315=EDGE_CURVE('',#4311,#4310,#5183,.T.); +#3316=EDGE_CURVE('',#4312,#4311,#5184,.T.); +#3317=EDGE_CURVE('',#4313,#4312,#5185,.T.); +#3318=EDGE_CURVE('',#4314,#4313,#5186,.T.); +#3319=EDGE_CURVE('',#4315,#4314,#5187,.T.); +#3320=EDGE_CURVE('',#4316,#4315,#5188,.T.); +#3321=EDGE_CURVE('',#4317,#4316,#5189,.T.); +#3322=EDGE_CURVE('',#4318,#4317,#5190,.T.); +#3323=EDGE_CURVE('',#4319,#4318,#5191,.T.); +#3324=EDGE_CURVE('',#4320,#4319,#5192,.T.); +#3325=EDGE_CURVE('',#4321,#4320,#5193,.T.); +#3326=EDGE_CURVE('',#4322,#4321,#5194,.T.); +#3327=EDGE_CURVE('',#4323,#4322,#5195,.T.); +#3328=EDGE_CURVE('',#4324,#4323,#5196,.T.); +#3329=EDGE_CURVE('',#4325,#4324,#5197,.T.); +#3330=EDGE_CURVE('',#4326,#4325,#5198,.T.); +#3331=EDGE_CURVE('',#4327,#4326,#5199,.T.); +#3332=EDGE_CURVE('',#4328,#4327,#5200,.T.); +#3333=EDGE_CURVE('',#4329,#4328,#5201,.T.); +#3334=EDGE_CURVE('',#4330,#4329,#5202,.T.); +#3335=EDGE_CURVE('',#3932,#4330,#5203,.T.); +#3336=EDGE_CURVE('',#3931,#4331,#5204,.T.); +#3337=EDGE_CURVE('',#4332,#4331,#5205,.T.); +#3338=EDGE_CURVE('',#4332,#3933,#5206,.T.); +#3339=EDGE_CURVE('',#3934,#4333,#5207,.T.); +#3340=EDGE_CURVE('',#4334,#4333,#5208,.T.); +#3341=EDGE_CURVE('',#4334,#3935,#5209,.T.); +#3342=EDGE_CURVE('',#3936,#4335,#5210,.T.); +#3343=EDGE_CURVE('',#4336,#4335,#5211,.T.); +#3344=EDGE_CURVE('',#4336,#3937,#5212,.T.); +#3345=EDGE_CURVE('',#3938,#4337,#5213,.T.); +#3346=EDGE_CURVE('',#4338,#4337,#5214,.T.); +#3347=EDGE_CURVE('',#4338,#3939,#5215,.T.); +#3348=EDGE_CURVE('',#3940,#4339,#5216,.T.); +#3349=EDGE_CURVE('',#4340,#4339,#5217,.T.); +#3350=EDGE_CURVE('',#4340,#3941,#5218,.T.); +#3351=EDGE_CURVE('',#3942,#4341,#5219,.T.); +#3352=EDGE_CURVE('',#4342,#4341,#5220,.T.); +#3353=EDGE_CURVE('',#4342,#3943,#5221,.T.); +#3354=EDGE_CURVE('',#3944,#4343,#5222,.T.); +#3355=EDGE_CURVE('',#4344,#4343,#5223,.T.); +#3356=EDGE_CURVE('',#4344,#4345,#5224,.T.); +#3357=EDGE_CURVE('',#4345,#4346,#5225,.T.); +#3358=EDGE_CURVE('',#4346,#4300,#5226,.T.); +#3359=EDGE_CURVE('',#4329,#4347,#143,.T.); +#3360=EDGE_CURVE('',#4348,#4347,#5227,.T.); +#3361=EDGE_CURVE('',#4348,#4330,#144,.T.); +#3362=EDGE_CURVE('',#4349,#4350,#5228,.T.); +#3363=EDGE_CURVE('',#4349,#4326,#145,.T.); +#3364=EDGE_CURVE('',#4325,#4350,#146,.T.); +#3365=EDGE_CURVE('',#4351,#4352,#5229,.T.); +#3366=EDGE_CURVE('',#4351,#4322,#147,.T.); +#3367=EDGE_CURVE('',#4321,#4352,#148,.T.); +#3368=EDGE_CURVE('',#4353,#4354,#5230,.T.); +#3369=EDGE_CURVE('',#4353,#4318,#149,.T.); +#3370=EDGE_CURVE('',#4317,#4354,#150,.T.); +#3371=EDGE_CURVE('',#4355,#4356,#5231,.T.); +#3372=EDGE_CURVE('',#4355,#4314,#151,.T.); +#3373=EDGE_CURVE('',#4313,#4356,#152,.T.); +#3374=EDGE_CURVE('',#4357,#4358,#5232,.T.); +#3375=EDGE_CURVE('',#4357,#4310,#153,.T.); +#3376=EDGE_CURVE('',#4309,#4358,#154,.T.); +#3377=EDGE_CURVE('',#4359,#4360,#5233,.T.); +#3378=EDGE_CURVE('',#4359,#4306,#155,.T.); +#3379=EDGE_CURVE('',#4305,#4360,#156,.T.); +#3380=EDGE_CURVE('',#4361,#4362,#5234,.T.); +#3381=EDGE_CURVE('',#4361,#4302,#157,.T.); +#3382=EDGE_CURVE('',#4362,#4301,#158,.T.); +#3383=EDGE_CURVE('',#4188,#4347,#5235,.T.); +#3384=EDGE_CURVE('',#4348,#4190,#5236,.T.); +#3385=EDGE_CURVE('',#4349,#4191,#5237,.T.); +#3386=EDGE_CURVE('',#4193,#4350,#5238,.T.); +#3387=EDGE_CURVE('',#4351,#4195,#5239,.T.); +#3388=EDGE_CURVE('',#4197,#4352,#5240,.T.); +#3389=EDGE_CURVE('',#4353,#4199,#5241,.T.); +#3390=EDGE_CURVE('',#4201,#4354,#5242,.T.); +#3391=EDGE_CURVE('',#4355,#4203,#5243,.T.); +#3392=EDGE_CURVE('',#4205,#4356,#5244,.T.); +#3393=EDGE_CURVE('',#4357,#4207,#5245,.T.); +#3394=EDGE_CURVE('',#4209,#4358,#5246,.T.); +#3395=EDGE_CURVE('',#4359,#4211,#5247,.T.); +#3396=EDGE_CURVE('',#4213,#4360,#5248,.T.); +#3397=EDGE_CURVE('',#4361,#4215,#5249,.T.); +#3398=EDGE_CURVE('',#4362,#4217,#5250,.T.); +#3399=EDGE_CURVE('',#4363,#4364,#5251,.T.); +#3400=EDGE_CURVE('',#4363,#4344,#5252,.T.); +#3401=EDGE_CURVE('',#4343,#3894,#5253,.T.); +#3402=EDGE_CURVE('',#3893,#4342,#5254,.T.); +#3403=EDGE_CURVE('',#4341,#3890,#5255,.T.); +#3404=EDGE_CURVE('',#3889,#4340,#5256,.T.); +#3405=EDGE_CURVE('',#4339,#3886,#5257,.T.); +#3406=EDGE_CURVE('',#3885,#4338,#5258,.T.); +#3407=EDGE_CURVE('',#4337,#3882,#5259,.T.); +#3408=EDGE_CURVE('',#3881,#4336,#5260,.T.); +#3409=EDGE_CURVE('',#4335,#3878,#5261,.T.); +#3410=EDGE_CURVE('',#3877,#4334,#5262,.T.); +#3411=EDGE_CURVE('',#4333,#3874,#5263,.T.); +#3412=EDGE_CURVE('',#3873,#4332,#5264,.T.); +#3413=EDGE_CURVE('',#4331,#3868,#5265,.T.); +#3414=EDGE_CURVE('',#3852,#3867,#5266,.T.); +#3415=EDGE_CURVE('',#3851,#4075,#5267,.T.); +#3416=EDGE_CURVE('',#4076,#3853,#5268,.T.); +#3417=EDGE_CURVE('',#3854,#4106,#5269,.T.); +#3418=EDGE_CURVE('',#4105,#3855,#5270,.T.); +#3419=EDGE_CURVE('',#3856,#4104,#5271,.T.); +#3420=EDGE_CURVE('',#4103,#3857,#5272,.T.); +#3421=EDGE_CURVE('',#3858,#4102,#5273,.T.); +#3422=EDGE_CURVE('',#4101,#3859,#5274,.T.); +#3423=EDGE_CURVE('',#3860,#4100,#5275,.T.); +#3424=EDGE_CURVE('',#4099,#3861,#5276,.T.); +#3425=EDGE_CURVE('',#3862,#4098,#5277,.T.); +#3426=EDGE_CURVE('',#4097,#3863,#5278,.T.); +#3427=EDGE_CURVE('',#3864,#4096,#5279,.T.); +#3428=EDGE_CURVE('',#4095,#4365,#5280,.T.); +#3429=EDGE_CURVE('',#4365,#4366,#5281,.T.); +#3430=EDGE_CURVE('',#4366,#4092,#5282,.T.); +#3431=EDGE_CURVE('',#4091,#3865,#5283,.T.); +#3432=EDGE_CURVE('',#3866,#3898,#5284,.T.); +#3433=EDGE_CURVE('',#3897,#4299,#5285,.T.); +#3434=EDGE_CURVE('',#4300,#4364,#5286,.T.); +#3435=EDGE_CURVE('',#4303,#4184,#5287,.T.); +#3436=EDGE_CURVE('',#4186,#4137,#5288,.T.); +#3437=EDGE_CURVE('',#4135,#4090,#5289,.T.); +#3438=EDGE_CURVE('',#4133,#4089,#5290,.T.); +#3439=EDGE_CURVE('',#4131,#4088,#5291,.T.); +#3440=EDGE_CURVE('',#4129,#4087,#5292,.T.); +#3441=EDGE_CURVE('',#4127,#4086,#5293,.T.); +#3442=EDGE_CURVE('',#4125,#4085,#5294,.T.); +#3443=EDGE_CURVE('',#4123,#4084,#5295,.T.); +#3444=EDGE_CURVE('',#4121,#4083,#5296,.T.); +#3445=EDGE_CURVE('',#4119,#4082,#5297,.T.); +#3446=EDGE_CURVE('',#4117,#4081,#5298,.T.); +#3447=EDGE_CURVE('',#4115,#4080,#5299,.T.); +#3448=EDGE_CURVE('',#4113,#4079,#5300,.T.); +#3449=EDGE_CURVE('',#4111,#4078,#5301,.T.); +#3450=EDGE_CURVE('',#4108,#4077,#5302,.T.); +#3451=EDGE_CURVE('',#4157,#4110,#5303,.T.); +#3452=EDGE_CURVE('',#4328,#4155,#5304,.T.); +#3453=EDGE_CURVE('',#4327,#4160,#5305,.T.); +#3454=EDGE_CURVE('',#4324,#4162,#5306,.T.); +#3455=EDGE_CURVE('',#4323,#4164,#5307,.T.); +#3456=EDGE_CURVE('',#4320,#4166,#5308,.T.); +#3457=EDGE_CURVE('',#4319,#4168,#5309,.T.); +#3458=EDGE_CURVE('',#4316,#4170,#5310,.T.); +#3459=EDGE_CURVE('',#4315,#4172,#5311,.T.); +#3460=EDGE_CURVE('',#4312,#4174,#5312,.T.); +#3461=EDGE_CURVE('',#4311,#4176,#5313,.T.); +#3462=EDGE_CURVE('',#4308,#4178,#5314,.T.); +#3463=EDGE_CURVE('',#4307,#4180,#5315,.T.); +#3464=EDGE_CURVE('',#4304,#4182,#5316,.T.); +#3465=EDGE_CURVE('',#4367,#4368,#5317,.T.); +#3466=EDGE_CURVE('',#4367,#4094,#5318,.T.); +#3467=EDGE_CURVE('',#4093,#4368,#5319,.T.); +#3468=EDGE_CURVE('',#4369,#4370,#5320,.T.); +#3469=EDGE_CURVE('',#4369,#4367,#5321,.T.); +#3470=EDGE_CURVE('',#4368,#4370,#5322,.T.); +#3471=EDGE_CURVE('',#4371,#4372,#5323,.T.); +#3472=EDGE_CURVE('',#4371,#4369,#5324,.T.); +#3473=EDGE_CURVE('',#4370,#4372,#5325,.T.); +#3474=EDGE_CURVE('',#4365,#4371,#5326,.T.); +#3475=EDGE_CURVE('',#4372,#4366,#5327,.T.); +#3476=EDGE_CURVE('',#4373,#4374,#5328,.T.); +#3477=EDGE_CURVE('',#4373,#4363,#5329,.T.); +#3478=EDGE_CURVE('',#4364,#4374,#5330,.T.); +#3479=EDGE_CURVE('',#4375,#4376,#5331,.T.); +#3480=EDGE_CURVE('',#4375,#4373,#5332,.T.); +#3481=EDGE_CURVE('',#4374,#4376,#5333,.T.); +#3482=EDGE_CURVE('',#4377,#4378,#5334,.T.); +#3483=EDGE_CURVE('',#4377,#4375,#5335,.T.); +#3484=EDGE_CURVE('',#4376,#4378,#5336,.T.); +#3485=EDGE_CURVE('',#4345,#4377,#5337,.T.); +#3486=EDGE_CURVE('',#4378,#4346,#5338,.T.); +#3487=EDGE_CURVE('',#4379,#4380,#5339,.T.); +#3488=EDGE_CURVE('',#4380,#4381,#5340,.T.); +#3489=EDGE_CURVE('',#4381,#4382,#5341,.T.); +#3490=EDGE_CURVE('',#4382,#4383,#5342,.T.); +#3491=EDGE_CURVE('',#4383,#4384,#5343,.T.); +#3492=EDGE_CURVE('',#4384,#4385,#5344,.T.); +#3493=EDGE_CURVE('',#4385,#4386,#5345,.T.); +#3494=EDGE_CURVE('',#4386,#4387,#5346,.T.); +#3495=EDGE_CURVE('',#4387,#4388,#5347,.T.); +#3496=EDGE_CURVE('',#4388,#4389,#5348,.T.); +#3497=EDGE_CURVE('',#4389,#4390,#5349,.T.); +#3498=EDGE_CURVE('',#4390,#4391,#5350,.T.); +#3499=EDGE_CURVE('',#4391,#4392,#5351,.T.); +#3500=EDGE_CURVE('',#4392,#4393,#5352,.T.); +#3501=EDGE_CURVE('',#4393,#4394,#5353,.T.); +#3502=EDGE_CURVE('',#4394,#4395,#5354,.T.); +#3503=EDGE_CURVE('',#4395,#4396,#5355,.T.); +#3504=EDGE_CURVE('',#4396,#4397,#5356,.T.); +#3505=EDGE_CURVE('',#4397,#4398,#5357,.T.); +#3506=EDGE_CURVE('',#4398,#4399,#5358,.T.); +#3507=EDGE_CURVE('',#4399,#4400,#5359,.T.); +#3508=EDGE_CURVE('',#4400,#4401,#5360,.T.); +#3509=EDGE_CURVE('',#4401,#4402,#5361,.T.); +#3510=EDGE_CURVE('',#4402,#4403,#5362,.T.); +#3511=EDGE_CURVE('',#4403,#4404,#5363,.T.); +#3512=EDGE_CURVE('',#4404,#4405,#5364,.T.); +#3513=EDGE_CURVE('',#4405,#4406,#5365,.T.); +#3514=EDGE_CURVE('',#4406,#4407,#5366,.T.); +#3515=EDGE_CURVE('',#4407,#4408,#5367,.T.); +#3516=EDGE_CURVE('',#4408,#4409,#5368,.T.); +#3517=EDGE_CURVE('',#4409,#4410,#5369,.T.); +#3518=EDGE_CURVE('',#4410,#4411,#5370,.T.); +#3519=EDGE_CURVE('',#4412,#4411,#5371,.T.); +#3520=EDGE_CURVE('',#4413,#4412,#5372,.T.); +#3521=EDGE_CURVE('',#4413,#4414,#5373,.T.); +#3522=EDGE_CURVE('',#4414,#4379,#5374,.T.); +#3523=EDGE_CURVE('',#4415,#4416,#5375,.T.); +#3524=EDGE_CURVE('',#4415,#4417,#5376,.T.); +#3525=EDGE_CURVE('',#4418,#4417,#5377,.T.); +#3526=EDGE_CURVE('',#4419,#4418,#5378,.T.); +#3527=EDGE_CURVE('',#4419,#4420,#5379,.T.); +#3528=EDGE_CURVE('',#4420,#4421,#5380,.T.); +#3529=EDGE_CURVE('',#4422,#4421,#5381,.T.); +#3530=EDGE_CURVE('',#4423,#4422,#5382,.T.); +#3531=EDGE_CURVE('',#4424,#4423,#5383,.T.); +#3532=EDGE_CURVE('',#4424,#4425,#5384,.T.); +#3533=EDGE_CURVE('',#4426,#4425,#5385,.T.); +#3534=EDGE_CURVE('',#4427,#4426,#5386,.T.); +#3535=EDGE_CURVE('',#4428,#4427,#5387,.T.); +#3536=EDGE_CURVE('',#4428,#4429,#5388,.T.); +#3537=EDGE_CURVE('',#4430,#4429,#5389,.T.); +#3538=EDGE_CURVE('',#4431,#4430,#5390,.T.); +#3539=EDGE_CURVE('',#4432,#4431,#5391,.T.); +#3540=EDGE_CURVE('',#4432,#4433,#5392,.T.); +#3541=EDGE_CURVE('',#4434,#4433,#5393,.T.); +#3542=EDGE_CURVE('',#4435,#4434,#5394,.T.); +#3543=EDGE_CURVE('',#4436,#4435,#5395,.T.); +#3544=EDGE_CURVE('',#4436,#4437,#5396,.T.); +#3545=EDGE_CURVE('',#4438,#4437,#5397,.T.); +#3546=EDGE_CURVE('',#4439,#4438,#5398,.T.); +#3547=EDGE_CURVE('',#4440,#4439,#5399,.T.); +#3548=EDGE_CURVE('',#4440,#4441,#5400,.T.); +#3549=EDGE_CURVE('',#4442,#4441,#5401,.T.); +#3550=EDGE_CURVE('',#4443,#4442,#5402,.T.); +#3551=EDGE_CURVE('',#4444,#4443,#5403,.T.); +#3552=EDGE_CURVE('',#4444,#4445,#5404,.T.); +#3553=EDGE_CURVE('',#4446,#4445,#5405,.T.); +#3554=EDGE_CURVE('',#4447,#4446,#5406,.T.); +#3555=EDGE_CURVE('',#4448,#4447,#5407,.T.); +#3556=EDGE_CURVE('',#4448,#4449,#5408,.T.); +#3557=EDGE_CURVE('',#4450,#4449,#5409,.T.); +#3558=EDGE_CURVE('',#4416,#4450,#5410,.T.); +#3559=EDGE_CURVE('',#4451,#4452,#5411,.T.); +#3560=EDGE_CURVE('',#4452,#4453,#5412,.T.); +#3561=EDGE_CURVE('',#4454,#4453,#5413,.T.); +#3562=EDGE_CURVE('',#4454,#4451,#5414,.T.); +#3563=EDGE_CURVE('',#4453,#4455,#5415,.T.); +#3564=EDGE_CURVE('',#4456,#4455,#5416,.T.); +#3565=EDGE_CURVE('',#4456,#4454,#5417,.T.); +#3566=EDGE_CURVE('',#4455,#4457,#5418,.T.); +#3567=EDGE_CURVE('',#4458,#4457,#5419,.T.); +#3568=EDGE_CURVE('',#4458,#4456,#5420,.T.); +#3569=EDGE_CURVE('',#4459,#4460,#5421,.T.); +#3570=EDGE_CURVE('',#4460,#4461,#5422,.T.); +#3571=EDGE_CURVE('',#4462,#4461,#5423,.T.); +#3572=EDGE_CURVE('',#4462,#4459,#5424,.T.); +#3573=EDGE_CURVE('',#4461,#4463,#5425,.T.); +#3574=EDGE_CURVE('',#4464,#4463,#5426,.T.); +#3575=EDGE_CURVE('',#4464,#4462,#5427,.T.); +#3576=EDGE_CURVE('',#4463,#4465,#5428,.T.); +#3577=EDGE_CURVE('',#4466,#4465,#5429,.T.); +#3578=EDGE_CURVE('',#4466,#4464,#5430,.T.); +#3579=EDGE_CURVE('',#4467,#4468,#5431,.T.); +#3580=EDGE_CURVE('',#4468,#4469,#5432,.T.); +#3581=EDGE_CURVE('',#4470,#4469,#5433,.T.); +#3582=EDGE_CURVE('',#4470,#4467,#5434,.T.); +#3583=EDGE_CURVE('',#4469,#4471,#5435,.T.); +#3584=EDGE_CURVE('',#4472,#4471,#5436,.T.); +#3585=EDGE_CURVE('',#4472,#4470,#5437,.T.); +#3586=EDGE_CURVE('',#4471,#4473,#5438,.T.); +#3587=EDGE_CURVE('',#4474,#4473,#5439,.T.); +#3588=EDGE_CURVE('',#4474,#4472,#5440,.T.); +#3589=EDGE_CURVE('',#4475,#4476,#5441,.T.); +#3590=EDGE_CURVE('',#4476,#4477,#5442,.T.); +#3591=EDGE_CURVE('',#4478,#4477,#5443,.T.); +#3592=EDGE_CURVE('',#4478,#4475,#5444,.T.); +#3593=EDGE_CURVE('',#4477,#4479,#5445,.T.); +#3594=EDGE_CURVE('',#4480,#4479,#5446,.T.); +#3595=EDGE_CURVE('',#4480,#4478,#5447,.T.); +#3596=EDGE_CURVE('',#4479,#4481,#5448,.T.); +#3597=EDGE_CURVE('',#4482,#4481,#5449,.T.); +#3598=EDGE_CURVE('',#4482,#4480,#5450,.T.); +#3599=EDGE_CURVE('',#4483,#4484,#5451,.T.); +#3600=EDGE_CURVE('',#4484,#4485,#5452,.T.); +#3601=EDGE_CURVE('',#4486,#4485,#5453,.T.); +#3602=EDGE_CURVE('',#4486,#4483,#5454,.T.); +#3603=EDGE_CURVE('',#4485,#4487,#5455,.T.); +#3604=EDGE_CURVE('',#4488,#4487,#5456,.T.); +#3605=EDGE_CURVE('',#4488,#4486,#5457,.T.); +#3606=EDGE_CURVE('',#4487,#4489,#5458,.T.); +#3607=EDGE_CURVE('',#4490,#4489,#5459,.T.); +#3608=EDGE_CURVE('',#4490,#4488,#5460,.T.); +#3609=EDGE_CURVE('',#4491,#4492,#5461,.T.); +#3610=EDGE_CURVE('',#4492,#4493,#5462,.T.); +#3611=EDGE_CURVE('',#4494,#4493,#5463,.T.); +#3612=EDGE_CURVE('',#4494,#4491,#5464,.T.); +#3613=EDGE_CURVE('',#4493,#4495,#5465,.T.); +#3614=EDGE_CURVE('',#4496,#4495,#5466,.T.); +#3615=EDGE_CURVE('',#4496,#4494,#5467,.T.); +#3616=EDGE_CURVE('',#4495,#4497,#5468,.T.); +#3617=EDGE_CURVE('',#4498,#4497,#5469,.T.); +#3618=EDGE_CURVE('',#4498,#4496,#5470,.T.); +#3619=EDGE_CURVE('',#4499,#4500,#5471,.T.); +#3620=EDGE_CURVE('',#4500,#4501,#5472,.T.); +#3621=EDGE_CURVE('',#4502,#4501,#5473,.T.); +#3622=EDGE_CURVE('',#4502,#4499,#5474,.T.); +#3623=EDGE_CURVE('',#4501,#4503,#5475,.T.); +#3624=EDGE_CURVE('',#4504,#4503,#5476,.T.); +#3625=EDGE_CURVE('',#4504,#4502,#5477,.T.); +#3626=EDGE_CURVE('',#4503,#4505,#5478,.T.); +#3627=EDGE_CURVE('',#4506,#4505,#5479,.T.); +#3628=EDGE_CURVE('',#4506,#4504,#5480,.T.); +#3629=EDGE_CURVE('',#4507,#4508,#5481,.T.); +#3630=EDGE_CURVE('',#4508,#4509,#5482,.T.); +#3631=EDGE_CURVE('',#4510,#4509,#5483,.T.); +#3632=EDGE_CURVE('',#4510,#4507,#5484,.T.); +#3633=EDGE_CURVE('',#4509,#4511,#5485,.T.); +#3634=EDGE_CURVE('',#4512,#4511,#5486,.T.); +#3635=EDGE_CURVE('',#4512,#4510,#5487,.T.); +#3636=EDGE_CURVE('',#4511,#4513,#5488,.T.); +#3637=EDGE_CURVE('',#4514,#4513,#5489,.T.); +#3638=EDGE_CURVE('',#4514,#4512,#5490,.T.); +#3639=EDGE_CURVE('',#4515,#4516,#5491,.T.); +#3640=EDGE_CURVE('',#4516,#4517,#5492,.T.); +#3641=EDGE_CURVE('',#4518,#4517,#5493,.T.); +#3642=EDGE_CURVE('',#4518,#4515,#5494,.T.); +#3643=EDGE_CURVE('',#4517,#4519,#5495,.T.); +#3644=EDGE_CURVE('',#4520,#4519,#5496,.T.); +#3645=EDGE_CURVE('',#4520,#4518,#5497,.T.); +#3646=EDGE_CURVE('',#4519,#4521,#5498,.T.); +#3647=EDGE_CURVE('',#4522,#4521,#5499,.T.); +#3648=EDGE_CURVE('',#4522,#4520,#5500,.T.); +#3649=EDGE_CURVE('',#4523,#4524,#5501,.T.); +#3650=EDGE_CURVE('',#4524,#4525,#5502,.T.); +#3651=EDGE_CURVE('',#4526,#4525,#5503,.T.); +#3652=EDGE_CURVE('',#4526,#4523,#5504,.T.); +#3653=EDGE_CURVE('',#4525,#4527,#5505,.T.); +#3654=EDGE_CURVE('',#4528,#4527,#5506,.T.); +#3655=EDGE_CURVE('',#4528,#4526,#5507,.T.); +#3656=EDGE_CURVE('',#4527,#4529,#5508,.T.); +#3657=EDGE_CURVE('',#4530,#4529,#5509,.T.); +#3658=EDGE_CURVE('',#4530,#4528,#5510,.T.); +#3659=EDGE_CURVE('',#4531,#4532,#5511,.T.); +#3660=EDGE_CURVE('',#4532,#4533,#5512,.T.); +#3661=EDGE_CURVE('',#4534,#4533,#5513,.T.); +#3662=EDGE_CURVE('',#4534,#4531,#5514,.T.); +#3663=EDGE_CURVE('',#4533,#4535,#5515,.T.); +#3664=EDGE_CURVE('',#4536,#4535,#5516,.T.); +#3665=EDGE_CURVE('',#4536,#4534,#5517,.T.); +#3666=EDGE_CURVE('',#4535,#4537,#5518,.T.); +#3667=EDGE_CURVE('',#4538,#4537,#5519,.T.); +#3668=EDGE_CURVE('',#4538,#4536,#5520,.T.); +#3669=EDGE_CURVE('',#4539,#4540,#5521,.T.); +#3670=EDGE_CURVE('',#4540,#4541,#5522,.T.); +#3671=EDGE_CURVE('',#4542,#4541,#5523,.T.); +#3672=EDGE_CURVE('',#4542,#4539,#5524,.T.); +#3673=EDGE_CURVE('',#4541,#4543,#5525,.T.); +#3674=EDGE_CURVE('',#4544,#4543,#5526,.T.); +#3675=EDGE_CURVE('',#4544,#4542,#5527,.T.); +#3676=EDGE_CURVE('',#4543,#4545,#5528,.T.); +#3677=EDGE_CURVE('',#4546,#4545,#5529,.T.); +#3678=EDGE_CURVE('',#4546,#4544,#5530,.T.); +#3679=EDGE_CURVE('',#4547,#4548,#5531,.T.); +#3680=EDGE_CURVE('',#4548,#4549,#5532,.T.); +#3681=EDGE_CURVE('',#4550,#4549,#5533,.T.); +#3682=EDGE_CURVE('',#4550,#4547,#5534,.T.); +#3683=EDGE_CURVE('',#4549,#4551,#5535,.T.); +#3684=EDGE_CURVE('',#4552,#4551,#5536,.T.); +#3685=EDGE_CURVE('',#4552,#4550,#5537,.T.); +#3686=EDGE_CURVE('',#4551,#4553,#5538,.T.); +#3687=EDGE_CURVE('',#4554,#4553,#5539,.T.); +#3688=EDGE_CURVE('',#4554,#4552,#5540,.T.); +#3689=EDGE_CURVE('',#4555,#4556,#5541,.T.); +#3690=EDGE_CURVE('',#4556,#4557,#5542,.T.); +#3691=EDGE_CURVE('',#4558,#4557,#5543,.T.); +#3692=EDGE_CURVE('',#4558,#4555,#5544,.T.); +#3693=EDGE_CURVE('',#4557,#4559,#5545,.T.); +#3694=EDGE_CURVE('',#4560,#4559,#5546,.T.); +#3695=EDGE_CURVE('',#4560,#4558,#5547,.T.); +#3696=EDGE_CURVE('',#4559,#4561,#5548,.T.); +#3697=EDGE_CURVE('',#4562,#4561,#5549,.T.); +#3698=EDGE_CURVE('',#4562,#4560,#5550,.T.); +#3699=EDGE_CURVE('',#4563,#4564,#5551,.T.); +#3700=EDGE_CURVE('',#4564,#4565,#5552,.T.); +#3701=EDGE_CURVE('',#4566,#4565,#5553,.T.); +#3702=EDGE_CURVE('',#4566,#4563,#5554,.T.); +#3703=EDGE_CURVE('',#4565,#4567,#5555,.T.); +#3704=EDGE_CURVE('',#4568,#4567,#5556,.T.); +#3705=EDGE_CURVE('',#4568,#4566,#5557,.T.); +#3706=EDGE_CURVE('',#4567,#4569,#5558,.T.); +#3707=EDGE_CURVE('',#4570,#4569,#5559,.T.); +#3708=EDGE_CURVE('',#4570,#4568,#5560,.T.); +#3709=EDGE_CURVE('',#4571,#4572,#5561,.T.); +#3710=EDGE_CURVE('',#4572,#4573,#5562,.T.); +#3711=EDGE_CURVE('',#4574,#4573,#5563,.T.); +#3712=EDGE_CURVE('',#4574,#4571,#5564,.T.); +#3713=EDGE_CURVE('',#4573,#4575,#5565,.T.); +#3714=EDGE_CURVE('',#4576,#4575,#5566,.T.); +#3715=EDGE_CURVE('',#4576,#4574,#5567,.T.); +#3716=EDGE_CURVE('',#4575,#4577,#5568,.T.); +#3717=EDGE_CURVE('',#4578,#4577,#5569,.T.); +#3718=EDGE_CURVE('',#4578,#4576,#5570,.T.); +#3719=EDGE_CURVE('',#4457,#4452,#5571,.T.); +#3720=EDGE_CURVE('',#4451,#4458,#5572,.T.); +#3721=EDGE_CURVE('',#4465,#4460,#5573,.T.); +#3722=EDGE_CURVE('',#4459,#4466,#5574,.T.); +#3723=EDGE_CURVE('',#4473,#4468,#5575,.T.); +#3724=EDGE_CURVE('',#4467,#4474,#5576,.T.); +#3725=EDGE_CURVE('',#4481,#4476,#5577,.T.); +#3726=EDGE_CURVE('',#4475,#4482,#5578,.T.); +#3727=EDGE_CURVE('',#4489,#4484,#5579,.T.); +#3728=EDGE_CURVE('',#4483,#4490,#5580,.T.); +#3729=EDGE_CURVE('',#4497,#4492,#5581,.T.); +#3730=EDGE_CURVE('',#4491,#4498,#5582,.T.); +#3731=EDGE_CURVE('',#4505,#4500,#5583,.T.); +#3732=EDGE_CURVE('',#4499,#4506,#5584,.T.); +#3733=EDGE_CURVE('',#4513,#4508,#5585,.T.); +#3734=EDGE_CURVE('',#4507,#4514,#5586,.T.); +#3735=EDGE_CURVE('',#4521,#4516,#5587,.T.); +#3736=EDGE_CURVE('',#4515,#4522,#5588,.T.); +#3737=EDGE_CURVE('',#4529,#4524,#5589,.T.); +#3738=EDGE_CURVE('',#4523,#4530,#5590,.T.); +#3739=EDGE_CURVE('',#4537,#4532,#5591,.T.); +#3740=EDGE_CURVE('',#4531,#4538,#5592,.T.); +#3741=EDGE_CURVE('',#4545,#4540,#5593,.T.); +#3742=EDGE_CURVE('',#4539,#4546,#5594,.T.); +#3743=EDGE_CURVE('',#4553,#4548,#5595,.T.); +#3744=EDGE_CURVE('',#4547,#4554,#5596,.T.); +#3745=EDGE_CURVE('',#4561,#4556,#5597,.T.); +#3746=EDGE_CURVE('',#4555,#4562,#5598,.T.); +#3747=EDGE_CURVE('',#4569,#4564,#5599,.T.); +#3748=EDGE_CURVE('',#4563,#4570,#5600,.T.); +#3749=EDGE_CURVE('',#4577,#4572,#5601,.T.); +#3750=EDGE_CURVE('',#4571,#4578,#5602,.T.); +#3751=EDGE_CURVE('',#4415,#4379,#5603,.T.); +#3752=EDGE_CURVE('',#4417,#4414,#5604,.T.); +#3753=EDGE_CURVE('',#4449,#4382,#5605,.T.); +#3754=EDGE_CURVE('',#4448,#4383,#5606,.T.); +#3755=EDGE_CURVE('',#4445,#4386,#5607,.T.); +#3756=EDGE_CURVE('',#4444,#4387,#5608,.T.); +#3757=EDGE_CURVE('',#4441,#4390,#5609,.T.); +#3758=EDGE_CURVE('',#4440,#4391,#5610,.T.); +#3759=EDGE_CURVE('',#4437,#4394,#5611,.T.); +#3760=EDGE_CURVE('',#4436,#4395,#5612,.T.); +#3761=EDGE_CURVE('',#4433,#4398,#5613,.T.); +#3762=EDGE_CURVE('',#4432,#4399,#5614,.T.); +#3763=EDGE_CURVE('',#4429,#4402,#5615,.T.); +#3764=EDGE_CURVE('',#4428,#4403,#5616,.T.); +#3765=EDGE_CURVE('',#4425,#4406,#5617,.T.); +#3766=EDGE_CURVE('',#4424,#4407,#5618,.T.); +#3767=EDGE_CURVE('',#4421,#4410,#5619,.T.); +#3768=EDGE_CURVE('',#4411,#4420,#5620,.T.); +#3769=EDGE_CURVE('',#4412,#4419,#5621,.T.); +#3770=EDGE_CURVE('',#4418,#4413,#5622,.T.); +#3771=EDGE_CURVE('',#4423,#4408,#5623,.T.); +#3772=EDGE_CURVE('',#4422,#4409,#5624,.T.); +#3773=EDGE_CURVE('',#4427,#4404,#5625,.T.); +#3774=EDGE_CURVE('',#4426,#4405,#5626,.T.); +#3775=EDGE_CURVE('',#4431,#4400,#5627,.T.); +#3776=EDGE_CURVE('',#4430,#4401,#5628,.T.); +#3777=EDGE_CURVE('',#4435,#4396,#5629,.T.); +#3778=EDGE_CURVE('',#4434,#4397,#5630,.T.); +#3779=EDGE_CURVE('',#4439,#4392,#5631,.T.); +#3780=EDGE_CURVE('',#4438,#4393,#5632,.T.); +#3781=EDGE_CURVE('',#4443,#4388,#5633,.T.); +#3782=EDGE_CURVE('',#4442,#4389,#5634,.T.); +#3783=EDGE_CURVE('',#4447,#4384,#5635,.T.); +#3784=EDGE_CURVE('',#4446,#4385,#5636,.T.); +#3785=EDGE_CURVE('',#4416,#4380,#5637,.T.); +#3786=EDGE_CURVE('',#4450,#4381,#5638,.T.); +#3787=VERTEX_POINT('',#10980); +#3788=VERTEX_POINT('',#10981); +#3789=VERTEX_POINT('',#10983); +#3790=VERTEX_POINT('',#10985); +#3791=VERTEX_POINT('',#10989); +#3792=VERTEX_POINT('',#10990); +#3793=VERTEX_POINT('',#10992); +#3794=VERTEX_POINT('',#10994); +#3795=VERTEX_POINT('',#10998); +#3796=VERTEX_POINT('',#10999); +#3797=VERTEX_POINT('',#11001); +#3798=VERTEX_POINT('',#11003); +#3799=VERTEX_POINT('',#11007); +#3800=VERTEX_POINT('',#11008); +#3801=VERTEX_POINT('',#11010); +#3802=VERTEX_POINT('',#11012); +#3803=VERTEX_POINT('',#11016); +#3804=VERTEX_POINT('',#11017); +#3805=VERTEX_POINT('',#11019); +#3806=VERTEX_POINT('',#11021); +#3807=VERTEX_POINT('',#11025); +#3808=VERTEX_POINT('',#11026); +#3809=VERTEX_POINT('',#11028); +#3810=VERTEX_POINT('',#11030); +#3811=VERTEX_POINT('',#11034); +#3812=VERTEX_POINT('',#11035); +#3813=VERTEX_POINT('',#11037); +#3814=VERTEX_POINT('',#11039); +#3815=VERTEX_POINT('',#11043); +#3816=VERTEX_POINT('',#11044); +#3817=VERTEX_POINT('',#11046); +#3818=VERTEX_POINT('',#11048); +#3819=VERTEX_POINT('',#11052); +#3820=VERTEX_POINT('',#11054); +#3821=VERTEX_POINT('',#11058); +#3822=VERTEX_POINT('',#11059); +#3823=VERTEX_POINT('',#11064); +#3824=VERTEX_POINT('',#11065); +#3825=VERTEX_POINT('',#11070); +#3826=VERTEX_POINT('',#11071); +#3827=VERTEX_POINT('',#11076); +#3828=VERTEX_POINT('',#11077); +#3829=VERTEX_POINT('',#11082); +#3830=VERTEX_POINT('',#11083); +#3831=VERTEX_POINT('',#11088); +#3832=VERTEX_POINT('',#11089); +#3833=VERTEX_POINT('',#11094); +#3834=VERTEX_POINT('',#11095); +#3835=VERTEX_POINT('',#11100); +#3836=VERTEX_POINT('',#11102); +#3837=VERTEX_POINT('',#11106); +#3838=VERTEX_POINT('',#11107); +#3839=VERTEX_POINT('',#11112); +#3840=VERTEX_POINT('',#11113); +#3841=VERTEX_POINT('',#11118); +#3842=VERTEX_POINT('',#11119); +#3843=VERTEX_POINT('',#11124); +#3844=VERTEX_POINT('',#11125); +#3845=VERTEX_POINT('',#11130); +#3846=VERTEX_POINT('',#11131); +#3847=VERTEX_POINT('',#11136); +#3848=VERTEX_POINT('',#11137); +#3849=VERTEX_POINT('',#11142); +#3850=VERTEX_POINT('',#11143); +#3851=VERTEX_POINT('',#11148); +#3852=VERTEX_POINT('',#11150); +#3853=VERTEX_POINT('',#11154); +#3854=VERTEX_POINT('',#11155); +#3855=VERTEX_POINT('',#11160); +#3856=VERTEX_POINT('',#11161); +#3857=VERTEX_POINT('',#11166); +#3858=VERTEX_POINT('',#11167); +#3859=VERTEX_POINT('',#11172); +#3860=VERTEX_POINT('',#11173); +#3861=VERTEX_POINT('',#11178); +#3862=VERTEX_POINT('',#11179); +#3863=VERTEX_POINT('',#11184); +#3864=VERTEX_POINT('',#11185); +#3865=VERTEX_POINT('',#11190); +#3866=VERTEX_POINT('',#11191); +#3867=VERTEX_POINT('',#11196); +#3868=VERTEX_POINT('',#11197); +#3869=VERTEX_POINT('',#11199); +#3870=VERTEX_POINT('',#11201); +#3871=VERTEX_POINT('',#11205); +#3872=VERTEX_POINT('',#11206); +#3873=VERTEX_POINT('',#11208); +#3874=VERTEX_POINT('',#11210); +#3875=VERTEX_POINT('',#11214); +#3876=VERTEX_POINT('',#11215); +#3877=VERTEX_POINT('',#11217); +#3878=VERTEX_POINT('',#11219); +#3879=VERTEX_POINT('',#11223); +#3880=VERTEX_POINT('',#11224); +#3881=VERTEX_POINT('',#11226); +#3882=VERTEX_POINT('',#11228); +#3883=VERTEX_POINT('',#11232); +#3884=VERTEX_POINT('',#11233); +#3885=VERTEX_POINT('',#11235); +#3886=VERTEX_POINT('',#11237); +#3887=VERTEX_POINT('',#11241); +#3888=VERTEX_POINT('',#11242); +#3889=VERTEX_POINT('',#11244); +#3890=VERTEX_POINT('',#11246); +#3891=VERTEX_POINT('',#11250); +#3892=VERTEX_POINT('',#11251); +#3893=VERTEX_POINT('',#11253); +#3894=VERTEX_POINT('',#11255); +#3895=VERTEX_POINT('',#11259); +#3896=VERTEX_POINT('',#11260); +#3897=VERTEX_POINT('',#11262); +#3898=VERTEX_POINT('',#11264); +#3899=VERTEX_POINT('',#11268); +#3900=VERTEX_POINT('',#11270); +#3901=VERTEX_POINT('',#11274); +#3902=VERTEX_POINT('',#11275); +#3903=VERTEX_POINT('',#11280); +#3904=VERTEX_POINT('',#11281); +#3905=VERTEX_POINT('',#11286); +#3906=VERTEX_POINT('',#11287); +#3907=VERTEX_POINT('',#11292); +#3908=VERTEX_POINT('',#11293); +#3909=VERTEX_POINT('',#11298); +#3910=VERTEX_POINT('',#11299); +#3911=VERTEX_POINT('',#11304); +#3912=VERTEX_POINT('',#11305); +#3913=VERTEX_POINT('',#11310); +#3914=VERTEX_POINT('',#11311); +#3915=VERTEX_POINT('',#11316); +#3916=VERTEX_POINT('',#11318); +#3917=VERTEX_POINT('',#11322); +#3918=VERTEX_POINT('',#11323); +#3919=VERTEX_POINT('',#11328); +#3920=VERTEX_POINT('',#11329); +#3921=VERTEX_POINT('',#11334); +#3922=VERTEX_POINT('',#11335); +#3923=VERTEX_POINT('',#11340); +#3924=VERTEX_POINT('',#11341); +#3925=VERTEX_POINT('',#11346); +#3926=VERTEX_POINT('',#11347); +#3927=VERTEX_POINT('',#11352); +#3928=VERTEX_POINT('',#11353); +#3929=VERTEX_POINT('',#11358); +#3930=VERTEX_POINT('',#11359); +#3931=VERTEX_POINT('',#11364); +#3932=VERTEX_POINT('',#11366); +#3933=VERTEX_POINT('',#11370); +#3934=VERTEX_POINT('',#11371); +#3935=VERTEX_POINT('',#11376); +#3936=VERTEX_POINT('',#11377); +#3937=VERTEX_POINT('',#11382); +#3938=VERTEX_POINT('',#11383); +#3939=VERTEX_POINT('',#11388); +#3940=VERTEX_POINT('',#11389); +#3941=VERTEX_POINT('',#11394); +#3942=VERTEX_POINT('',#11395); +#3943=VERTEX_POINT('',#11400); +#3944=VERTEX_POINT('',#11401); +#3945=VERTEX_POINT('',#11406); +#3946=VERTEX_POINT('',#11407); +#3947=VERTEX_POINT('',#11412); +#3948=VERTEX_POINT('',#11413); +#3949=VERTEX_POINT('',#11415); +#3950=VERTEX_POINT('',#11417); +#3951=VERTEX_POINT('',#11421); +#3952=VERTEX_POINT('',#11422); +#3953=VERTEX_POINT('',#11424); +#3954=VERTEX_POINT('',#11426); +#3955=VERTEX_POINT('',#11430); +#3956=VERTEX_POINT('',#11431); +#3957=VERTEX_POINT('',#11433); +#3958=VERTEX_POINT('',#11435); +#3959=VERTEX_POINT('',#11439); +#3960=VERTEX_POINT('',#11440); +#3961=VERTEX_POINT('',#11442); +#3962=VERTEX_POINT('',#11444); +#3963=VERTEX_POINT('',#11448); +#3964=VERTEX_POINT('',#11449); +#3965=VERTEX_POINT('',#11451); +#3966=VERTEX_POINT('',#11453); +#3967=VERTEX_POINT('',#11457); +#3968=VERTEX_POINT('',#11458); +#3969=VERTEX_POINT('',#11460); +#3970=VERTEX_POINT('',#11462); +#3971=VERTEX_POINT('',#11466); +#3972=VERTEX_POINT('',#11467); +#3973=VERTEX_POINT('',#11469); +#3974=VERTEX_POINT('',#11471); +#3975=VERTEX_POINT('',#11475); +#3976=VERTEX_POINT('',#11476); +#3977=VERTEX_POINT('',#11478); +#3978=VERTEX_POINT('',#11480); +#3979=VERTEX_POINT('',#11484); +#3980=VERTEX_POINT('',#11486); +#3981=VERTEX_POINT('',#11490); +#3982=VERTEX_POINT('',#11492); +#3983=VERTEX_POINT('',#11496); +#3984=VERTEX_POINT('',#11498); +#3985=VERTEX_POINT('',#11502); +#3986=VERTEX_POINT('',#11504); +#3987=VERTEX_POINT('',#11508); +#3988=VERTEX_POINT('',#11510); +#3989=VERTEX_POINT('',#11514); +#3990=VERTEX_POINT('',#11516); +#3991=VERTEX_POINT('',#11520); +#3992=VERTEX_POINT('',#11522); +#3993=VERTEX_POINT('',#11526); +#3994=VERTEX_POINT('',#11528); +#3995=VERTEX_POINT('',#11532); +#3996=VERTEX_POINT('',#11534); +#3997=VERTEX_POINT('',#11538); +#3998=VERTEX_POINT('',#11540); +#3999=VERTEX_POINT('',#11544); +#4000=VERTEX_POINT('',#11546); +#4001=VERTEX_POINT('',#11550); +#4002=VERTEX_POINT('',#11552); +#4003=VERTEX_POINT('',#11556); +#4004=VERTEX_POINT('',#11558); +#4005=VERTEX_POINT('',#11562); +#4006=VERTEX_POINT('',#11564); +#4007=VERTEX_POINT('',#11568); +#4008=VERTEX_POINT('',#11570); +#4009=VERTEX_POINT('',#11574); +#4010=VERTEX_POINT('',#11576); +#4011=VERTEX_POINT('',#11580); +#4012=VERTEX_POINT('',#11582); +#4013=VERTEX_POINT('',#11586); +#4014=VERTEX_POINT('',#11588); +#4015=VERTEX_POINT('',#11592); +#4016=VERTEX_POINT('',#11594); +#4017=VERTEX_POINT('',#11598); +#4018=VERTEX_POINT('',#11600); +#4019=VERTEX_POINT('',#11604); +#4020=VERTEX_POINT('',#11606); +#4021=VERTEX_POINT('',#11610); +#4022=VERTEX_POINT('',#11612); +#4023=VERTEX_POINT('',#11616); +#4024=VERTEX_POINT('',#11618); +#4025=VERTEX_POINT('',#11622); +#4026=VERTEX_POINT('',#11624); +#4027=VERTEX_POINT('',#11628); +#4028=VERTEX_POINT('',#11630); +#4029=VERTEX_POINT('',#11634); +#4030=VERTEX_POINT('',#11635); +#4031=VERTEX_POINT('',#11640); +#4032=VERTEX_POINT('',#11641); +#4033=VERTEX_POINT('',#11646); +#4034=VERTEX_POINT('',#11647); +#4035=VERTEX_POINT('',#11652); +#4036=VERTEX_POINT('',#11653); +#4037=VERTEX_POINT('',#11658); +#4038=VERTEX_POINT('',#11659); +#4039=VERTEX_POINT('',#11664); +#4040=VERTEX_POINT('',#11665); +#4041=VERTEX_POINT('',#11670); +#4042=VERTEX_POINT('',#11671); +#4043=VERTEX_POINT('',#11676); +#4044=VERTEX_POINT('',#11678); +#4045=VERTEX_POINT('',#11682); +#4046=VERTEX_POINT('',#11684); +#4047=VERTEX_POINT('',#11688); +#4048=VERTEX_POINT('',#11690); +#4049=VERTEX_POINT('',#11694); +#4050=VERTEX_POINT('',#11696); +#4051=VERTEX_POINT('',#11700); +#4052=VERTEX_POINT('',#11702); +#4053=VERTEX_POINT('',#11706); +#4054=VERTEX_POINT('',#11708); +#4055=VERTEX_POINT('',#11712); +#4056=VERTEX_POINT('',#11714); +#4057=VERTEX_POINT('',#11718); +#4058=VERTEX_POINT('',#11720); +#4059=VERTEX_POINT('',#11724); +#4060=VERTEX_POINT('',#11726); +#4061=VERTEX_POINT('',#11730); +#4062=VERTEX_POINT('',#11732); +#4063=VERTEX_POINT('',#11736); +#4064=VERTEX_POINT('',#11738); +#4065=VERTEX_POINT('',#11742); +#4066=VERTEX_POINT('',#11744); +#4067=VERTEX_POINT('',#11748); +#4068=VERTEX_POINT('',#11750); +#4069=VERTEX_POINT('',#11754); +#4070=VERTEX_POINT('',#11756); +#4071=VERTEX_POINT('',#11760); +#4072=VERTEX_POINT('',#11762); +#4073=VERTEX_POINT('',#11766); +#4074=VERTEX_POINT('',#11768); +#4075=VERTEX_POINT('',#11772); +#4076=VERTEX_POINT('',#11773); +#4077=VERTEX_POINT('',#11777); +#4078=VERTEX_POINT('',#11779); +#4079=VERTEX_POINT('',#11782); +#4080=VERTEX_POINT('',#11784); +#4081=VERTEX_POINT('',#11787); +#4082=VERTEX_POINT('',#11789); +#4083=VERTEX_POINT('',#11792); +#4084=VERTEX_POINT('',#11794); +#4085=VERTEX_POINT('',#11797); +#4086=VERTEX_POINT('',#11799); +#4087=VERTEX_POINT('',#11802); +#4088=VERTEX_POINT('',#11804); +#4089=VERTEX_POINT('',#11807); +#4090=VERTEX_POINT('',#11809); +#4091=VERTEX_POINT('',#11813); +#4092=VERTEX_POINT('',#11815); +#4093=VERTEX_POINT('',#11817); +#4094=VERTEX_POINT('',#11819); +#4095=VERTEX_POINT('',#11821); +#4096=VERTEX_POINT('',#11823); +#4097=VERTEX_POINT('',#11826); +#4098=VERTEX_POINT('',#11828); +#4099=VERTEX_POINT('',#11831); +#4100=VERTEX_POINT('',#11833); +#4101=VERTEX_POINT('',#11836); +#4102=VERTEX_POINT('',#11838); +#4103=VERTEX_POINT('',#11841); +#4104=VERTEX_POINT('',#11843); +#4105=VERTEX_POINT('',#11846); +#4106=VERTEX_POINT('',#11848); +#4107=VERTEX_POINT('',#11853); +#4108=VERTEX_POINT('',#11854); +#4109=VERTEX_POINT('',#11856); +#4110=VERTEX_POINT('',#11858); +#4111=VERTEX_POINT('',#11862); +#4112=VERTEX_POINT('',#11863); +#4113=VERTEX_POINT('',#11865); +#4114=VERTEX_POINT('',#11867); +#4115=VERTEX_POINT('',#11871); +#4116=VERTEX_POINT('',#11872); +#4117=VERTEX_POINT('',#11874); +#4118=VERTEX_POINT('',#11876); +#4119=VERTEX_POINT('',#11880); +#4120=VERTEX_POINT('',#11881); +#4121=VERTEX_POINT('',#11883); +#4122=VERTEX_POINT('',#11885); +#4123=VERTEX_POINT('',#11889); +#4124=VERTEX_POINT('',#11890); +#4125=VERTEX_POINT('',#11892); +#4126=VERTEX_POINT('',#11894); +#4127=VERTEX_POINT('',#11898); +#4128=VERTEX_POINT('',#11899); +#4129=VERTEX_POINT('',#11901); +#4130=VERTEX_POINT('',#11903); +#4131=VERTEX_POINT('',#11907); +#4132=VERTEX_POINT('',#11908); +#4133=VERTEX_POINT('',#11910); +#4134=VERTEX_POINT('',#11912); +#4135=VERTEX_POINT('',#11916); +#4136=VERTEX_POINT('',#11917); +#4137=VERTEX_POINT('',#11919); +#4138=VERTEX_POINT('',#11921); +#4139=VERTEX_POINT('',#11925); +#4140=VERTEX_POINT('',#11927); +#4141=VERTEX_POINT('',#11931); +#4142=VERTEX_POINT('',#11932); +#4143=VERTEX_POINT('',#11937); +#4144=VERTEX_POINT('',#11938); +#4145=VERTEX_POINT('',#11943); +#4146=VERTEX_POINT('',#11944); +#4147=VERTEX_POINT('',#11949); +#4148=VERTEX_POINT('',#11950); +#4149=VERTEX_POINT('',#11955); +#4150=VERTEX_POINT('',#11956); +#4151=VERTEX_POINT('',#11961); +#4152=VERTEX_POINT('',#11962); +#4153=VERTEX_POINT('',#11967); +#4154=VERTEX_POINT('',#11968); +#4155=VERTEX_POINT('',#11997); +#4156=VERTEX_POINT('',#11998); +#4157=VERTEX_POINT('',#12000); +#4158=VERTEX_POINT('',#12002); +#4159=VERTEX_POINT('',#12006); +#4160=VERTEX_POINT('',#12007); +#4161=VERTEX_POINT('',#12009); +#4162=VERTEX_POINT('',#12011); +#4163=VERTEX_POINT('',#12015); +#4164=VERTEX_POINT('',#12016); +#4165=VERTEX_POINT('',#12018); +#4166=VERTEX_POINT('',#12020); +#4167=VERTEX_POINT('',#12024); +#4168=VERTEX_POINT('',#12025); +#4169=VERTEX_POINT('',#12027); +#4170=VERTEX_POINT('',#12029); +#4171=VERTEX_POINT('',#12033); +#4172=VERTEX_POINT('',#12034); +#4173=VERTEX_POINT('',#12036); +#4174=VERTEX_POINT('',#12038); +#4175=VERTEX_POINT('',#12042); +#4176=VERTEX_POINT('',#12043); +#4177=VERTEX_POINT('',#12045); +#4178=VERTEX_POINT('',#12047); +#4179=VERTEX_POINT('',#12051); +#4180=VERTEX_POINT('',#12052); +#4181=VERTEX_POINT('',#12054); +#4182=VERTEX_POINT('',#12056); +#4183=VERTEX_POINT('',#12060); +#4184=VERTEX_POINT('',#12061); +#4185=VERTEX_POINT('',#12063); +#4186=VERTEX_POINT('',#12065); +#4187=VERTEX_POINT('',#12069); +#4188=VERTEX_POINT('',#12070); +#4189=VERTEX_POINT('',#12072); +#4190=VERTEX_POINT('',#12074); +#4191=VERTEX_POINT('',#12078); +#4192=VERTEX_POINT('',#12079); +#4193=VERTEX_POINT('',#12081); +#4194=VERTEX_POINT('',#12083); +#4195=VERTEX_POINT('',#12087); +#4196=VERTEX_POINT('',#12088); +#4197=VERTEX_POINT('',#12090); +#4198=VERTEX_POINT('',#12092); +#4199=VERTEX_POINT('',#12096); +#4200=VERTEX_POINT('',#12097); +#4201=VERTEX_POINT('',#12099); +#4202=VERTEX_POINT('',#12101); +#4203=VERTEX_POINT('',#12105); +#4204=VERTEX_POINT('',#12106); +#4205=VERTEX_POINT('',#12108); +#4206=VERTEX_POINT('',#12110); +#4207=VERTEX_POINT('',#12114); +#4208=VERTEX_POINT('',#12115); +#4209=VERTEX_POINT('',#12117); +#4210=VERTEX_POINT('',#12119); +#4211=VERTEX_POINT('',#12123); +#4212=VERTEX_POINT('',#12124); +#4213=VERTEX_POINT('',#12126); +#4214=VERTEX_POINT('',#12128); +#4215=VERTEX_POINT('',#12132); +#4216=VERTEX_POINT('',#12133); +#4217=VERTEX_POINT('',#12135); +#4218=VERTEX_POINT('',#12137); +#4219=VERTEX_POINT('',#12141); +#4220=VERTEX_POINT('',#12143); +#4221=VERTEX_POINT('',#12147); +#4222=VERTEX_POINT('',#12149); +#4223=VERTEX_POINT('',#12153); +#4224=VERTEX_POINT('',#12155); +#4225=VERTEX_POINT('',#12159); +#4226=VERTEX_POINT('',#12161); +#4227=VERTEX_POINT('',#12165); +#4228=VERTEX_POINT('',#12167); +#4229=VERTEX_POINT('',#12171); +#4230=VERTEX_POINT('',#12173); +#4231=VERTEX_POINT('',#12177); +#4232=VERTEX_POINT('',#12179); +#4233=VERTEX_POINT('',#12183); +#4234=VERTEX_POINT('',#12185); +#4235=VERTEX_POINT('',#12189); +#4236=VERTEX_POINT('',#12191); +#4237=VERTEX_POINT('',#12195); +#4238=VERTEX_POINT('',#12197); +#4239=VERTEX_POINT('',#12201); +#4240=VERTEX_POINT('',#12203); +#4241=VERTEX_POINT('',#12207); +#4242=VERTEX_POINT('',#12209); +#4243=VERTEX_POINT('',#12213); +#4244=VERTEX_POINT('',#12215); +#4245=VERTEX_POINT('',#12219); +#4246=VERTEX_POINT('',#12221); +#4247=VERTEX_POINT('',#12225); +#4248=VERTEX_POINT('',#12227); +#4249=VERTEX_POINT('',#12231); +#4250=VERTEX_POINT('',#12233); +#4251=VERTEX_POINT('',#12237); +#4252=VERTEX_POINT('',#12239); +#4253=VERTEX_POINT('',#12243); +#4254=VERTEX_POINT('',#12245); +#4255=VERTEX_POINT('',#12249); +#4256=VERTEX_POINT('',#12251); +#4257=VERTEX_POINT('',#12255); +#4258=VERTEX_POINT('',#12257); +#4259=VERTEX_POINT('',#12261); +#4260=VERTEX_POINT('',#12263); +#4261=VERTEX_POINT('',#12267); +#4262=VERTEX_POINT('',#12269); +#4263=VERTEX_POINT('',#12273); +#4264=VERTEX_POINT('',#12275); +#4265=VERTEX_POINT('',#12279); +#4266=VERTEX_POINT('',#12281); +#4267=VERTEX_POINT('',#12285); +#4268=VERTEX_POINT('',#12287); +#4269=VERTEX_POINT('',#12291); +#4270=VERTEX_POINT('',#12292); +#4271=VERTEX_POINT('',#12297); +#4272=VERTEX_POINT('',#12298); +#4273=VERTEX_POINT('',#12303); +#4274=VERTEX_POINT('',#12304); +#4275=VERTEX_POINT('',#12309); +#4276=VERTEX_POINT('',#12310); +#4277=VERTEX_POINT('',#12315); +#4278=VERTEX_POINT('',#12316); +#4279=VERTEX_POINT('',#12321); +#4280=VERTEX_POINT('',#12322); +#4281=VERTEX_POINT('',#12327); +#4282=VERTEX_POINT('',#12328); +#4283=VERTEX_POINT('',#12333); +#4284=VERTEX_POINT('',#12335); +#4285=VERTEX_POINT('',#12339); +#4286=VERTEX_POINT('',#12341); +#4287=VERTEX_POINT('',#12345); +#4288=VERTEX_POINT('',#12347); +#4289=VERTEX_POINT('',#12351); +#4290=VERTEX_POINT('',#12353); +#4291=VERTEX_POINT('',#12357); +#4292=VERTEX_POINT('',#12359); +#4293=VERTEX_POINT('',#12363); +#4294=VERTEX_POINT('',#12365); +#4295=VERTEX_POINT('',#12369); +#4296=VERTEX_POINT('',#12371); +#4297=VERTEX_POINT('',#12375); +#4298=VERTEX_POINT('',#12377); +#4299=VERTEX_POINT('',#12405); +#4300=VERTEX_POINT('',#12406); +#4301=VERTEX_POINT('',#12409); +#4302=VERTEX_POINT('',#12411); +#4303=VERTEX_POINT('',#12413); +#4304=VERTEX_POINT('',#12415); +#4305=VERTEX_POINT('',#12417); +#4306=VERTEX_POINT('',#12419); +#4307=VERTEX_POINT('',#12421); +#4308=VERTEX_POINT('',#12423); +#4309=VERTEX_POINT('',#12425); +#4310=VERTEX_POINT('',#12427); +#4311=VERTEX_POINT('',#12429); +#4312=VERTEX_POINT('',#12431); +#4313=VERTEX_POINT('',#12433); +#4314=VERTEX_POINT('',#12435); +#4315=VERTEX_POINT('',#12437); +#4316=VERTEX_POINT('',#12439); +#4317=VERTEX_POINT('',#12441); +#4318=VERTEX_POINT('',#12443); +#4319=VERTEX_POINT('',#12445); +#4320=VERTEX_POINT('',#12447); +#4321=VERTEX_POINT('',#12449); +#4322=VERTEX_POINT('',#12451); +#4323=VERTEX_POINT('',#12453); +#4324=VERTEX_POINT('',#12455); +#4325=VERTEX_POINT('',#12457); +#4326=VERTEX_POINT('',#12459); +#4327=VERTEX_POINT('',#12461); +#4328=VERTEX_POINT('',#12463); +#4329=VERTEX_POINT('',#12465); +#4330=VERTEX_POINT('',#12467); +#4331=VERTEX_POINT('',#12470); +#4332=VERTEX_POINT('',#12472); +#4333=VERTEX_POINT('',#12475); +#4334=VERTEX_POINT('',#12477); +#4335=VERTEX_POINT('',#12480); +#4336=VERTEX_POINT('',#12482); +#4337=VERTEX_POINT('',#12485); +#4338=VERTEX_POINT('',#12487); +#4339=VERTEX_POINT('',#12490); +#4340=VERTEX_POINT('',#12492); +#4341=VERTEX_POINT('',#12495); +#4342=VERTEX_POINT('',#12497); +#4343=VERTEX_POINT('',#12500); +#4344=VERTEX_POINT('',#12502); +#4345=VERTEX_POINT('',#12504); +#4346=VERTEX_POINT('',#12506); +#4347=VERTEX_POINT('',#12510); +#4348=VERTEX_POINT('',#12512); +#4349=VERTEX_POINT('',#12516); +#4350=VERTEX_POINT('',#12517); +#4351=VERTEX_POINT('',#12522); +#4352=VERTEX_POINT('',#12523); +#4353=VERTEX_POINT('',#12528); +#4354=VERTEX_POINT('',#12529); +#4355=VERTEX_POINT('',#12534); +#4356=VERTEX_POINT('',#12535); +#4357=VERTEX_POINT('',#12540); +#4358=VERTEX_POINT('',#12541); +#4359=VERTEX_POINT('',#12546); +#4360=VERTEX_POINT('',#12547); +#4361=VERTEX_POINT('',#12552); +#4362=VERTEX_POINT('',#12553); +#4363=VERTEX_POINT('',#12582); +#4364=VERTEX_POINT('',#12583); +#4365=VERTEX_POINT('',#12613); +#4366=VERTEX_POINT('',#12615); +#4367=VERTEX_POINT('',#12654); +#4368=VERTEX_POINT('',#12655); +#4369=VERTEX_POINT('',#12660); +#4370=VERTEX_POINT('',#12661); +#4371=VERTEX_POINT('',#12666); +#4372=VERTEX_POINT('',#12667); +#4373=VERTEX_POINT('',#12677); +#4374=VERTEX_POINT('',#12678); +#4375=VERTEX_POINT('',#12683); +#4376=VERTEX_POINT('',#12684); +#4377=VERTEX_POINT('',#12689); +#4378=VERTEX_POINT('',#12690); +#4379=VERTEX_POINT('',#12759); +#4380=VERTEX_POINT('',#12760); +#4381=VERTEX_POINT('',#12762); +#4382=VERTEX_POINT('',#12764); +#4383=VERTEX_POINT('',#12766); +#4384=VERTEX_POINT('',#12768); +#4385=VERTEX_POINT('',#12770); +#4386=VERTEX_POINT('',#12772); +#4387=VERTEX_POINT('',#12774); +#4388=VERTEX_POINT('',#12776); +#4389=VERTEX_POINT('',#12778); +#4390=VERTEX_POINT('',#12780); +#4391=VERTEX_POINT('',#12782); +#4392=VERTEX_POINT('',#12784); +#4393=VERTEX_POINT('',#12786); +#4394=VERTEX_POINT('',#12788); +#4395=VERTEX_POINT('',#12790); +#4396=VERTEX_POINT('',#12792); +#4397=VERTEX_POINT('',#12794); +#4398=VERTEX_POINT('',#12796); +#4399=VERTEX_POINT('',#12798); +#4400=VERTEX_POINT('',#12800); +#4401=VERTEX_POINT('',#12802); +#4402=VERTEX_POINT('',#12804); +#4403=VERTEX_POINT('',#12806); +#4404=VERTEX_POINT('',#12808); +#4405=VERTEX_POINT('',#12810); +#4406=VERTEX_POINT('',#12812); +#4407=VERTEX_POINT('',#12814); +#4408=VERTEX_POINT('',#12816); +#4409=VERTEX_POINT('',#12818); +#4410=VERTEX_POINT('',#12820); +#4411=VERTEX_POINT('',#12822); +#4412=VERTEX_POINT('',#12824); +#4413=VERTEX_POINT('',#12826); +#4414=VERTEX_POINT('',#12828); +#4415=VERTEX_POINT('',#12832); +#4416=VERTEX_POINT('',#12833); +#4417=VERTEX_POINT('',#12835); +#4418=VERTEX_POINT('',#12837); +#4419=VERTEX_POINT('',#12839); +#4420=VERTEX_POINT('',#12841); +#4421=VERTEX_POINT('',#12843); +#4422=VERTEX_POINT('',#12845); +#4423=VERTEX_POINT('',#12847); +#4424=VERTEX_POINT('',#12849); +#4425=VERTEX_POINT('',#12851); +#4426=VERTEX_POINT('',#12853); +#4427=VERTEX_POINT('',#12855); +#4428=VERTEX_POINT('',#12857); +#4429=VERTEX_POINT('',#12859); +#4430=VERTEX_POINT('',#12861); +#4431=VERTEX_POINT('',#12863); +#4432=VERTEX_POINT('',#12865); +#4433=VERTEX_POINT('',#12867); +#4434=VERTEX_POINT('',#12869); +#4435=VERTEX_POINT('',#12871); +#4436=VERTEX_POINT('',#12873); +#4437=VERTEX_POINT('',#12875); +#4438=VERTEX_POINT('',#12877); +#4439=VERTEX_POINT('',#12879); +#4440=VERTEX_POINT('',#12881); +#4441=VERTEX_POINT('',#12883); +#4442=VERTEX_POINT('',#12885); +#4443=VERTEX_POINT('',#12887); +#4444=VERTEX_POINT('',#12889); +#4445=VERTEX_POINT('',#12891); +#4446=VERTEX_POINT('',#12893); +#4447=VERTEX_POINT('',#12895); +#4448=VERTEX_POINT('',#12897); +#4449=VERTEX_POINT('',#12899); +#4450=VERTEX_POINT('',#12901); +#4451=VERTEX_POINT('',#12905); +#4452=VERTEX_POINT('',#12906); +#4453=VERTEX_POINT('',#12908); +#4454=VERTEX_POINT('',#12910); +#4455=VERTEX_POINT('',#12914); +#4456=VERTEX_POINT('',#12916); +#4457=VERTEX_POINT('',#12920); +#4458=VERTEX_POINT('',#12922); +#4459=VERTEX_POINT('',#12926); +#4460=VERTEX_POINT('',#12927); +#4461=VERTEX_POINT('',#12929); +#4462=VERTEX_POINT('',#12931); +#4463=VERTEX_POINT('',#12935); +#4464=VERTEX_POINT('',#12937); +#4465=VERTEX_POINT('',#12941); +#4466=VERTEX_POINT('',#12943); +#4467=VERTEX_POINT('',#12947); +#4468=VERTEX_POINT('',#12948); +#4469=VERTEX_POINT('',#12950); +#4470=VERTEX_POINT('',#12952); +#4471=VERTEX_POINT('',#12956); +#4472=VERTEX_POINT('',#12958); +#4473=VERTEX_POINT('',#12962); +#4474=VERTEX_POINT('',#12964); +#4475=VERTEX_POINT('',#12968); +#4476=VERTEX_POINT('',#12969); +#4477=VERTEX_POINT('',#12971); +#4478=VERTEX_POINT('',#12973); +#4479=VERTEX_POINT('',#12977); +#4480=VERTEX_POINT('',#12979); +#4481=VERTEX_POINT('',#12983); +#4482=VERTEX_POINT('',#12985); +#4483=VERTEX_POINT('',#12989); +#4484=VERTEX_POINT('',#12990); +#4485=VERTEX_POINT('',#12992); +#4486=VERTEX_POINT('',#12994); +#4487=VERTEX_POINT('',#12998); +#4488=VERTEX_POINT('',#13000); +#4489=VERTEX_POINT('',#13004); +#4490=VERTEX_POINT('',#13006); +#4491=VERTEX_POINT('',#13010); +#4492=VERTEX_POINT('',#13011); +#4493=VERTEX_POINT('',#13013); +#4494=VERTEX_POINT('',#13015); +#4495=VERTEX_POINT('',#13019); +#4496=VERTEX_POINT('',#13021); +#4497=VERTEX_POINT('',#13025); +#4498=VERTEX_POINT('',#13027); +#4499=VERTEX_POINT('',#13031); +#4500=VERTEX_POINT('',#13032); +#4501=VERTEX_POINT('',#13034); +#4502=VERTEX_POINT('',#13036); +#4503=VERTEX_POINT('',#13040); +#4504=VERTEX_POINT('',#13042); +#4505=VERTEX_POINT('',#13046); +#4506=VERTEX_POINT('',#13048); +#4507=VERTEX_POINT('',#13052); +#4508=VERTEX_POINT('',#13053); +#4509=VERTEX_POINT('',#13055); +#4510=VERTEX_POINT('',#13057); +#4511=VERTEX_POINT('',#13061); +#4512=VERTEX_POINT('',#13063); +#4513=VERTEX_POINT('',#13067); +#4514=VERTEX_POINT('',#13069); +#4515=VERTEX_POINT('',#13073); +#4516=VERTEX_POINT('',#13074); +#4517=VERTEX_POINT('',#13076); +#4518=VERTEX_POINT('',#13078); +#4519=VERTEX_POINT('',#13082); +#4520=VERTEX_POINT('',#13084); +#4521=VERTEX_POINT('',#13088); +#4522=VERTEX_POINT('',#13090); +#4523=VERTEX_POINT('',#13094); +#4524=VERTEX_POINT('',#13095); +#4525=VERTEX_POINT('',#13097); +#4526=VERTEX_POINT('',#13099); +#4527=VERTEX_POINT('',#13103); +#4528=VERTEX_POINT('',#13105); +#4529=VERTEX_POINT('',#13109); +#4530=VERTEX_POINT('',#13111); +#4531=VERTEX_POINT('',#13115); +#4532=VERTEX_POINT('',#13116); +#4533=VERTEX_POINT('',#13118); +#4534=VERTEX_POINT('',#13120); +#4535=VERTEX_POINT('',#13124); +#4536=VERTEX_POINT('',#13126); +#4537=VERTEX_POINT('',#13130); +#4538=VERTEX_POINT('',#13132); +#4539=VERTEX_POINT('',#13136); +#4540=VERTEX_POINT('',#13137); +#4541=VERTEX_POINT('',#13139); +#4542=VERTEX_POINT('',#13141); +#4543=VERTEX_POINT('',#13145); +#4544=VERTEX_POINT('',#13147); +#4545=VERTEX_POINT('',#13151); +#4546=VERTEX_POINT('',#13153); +#4547=VERTEX_POINT('',#13157); +#4548=VERTEX_POINT('',#13158); +#4549=VERTEX_POINT('',#13160); +#4550=VERTEX_POINT('',#13162); +#4551=VERTEX_POINT('',#13166); +#4552=VERTEX_POINT('',#13168); +#4553=VERTEX_POINT('',#13172); +#4554=VERTEX_POINT('',#13174); +#4555=VERTEX_POINT('',#13178); +#4556=VERTEX_POINT('',#13179); +#4557=VERTEX_POINT('',#13181); +#4558=VERTEX_POINT('',#13183); +#4559=VERTEX_POINT('',#13187); +#4560=VERTEX_POINT('',#13189); +#4561=VERTEX_POINT('',#13193); +#4562=VERTEX_POINT('',#13195); +#4563=VERTEX_POINT('',#13199); +#4564=VERTEX_POINT('',#13200); +#4565=VERTEX_POINT('',#13202); +#4566=VERTEX_POINT('',#13204); +#4567=VERTEX_POINT('',#13208); +#4568=VERTEX_POINT('',#13210); +#4569=VERTEX_POINT('',#13214); +#4570=VERTEX_POINT('',#13216); +#4571=VERTEX_POINT('',#13220); +#4572=VERTEX_POINT('',#13221); +#4573=VERTEX_POINT('',#13223); +#4574=VERTEX_POINT('',#13225); +#4575=VERTEX_POINT('',#13229); +#4576=VERTEX_POINT('',#13231); +#4577=VERTEX_POINT('',#13235); +#4578=VERTEX_POINT('',#13237); +#4579=LINE('',#10979,#5639); +#4580=LINE('',#10982,#5640); +#4581=LINE('',#10984,#5641); +#4582=LINE('',#10986,#5642); +#4583=LINE('',#10988,#5643); +#4584=LINE('',#10991,#5644); +#4585=LINE('',#10993,#5645); +#4586=LINE('',#10995,#5646); +#4587=LINE('',#10997,#5647); +#4588=LINE('',#11000,#5648); +#4589=LINE('',#11002,#5649); +#4590=LINE('',#11004,#5650); +#4591=LINE('',#11006,#5651); +#4592=LINE('',#11009,#5652); +#4593=LINE('',#11011,#5653); +#4594=LINE('',#11013,#5654); +#4595=LINE('',#11015,#5655); +#4596=LINE('',#11018,#5656); +#4597=LINE('',#11020,#5657); +#4598=LINE('',#11022,#5658); +#4599=LINE('',#11024,#5659); +#4600=LINE('',#11027,#5660); +#4601=LINE('',#11029,#5661); +#4602=LINE('',#11031,#5662); +#4603=LINE('',#11033,#5663); +#4604=LINE('',#11036,#5664); +#4605=LINE('',#11038,#5665); +#4606=LINE('',#11040,#5666); +#4607=LINE('',#11042,#5667); +#4608=LINE('',#11045,#5668); +#4609=LINE('',#11047,#5669); +#4610=LINE('',#11049,#5670); +#4611=LINE('',#11051,#5671); +#4612=LINE('',#11053,#5672); +#4613=LINE('',#11055,#5673); +#4614=LINE('',#11057,#5674); +#4615=LINE('',#11060,#5675); +#4616=LINE('',#11061,#5676); +#4617=LINE('',#11063,#5677); +#4618=LINE('',#11066,#5678); +#4619=LINE('',#11067,#5679); +#4620=LINE('',#11069,#5680); +#4621=LINE('',#11072,#5681); +#4622=LINE('',#11073,#5682); +#4623=LINE('',#11075,#5683); +#4624=LINE('',#11078,#5684); +#4625=LINE('',#11079,#5685); +#4626=LINE('',#11081,#5686); +#4627=LINE('',#11084,#5687); +#4628=LINE('',#11085,#5688); +#4629=LINE('',#11087,#5689); +#4630=LINE('',#11090,#5690); +#4631=LINE('',#11091,#5691); +#4632=LINE('',#11093,#5692); +#4633=LINE('',#11096,#5693); +#4634=LINE('',#11097,#5694); +#4635=LINE('',#11099,#5695); +#4636=LINE('',#11101,#5696); +#4637=LINE('',#11103,#5697); +#4638=LINE('',#11105,#5698); +#4639=LINE('',#11108,#5699); +#4640=LINE('',#11109,#5700); +#4641=LINE('',#11111,#5701); +#4642=LINE('',#11114,#5702); +#4643=LINE('',#11115,#5703); +#4644=LINE('',#11117,#5704); +#4645=LINE('',#11120,#5705); +#4646=LINE('',#11121,#5706); +#4647=LINE('',#11123,#5707); +#4648=LINE('',#11126,#5708); +#4649=LINE('',#11127,#5709); +#4650=LINE('',#11129,#5710); +#4651=LINE('',#11132,#5711); +#4652=LINE('',#11133,#5712); +#4653=LINE('',#11135,#5713); +#4654=LINE('',#11138,#5714); +#4655=LINE('',#11139,#5715); +#4656=LINE('',#11141,#5716); +#4657=LINE('',#11144,#5717); +#4658=LINE('',#11145,#5718); +#4659=LINE('',#11147,#5719); +#4660=LINE('',#11149,#5720); +#4661=LINE('',#11151,#5721); +#4662=LINE('',#11153,#5722); +#4663=LINE('',#11156,#5723); +#4664=LINE('',#11157,#5724); +#4665=LINE('',#11159,#5725); +#4666=LINE('',#11162,#5726); +#4667=LINE('',#11163,#5727); +#4668=LINE('',#11165,#5728); +#4669=LINE('',#11168,#5729); +#4670=LINE('',#11169,#5730); +#4671=LINE('',#11171,#5731); +#4672=LINE('',#11174,#5732); +#4673=LINE('',#11175,#5733); +#4674=LINE('',#11177,#5734); +#4675=LINE('',#11180,#5735); +#4676=LINE('',#11181,#5736); +#4677=LINE('',#11183,#5737); +#4678=LINE('',#11186,#5738); +#4679=LINE('',#11187,#5739); +#4680=LINE('',#11189,#5740); +#4681=LINE('',#11192,#5741); +#4682=LINE('',#11193,#5742); +#4683=LINE('',#11195,#5743); +#4684=LINE('',#11198,#5744); +#4685=LINE('',#11200,#5745); +#4686=LINE('',#11202,#5746); +#4687=LINE('',#11204,#5747); +#4688=LINE('',#11207,#5748); +#4689=LINE('',#11209,#5749); +#4690=LINE('',#11211,#5750); +#4691=LINE('',#11213,#5751); +#4692=LINE('',#11216,#5752); +#4693=LINE('',#11218,#5753); +#4694=LINE('',#11220,#5754); +#4695=LINE('',#11222,#5755); +#4696=LINE('',#11225,#5756); +#4697=LINE('',#11227,#5757); +#4698=LINE('',#11229,#5758); +#4699=LINE('',#11231,#5759); +#4700=LINE('',#11234,#5760); +#4701=LINE('',#11236,#5761); +#4702=LINE('',#11238,#5762); +#4703=LINE('',#11240,#5763); +#4704=LINE('',#11243,#5764); +#4705=LINE('',#11245,#5765); +#4706=LINE('',#11247,#5766); +#4707=LINE('',#11249,#5767); +#4708=LINE('',#11252,#5768); +#4709=LINE('',#11254,#5769); +#4710=LINE('',#11256,#5770); +#4711=LINE('',#11258,#5771); +#4712=LINE('',#11261,#5772); +#4713=LINE('',#11263,#5773); +#4714=LINE('',#11265,#5774); +#4715=LINE('',#11267,#5775); +#4716=LINE('',#11269,#5776); +#4717=LINE('',#11271,#5777); +#4718=LINE('',#11273,#5778); +#4719=LINE('',#11276,#5779); +#4720=LINE('',#11277,#5780); +#4721=LINE('',#11279,#5781); +#4722=LINE('',#11282,#5782); +#4723=LINE('',#11283,#5783); +#4724=LINE('',#11285,#5784); +#4725=LINE('',#11288,#5785); +#4726=LINE('',#11289,#5786); +#4727=LINE('',#11291,#5787); +#4728=LINE('',#11294,#5788); +#4729=LINE('',#11295,#5789); +#4730=LINE('',#11297,#5790); +#4731=LINE('',#11300,#5791); +#4732=LINE('',#11301,#5792); +#4733=LINE('',#11303,#5793); +#4734=LINE('',#11306,#5794); +#4735=LINE('',#11307,#5795); +#4736=LINE('',#11309,#5796); +#4737=LINE('',#11312,#5797); +#4738=LINE('',#11313,#5798); +#4739=LINE('',#11315,#5799); +#4740=LINE('',#11317,#5800); +#4741=LINE('',#11319,#5801); +#4742=LINE('',#11321,#5802); +#4743=LINE('',#11324,#5803); +#4744=LINE('',#11325,#5804); +#4745=LINE('',#11327,#5805); +#4746=LINE('',#11330,#5806); +#4747=LINE('',#11331,#5807); +#4748=LINE('',#11333,#5808); +#4749=LINE('',#11336,#5809); +#4750=LINE('',#11337,#5810); +#4751=LINE('',#11339,#5811); +#4752=LINE('',#11342,#5812); +#4753=LINE('',#11343,#5813); +#4754=LINE('',#11345,#5814); +#4755=LINE('',#11348,#5815); +#4756=LINE('',#11349,#5816); +#4757=LINE('',#11351,#5817); +#4758=LINE('',#11354,#5818); +#4759=LINE('',#11355,#5819); +#4760=LINE('',#11357,#5820); +#4761=LINE('',#11360,#5821); +#4762=LINE('',#11361,#5822); +#4763=LINE('',#11363,#5823); +#4764=LINE('',#11365,#5824); +#4765=LINE('',#11367,#5825); +#4766=LINE('',#11369,#5826); +#4767=LINE('',#11372,#5827); +#4768=LINE('',#11373,#5828); +#4769=LINE('',#11375,#5829); +#4770=LINE('',#11378,#5830); +#4771=LINE('',#11379,#5831); +#4772=LINE('',#11381,#5832); +#4773=LINE('',#11384,#5833); +#4774=LINE('',#11385,#5834); +#4775=LINE('',#11387,#5835); +#4776=LINE('',#11390,#5836); +#4777=LINE('',#11391,#5837); +#4778=LINE('',#11393,#5838); +#4779=LINE('',#11396,#5839); +#4780=LINE('',#11397,#5840); +#4781=LINE('',#11399,#5841); +#4782=LINE('',#11402,#5842); +#4783=LINE('',#11403,#5843); +#4784=LINE('',#11405,#5844); +#4785=LINE('',#11408,#5845); +#4786=LINE('',#11409,#5846); +#4787=LINE('',#11414,#5847); +#4788=LINE('',#11418,#5848); +#4789=LINE('',#11423,#5849); +#4790=LINE('',#11427,#5850); +#4791=LINE('',#11432,#5851); +#4792=LINE('',#11436,#5852); +#4793=LINE('',#11441,#5853); +#4794=LINE('',#11445,#5854); +#4795=LINE('',#11450,#5855); +#4796=LINE('',#11454,#5856); +#4797=LINE('',#11459,#5857); +#4798=LINE('',#11463,#5858); +#4799=LINE('',#11468,#5859); +#4800=LINE('',#11472,#5860); +#4801=LINE('',#11477,#5861); +#4802=LINE('',#11481,#5862); +#4803=LINE('',#11483,#5863); +#4804=LINE('',#11485,#5864); +#4805=LINE('',#11487,#5865); +#4806=LINE('',#11489,#5866); +#4807=LINE('',#11491,#5867); +#4808=LINE('',#11493,#5868); +#4809=LINE('',#11495,#5869); +#4810=LINE('',#11497,#5870); +#4811=LINE('',#11499,#5871); +#4812=LINE('',#11501,#5872); +#4813=LINE('',#11503,#5873); +#4814=LINE('',#11505,#5874); +#4815=LINE('',#11507,#5875); +#4816=LINE('',#11509,#5876); +#4817=LINE('',#11511,#5877); +#4818=LINE('',#11513,#5878); +#4819=LINE('',#11515,#5879); +#4820=LINE('',#11517,#5880); +#4821=LINE('',#11519,#5881); +#4822=LINE('',#11521,#5882); +#4823=LINE('',#11523,#5883); +#4824=LINE('',#11525,#5884); +#4825=LINE('',#11527,#5885); +#4826=LINE('',#11529,#5886); +#4827=LINE('',#11531,#5887); +#4828=LINE('',#11533,#5888); +#4829=LINE('',#11535,#5889); +#4830=LINE('',#11537,#5890); +#4831=LINE('',#11539,#5891); +#4832=LINE('',#11541,#5892); +#4833=LINE('',#11543,#5893); +#4834=LINE('',#11545,#5894); +#4835=LINE('',#11547,#5895); +#4836=LINE('',#11549,#5896); +#4837=LINE('',#11551,#5897); +#4838=LINE('',#11553,#5898); +#4839=LINE('',#11555,#5899); +#4840=LINE('',#11557,#5900); +#4841=LINE('',#11559,#5901); +#4842=LINE('',#11561,#5902); +#4843=LINE('',#11563,#5903); +#4844=LINE('',#11565,#5904); +#4845=LINE('',#11567,#5905); +#4846=LINE('',#11569,#5906); +#4847=LINE('',#11571,#5907); +#4848=LINE('',#11573,#5908); +#4849=LINE('',#11575,#5909); +#4850=LINE('',#11577,#5910); +#4851=LINE('',#11579,#5911); +#4852=LINE('',#11581,#5912); +#4853=LINE('',#11583,#5913); +#4854=LINE('',#11585,#5914); +#4855=LINE('',#11587,#5915); +#4856=LINE('',#11589,#5916); +#4857=LINE('',#11591,#5917); +#4858=LINE('',#11593,#5918); +#4859=LINE('',#11595,#5919); +#4860=LINE('',#11597,#5920); +#4861=LINE('',#11599,#5921); +#4862=LINE('',#11601,#5922); +#4863=LINE('',#11603,#5923); +#4864=LINE('',#11605,#5924); +#4865=LINE('',#11607,#5925); +#4866=LINE('',#11609,#5926); +#4867=LINE('',#11611,#5927); +#4868=LINE('',#11613,#5928); +#4869=LINE('',#11615,#5929); +#4870=LINE('',#11617,#5930); +#4871=LINE('',#11619,#5931); +#4872=LINE('',#11621,#5932); +#4873=LINE('',#11623,#5933); +#4874=LINE('',#11625,#5934); +#4875=LINE('',#11629,#5935); +#4876=LINE('',#11633,#5936); +#4877=LINE('',#11639,#5937); +#4878=LINE('',#11645,#5938); +#4879=LINE('',#11651,#5939); +#4880=LINE('',#11657,#5940); +#4881=LINE('',#11663,#5941); +#4882=LINE('',#11669,#5942); +#4883=LINE('',#11675,#5943); +#4884=LINE('',#11677,#5944); +#4885=LINE('',#11679,#5945); +#4886=LINE('',#11681,#5946); +#4887=LINE('',#11683,#5947); +#4888=LINE('',#11685,#5948); +#4889=LINE('',#11687,#5949); +#4890=LINE('',#11689,#5950); +#4891=LINE('',#11691,#5951); +#4892=LINE('',#11693,#5952); +#4893=LINE('',#11695,#5953); +#4894=LINE('',#11697,#5954); +#4895=LINE('',#11699,#5955); +#4896=LINE('',#11701,#5956); +#4897=LINE('',#11703,#5957); +#4898=LINE('',#11705,#5958); +#4899=LINE('',#11707,#5959); +#4900=LINE('',#11709,#5960); +#4901=LINE('',#11711,#5961); +#4902=LINE('',#11713,#5962); +#4903=LINE('',#11715,#5963); +#4904=LINE('',#11717,#5964); +#4905=LINE('',#11719,#5965); +#4906=LINE('',#11721,#5966); +#4907=LINE('',#11725,#5967); +#4908=LINE('',#11733,#5968); +#4909=LINE('',#11739,#5969); +#4910=LINE('',#11745,#5970); +#4911=LINE('',#11751,#5971); +#4912=LINE('',#11757,#5972); +#4913=LINE('',#11763,#5973); +#4914=LINE('',#11769,#5974); +#4915=LINE('',#11771,#5975); +#4916=LINE('',#11774,#5976); +#4917=LINE('',#11775,#5977); +#4918=LINE('',#11776,#5978); +#4919=LINE('',#11778,#5979); +#4920=LINE('',#11780,#5980); +#4921=LINE('',#11781,#5981); +#4922=LINE('',#11783,#5982); +#4923=LINE('',#11785,#5983); +#4924=LINE('',#11786,#5984); +#4925=LINE('',#11788,#5985); +#4926=LINE('',#11790,#5986); +#4927=LINE('',#11791,#5987); +#4928=LINE('',#11793,#5988); +#4929=LINE('',#11795,#5989); +#4930=LINE('',#11796,#5990); +#4931=LINE('',#11798,#5991); +#4932=LINE('',#11800,#5992); +#4933=LINE('',#11801,#5993); +#4934=LINE('',#11803,#5994); +#4935=LINE('',#11805,#5995); +#4936=LINE('',#11806,#5996); +#4937=LINE('',#11808,#5997); +#4938=LINE('',#11810,#5998); +#4939=LINE('',#11811,#5999); +#4940=LINE('',#11812,#6000); +#4941=LINE('',#11814,#6001); +#4942=LINE('',#11816,#6002); +#4943=LINE('',#11818,#6003); +#4944=LINE('',#11820,#6004); +#4945=LINE('',#11822,#6005); +#4946=LINE('',#11824,#6006); +#4947=LINE('',#11825,#6007); +#4948=LINE('',#11827,#6008); +#4949=LINE('',#11829,#6009); +#4950=LINE('',#11830,#6010); +#4951=LINE('',#11832,#6011); +#4952=LINE('',#11834,#6012); +#4953=LINE('',#11835,#6013); +#4954=LINE('',#11837,#6014); +#4955=LINE('',#11839,#6015); +#4956=LINE('',#11840,#6016); +#4957=LINE('',#11842,#6017); +#4958=LINE('',#11844,#6018); +#4959=LINE('',#11845,#6019); +#4960=LINE('',#11847,#6020); +#4961=LINE('',#11849,#6021); +#4962=LINE('',#11850,#6022); +#4963=LINE('',#11852,#6023); +#4964=LINE('',#11855,#6024); +#4965=LINE('',#11857,#6025); +#4966=LINE('',#11859,#6026); +#4967=LINE('',#11861,#6027); +#4968=LINE('',#11864,#6028); +#4969=LINE('',#11866,#6029); +#4970=LINE('',#11868,#6030); +#4971=LINE('',#11870,#6031); +#4972=LINE('',#11873,#6032); +#4973=LINE('',#11875,#6033); +#4974=LINE('',#11877,#6034); +#4975=LINE('',#11879,#6035); +#4976=LINE('',#11882,#6036); +#4977=LINE('',#11884,#6037); +#4978=LINE('',#11886,#6038); +#4979=LINE('',#11888,#6039); +#4980=LINE('',#11891,#6040); +#4981=LINE('',#11893,#6041); +#4982=LINE('',#11895,#6042); +#4983=LINE('',#11897,#6043); +#4984=LINE('',#11900,#6044); +#4985=LINE('',#11902,#6045); +#4986=LINE('',#11904,#6046); +#4987=LINE('',#11906,#6047); +#4988=LINE('',#11909,#6048); +#4989=LINE('',#11911,#6049); +#4990=LINE('',#11913,#6050); +#4991=LINE('',#11915,#6051); +#4992=LINE('',#11918,#6052); +#4993=LINE('',#11920,#6053); +#4994=LINE('',#11922,#6054); +#4995=LINE('',#11926,#6055); +#4996=LINE('',#11930,#6056); +#4997=LINE('',#11936,#6057); +#4998=LINE('',#11942,#6058); +#4999=LINE('',#11948,#6059); +#5000=LINE('',#11954,#6060); +#5001=LINE('',#11960,#6061); +#5002=LINE('',#11966,#6062); +#5003=LINE('',#11972,#6063); +#5004=LINE('',#11973,#6064); +#5005=LINE('',#11975,#6065); +#5006=LINE('',#11976,#6066); +#5007=LINE('',#11978,#6067); +#5008=LINE('',#11979,#6068); +#5009=LINE('',#11981,#6069); +#5010=LINE('',#11982,#6070); +#5011=LINE('',#11984,#6071); +#5012=LINE('',#11985,#6072); +#5013=LINE('',#11987,#6073); +#5014=LINE('',#11988,#6074); +#5015=LINE('',#11990,#6075); +#5016=LINE('',#11991,#6076); +#5017=LINE('',#11993,#6077); +#5018=LINE('',#11994,#6078); +#5019=LINE('',#11996,#6079); +#5020=LINE('',#11999,#6080); +#5021=LINE('',#12001,#6081); +#5022=LINE('',#12003,#6082); +#5023=LINE('',#12005,#6083); +#5024=LINE('',#12008,#6084); +#5025=LINE('',#12010,#6085); +#5026=LINE('',#12012,#6086); +#5027=LINE('',#12014,#6087); +#5028=LINE('',#12017,#6088); +#5029=LINE('',#12019,#6089); +#5030=LINE('',#12021,#6090); +#5031=LINE('',#12023,#6091); +#5032=LINE('',#12026,#6092); +#5033=LINE('',#12028,#6093); +#5034=LINE('',#12030,#6094); +#5035=LINE('',#12032,#6095); +#5036=LINE('',#12035,#6096); +#5037=LINE('',#12037,#6097); +#5038=LINE('',#12039,#6098); +#5039=LINE('',#12041,#6099); +#5040=LINE('',#12044,#6100); +#5041=LINE('',#12046,#6101); +#5042=LINE('',#12048,#6102); +#5043=LINE('',#12050,#6103); +#5044=LINE('',#12053,#6104); +#5045=LINE('',#12055,#6105); +#5046=LINE('',#12057,#6106); +#5047=LINE('',#12059,#6107); +#5048=LINE('',#12062,#6108); +#5049=LINE('',#12064,#6109); +#5050=LINE('',#12066,#6110); +#5051=LINE('',#12071,#6111); +#5052=LINE('',#12075,#6112); +#5053=LINE('',#12080,#6113); +#5054=LINE('',#12084,#6114); +#5055=LINE('',#12089,#6115); +#5056=LINE('',#12093,#6116); +#5057=LINE('',#12098,#6117); +#5058=LINE('',#12102,#6118); +#5059=LINE('',#12107,#6119); +#5060=LINE('',#12111,#6120); +#5061=LINE('',#12116,#6121); +#5062=LINE('',#12120,#6122); +#5063=LINE('',#12125,#6123); +#5064=LINE('',#12129,#6124); +#5065=LINE('',#12134,#6125); +#5066=LINE('',#12138,#6126); +#5067=LINE('',#12140,#6127); +#5068=LINE('',#12142,#6128); +#5069=LINE('',#12144,#6129); +#5070=LINE('',#12146,#6130); +#5071=LINE('',#12148,#6131); +#5072=LINE('',#12150,#6132); +#5073=LINE('',#12152,#6133); +#5074=LINE('',#12154,#6134); +#5075=LINE('',#12156,#6135); +#5076=LINE('',#12158,#6136); +#5077=LINE('',#12160,#6137); +#5078=LINE('',#12162,#6138); +#5079=LINE('',#12164,#6139); +#5080=LINE('',#12166,#6140); +#5081=LINE('',#12168,#6141); +#5082=LINE('',#12170,#6142); +#5083=LINE('',#12172,#6143); +#5084=LINE('',#12174,#6144); +#5085=LINE('',#12176,#6145); +#5086=LINE('',#12178,#6146); +#5087=LINE('',#12180,#6147); +#5088=LINE('',#12182,#6148); +#5089=LINE('',#12184,#6149); +#5090=LINE('',#12186,#6150); +#5091=LINE('',#12188,#6151); +#5092=LINE('',#12190,#6152); +#5093=LINE('',#12192,#6153); +#5094=LINE('',#12194,#6154); +#5095=LINE('',#12196,#6155); +#5096=LINE('',#12198,#6156); +#5097=LINE('',#12200,#6157); +#5098=LINE('',#12202,#6158); +#5099=LINE('',#12204,#6159); +#5100=LINE('',#12206,#6160); +#5101=LINE('',#12208,#6161); +#5102=LINE('',#12210,#6162); +#5103=LINE('',#12212,#6163); +#5104=LINE('',#12214,#6164); +#5105=LINE('',#12216,#6165); +#5106=LINE('',#12218,#6166); +#5107=LINE('',#12220,#6167); +#5108=LINE('',#12222,#6168); +#5109=LINE('',#12224,#6169); +#5110=LINE('',#12226,#6170); +#5111=LINE('',#12228,#6171); +#5112=LINE('',#12230,#6172); +#5113=LINE('',#12232,#6173); +#5114=LINE('',#12234,#6174); +#5115=LINE('',#12236,#6175); +#5116=LINE('',#12238,#6176); +#5117=LINE('',#12240,#6177); +#5118=LINE('',#12242,#6178); +#5119=LINE('',#12244,#6179); +#5120=LINE('',#12246,#6180); +#5121=LINE('',#12248,#6181); +#5122=LINE('',#12250,#6182); +#5123=LINE('',#12252,#6183); +#5124=LINE('',#12254,#6184); +#5125=LINE('',#12256,#6185); +#5126=LINE('',#12258,#6186); +#5127=LINE('',#12260,#6187); +#5128=LINE('',#12262,#6188); +#5129=LINE('',#12264,#6189); +#5130=LINE('',#12266,#6190); +#5131=LINE('',#12268,#6191); +#5132=LINE('',#12270,#6192); +#5133=LINE('',#12272,#6193); +#5134=LINE('',#12274,#6194); +#5135=LINE('',#12276,#6195); +#5136=LINE('',#12278,#6196); +#5137=LINE('',#12280,#6197); +#5138=LINE('',#12282,#6198); +#5139=LINE('',#12286,#6199); +#5140=LINE('',#12290,#6200); +#5141=LINE('',#12296,#6201); +#5142=LINE('',#12302,#6202); +#5143=LINE('',#12308,#6203); +#5144=LINE('',#12314,#6204); +#5145=LINE('',#12320,#6205); +#5146=LINE('',#12326,#6206); +#5147=LINE('',#12332,#6207); +#5148=LINE('',#12334,#6208); +#5149=LINE('',#12336,#6209); +#5150=LINE('',#12338,#6210); +#5151=LINE('',#12340,#6211); +#5152=LINE('',#12342,#6212); +#5153=LINE('',#12344,#6213); +#5154=LINE('',#12346,#6214); +#5155=LINE('',#12348,#6215); +#5156=LINE('',#12350,#6216); +#5157=LINE('',#12352,#6217); +#5158=LINE('',#12354,#6218); +#5159=LINE('',#12356,#6219); +#5160=LINE('',#12358,#6220); +#5161=LINE('',#12360,#6221); +#5162=LINE('',#12362,#6222); +#5163=LINE('',#12364,#6223); +#5164=LINE('',#12366,#6224); +#5165=LINE('',#12368,#6225); +#5166=LINE('',#12370,#6226); +#5167=LINE('',#12372,#6227); +#5168=LINE('',#12374,#6228); +#5169=LINE('',#12376,#6229); +#5170=LINE('',#12378,#6230); +#5171=LINE('',#12404,#6231); +#5172=LINE('',#12407,#6232); +#5173=LINE('',#12408,#6233); +#5174=LINE('',#12410,#6234); +#5175=LINE('',#12412,#6235); +#5176=LINE('',#12414,#6236); +#5177=LINE('',#12416,#6237); +#5178=LINE('',#12418,#6238); +#5179=LINE('',#12420,#6239); +#5180=LINE('',#12422,#6240); +#5181=LINE('',#12424,#6241); +#5182=LINE('',#12426,#6242); +#5183=LINE('',#12428,#6243); +#5184=LINE('',#12430,#6244); +#5185=LINE('',#12432,#6245); +#5186=LINE('',#12434,#6246); +#5187=LINE('',#12436,#6247); +#5188=LINE('',#12438,#6248); +#5189=LINE('',#12440,#6249); +#5190=LINE('',#12442,#6250); +#5191=LINE('',#12444,#6251); +#5192=LINE('',#12446,#6252); +#5193=LINE('',#12448,#6253); +#5194=LINE('',#12450,#6254); +#5195=LINE('',#12452,#6255); +#5196=LINE('',#12454,#6256); +#5197=LINE('',#12456,#6257); +#5198=LINE('',#12458,#6258); +#5199=LINE('',#12460,#6259); +#5200=LINE('',#12462,#6260); +#5201=LINE('',#12464,#6261); +#5202=LINE('',#12466,#6262); +#5203=LINE('',#12468,#6263); +#5204=LINE('',#12469,#6264); +#5205=LINE('',#12471,#6265); +#5206=LINE('',#12473,#6266); +#5207=LINE('',#12474,#6267); +#5208=LINE('',#12476,#6268); +#5209=LINE('',#12478,#6269); +#5210=LINE('',#12479,#6270); +#5211=LINE('',#12481,#6271); +#5212=LINE('',#12483,#6272); +#5213=LINE('',#12484,#6273); +#5214=LINE('',#12486,#6274); +#5215=LINE('',#12488,#6275); +#5216=LINE('',#12489,#6276); +#5217=LINE('',#12491,#6277); +#5218=LINE('',#12493,#6278); +#5219=LINE('',#12494,#6279); +#5220=LINE('',#12496,#6280); +#5221=LINE('',#12498,#6281); +#5222=LINE('',#12499,#6282); +#5223=LINE('',#12501,#6283); +#5224=LINE('',#12503,#6284); +#5225=LINE('',#12505,#6285); +#5226=LINE('',#12507,#6286); +#5227=LINE('',#12511,#6287); +#5228=LINE('',#12515,#6288); +#5229=LINE('',#12521,#6289); +#5230=LINE('',#12527,#6290); +#5231=LINE('',#12533,#6291); +#5232=LINE('',#12539,#6292); +#5233=LINE('',#12545,#6293); +#5234=LINE('',#12551,#6294); +#5235=LINE('',#12557,#6295); +#5236=LINE('',#12558,#6296); +#5237=LINE('',#12560,#6297); +#5238=LINE('',#12561,#6298); +#5239=LINE('',#12563,#6299); +#5240=LINE('',#12564,#6300); +#5241=LINE('',#12566,#6301); +#5242=LINE('',#12567,#6302); +#5243=LINE('',#12569,#6303); +#5244=LINE('',#12570,#6304); +#5245=LINE('',#12572,#6305); +#5246=LINE('',#12573,#6306); +#5247=LINE('',#12575,#6307); +#5248=LINE('',#12576,#6308); +#5249=LINE('',#12578,#6309); +#5250=LINE('',#12579,#6310); +#5251=LINE('',#12581,#6311); +#5252=LINE('',#12584,#6312); +#5253=LINE('',#12585,#6313); +#5254=LINE('',#12586,#6314); +#5255=LINE('',#12587,#6315); +#5256=LINE('',#12588,#6316); +#5257=LINE('',#12589,#6317); +#5258=LINE('',#12590,#6318); +#5259=LINE('',#12591,#6319); +#5260=LINE('',#12592,#6320); +#5261=LINE('',#12593,#6321); +#5262=LINE('',#12594,#6322); +#5263=LINE('',#12595,#6323); +#5264=LINE('',#12596,#6324); +#5265=LINE('',#12597,#6325); +#5266=LINE('',#12598,#6326); +#5267=LINE('',#12599,#6327); +#5268=LINE('',#12600,#6328); +#5269=LINE('',#12601,#6329); +#5270=LINE('',#12602,#6330); +#5271=LINE('',#12603,#6331); +#5272=LINE('',#12604,#6332); +#5273=LINE('',#12605,#6333); +#5274=LINE('',#12606,#6334); +#5275=LINE('',#12607,#6335); +#5276=LINE('',#12608,#6336); +#5277=LINE('',#12609,#6337); +#5278=LINE('',#12610,#6338); +#5279=LINE('',#12611,#6339); +#5280=LINE('',#12612,#6340); +#5281=LINE('',#12614,#6341); +#5282=LINE('',#12616,#6342); +#5283=LINE('',#12617,#6343); +#5284=LINE('',#12618,#6344); +#5285=LINE('',#12619,#6345); +#5286=LINE('',#12620,#6346); +#5287=LINE('',#12622,#6347); +#5288=LINE('',#12623,#6348); +#5289=LINE('',#12624,#6349); +#5290=LINE('',#12625,#6350); +#5291=LINE('',#12626,#6351); +#5292=LINE('',#12627,#6352); +#5293=LINE('',#12628,#6353); +#5294=LINE('',#12629,#6354); +#5295=LINE('',#12630,#6355); +#5296=LINE('',#12631,#6356); +#5297=LINE('',#12632,#6357); +#5298=LINE('',#12633,#6358); +#5299=LINE('',#12634,#6359); +#5300=LINE('',#12635,#6360); +#5301=LINE('',#12636,#6361); +#5302=LINE('',#12637,#6362); +#5303=LINE('',#12638,#6363); +#5304=LINE('',#12639,#6364); +#5305=LINE('',#12640,#6365); +#5306=LINE('',#12641,#6366); +#5307=LINE('',#12642,#6367); +#5308=LINE('',#12643,#6368); +#5309=LINE('',#12644,#6369); +#5310=LINE('',#12645,#6370); +#5311=LINE('',#12646,#6371); +#5312=LINE('',#12647,#6372); +#5313=LINE('',#12648,#6373); +#5314=LINE('',#12649,#6374); +#5315=LINE('',#12650,#6375); +#5316=LINE('',#12651,#6376); +#5317=LINE('',#12653,#6377); +#5318=LINE('',#12656,#6378); +#5319=LINE('',#12657,#6379); +#5320=LINE('',#12659,#6380); +#5321=LINE('',#12662,#6381); +#5322=LINE('',#12663,#6382); +#5323=LINE('',#12665,#6383); +#5324=LINE('',#12668,#6384); +#5325=LINE('',#12669,#6385); +#5326=LINE('',#12671,#6386); +#5327=LINE('',#12672,#6387); +#5328=LINE('',#12676,#6388); +#5329=LINE('',#12679,#6389); +#5330=LINE('',#12680,#6390); +#5331=LINE('',#12682,#6391); +#5332=LINE('',#12685,#6392); +#5333=LINE('',#12686,#6393); +#5334=LINE('',#12688,#6394); +#5335=LINE('',#12691,#6395); +#5336=LINE('',#12692,#6396); +#5337=LINE('',#12694,#6397); +#5338=LINE('',#12695,#6398); +#5339=LINE('',#12758,#6399); +#5340=LINE('',#12761,#6400); +#5341=LINE('',#12763,#6401); +#5342=LINE('',#12765,#6402); +#5343=LINE('',#12767,#6403); +#5344=LINE('',#12769,#6404); +#5345=LINE('',#12771,#6405); +#5346=LINE('',#12773,#6406); +#5347=LINE('',#12775,#6407); +#5348=LINE('',#12777,#6408); +#5349=LINE('',#12779,#6409); +#5350=LINE('',#12781,#6410); +#5351=LINE('',#12783,#6411); +#5352=LINE('',#12785,#6412); +#5353=LINE('',#12787,#6413); +#5354=LINE('',#12789,#6414); +#5355=LINE('',#12791,#6415); +#5356=LINE('',#12793,#6416); +#5357=LINE('',#12795,#6417); +#5358=LINE('',#12797,#6418); +#5359=LINE('',#12799,#6419); +#5360=LINE('',#12801,#6420); +#5361=LINE('',#12803,#6421); +#5362=LINE('',#12805,#6422); +#5363=LINE('',#12807,#6423); +#5364=LINE('',#12809,#6424); +#5365=LINE('',#12811,#6425); +#5366=LINE('',#12813,#6426); +#5367=LINE('',#12815,#6427); +#5368=LINE('',#12817,#6428); +#5369=LINE('',#12819,#6429); +#5370=LINE('',#12821,#6430); +#5371=LINE('',#12823,#6431); +#5372=LINE('',#12825,#6432); +#5373=LINE('',#12827,#6433); +#5374=LINE('',#12829,#6434); +#5375=LINE('',#12831,#6435); +#5376=LINE('',#12834,#6436); +#5377=LINE('',#12836,#6437); +#5378=LINE('',#12838,#6438); +#5379=LINE('',#12840,#6439); +#5380=LINE('',#12842,#6440); +#5381=LINE('',#12844,#6441); +#5382=LINE('',#12846,#6442); +#5383=LINE('',#12848,#6443); +#5384=LINE('',#12850,#6444); +#5385=LINE('',#12852,#6445); +#5386=LINE('',#12854,#6446); +#5387=LINE('',#12856,#6447); +#5388=LINE('',#12858,#6448); +#5389=LINE('',#12860,#6449); +#5390=LINE('',#12862,#6450); +#5391=LINE('',#12864,#6451); +#5392=LINE('',#12866,#6452); +#5393=LINE('',#12868,#6453); +#5394=LINE('',#12870,#6454); +#5395=LINE('',#12872,#6455); +#5396=LINE('',#12874,#6456); +#5397=LINE('',#12876,#6457); +#5398=LINE('',#12878,#6458); +#5399=LINE('',#12880,#6459); +#5400=LINE('',#12882,#6460); +#5401=LINE('',#12884,#6461); +#5402=LINE('',#12886,#6462); +#5403=LINE('',#12888,#6463); +#5404=LINE('',#12890,#6464); +#5405=LINE('',#12892,#6465); +#5406=LINE('',#12894,#6466); +#5407=LINE('',#12896,#6467); +#5408=LINE('',#12898,#6468); +#5409=LINE('',#12900,#6469); +#5410=LINE('',#12902,#6470); +#5411=LINE('',#12904,#6471); +#5412=LINE('',#12907,#6472); +#5413=LINE('',#12909,#6473); +#5414=LINE('',#12911,#6474); +#5415=LINE('',#12913,#6475); +#5416=LINE('',#12915,#6476); +#5417=LINE('',#12917,#6477); +#5418=LINE('',#12919,#6478); +#5419=LINE('',#12921,#6479); +#5420=LINE('',#12923,#6480); +#5421=LINE('',#12925,#6481); +#5422=LINE('',#12928,#6482); +#5423=LINE('',#12930,#6483); +#5424=LINE('',#12932,#6484); +#5425=LINE('',#12934,#6485); +#5426=LINE('',#12936,#6486); +#5427=LINE('',#12938,#6487); +#5428=LINE('',#12940,#6488); +#5429=LINE('',#12942,#6489); +#5430=LINE('',#12944,#6490); +#5431=LINE('',#12946,#6491); +#5432=LINE('',#12949,#6492); +#5433=LINE('',#12951,#6493); +#5434=LINE('',#12953,#6494); +#5435=LINE('',#12955,#6495); +#5436=LINE('',#12957,#6496); +#5437=LINE('',#12959,#6497); +#5438=LINE('',#12961,#6498); +#5439=LINE('',#12963,#6499); +#5440=LINE('',#12965,#6500); +#5441=LINE('',#12967,#6501); +#5442=LINE('',#12970,#6502); +#5443=LINE('',#12972,#6503); +#5444=LINE('',#12974,#6504); +#5445=LINE('',#12976,#6505); +#5446=LINE('',#12978,#6506); +#5447=LINE('',#12980,#6507); +#5448=LINE('',#12982,#6508); +#5449=LINE('',#12984,#6509); +#5450=LINE('',#12986,#6510); +#5451=LINE('',#12988,#6511); +#5452=LINE('',#12991,#6512); +#5453=LINE('',#12993,#6513); +#5454=LINE('',#12995,#6514); +#5455=LINE('',#12997,#6515); +#5456=LINE('',#12999,#6516); +#5457=LINE('',#13001,#6517); +#5458=LINE('',#13003,#6518); +#5459=LINE('',#13005,#6519); +#5460=LINE('',#13007,#6520); +#5461=LINE('',#13009,#6521); +#5462=LINE('',#13012,#6522); +#5463=LINE('',#13014,#6523); +#5464=LINE('',#13016,#6524); +#5465=LINE('',#13018,#6525); +#5466=LINE('',#13020,#6526); +#5467=LINE('',#13022,#6527); +#5468=LINE('',#13024,#6528); +#5469=LINE('',#13026,#6529); +#5470=LINE('',#13028,#6530); +#5471=LINE('',#13030,#6531); +#5472=LINE('',#13033,#6532); +#5473=LINE('',#13035,#6533); +#5474=LINE('',#13037,#6534); +#5475=LINE('',#13039,#6535); +#5476=LINE('',#13041,#6536); +#5477=LINE('',#13043,#6537); +#5478=LINE('',#13045,#6538); +#5479=LINE('',#13047,#6539); +#5480=LINE('',#13049,#6540); +#5481=LINE('',#13051,#6541); +#5482=LINE('',#13054,#6542); +#5483=LINE('',#13056,#6543); +#5484=LINE('',#13058,#6544); +#5485=LINE('',#13060,#6545); +#5486=LINE('',#13062,#6546); +#5487=LINE('',#13064,#6547); +#5488=LINE('',#13066,#6548); +#5489=LINE('',#13068,#6549); +#5490=LINE('',#13070,#6550); +#5491=LINE('',#13072,#6551); +#5492=LINE('',#13075,#6552); +#5493=LINE('',#13077,#6553); +#5494=LINE('',#13079,#6554); +#5495=LINE('',#13081,#6555); +#5496=LINE('',#13083,#6556); +#5497=LINE('',#13085,#6557); +#5498=LINE('',#13087,#6558); +#5499=LINE('',#13089,#6559); +#5500=LINE('',#13091,#6560); +#5501=LINE('',#13093,#6561); +#5502=LINE('',#13096,#6562); +#5503=LINE('',#13098,#6563); +#5504=LINE('',#13100,#6564); +#5505=LINE('',#13102,#6565); +#5506=LINE('',#13104,#6566); +#5507=LINE('',#13106,#6567); +#5508=LINE('',#13108,#6568); +#5509=LINE('',#13110,#6569); +#5510=LINE('',#13112,#6570); +#5511=LINE('',#13114,#6571); +#5512=LINE('',#13117,#6572); +#5513=LINE('',#13119,#6573); +#5514=LINE('',#13121,#6574); +#5515=LINE('',#13123,#6575); +#5516=LINE('',#13125,#6576); +#5517=LINE('',#13127,#6577); +#5518=LINE('',#13129,#6578); +#5519=LINE('',#13131,#6579); +#5520=LINE('',#13133,#6580); +#5521=LINE('',#13135,#6581); +#5522=LINE('',#13138,#6582); +#5523=LINE('',#13140,#6583); +#5524=LINE('',#13142,#6584); +#5525=LINE('',#13144,#6585); +#5526=LINE('',#13146,#6586); +#5527=LINE('',#13148,#6587); +#5528=LINE('',#13150,#6588); +#5529=LINE('',#13152,#6589); +#5530=LINE('',#13154,#6590); +#5531=LINE('',#13156,#6591); +#5532=LINE('',#13159,#6592); +#5533=LINE('',#13161,#6593); +#5534=LINE('',#13163,#6594); +#5535=LINE('',#13165,#6595); +#5536=LINE('',#13167,#6596); +#5537=LINE('',#13169,#6597); +#5538=LINE('',#13171,#6598); +#5539=LINE('',#13173,#6599); +#5540=LINE('',#13175,#6600); +#5541=LINE('',#13177,#6601); +#5542=LINE('',#13180,#6602); +#5543=LINE('',#13182,#6603); +#5544=LINE('',#13184,#6604); +#5545=LINE('',#13186,#6605); +#5546=LINE('',#13188,#6606); +#5547=LINE('',#13190,#6607); +#5548=LINE('',#13192,#6608); +#5549=LINE('',#13194,#6609); +#5550=LINE('',#13196,#6610); +#5551=LINE('',#13198,#6611); +#5552=LINE('',#13201,#6612); +#5553=LINE('',#13203,#6613); +#5554=LINE('',#13205,#6614); +#5555=LINE('',#13207,#6615); +#5556=LINE('',#13209,#6616); +#5557=LINE('',#13211,#6617); +#5558=LINE('',#13213,#6618); +#5559=LINE('',#13215,#6619); +#5560=LINE('',#13217,#6620); +#5561=LINE('',#13219,#6621); +#5562=LINE('',#13222,#6622); +#5563=LINE('',#13224,#6623); +#5564=LINE('',#13226,#6624); +#5565=LINE('',#13228,#6625); +#5566=LINE('',#13230,#6626); +#5567=LINE('',#13232,#6627); +#5568=LINE('',#13234,#6628); +#5569=LINE('',#13236,#6629); +#5570=LINE('',#13238,#6630); +#5571=LINE('',#13240,#6631); +#5572=LINE('',#13241,#6632); +#5573=LINE('',#13243,#6633); +#5574=LINE('',#13244,#6634); +#5575=LINE('',#13246,#6635); +#5576=LINE('',#13247,#6636); +#5577=LINE('',#13249,#6637); +#5578=LINE('',#13250,#6638); +#5579=LINE('',#13252,#6639); +#5580=LINE('',#13253,#6640); +#5581=LINE('',#13255,#6641); +#5582=LINE('',#13256,#6642); +#5583=LINE('',#13258,#6643); +#5584=LINE('',#13259,#6644); +#5585=LINE('',#13261,#6645); +#5586=LINE('',#13262,#6646); +#5587=LINE('',#13264,#6647); +#5588=LINE('',#13265,#6648); +#5589=LINE('',#13267,#6649); +#5590=LINE('',#13268,#6650); +#5591=LINE('',#13270,#6651); +#5592=LINE('',#13271,#6652); +#5593=LINE('',#13273,#6653); +#5594=LINE('',#13274,#6654); +#5595=LINE('',#13276,#6655); +#5596=LINE('',#13277,#6656); +#5597=LINE('',#13279,#6657); +#5598=LINE('',#13280,#6658); +#5599=LINE('',#13282,#6659); +#5600=LINE('',#13283,#6660); +#5601=LINE('',#13285,#6661); +#5602=LINE('',#13286,#6662); +#5603=LINE('',#13288,#6663); +#5604=LINE('',#13289,#6664); +#5605=LINE('',#13291,#6665); +#5606=LINE('',#13292,#6666); +#5607=LINE('',#13294,#6667); +#5608=LINE('',#13295,#6668); +#5609=LINE('',#13297,#6669); +#5610=LINE('',#13298,#6670); +#5611=LINE('',#13300,#6671); +#5612=LINE('',#13301,#6672); +#5613=LINE('',#13303,#6673); +#5614=LINE('',#13304,#6674); +#5615=LINE('',#13306,#6675); +#5616=LINE('',#13307,#6676); +#5617=LINE('',#13309,#6677); +#5618=LINE('',#13310,#6678); +#5619=LINE('',#13312,#6679); +#5620=LINE('',#13313,#6680); +#5621=LINE('',#13315,#6681); +#5622=LINE('',#13317,#6682); +#5623=LINE('',#13320,#6683); +#5624=LINE('',#13322,#6684); +#5625=LINE('',#13325,#6685); +#5626=LINE('',#13327,#6686); +#5627=LINE('',#13330,#6687); +#5628=LINE('',#13332,#6688); +#5629=LINE('',#13335,#6689); +#5630=LINE('',#13337,#6690); +#5631=LINE('',#13340,#6691); +#5632=LINE('',#13342,#6692); +#5633=LINE('',#13345,#6693); +#5634=LINE('',#13347,#6694); +#5635=LINE('',#13350,#6695); +#5636=LINE('',#13352,#6696); +#5637=LINE('',#13355,#6697); +#5638=LINE('',#13357,#6698); +#5639=VECTOR('',#8859,39.3700787401575); +#5640=VECTOR('',#8860,39.3700787401575); +#5641=VECTOR('',#8861,39.3700787401575); +#5642=VECTOR('',#8862,39.3700787401575); +#5643=VECTOR('',#8865,39.3700787401575); +#5644=VECTOR('',#8866,39.3700787401575); +#5645=VECTOR('',#8867,39.3700787401575); +#5646=VECTOR('',#8868,39.3700787401575); +#5647=VECTOR('',#8871,39.3700787401575); +#5648=VECTOR('',#8872,39.3700787401575); +#5649=VECTOR('',#8873,39.3700787401575); +#5650=VECTOR('',#8874,39.3700787401575); +#5651=VECTOR('',#8877,39.3700787401575); +#5652=VECTOR('',#8878,39.3700787401575); +#5653=VECTOR('',#8879,39.3700787401575); +#5654=VECTOR('',#8880,39.3700787401575); +#5655=VECTOR('',#8883,39.3700787401575); +#5656=VECTOR('',#8884,39.3700787401575); +#5657=VECTOR('',#8885,39.3700787401575); +#5658=VECTOR('',#8886,39.3700787401575); +#5659=VECTOR('',#8889,39.3700787401575); +#5660=VECTOR('',#8890,39.3700787401575); +#5661=VECTOR('',#8891,39.3700787401575); +#5662=VECTOR('',#8892,39.3700787401575); +#5663=VECTOR('',#8895,39.3700787401575); +#5664=VECTOR('',#8896,39.3700787401575); +#5665=VECTOR('',#8897,39.3700787401575); +#5666=VECTOR('',#8898,39.3700787401575); +#5667=VECTOR('',#8901,39.3700787401575); +#5668=VECTOR('',#8902,39.3700787401575); +#5669=VECTOR('',#8903,39.3700787401575); +#5670=VECTOR('',#8904,39.3700787401575); +#5671=VECTOR('',#8907,39.3700787401575); +#5672=VECTOR('',#8908,39.3700787401575); +#5673=VECTOR('',#8909,39.3700787401575); +#5674=VECTOR('',#8912,39.3700787401575); +#5675=VECTOR('',#8913,39.3700787401575); +#5676=VECTOR('',#8914,39.3700787401575); +#5677=VECTOR('',#8917,39.3700787401575); +#5678=VECTOR('',#8918,39.3700787401575); +#5679=VECTOR('',#8919,39.3700787401575); +#5680=VECTOR('',#8922,39.3700787401575); +#5681=VECTOR('',#8923,39.3700787401575); +#5682=VECTOR('',#8924,39.3700787401575); +#5683=VECTOR('',#8927,39.3700787401575); +#5684=VECTOR('',#8928,39.3700787401575); +#5685=VECTOR('',#8929,39.3700787401575); +#5686=VECTOR('',#8932,39.3700787401575); +#5687=VECTOR('',#8933,39.3700787401575); +#5688=VECTOR('',#8934,39.3700787401575); +#5689=VECTOR('',#8937,39.3700787401575); +#5690=VECTOR('',#8938,39.3700787401575); +#5691=VECTOR('',#8939,39.3700787401575); +#5692=VECTOR('',#8942,39.3700787401575); +#5693=VECTOR('',#8943,39.3700787401575); +#5694=VECTOR('',#8944,39.3700787401575); +#5695=VECTOR('',#8947,39.3700787401575); +#5696=VECTOR('',#8948,39.3700787401575); +#5697=VECTOR('',#8949,39.3700787401575); +#5698=VECTOR('',#8952,39.3700787401575); +#5699=VECTOR('',#8953,39.3700787401575); +#5700=VECTOR('',#8954,39.3700787401575); +#5701=VECTOR('',#8957,39.3700787401575); +#5702=VECTOR('',#8958,39.3700787401575); +#5703=VECTOR('',#8959,39.3700787401575); +#5704=VECTOR('',#8962,39.3700787401575); +#5705=VECTOR('',#8963,39.3700787401575); +#5706=VECTOR('',#8964,39.3700787401575); +#5707=VECTOR('',#8967,39.3700787401575); +#5708=VECTOR('',#8968,39.3700787401575); +#5709=VECTOR('',#8969,39.3700787401575); +#5710=VECTOR('',#8972,39.3700787401575); +#5711=VECTOR('',#8973,39.3700787401575); +#5712=VECTOR('',#8974,39.3700787401575); +#5713=VECTOR('',#8977,39.3700787401575); +#5714=VECTOR('',#8978,39.3700787401575); +#5715=VECTOR('',#8979,39.3700787401575); +#5716=VECTOR('',#8982,39.3700787401575); +#5717=VECTOR('',#8983,39.3700787401575); +#5718=VECTOR('',#8984,39.3700787401575); +#5719=VECTOR('',#8987,39.3700787401575); +#5720=VECTOR('',#8988,39.3700787401575); +#5721=VECTOR('',#8989,39.3700787401575); +#5722=VECTOR('',#8992,39.3700787401575); +#5723=VECTOR('',#8993,39.3700787401575); +#5724=VECTOR('',#8994,39.3700787401575); +#5725=VECTOR('',#8997,39.3700787401575); +#5726=VECTOR('',#8998,39.3700787401575); +#5727=VECTOR('',#8999,39.3700787401575); +#5728=VECTOR('',#9002,39.3700787401575); +#5729=VECTOR('',#9003,39.3700787401575); +#5730=VECTOR('',#9004,39.3700787401575); +#5731=VECTOR('',#9007,39.3700787401575); +#5732=VECTOR('',#9008,39.3700787401575); +#5733=VECTOR('',#9009,39.3700787401575); +#5734=VECTOR('',#9012,39.3700787401575); +#5735=VECTOR('',#9013,39.3700787401575); +#5736=VECTOR('',#9014,39.3700787401575); +#5737=VECTOR('',#9017,39.3700787401575); +#5738=VECTOR('',#9018,39.3700787401575); +#5739=VECTOR('',#9019,39.3700787401575); +#5740=VECTOR('',#9022,39.3700787401575); +#5741=VECTOR('',#9023,39.3700787401575); +#5742=VECTOR('',#9024,39.3700787401575); +#5743=VECTOR('',#9027,39.3700787401575); +#5744=VECTOR('',#9028,39.3700787401575); +#5745=VECTOR('',#9029,39.3700787401575); +#5746=VECTOR('',#9030,39.3700787401575); +#5747=VECTOR('',#9033,39.3700787401575); +#5748=VECTOR('',#9034,39.3700787401575); +#5749=VECTOR('',#9035,39.3700787401575); +#5750=VECTOR('',#9036,39.3700787401575); +#5751=VECTOR('',#9039,39.3700787401575); +#5752=VECTOR('',#9040,39.3700787401575); +#5753=VECTOR('',#9041,39.3700787401575); +#5754=VECTOR('',#9042,39.3700787401575); +#5755=VECTOR('',#9045,39.3700787401575); +#5756=VECTOR('',#9046,39.3700787401575); +#5757=VECTOR('',#9047,39.3700787401575); +#5758=VECTOR('',#9048,39.3700787401575); +#5759=VECTOR('',#9051,39.3700787401575); +#5760=VECTOR('',#9052,39.3700787401575); +#5761=VECTOR('',#9053,39.3700787401575); +#5762=VECTOR('',#9054,39.3700787401575); +#5763=VECTOR('',#9057,39.3700787401575); +#5764=VECTOR('',#9058,39.3700787401575); +#5765=VECTOR('',#9059,39.3700787401575); +#5766=VECTOR('',#9060,39.3700787401575); +#5767=VECTOR('',#9063,39.3700787401575); +#5768=VECTOR('',#9064,39.3700787401575); +#5769=VECTOR('',#9065,39.3700787401575); +#5770=VECTOR('',#9066,39.3700787401575); +#5771=VECTOR('',#9069,39.3700787401575); +#5772=VECTOR('',#9070,39.3700787401575); +#5773=VECTOR('',#9071,39.3700787401575); +#5774=VECTOR('',#9072,39.3700787401575); +#5775=VECTOR('',#9075,39.3700787401575); +#5776=VECTOR('',#9076,39.3700787401575); +#5777=VECTOR('',#9077,39.3700787401575); +#5778=VECTOR('',#9080,39.3700787401575); +#5779=VECTOR('',#9081,39.3700787401575); +#5780=VECTOR('',#9082,39.3700787401575); +#5781=VECTOR('',#9085,39.3700787401575); +#5782=VECTOR('',#9086,39.3700787401575); +#5783=VECTOR('',#9087,39.3700787401575); +#5784=VECTOR('',#9090,39.3700787401575); +#5785=VECTOR('',#9091,39.3700787401575); +#5786=VECTOR('',#9092,39.3700787401575); +#5787=VECTOR('',#9095,39.3700787401575); +#5788=VECTOR('',#9096,39.3700787401575); +#5789=VECTOR('',#9097,39.3700787401575); +#5790=VECTOR('',#9100,39.3700787401575); +#5791=VECTOR('',#9101,39.3700787401575); +#5792=VECTOR('',#9102,39.3700787401575); +#5793=VECTOR('',#9105,39.3700787401575); +#5794=VECTOR('',#9106,39.3700787401575); +#5795=VECTOR('',#9107,39.3700787401575); +#5796=VECTOR('',#9110,39.3700787401575); +#5797=VECTOR('',#9111,39.3700787401575); +#5798=VECTOR('',#9112,39.3700787401575); +#5799=VECTOR('',#9115,39.3700787401575); +#5800=VECTOR('',#9116,39.3700787401575); +#5801=VECTOR('',#9117,39.3700787401575); +#5802=VECTOR('',#9120,39.3700787401575); +#5803=VECTOR('',#9121,39.3700787401575); +#5804=VECTOR('',#9122,39.3700787401575); +#5805=VECTOR('',#9125,39.3700787401575); +#5806=VECTOR('',#9126,39.3700787401575); +#5807=VECTOR('',#9127,39.3700787401575); +#5808=VECTOR('',#9130,39.3700787401575); +#5809=VECTOR('',#9131,39.3700787401575); +#5810=VECTOR('',#9132,39.3700787401575); +#5811=VECTOR('',#9135,39.3700787401575); +#5812=VECTOR('',#9136,39.3700787401575); +#5813=VECTOR('',#9137,39.3700787401575); +#5814=VECTOR('',#9140,39.3700787401575); +#5815=VECTOR('',#9141,39.3700787401575); +#5816=VECTOR('',#9142,39.3700787401575); +#5817=VECTOR('',#9145,39.3700787401575); +#5818=VECTOR('',#9146,39.3700787401575); +#5819=VECTOR('',#9147,39.3700787401575); +#5820=VECTOR('',#9150,39.3700787401575); +#5821=VECTOR('',#9151,39.3700787401575); +#5822=VECTOR('',#9152,39.3700787401575); +#5823=VECTOR('',#9155,39.3700787401575); +#5824=VECTOR('',#9156,39.3700787401575); +#5825=VECTOR('',#9157,39.3700787401575); +#5826=VECTOR('',#9160,39.3700787401575); +#5827=VECTOR('',#9161,39.3700787401575); +#5828=VECTOR('',#9162,39.3700787401575); +#5829=VECTOR('',#9165,39.3700787401575); +#5830=VECTOR('',#9166,39.3700787401575); +#5831=VECTOR('',#9167,39.3700787401575); +#5832=VECTOR('',#9170,39.3700787401575); +#5833=VECTOR('',#9171,39.3700787401575); +#5834=VECTOR('',#9172,39.3700787401575); +#5835=VECTOR('',#9175,39.3700787401575); +#5836=VECTOR('',#9176,39.3700787401575); +#5837=VECTOR('',#9177,39.3700787401575); +#5838=VECTOR('',#9180,39.3700787401575); +#5839=VECTOR('',#9181,39.3700787401575); +#5840=VECTOR('',#9182,39.3700787401575); +#5841=VECTOR('',#9185,39.3700787401575); +#5842=VECTOR('',#9186,39.3700787401575); +#5843=VECTOR('',#9187,39.3700787401575); +#5844=VECTOR('',#9190,39.3700787401575); +#5845=VECTOR('',#9191,39.3700787401575); +#5846=VECTOR('',#9192,39.3700787401575); +#5847=VECTOR('',#9197,39.3700787401575); +#5848=VECTOR('',#9200,39.3700787401575); +#5849=VECTOR('',#9205,39.3700787401575); +#5850=VECTOR('',#9208,39.3700787401575); +#5851=VECTOR('',#9213,39.3700787401575); +#5852=VECTOR('',#9216,39.3700787401575); +#5853=VECTOR('',#9221,39.3700787401575); +#5854=VECTOR('',#9224,39.3700787401575); +#5855=VECTOR('',#9229,39.3700787401575); +#5856=VECTOR('',#9232,39.3700787401575); +#5857=VECTOR('',#9237,39.3700787401575); +#5858=VECTOR('',#9240,39.3700787401575); +#5859=VECTOR('',#9245,39.3700787401575); +#5860=VECTOR('',#9248,39.3700787401575); +#5861=VECTOR('',#9253,39.3700787401575); +#5862=VECTOR('',#9256,39.3700787401575); +#5863=VECTOR('',#9259,39.3700787401575); +#5864=VECTOR('',#9260,39.3700787401575); +#5865=VECTOR('',#9261,39.3700787401575); +#5866=VECTOR('',#9264,39.3700787401575); +#5867=VECTOR('',#9265,39.3700787401575); +#5868=VECTOR('',#9266,39.3700787401575); +#5869=VECTOR('',#9269,39.3700787401575); +#5870=VECTOR('',#9270,39.3700787401575); +#5871=VECTOR('',#9271,39.3700787401575); +#5872=VECTOR('',#9274,39.3700787401575); +#5873=VECTOR('',#9275,39.3700787401575); +#5874=VECTOR('',#9276,39.3700787401575); +#5875=VECTOR('',#9279,39.3700787401575); +#5876=VECTOR('',#9280,39.3700787401575); +#5877=VECTOR('',#9281,39.3700787401575); +#5878=VECTOR('',#9284,39.3700787401575); +#5879=VECTOR('',#9285,39.3700787401575); +#5880=VECTOR('',#9286,39.3700787401575); +#5881=VECTOR('',#9289,39.3700787401575); +#5882=VECTOR('',#9290,39.3700787401575); +#5883=VECTOR('',#9291,39.3700787401575); +#5884=VECTOR('',#9294,39.3700787401575); +#5885=VECTOR('',#9295,39.3700787401575); +#5886=VECTOR('',#9296,39.3700787401575); +#5887=VECTOR('',#9299,39.3700787401575); +#5888=VECTOR('',#9300,39.3700787401575); +#5889=VECTOR('',#9301,39.3700787401575); +#5890=VECTOR('',#9304,39.3700787401575); +#5891=VECTOR('',#9305,39.3700787401575); +#5892=VECTOR('',#9306,39.3700787401575); +#5893=VECTOR('',#9309,39.3700787401575); +#5894=VECTOR('',#9310,39.3700787401575); +#5895=VECTOR('',#9311,39.3700787401575); +#5896=VECTOR('',#9314,39.3700787401575); +#5897=VECTOR('',#9315,39.3700787401575); +#5898=VECTOR('',#9316,39.3700787401575); +#5899=VECTOR('',#9319,39.3700787401575); +#5900=VECTOR('',#9320,39.3700787401575); +#5901=VECTOR('',#9321,39.3700787401575); +#5902=VECTOR('',#9324,39.3700787401575); +#5903=VECTOR('',#9325,39.3700787401575); +#5904=VECTOR('',#9326,39.3700787401575); +#5905=VECTOR('',#9329,39.3700787401575); +#5906=VECTOR('',#9330,39.3700787401575); +#5907=VECTOR('',#9331,39.3700787401575); +#5908=VECTOR('',#9334,39.3700787401575); +#5909=VECTOR('',#9335,39.3700787401575); +#5910=VECTOR('',#9336,39.3700787401575); +#5911=VECTOR('',#9339,39.3700787401575); +#5912=VECTOR('',#9340,39.3700787401575); +#5913=VECTOR('',#9341,39.3700787401575); +#5914=VECTOR('',#9344,39.3700787401575); +#5915=VECTOR('',#9345,39.3700787401575); +#5916=VECTOR('',#9346,39.3700787401575); +#5917=VECTOR('',#9349,39.3700787401575); +#5918=VECTOR('',#9350,39.3700787401575); +#5919=VECTOR('',#9351,39.3700787401575); +#5920=VECTOR('',#9354,39.3700787401575); +#5921=VECTOR('',#9355,39.3700787401575); +#5922=VECTOR('',#9356,39.3700787401575); +#5923=VECTOR('',#9359,39.3700787401575); +#5924=VECTOR('',#9360,39.3700787401575); +#5925=VECTOR('',#9361,39.3700787401575); +#5926=VECTOR('',#9364,39.3700787401575); +#5927=VECTOR('',#9365,39.3700787401575); +#5928=VECTOR('',#9366,39.3700787401575); +#5929=VECTOR('',#9369,39.3700787401575); +#5930=VECTOR('',#9370,39.3700787401575); +#5931=VECTOR('',#9371,39.3700787401575); +#5932=VECTOR('',#9374,39.3700787401575); +#5933=VECTOR('',#9375,39.3700787401575); +#5934=VECTOR('',#9376,39.3700787401575); +#5935=VECTOR('',#9381,39.3700787401575); +#5936=VECTOR('',#9386,39.3700787401575); +#5937=VECTOR('',#9393,39.3700787401575); +#5938=VECTOR('',#9400,39.3700787401575); +#5939=VECTOR('',#9407,39.3700787401575); +#5940=VECTOR('',#9414,39.3700787401575); +#5941=VECTOR('',#9421,39.3700787401575); +#5942=VECTOR('',#9428,39.3700787401575); +#5943=VECTOR('',#9435,39.3700787401575); +#5944=VECTOR('',#9436,39.3700787401575); +#5945=VECTOR('',#9437,39.3700787401575); +#5946=VECTOR('',#9440,39.3700787401575); +#5947=VECTOR('',#9441,39.3700787401575); +#5948=VECTOR('',#9442,39.3700787401575); +#5949=VECTOR('',#9445,39.3700787401575); +#5950=VECTOR('',#9446,39.3700787401575); +#5951=VECTOR('',#9447,39.3700787401575); +#5952=VECTOR('',#9450,39.3700787401575); +#5953=VECTOR('',#9451,39.3700787401575); +#5954=VECTOR('',#9452,39.3700787401575); +#5955=VECTOR('',#9455,39.3700787401575); +#5956=VECTOR('',#9456,39.3700787401575); +#5957=VECTOR('',#9457,39.3700787401575); +#5958=VECTOR('',#9460,39.3700787401575); +#5959=VECTOR('',#9461,39.3700787401575); +#5960=VECTOR('',#9462,39.3700787401575); +#5961=VECTOR('',#9465,39.3700787401575); +#5962=VECTOR('',#9466,39.3700787401575); +#5963=VECTOR('',#9467,39.3700787401575); +#5964=VECTOR('',#9470,39.3700787401575); +#5965=VECTOR('',#9471,39.3700787401575); +#5966=VECTOR('',#9472,39.3700787401575); +#5967=VECTOR('',#9477,39.3700787401575); +#5968=VECTOR('',#9486,39.3700787401575); +#5969=VECTOR('',#9493,39.3700787401575); +#5970=VECTOR('',#9500,39.3700787401575); +#5971=VECTOR('',#9507,39.3700787401575); +#5972=VECTOR('',#9514,39.3700787401575); +#5973=VECTOR('',#9521,39.3700787401575); +#5974=VECTOR('',#9528,39.3700787401575); +#5975=VECTOR('',#9531,39.3700787401575); +#5976=VECTOR('',#9532,39.3700787401575); +#5977=VECTOR('',#9533,39.3700787401575); +#5978=VECTOR('',#9534,39.3700787401575); +#5979=VECTOR('',#9535,39.3700787401575); +#5980=VECTOR('',#9536,39.3700787401575); +#5981=VECTOR('',#9537,39.3700787401575); +#5982=VECTOR('',#9538,39.3700787401575); +#5983=VECTOR('',#9539,39.3700787401575); +#5984=VECTOR('',#9540,39.3700787401575); +#5985=VECTOR('',#9541,39.3700787401575); +#5986=VECTOR('',#9542,39.3700787401575); +#5987=VECTOR('',#9543,39.3700787401575); +#5988=VECTOR('',#9544,39.3700787401575); +#5989=VECTOR('',#9545,39.3700787401575); +#5990=VECTOR('',#9546,39.3700787401575); +#5991=VECTOR('',#9547,39.3700787401575); +#5992=VECTOR('',#9548,39.3700787401575); +#5993=VECTOR('',#9549,39.3700787401575); +#5994=VECTOR('',#9550,39.3700787401575); +#5995=VECTOR('',#9551,39.3700787401575); +#5996=VECTOR('',#9552,39.3700787401575); +#5997=VECTOR('',#9553,39.3700787401575); +#5998=VECTOR('',#9554,39.3700787401575); +#5999=VECTOR('',#9555,39.3700787401575); +#6000=VECTOR('',#9556,39.3700787401575); +#6001=VECTOR('',#9557,39.3700787401575); +#6002=VECTOR('',#9558,39.3700787401575); +#6003=VECTOR('',#9559,39.3700787401575); +#6004=VECTOR('',#9560,39.3700787401575); +#6005=VECTOR('',#9561,39.3700787401575); +#6006=VECTOR('',#9562,39.3700787401575); +#6007=VECTOR('',#9563,39.3700787401575); +#6008=VECTOR('',#9564,39.3700787401575); +#6009=VECTOR('',#9565,39.3700787401575); +#6010=VECTOR('',#9566,39.3700787401575); +#6011=VECTOR('',#9567,39.3700787401575); +#6012=VECTOR('',#9568,39.3700787401575); +#6013=VECTOR('',#9569,39.3700787401575); +#6014=VECTOR('',#9570,39.3700787401575); +#6015=VECTOR('',#9571,39.3700787401575); +#6016=VECTOR('',#9572,39.3700787401575); +#6017=VECTOR('',#9573,39.3700787401575); +#6018=VECTOR('',#9574,39.3700787401575); +#6019=VECTOR('',#9575,39.3700787401575); +#6020=VECTOR('',#9576,39.3700787401575); +#6021=VECTOR('',#9577,39.3700787401575); +#6022=VECTOR('',#9578,39.3700787401575); +#6023=VECTOR('',#9581,39.3700787401575); +#6024=VECTOR('',#9582,39.3700787401575); +#6025=VECTOR('',#9583,39.3700787401575); +#6026=VECTOR('',#9584,39.3700787401575); +#6027=VECTOR('',#9587,39.3700787401575); +#6028=VECTOR('',#9588,39.3700787401575); +#6029=VECTOR('',#9589,39.3700787401575); +#6030=VECTOR('',#9590,39.3700787401575); +#6031=VECTOR('',#9593,39.3700787401575); +#6032=VECTOR('',#9594,39.3700787401575); +#6033=VECTOR('',#9595,39.3700787401575); +#6034=VECTOR('',#9596,39.3700787401575); +#6035=VECTOR('',#9599,39.3700787401575); +#6036=VECTOR('',#9600,39.3700787401575); +#6037=VECTOR('',#9601,39.3700787401575); +#6038=VECTOR('',#9602,39.3700787401575); +#6039=VECTOR('',#9605,39.3700787401575); +#6040=VECTOR('',#9606,39.3700787401575); +#6041=VECTOR('',#9607,39.3700787401575); +#6042=VECTOR('',#9608,39.3700787401575); +#6043=VECTOR('',#9611,39.3700787401575); +#6044=VECTOR('',#9612,39.3700787401575); +#6045=VECTOR('',#9613,39.3700787401575); +#6046=VECTOR('',#9614,39.3700787401575); +#6047=VECTOR('',#9617,39.3700787401575); +#6048=VECTOR('',#9618,39.3700787401575); +#6049=VECTOR('',#9619,39.3700787401575); +#6050=VECTOR('',#9620,39.3700787401575); +#6051=VECTOR('',#9623,39.3700787401575); +#6052=VECTOR('',#9624,39.3700787401575); +#6053=VECTOR('',#9625,39.3700787401575); +#6054=VECTOR('',#9626,39.3700787401575); +#6055=VECTOR('',#9631,39.3700787401575); +#6056=VECTOR('',#9636,39.3700787401575); +#6057=VECTOR('',#9643,39.3700787401575); +#6058=VECTOR('',#9650,39.3700787401575); +#6059=VECTOR('',#9657,39.3700787401575); +#6060=VECTOR('',#9664,39.3700787401575); +#6061=VECTOR('',#9671,39.3700787401575); +#6062=VECTOR('',#9678,39.3700787401575); +#6063=VECTOR('',#9685,39.3700787401575); +#6064=VECTOR('',#9686,39.3700787401575); +#6065=VECTOR('',#9689,39.3700787401575); +#6066=VECTOR('',#9690,39.3700787401575); +#6067=VECTOR('',#9693,39.3700787401575); +#6068=VECTOR('',#9694,39.3700787401575); +#6069=VECTOR('',#9697,39.3700787401575); +#6070=VECTOR('',#9698,39.3700787401575); +#6071=VECTOR('',#9701,39.3700787401575); +#6072=VECTOR('',#9702,39.3700787401575); +#6073=VECTOR('',#9705,39.3700787401575); +#6074=VECTOR('',#9706,39.3700787401575); +#6075=VECTOR('',#9709,39.3700787401575); +#6076=VECTOR('',#9710,39.3700787401575); +#6077=VECTOR('',#9713,39.3700787401575); +#6078=VECTOR('',#9714,39.3700787401575); +#6079=VECTOR('',#9717,39.3700787401575); +#6080=VECTOR('',#9718,39.3700787401575); +#6081=VECTOR('',#9719,39.3700787401575); +#6082=VECTOR('',#9720,39.3700787401575); +#6083=VECTOR('',#9723,39.3700787401575); +#6084=VECTOR('',#9724,39.3700787401575); +#6085=VECTOR('',#9725,39.3700787401575); +#6086=VECTOR('',#9726,39.3700787401575); +#6087=VECTOR('',#9729,39.3700787401575); +#6088=VECTOR('',#9730,39.3700787401575); +#6089=VECTOR('',#9731,39.3700787401575); +#6090=VECTOR('',#9732,39.3700787401575); +#6091=VECTOR('',#9735,39.3700787401575); +#6092=VECTOR('',#9736,39.3700787401575); +#6093=VECTOR('',#9737,39.3700787401575); +#6094=VECTOR('',#9738,39.3700787401575); +#6095=VECTOR('',#9741,39.3700787401575); +#6096=VECTOR('',#9742,39.3700787401575); +#6097=VECTOR('',#9743,39.3700787401575); +#6098=VECTOR('',#9744,39.3700787401575); +#6099=VECTOR('',#9747,39.3700787401575); +#6100=VECTOR('',#9748,39.3700787401575); +#6101=VECTOR('',#9749,39.3700787401575); +#6102=VECTOR('',#9750,39.3700787401575); +#6103=VECTOR('',#9753,39.3700787401575); +#6104=VECTOR('',#9754,39.3700787401575); +#6105=VECTOR('',#9755,39.3700787401575); +#6106=VECTOR('',#9756,39.3700787401575); +#6107=VECTOR('',#9759,39.3700787401575); +#6108=VECTOR('',#9760,39.3700787401575); +#6109=VECTOR('',#9761,39.3700787401575); +#6110=VECTOR('',#9762,39.3700787401575); +#6111=VECTOR('',#9767,39.3700787401575); +#6112=VECTOR('',#9770,39.3700787401575); +#6113=VECTOR('',#9775,39.3700787401575); +#6114=VECTOR('',#9778,39.3700787401575); +#6115=VECTOR('',#9783,39.3700787401575); +#6116=VECTOR('',#9786,39.3700787401575); +#6117=VECTOR('',#9791,39.3700787401575); +#6118=VECTOR('',#9794,39.3700787401575); +#6119=VECTOR('',#9799,39.3700787401575); +#6120=VECTOR('',#9802,39.3700787401575); +#6121=VECTOR('',#9807,39.3700787401575); +#6122=VECTOR('',#9810,39.3700787401575); +#6123=VECTOR('',#9815,39.3700787401575); +#6124=VECTOR('',#9818,39.3700787401575); +#6125=VECTOR('',#9823,39.3700787401575); +#6126=VECTOR('',#9826,39.3700787401575); +#6127=VECTOR('',#9829,39.3700787401575); +#6128=VECTOR('',#9830,39.3700787401575); +#6129=VECTOR('',#9831,39.3700787401575); +#6130=VECTOR('',#9834,39.3700787401575); +#6131=VECTOR('',#9835,39.3700787401575); +#6132=VECTOR('',#9836,39.3700787401575); +#6133=VECTOR('',#9839,39.3700787401575); +#6134=VECTOR('',#9840,39.3700787401575); +#6135=VECTOR('',#9841,39.3700787401575); +#6136=VECTOR('',#9844,39.3700787401575); +#6137=VECTOR('',#9845,39.3700787401575); +#6138=VECTOR('',#9846,39.3700787401575); +#6139=VECTOR('',#9849,39.3700787401575); +#6140=VECTOR('',#9850,39.3700787401575); +#6141=VECTOR('',#9851,39.3700787401575); +#6142=VECTOR('',#9854,39.3700787401575); +#6143=VECTOR('',#9855,39.3700787401575); +#6144=VECTOR('',#9856,39.3700787401575); +#6145=VECTOR('',#9859,39.3700787401575); +#6146=VECTOR('',#9860,39.3700787401575); +#6147=VECTOR('',#9861,39.3700787401575); +#6148=VECTOR('',#9864,39.3700787401575); +#6149=VECTOR('',#9865,39.3700787401575); +#6150=VECTOR('',#9866,39.3700787401575); +#6151=VECTOR('',#9869,39.3700787401575); +#6152=VECTOR('',#9870,39.3700787401575); +#6153=VECTOR('',#9871,39.3700787401575); +#6154=VECTOR('',#9874,39.3700787401575); +#6155=VECTOR('',#9875,39.3700787401575); +#6156=VECTOR('',#9876,39.3700787401575); +#6157=VECTOR('',#9879,39.3700787401575); +#6158=VECTOR('',#9880,39.3700787401575); +#6159=VECTOR('',#9881,39.3700787401575); +#6160=VECTOR('',#9884,39.3700787401575); +#6161=VECTOR('',#9885,39.3700787401575); +#6162=VECTOR('',#9886,39.3700787401575); +#6163=VECTOR('',#9889,39.3700787401575); +#6164=VECTOR('',#9890,39.3700787401575); +#6165=VECTOR('',#9891,39.3700787401575); +#6166=VECTOR('',#9894,39.3700787401575); +#6167=VECTOR('',#9895,39.3700787401575); +#6168=VECTOR('',#9896,39.3700787401575); +#6169=VECTOR('',#9899,39.3700787401575); +#6170=VECTOR('',#9900,39.3700787401575); +#6171=VECTOR('',#9901,39.3700787401575); +#6172=VECTOR('',#9904,39.3700787401575); +#6173=VECTOR('',#9905,39.3700787401575); +#6174=VECTOR('',#9906,39.3700787401575); +#6175=VECTOR('',#9909,39.3700787401575); +#6176=VECTOR('',#9910,39.3700787401575); +#6177=VECTOR('',#9911,39.3700787401575); +#6178=VECTOR('',#9914,39.3700787401575); +#6179=VECTOR('',#9915,39.3700787401575); +#6180=VECTOR('',#9916,39.3700787401575); +#6181=VECTOR('',#9919,39.3700787401575); +#6182=VECTOR('',#9920,39.3700787401575); +#6183=VECTOR('',#9921,39.3700787401575); +#6184=VECTOR('',#9924,39.3700787401575); +#6185=VECTOR('',#9925,39.3700787401575); +#6186=VECTOR('',#9926,39.3700787401575); +#6187=VECTOR('',#9929,39.3700787401575); +#6188=VECTOR('',#9930,39.3700787401575); +#6189=VECTOR('',#9931,39.3700787401575); +#6190=VECTOR('',#9934,39.3700787401575); +#6191=VECTOR('',#9935,39.3700787401575); +#6192=VECTOR('',#9936,39.3700787401575); +#6193=VECTOR('',#9939,39.3700787401575); +#6194=VECTOR('',#9940,39.3700787401575); +#6195=VECTOR('',#9941,39.3700787401575); +#6196=VECTOR('',#9944,39.3700787401575); +#6197=VECTOR('',#9945,39.3700787401575); +#6198=VECTOR('',#9946,39.3700787401575); +#6199=VECTOR('',#9951,39.3700787401575); +#6200=VECTOR('',#9956,39.3700787401575); +#6201=VECTOR('',#9963,39.3700787401575); +#6202=VECTOR('',#9970,39.3700787401575); +#6203=VECTOR('',#9977,39.3700787401575); +#6204=VECTOR('',#9984,39.3700787401575); +#6205=VECTOR('',#9991,39.3700787401575); +#6206=VECTOR('',#9998,39.3700787401575); +#6207=VECTOR('',#10005,39.3700787401575); +#6208=VECTOR('',#10006,39.3700787401575); +#6209=VECTOR('',#10007,39.3700787401575); +#6210=VECTOR('',#10010,39.3700787401575); +#6211=VECTOR('',#10011,39.3700787401575); +#6212=VECTOR('',#10012,39.3700787401575); +#6213=VECTOR('',#10015,39.3700787401575); +#6214=VECTOR('',#10016,39.3700787401575); +#6215=VECTOR('',#10017,39.3700787401575); +#6216=VECTOR('',#10020,39.3700787401575); +#6217=VECTOR('',#10021,39.3700787401575); +#6218=VECTOR('',#10022,39.3700787401575); +#6219=VECTOR('',#10025,39.3700787401575); +#6220=VECTOR('',#10026,39.3700787401575); +#6221=VECTOR('',#10027,39.3700787401575); +#6222=VECTOR('',#10030,39.3700787401575); +#6223=VECTOR('',#10031,39.3700787401575); +#6224=VECTOR('',#10032,39.3700787401575); +#6225=VECTOR('',#10035,39.3700787401575); +#6226=VECTOR('',#10036,39.3700787401575); +#6227=VECTOR('',#10037,39.3700787401575); +#6228=VECTOR('',#10040,39.3700787401575); +#6229=VECTOR('',#10041,39.3700787401575); +#6230=VECTOR('',#10042,39.3700787401575); +#6231=VECTOR('',#10093,39.3700787401575); +#6232=VECTOR('',#10094,39.3700787401575); +#6233=VECTOR('',#10095,39.3700787401575); +#6234=VECTOR('',#10096,39.3700787401575); +#6235=VECTOR('',#10097,39.3700787401575); +#6236=VECTOR('',#10098,39.3700787401575); +#6237=VECTOR('',#10099,39.3700787401575); +#6238=VECTOR('',#10100,39.3700787401575); +#6239=VECTOR('',#10101,39.3700787401575); +#6240=VECTOR('',#10102,39.3700787401575); +#6241=VECTOR('',#10103,39.3700787401575); +#6242=VECTOR('',#10104,39.3700787401575); +#6243=VECTOR('',#10105,39.3700787401575); +#6244=VECTOR('',#10106,39.3700787401575); +#6245=VECTOR('',#10107,39.3700787401575); +#6246=VECTOR('',#10108,39.3700787401575); +#6247=VECTOR('',#10109,39.3700787401575); +#6248=VECTOR('',#10110,39.3700787401575); +#6249=VECTOR('',#10111,39.3700787401575); +#6250=VECTOR('',#10112,39.3700787401575); +#6251=VECTOR('',#10113,39.3700787401575); +#6252=VECTOR('',#10114,39.3700787401575); +#6253=VECTOR('',#10115,39.3700787401575); +#6254=VECTOR('',#10116,39.3700787401575); +#6255=VECTOR('',#10117,39.3700787401575); +#6256=VECTOR('',#10118,39.3700787401575); +#6257=VECTOR('',#10119,39.3700787401575); +#6258=VECTOR('',#10120,39.3700787401575); +#6259=VECTOR('',#10121,39.3700787401575); +#6260=VECTOR('',#10122,39.3700787401575); +#6261=VECTOR('',#10123,39.3700787401575); +#6262=VECTOR('',#10124,39.3700787401575); +#6263=VECTOR('',#10125,39.3700787401575); +#6264=VECTOR('',#10126,39.3700787401575); +#6265=VECTOR('',#10127,39.3700787401575); +#6266=VECTOR('',#10128,39.3700787401575); +#6267=VECTOR('',#10129,39.3700787401575); +#6268=VECTOR('',#10130,39.3700787401575); +#6269=VECTOR('',#10131,39.3700787401575); +#6270=VECTOR('',#10132,39.3700787401575); +#6271=VECTOR('',#10133,39.3700787401575); +#6272=VECTOR('',#10134,39.3700787401575); +#6273=VECTOR('',#10135,39.3700787401575); +#6274=VECTOR('',#10136,39.3700787401575); +#6275=VECTOR('',#10137,39.3700787401575); +#6276=VECTOR('',#10138,39.3700787401575); +#6277=VECTOR('',#10139,39.3700787401575); +#6278=VECTOR('',#10140,39.3700787401575); +#6279=VECTOR('',#10141,39.3700787401575); +#6280=VECTOR('',#10142,39.3700787401575); +#6281=VECTOR('',#10143,39.3700787401575); +#6282=VECTOR('',#10144,39.3700787401575); +#6283=VECTOR('',#10145,39.3700787401575); +#6284=VECTOR('',#10146,39.3700787401575); +#6285=VECTOR('',#10147,39.3700787401575); +#6286=VECTOR('',#10148,39.3700787401575); +#6287=VECTOR('',#10153,39.3700787401575); +#6288=VECTOR('',#10158,39.3700787401575); +#6289=VECTOR('',#10165,39.3700787401575); +#6290=VECTOR('',#10172,39.3700787401575); +#6291=VECTOR('',#10179,39.3700787401575); +#6292=VECTOR('',#10186,39.3700787401575); +#6293=VECTOR('',#10193,39.3700787401575); +#6294=VECTOR('',#10200,39.3700787401575); +#6295=VECTOR('',#10207,39.3700787401575); +#6296=VECTOR('',#10208,39.3700787401575); +#6297=VECTOR('',#10211,39.3700787401575); +#6298=VECTOR('',#10212,39.3700787401575); +#6299=VECTOR('',#10215,39.3700787401575); +#6300=VECTOR('',#10216,39.3700787401575); +#6301=VECTOR('',#10219,39.3700787401575); +#6302=VECTOR('',#10220,39.3700787401575); +#6303=VECTOR('',#10223,39.3700787401575); +#6304=VECTOR('',#10224,39.3700787401575); +#6305=VECTOR('',#10227,39.3700787401575); +#6306=VECTOR('',#10228,39.3700787401575); +#6307=VECTOR('',#10231,39.3700787401575); +#6308=VECTOR('',#10232,39.3700787401575); +#6309=VECTOR('',#10235,39.3700787401575); +#6310=VECTOR('',#10236,39.3700787401575); +#6311=VECTOR('',#10239,39.3700787401575); +#6312=VECTOR('',#10240,39.3700787401575); +#6313=VECTOR('',#10241,39.3700787401575); +#6314=VECTOR('',#10242,39.3700787401575); +#6315=VECTOR('',#10243,39.3700787401575); +#6316=VECTOR('',#10244,39.3700787401575); +#6317=VECTOR('',#10245,39.3700787401575); +#6318=VECTOR('',#10246,39.3700787401575); +#6319=VECTOR('',#10247,39.3700787401575); +#6320=VECTOR('',#10248,39.3700787401575); +#6321=VECTOR('',#10249,39.3700787401575); +#6322=VECTOR('',#10250,39.3700787401575); +#6323=VECTOR('',#10251,39.3700787401575); +#6324=VECTOR('',#10252,39.3700787401575); +#6325=VECTOR('',#10253,39.3700787401575); +#6326=VECTOR('',#10254,39.3700787401575); +#6327=VECTOR('',#10255,39.3700787401575); +#6328=VECTOR('',#10256,39.3700787401575); +#6329=VECTOR('',#10257,39.3700787401575); +#6330=VECTOR('',#10258,39.3700787401575); +#6331=VECTOR('',#10259,39.3700787401575); +#6332=VECTOR('',#10260,39.3700787401575); +#6333=VECTOR('',#10261,39.3700787401575); +#6334=VECTOR('',#10262,39.3700787401575); +#6335=VECTOR('',#10263,39.3700787401575); +#6336=VECTOR('',#10264,39.3700787401575); +#6337=VECTOR('',#10265,39.3700787401575); +#6338=VECTOR('',#10266,39.3700787401575); +#6339=VECTOR('',#10267,39.3700787401575); +#6340=VECTOR('',#10268,39.3700787401575); +#6341=VECTOR('',#10269,39.3700787401575); +#6342=VECTOR('',#10270,39.3700787401575); +#6343=VECTOR('',#10271,39.3700787401575); +#6344=VECTOR('',#10272,39.3700787401575); +#6345=VECTOR('',#10273,39.3700787401575); +#6346=VECTOR('',#10274,39.3700787401575); +#6347=VECTOR('',#10277,39.3700787401575); +#6348=VECTOR('',#10278,39.3700787401575); +#6349=VECTOR('',#10279,39.3700787401575); +#6350=VECTOR('',#10280,39.3700787401575); +#6351=VECTOR('',#10281,39.3700787401575); +#6352=VECTOR('',#10282,39.3700787401575); +#6353=VECTOR('',#10283,39.3700787401575); +#6354=VECTOR('',#10284,39.3700787401575); +#6355=VECTOR('',#10285,39.3700787401575); +#6356=VECTOR('',#10286,39.3700787401575); +#6357=VECTOR('',#10287,39.3700787401575); +#6358=VECTOR('',#10288,39.3700787401575); +#6359=VECTOR('',#10289,39.3700787401575); +#6360=VECTOR('',#10290,39.3700787401575); +#6361=VECTOR('',#10291,39.3700787401575); +#6362=VECTOR('',#10292,39.3700787401575); +#6363=VECTOR('',#10293,39.3700787401575); +#6364=VECTOR('',#10294,39.3700787401575); +#6365=VECTOR('',#10295,39.3700787401575); +#6366=VECTOR('',#10296,39.3700787401575); +#6367=VECTOR('',#10297,39.3700787401575); +#6368=VECTOR('',#10298,39.3700787401575); +#6369=VECTOR('',#10299,39.3700787401575); +#6370=VECTOR('',#10300,39.3700787401575); +#6371=VECTOR('',#10301,39.3700787401575); +#6372=VECTOR('',#10302,39.3700787401575); +#6373=VECTOR('',#10303,39.3700787401575); +#6374=VECTOR('',#10304,39.3700787401575); +#6375=VECTOR('',#10305,39.3700787401575); +#6376=VECTOR('',#10306,39.3700787401575); +#6377=VECTOR('',#10309,39.3700787401575); +#6378=VECTOR('',#10310,39.3700787401575); +#6379=VECTOR('',#10311,39.3700787401575); +#6380=VECTOR('',#10314,39.3700787401575); +#6381=VECTOR('',#10315,39.3700787401575); +#6382=VECTOR('',#10316,39.3700787401575); +#6383=VECTOR('',#10319,39.3700787401575); +#6384=VECTOR('',#10320,39.3700787401575); +#6385=VECTOR('',#10321,39.3700787401575); +#6386=VECTOR('',#10324,39.3700787401575); +#6387=VECTOR('',#10325,39.3700787401575); +#6388=VECTOR('',#10332,39.3700787401575); +#6389=VECTOR('',#10333,39.3700787401575); +#6390=VECTOR('',#10334,39.3700787401575); +#6391=VECTOR('',#10337,39.3700787401575); +#6392=VECTOR('',#10338,39.3700787401575); +#6393=VECTOR('',#10339,39.3700787401575); +#6394=VECTOR('',#10342,39.3700787401575); +#6395=VECTOR('',#10343,39.3700787401575); +#6396=VECTOR('',#10344,39.3700787401575); +#6397=VECTOR('',#10347,39.3700787401575); +#6398=VECTOR('',#10348,39.3700787401575); +#6399=VECTOR('',#10473,39.3700787401575); +#6400=VECTOR('',#10474,39.3700787401575); +#6401=VECTOR('',#10475,39.3700787401575); +#6402=VECTOR('',#10476,39.3700787401575); +#6403=VECTOR('',#10477,39.3700787401575); +#6404=VECTOR('',#10478,39.3700787401575); +#6405=VECTOR('',#10479,39.3700787401575); +#6406=VECTOR('',#10480,39.3700787401575); +#6407=VECTOR('',#10481,39.3700787401575); +#6408=VECTOR('',#10482,39.3700787401575); +#6409=VECTOR('',#10483,39.3700787401575); +#6410=VECTOR('',#10484,39.3700787401575); +#6411=VECTOR('',#10485,39.3700787401575); +#6412=VECTOR('',#10486,39.3700787401575); +#6413=VECTOR('',#10487,39.3700787401575); +#6414=VECTOR('',#10488,39.3700787401575); +#6415=VECTOR('',#10489,39.3700787401575); +#6416=VECTOR('',#10490,39.3700787401575); +#6417=VECTOR('',#10491,39.3700787401575); +#6418=VECTOR('',#10492,39.3700787401575); +#6419=VECTOR('',#10493,39.3700787401575); +#6420=VECTOR('',#10494,39.3700787401575); +#6421=VECTOR('',#10495,39.3700787401575); +#6422=VECTOR('',#10496,39.3700787401575); +#6423=VECTOR('',#10497,39.3700787401575); +#6424=VECTOR('',#10498,39.3700787401575); +#6425=VECTOR('',#10499,39.3700787401575); +#6426=VECTOR('',#10500,39.3700787401575); +#6427=VECTOR('',#10501,39.3700787401575); +#6428=VECTOR('',#10502,39.3700787401575); +#6429=VECTOR('',#10503,39.3700787401575); +#6430=VECTOR('',#10504,39.3700787401575); +#6431=VECTOR('',#10505,39.3700787401575); +#6432=VECTOR('',#10506,39.3700787401575); +#6433=VECTOR('',#10507,39.3700787401575); +#6434=VECTOR('',#10508,39.3700787401575); +#6435=VECTOR('',#10511,39.3700787401575); +#6436=VECTOR('',#10512,39.3700787401575); +#6437=VECTOR('',#10513,39.3700787401575); +#6438=VECTOR('',#10514,39.3700787401575); +#6439=VECTOR('',#10515,39.3700787401575); +#6440=VECTOR('',#10516,39.3700787401575); +#6441=VECTOR('',#10517,39.3700787401575); +#6442=VECTOR('',#10518,39.3700787401575); +#6443=VECTOR('',#10519,39.3700787401575); +#6444=VECTOR('',#10520,39.3700787401575); +#6445=VECTOR('',#10521,39.3700787401575); +#6446=VECTOR('',#10522,39.3700787401575); +#6447=VECTOR('',#10523,39.3700787401575); +#6448=VECTOR('',#10524,39.3700787401575); +#6449=VECTOR('',#10525,39.3700787401575); +#6450=VECTOR('',#10526,39.3700787401575); +#6451=VECTOR('',#10527,39.3700787401575); +#6452=VECTOR('',#10528,39.3700787401575); +#6453=VECTOR('',#10529,39.3700787401575); +#6454=VECTOR('',#10530,39.3700787401575); +#6455=VECTOR('',#10531,39.3700787401575); +#6456=VECTOR('',#10532,39.3700787401575); +#6457=VECTOR('',#10533,39.3700787401575); +#6458=VECTOR('',#10534,39.3700787401575); +#6459=VECTOR('',#10535,39.3700787401575); +#6460=VECTOR('',#10536,39.3700787401575); +#6461=VECTOR('',#10537,39.3700787401575); +#6462=VECTOR('',#10538,39.3700787401575); +#6463=VECTOR('',#10539,39.3700787401575); +#6464=VECTOR('',#10540,39.3700787401575); +#6465=VECTOR('',#10541,39.3700787401575); +#6466=VECTOR('',#10542,39.3700787401575); +#6467=VECTOR('',#10543,39.3700787401575); +#6468=VECTOR('',#10544,39.3700787401575); +#6469=VECTOR('',#10545,39.3700787401575); +#6470=VECTOR('',#10546,39.3700787401575); +#6471=VECTOR('',#10549,39.3700787401575); +#6472=VECTOR('',#10550,39.3700787401575); +#6473=VECTOR('',#10551,39.3700787401575); +#6474=VECTOR('',#10552,39.3700787401575); +#6475=VECTOR('',#10555,39.3700787401575); +#6476=VECTOR('',#10556,39.3700787401575); +#6477=VECTOR('',#10557,39.3700787401575); +#6478=VECTOR('',#10560,39.3700787401575); +#6479=VECTOR('',#10561,39.3700787401575); +#6480=VECTOR('',#10562,39.3700787401575); +#6481=VECTOR('',#10565,39.3700787401575); +#6482=VECTOR('',#10566,39.3700787401575); +#6483=VECTOR('',#10567,39.3700787401575); +#6484=VECTOR('',#10568,39.3700787401575); +#6485=VECTOR('',#10571,39.3700787401575); +#6486=VECTOR('',#10572,39.3700787401575); +#6487=VECTOR('',#10573,39.3700787401575); +#6488=VECTOR('',#10576,39.3700787401575); +#6489=VECTOR('',#10577,39.3700787401575); +#6490=VECTOR('',#10578,39.3700787401575); +#6491=VECTOR('',#10581,39.3700787401575); +#6492=VECTOR('',#10582,39.3700787401575); +#6493=VECTOR('',#10583,39.3700787401575); +#6494=VECTOR('',#10584,39.3700787401575); +#6495=VECTOR('',#10587,39.3700787401575); +#6496=VECTOR('',#10588,39.3700787401575); +#6497=VECTOR('',#10589,39.3700787401575); +#6498=VECTOR('',#10592,39.3700787401575); +#6499=VECTOR('',#10593,39.3700787401575); +#6500=VECTOR('',#10594,39.3700787401575); +#6501=VECTOR('',#10597,39.3700787401575); +#6502=VECTOR('',#10598,39.3700787401575); +#6503=VECTOR('',#10599,39.3700787401575); +#6504=VECTOR('',#10600,39.3700787401575); +#6505=VECTOR('',#10603,39.3700787401575); +#6506=VECTOR('',#10604,39.3700787401575); +#6507=VECTOR('',#10605,39.3700787401575); +#6508=VECTOR('',#10608,39.3700787401575); +#6509=VECTOR('',#10609,39.3700787401575); +#6510=VECTOR('',#10610,39.3700787401575); +#6511=VECTOR('',#10613,39.3700787401575); +#6512=VECTOR('',#10614,39.3700787401575); +#6513=VECTOR('',#10615,39.3700787401575); +#6514=VECTOR('',#10616,39.3700787401575); +#6515=VECTOR('',#10619,39.3700787401575); +#6516=VECTOR('',#10620,39.3700787401575); +#6517=VECTOR('',#10621,39.3700787401575); +#6518=VECTOR('',#10624,39.3700787401575); +#6519=VECTOR('',#10625,39.3700787401575); +#6520=VECTOR('',#10626,39.3700787401575); +#6521=VECTOR('',#10629,39.3700787401575); +#6522=VECTOR('',#10630,39.3700787401575); +#6523=VECTOR('',#10631,39.3700787401575); +#6524=VECTOR('',#10632,39.3700787401575); +#6525=VECTOR('',#10635,39.3700787401575); +#6526=VECTOR('',#10636,39.3700787401575); +#6527=VECTOR('',#10637,39.3700787401575); +#6528=VECTOR('',#10640,39.3700787401575); +#6529=VECTOR('',#10641,39.3700787401575); +#6530=VECTOR('',#10642,39.3700787401575); +#6531=VECTOR('',#10645,39.3700787401575); +#6532=VECTOR('',#10646,39.3700787401575); +#6533=VECTOR('',#10647,39.3700787401575); +#6534=VECTOR('',#10648,39.3700787401575); +#6535=VECTOR('',#10651,39.3700787401575); +#6536=VECTOR('',#10652,39.3700787401575); +#6537=VECTOR('',#10653,39.3700787401575); +#6538=VECTOR('',#10656,39.3700787401575); +#6539=VECTOR('',#10657,39.3700787401575); +#6540=VECTOR('',#10658,39.3700787401575); +#6541=VECTOR('',#10661,39.3700787401575); +#6542=VECTOR('',#10662,39.3700787401575); +#6543=VECTOR('',#10663,39.3700787401575); +#6544=VECTOR('',#10664,39.3700787401575); +#6545=VECTOR('',#10667,39.3700787401575); +#6546=VECTOR('',#10668,39.3700787401575); +#6547=VECTOR('',#10669,39.3700787401575); +#6548=VECTOR('',#10672,39.3700787401575); +#6549=VECTOR('',#10673,39.3700787401575); +#6550=VECTOR('',#10674,39.3700787401575); +#6551=VECTOR('',#10677,39.3700787401575); +#6552=VECTOR('',#10678,39.3700787401575); +#6553=VECTOR('',#10679,39.3700787401575); +#6554=VECTOR('',#10680,39.3700787401575); +#6555=VECTOR('',#10683,39.3700787401575); +#6556=VECTOR('',#10684,39.3700787401575); +#6557=VECTOR('',#10685,39.3700787401575); +#6558=VECTOR('',#10688,39.3700787401575); +#6559=VECTOR('',#10689,39.3700787401575); +#6560=VECTOR('',#10690,39.3700787401575); +#6561=VECTOR('',#10693,39.3700787401575); +#6562=VECTOR('',#10694,39.3700787401575); +#6563=VECTOR('',#10695,39.3700787401575); +#6564=VECTOR('',#10696,39.3700787401575); +#6565=VECTOR('',#10699,39.3700787401575); +#6566=VECTOR('',#10700,39.3700787401575); +#6567=VECTOR('',#10701,39.3700787401575); +#6568=VECTOR('',#10704,39.3700787401575); +#6569=VECTOR('',#10705,39.3700787401575); +#6570=VECTOR('',#10706,39.3700787401575); +#6571=VECTOR('',#10709,39.3700787401575); +#6572=VECTOR('',#10710,39.3700787401575); +#6573=VECTOR('',#10711,39.3700787401575); +#6574=VECTOR('',#10712,39.3700787401575); +#6575=VECTOR('',#10715,39.3700787401575); +#6576=VECTOR('',#10716,39.3700787401575); +#6577=VECTOR('',#10717,39.3700787401575); +#6578=VECTOR('',#10720,39.3700787401575); +#6579=VECTOR('',#10721,39.3700787401575); +#6580=VECTOR('',#10722,39.3700787401575); +#6581=VECTOR('',#10725,39.3700787401575); +#6582=VECTOR('',#10726,39.3700787401575); +#6583=VECTOR('',#10727,39.3700787401575); +#6584=VECTOR('',#10728,39.3700787401575); +#6585=VECTOR('',#10731,39.3700787401575); +#6586=VECTOR('',#10732,39.3700787401575); +#6587=VECTOR('',#10733,39.3700787401575); +#6588=VECTOR('',#10736,39.3700787401575); +#6589=VECTOR('',#10737,39.3700787401575); +#6590=VECTOR('',#10738,39.3700787401575); +#6591=VECTOR('',#10741,39.3700787401575); +#6592=VECTOR('',#10742,39.3700787401575); +#6593=VECTOR('',#10743,39.3700787401575); +#6594=VECTOR('',#10744,39.3700787401575); +#6595=VECTOR('',#10747,39.3700787401575); +#6596=VECTOR('',#10748,39.3700787401575); +#6597=VECTOR('',#10749,39.3700787401575); +#6598=VECTOR('',#10752,39.3700787401575); +#6599=VECTOR('',#10753,39.3700787401575); +#6600=VECTOR('',#10754,39.3700787401575); +#6601=VECTOR('',#10757,39.3700787401575); +#6602=VECTOR('',#10758,39.3700787401575); +#6603=VECTOR('',#10759,39.3700787401575); +#6604=VECTOR('',#10760,39.3700787401575); +#6605=VECTOR('',#10763,39.3700787401575); +#6606=VECTOR('',#10764,39.3700787401575); +#6607=VECTOR('',#10765,39.3700787401575); +#6608=VECTOR('',#10768,39.3700787401575); +#6609=VECTOR('',#10769,39.3700787401575); +#6610=VECTOR('',#10770,39.3700787401575); +#6611=VECTOR('',#10773,39.3700787401575); +#6612=VECTOR('',#10774,39.3700787401575); +#6613=VECTOR('',#10775,39.3700787401575); +#6614=VECTOR('',#10776,39.3700787401575); +#6615=VECTOR('',#10779,39.3700787401575); +#6616=VECTOR('',#10780,39.3700787401575); +#6617=VECTOR('',#10781,39.3700787401575); +#6618=VECTOR('',#10784,39.3700787401575); +#6619=VECTOR('',#10785,39.3700787401575); +#6620=VECTOR('',#10786,39.3700787401575); +#6621=VECTOR('',#10789,39.3700787401575); +#6622=VECTOR('',#10790,39.3700787401575); +#6623=VECTOR('',#10791,39.3700787401575); +#6624=VECTOR('',#10792,39.3700787401575); +#6625=VECTOR('',#10795,39.3700787401575); +#6626=VECTOR('',#10796,39.3700787401575); +#6627=VECTOR('',#10797,39.3700787401575); +#6628=VECTOR('',#10800,39.3700787401575); +#6629=VECTOR('',#10801,39.3700787401575); +#6630=VECTOR('',#10802,39.3700787401575); +#6631=VECTOR('',#10805,39.3700787401575); +#6632=VECTOR('',#10806,39.3700787401575); +#6633=VECTOR('',#10809,39.3700787401575); +#6634=VECTOR('',#10810,39.3700787401575); +#6635=VECTOR('',#10813,39.3700787401575); +#6636=VECTOR('',#10814,39.3700787401575); +#6637=VECTOR('',#10817,39.3700787401575); +#6638=VECTOR('',#10818,39.3700787401575); +#6639=VECTOR('',#10821,39.3700787401575); +#6640=VECTOR('',#10822,39.3700787401575); +#6641=VECTOR('',#10825,39.3700787401575); +#6642=VECTOR('',#10826,39.3700787401575); +#6643=VECTOR('',#10829,39.3700787401575); +#6644=VECTOR('',#10830,39.3700787401575); +#6645=VECTOR('',#10833,39.3700787401575); +#6646=VECTOR('',#10834,39.3700787401575); +#6647=VECTOR('',#10837,39.3700787401575); +#6648=VECTOR('',#10838,39.3700787401575); +#6649=VECTOR('',#10841,39.3700787401575); +#6650=VECTOR('',#10842,39.3700787401575); +#6651=VECTOR('',#10845,39.3700787401575); +#6652=VECTOR('',#10846,39.3700787401575); +#6653=VECTOR('',#10849,39.3700787401575); +#6654=VECTOR('',#10850,39.3700787401575); +#6655=VECTOR('',#10853,39.3700787401575); +#6656=VECTOR('',#10854,39.3700787401575); +#6657=VECTOR('',#10857,39.3700787401575); +#6658=VECTOR('',#10858,39.3700787401575); +#6659=VECTOR('',#10861,39.3700787401575); +#6660=VECTOR('',#10862,39.3700787401575); +#6661=VECTOR('',#10865,39.3700787401575); +#6662=VECTOR('',#10866,39.3700787401575); +#6663=VECTOR('',#10869,39.3700787401575); +#6664=VECTOR('',#10870,39.3700787401575); +#6665=VECTOR('',#10873,39.3700787401575); +#6666=VECTOR('',#10874,39.3700787401575); +#6667=VECTOR('',#10877,39.3700787401575); +#6668=VECTOR('',#10878,39.3700787401575); +#6669=VECTOR('',#10881,39.3700787401575); +#6670=VECTOR('',#10882,39.3700787401575); +#6671=VECTOR('',#10885,39.3700787401575); +#6672=VECTOR('',#10886,39.3700787401575); +#6673=VECTOR('',#10889,39.3700787401575); +#6674=VECTOR('',#10890,39.3700787401575); +#6675=VECTOR('',#10893,39.3700787401575); +#6676=VECTOR('',#10894,39.3700787401575); +#6677=VECTOR('',#10897,39.3700787401575); +#6678=VECTOR('',#10898,39.3700787401575); +#6679=VECTOR('',#10901,39.3700787401575); +#6680=VECTOR('',#10902,39.3700787401575); +#6681=VECTOR('',#10905,39.3700787401575); +#6682=VECTOR('',#10908,39.3700787401575); +#6683=VECTOR('',#10913,39.3700787401575); +#6684=VECTOR('',#10916,39.3700787401575); +#6685=VECTOR('',#10921,39.3700787401575); +#6686=VECTOR('',#10924,39.3700787401575); +#6687=VECTOR('',#10929,39.3700787401575); +#6688=VECTOR('',#10932,39.3700787401575); +#6689=VECTOR('',#10937,39.3700787401575); +#6690=VECTOR('',#10940,39.3700787401575); +#6691=VECTOR('',#10945,39.3700787401575); +#6692=VECTOR('',#10948,39.3700787401575); +#6693=VECTOR('',#10953,39.3700787401575); +#6694=VECTOR('',#10956,39.3700787401575); +#6695=VECTOR('',#10961,39.3700787401575); +#6696=VECTOR('',#10964,39.3700787401575); +#6697=VECTOR('',#10969,39.3700787401575); +#6698=VECTOR('',#10972,39.3700787401575); +#6699=EDGE_LOOP('',(#223,#224,#225,#226)); +#6700=EDGE_LOOP('',(#227,#228,#229,#230)); +#6701=EDGE_LOOP('',(#231,#232,#233,#234)); +#6702=EDGE_LOOP('',(#235,#236,#237,#238)); +#6703=EDGE_LOOP('',(#239,#240,#241,#242)); +#6704=EDGE_LOOP('',(#243,#244,#245,#246)); +#6705=EDGE_LOOP('',(#247,#248,#249,#250)); +#6706=EDGE_LOOP('',(#251,#252,#253,#254)); +#6707=EDGE_LOOP('',(#255,#256,#257,#258)); +#6708=EDGE_LOOP('',(#259,#260,#261,#262)); +#6709=EDGE_LOOP('',(#263,#264,#265,#266)); +#6710=EDGE_LOOP('',(#267,#268,#269,#270)); +#6711=EDGE_LOOP('',(#271,#272,#273,#274)); +#6712=EDGE_LOOP('',(#275,#276,#277,#278)); +#6713=EDGE_LOOP('',(#279,#280,#281,#282)); +#6714=EDGE_LOOP('',(#283,#284,#285,#286)); +#6715=EDGE_LOOP('',(#287,#288,#289,#290)); +#6716=EDGE_LOOP('',(#291,#292,#293,#294)); +#6717=EDGE_LOOP('',(#295,#296,#297,#298)); +#6718=EDGE_LOOP('',(#299,#300,#301,#302)); +#6719=EDGE_LOOP('',(#303,#304,#305,#306)); +#6720=EDGE_LOOP('',(#307,#308,#309,#310)); +#6721=EDGE_LOOP('',(#311,#312,#313,#314)); +#6722=EDGE_LOOP('',(#315,#316,#317,#318)); +#6723=EDGE_LOOP('',(#319,#320,#321,#322)); +#6724=EDGE_LOOP('',(#323,#324,#325,#326)); +#6725=EDGE_LOOP('',(#327,#328,#329,#330)); +#6726=EDGE_LOOP('',(#331,#332,#333,#334)); +#6727=EDGE_LOOP('',(#335,#336,#337,#338)); +#6728=EDGE_LOOP('',(#339,#340,#341,#342)); +#6729=EDGE_LOOP('',(#343,#344,#345,#346)); +#6730=EDGE_LOOP('',(#347,#348,#349,#350)); +#6731=EDGE_LOOP('',(#351,#352,#353,#354)); +#6732=EDGE_LOOP('',(#355,#356,#357,#358)); +#6733=EDGE_LOOP('',(#359,#360,#361,#362)); +#6734=EDGE_LOOP('',(#363,#364,#365,#366)); +#6735=EDGE_LOOP('',(#367,#368,#369,#370)); +#6736=EDGE_LOOP('',(#371,#372,#373,#374)); +#6737=EDGE_LOOP('',(#375,#376,#377,#378)); +#6738=EDGE_LOOP('',(#379,#380,#381,#382)); +#6739=EDGE_LOOP('',(#383,#384,#385,#386)); +#6740=EDGE_LOOP('',(#387,#388,#389,#390)); +#6741=EDGE_LOOP('',(#391,#392,#393,#394)); +#6742=EDGE_LOOP('',(#395,#396,#397,#398)); +#6743=EDGE_LOOP('',(#399,#400,#401,#402)); +#6744=EDGE_LOOP('',(#403,#404,#405,#406)); +#6745=EDGE_LOOP('',(#407,#408,#409,#410)); +#6746=EDGE_LOOP('',(#411,#412,#413,#414)); +#6747=EDGE_LOOP('',(#415,#416,#417,#418)); +#6748=EDGE_LOOP('',(#419,#420,#421,#422)); +#6749=EDGE_LOOP('',(#423,#424,#425,#426)); +#6750=EDGE_LOOP('',(#427,#428,#429,#430)); +#6751=EDGE_LOOP('',(#431,#432,#433,#434)); +#6752=EDGE_LOOP('',(#435,#436,#437,#438)); +#6753=EDGE_LOOP('',(#439,#440,#441,#442)); +#6754=EDGE_LOOP('',(#443,#444,#445,#446)); +#6755=EDGE_LOOP('',(#447,#448,#449,#450)); +#6756=EDGE_LOOP('',(#451,#452,#453,#454)); +#6757=EDGE_LOOP('',(#455,#456,#457,#458)); +#6758=EDGE_LOOP('',(#459,#460,#461,#462)); +#6759=EDGE_LOOP('',(#463,#464,#465,#466)); +#6760=EDGE_LOOP('',(#467,#468,#469,#470)); +#6761=EDGE_LOOP('',(#471,#472,#473,#474)); +#6762=EDGE_LOOP('',(#475,#476,#477,#478)); +#6763=EDGE_LOOP('',(#479,#480,#481,#482)); +#6764=EDGE_LOOP('',(#483,#484,#485,#486)); +#6765=EDGE_LOOP('',(#487,#488,#489,#490)); +#6766=EDGE_LOOP('',(#491,#492,#493,#494)); +#6767=EDGE_LOOP('',(#495,#496,#497,#498)); +#6768=EDGE_LOOP('',(#499,#500,#501,#502)); +#6769=EDGE_LOOP('',(#503,#504,#505,#506)); +#6770=EDGE_LOOP('',(#507,#508,#509,#510)); +#6771=EDGE_LOOP('',(#511,#512,#513,#514)); +#6772=EDGE_LOOP('',(#515,#516,#517,#518)); +#6773=EDGE_LOOP('',(#519,#520,#521,#522)); +#6774=EDGE_LOOP('',(#523,#524,#525,#526)); +#6775=EDGE_LOOP('',(#527,#528,#529,#530)); +#6776=EDGE_LOOP('',(#531,#532,#533,#534)); +#6777=EDGE_LOOP('',(#535,#536,#537,#538)); +#6778=EDGE_LOOP('',(#539,#540,#541,#542)); +#6779=EDGE_LOOP('',(#543,#544,#545,#546)); +#6780=EDGE_LOOP('',(#547,#548,#549,#550)); +#6781=EDGE_LOOP('',(#551,#552,#553,#554)); +#6782=EDGE_LOOP('',(#555,#556,#557,#558)); +#6783=EDGE_LOOP('',(#559,#560,#561,#562)); +#6784=EDGE_LOOP('',(#563,#564,#565,#566)); +#6785=EDGE_LOOP('',(#567,#568,#569,#570)); +#6786=EDGE_LOOP('',(#571,#572,#573,#574)); +#6787=EDGE_LOOP('',(#575,#576,#577,#578)); +#6788=EDGE_LOOP('',(#579,#580,#581,#582)); +#6789=EDGE_LOOP('',(#583,#584,#585,#586)); +#6790=EDGE_LOOP('',(#587,#588,#589,#590)); +#6791=EDGE_LOOP('',(#591,#592,#593,#594)); +#6792=EDGE_LOOP('',(#595,#596,#597,#598)); +#6793=EDGE_LOOP('',(#599,#600,#601,#602)); +#6794=EDGE_LOOP('',(#603,#604,#605,#606)); +#6795=EDGE_LOOP('',(#607,#608,#609,#610)); +#6796=EDGE_LOOP('',(#611,#612,#613,#614)); +#6797=EDGE_LOOP('',(#615,#616,#617,#618)); +#6798=EDGE_LOOP('',(#619,#620,#621,#622)); +#6799=EDGE_LOOP('',(#623,#624,#625,#626)); +#6800=EDGE_LOOP('',(#627,#628,#629,#630)); +#6801=EDGE_LOOP('',(#631,#632,#633,#634)); +#6802=EDGE_LOOP('',(#635,#636,#637,#638)); +#6803=EDGE_LOOP('',(#639,#640,#641,#642)); +#6804=EDGE_LOOP('',(#643,#644,#645,#646)); +#6805=EDGE_LOOP('',(#647,#648,#649,#650)); +#6806=EDGE_LOOP('',(#651,#652,#653,#654)); +#6807=EDGE_LOOP('',(#655,#656,#657,#658)); +#6808=EDGE_LOOP('',(#659,#660,#661,#662)); +#6809=EDGE_LOOP('',(#663,#664,#665,#666)); +#6810=EDGE_LOOP('',(#667,#668,#669,#670)); +#6811=EDGE_LOOP('',(#671,#672,#673,#674)); +#6812=EDGE_LOOP('',(#675,#676,#677,#678)); +#6813=EDGE_LOOP('',(#679,#680,#681,#682)); +#6814=EDGE_LOOP('',(#683,#684,#685,#686)); +#6815=EDGE_LOOP('',(#687,#688,#689,#690)); +#6816=EDGE_LOOP('',(#691,#692,#693,#694)); +#6817=EDGE_LOOP('',(#695,#696,#697,#698)); +#6818=EDGE_LOOP('',(#699,#700,#701,#702)); +#6819=EDGE_LOOP('',(#703,#704,#705,#706,#707,#708,#709,#710,#711,#712,#713, +#714,#715,#716,#717,#718,#719,#720,#721,#722,#723,#724,#725,#726,#727,#728, +#729,#730,#731,#732,#733,#734,#735,#736,#737,#738,#739,#740,#741,#742,#743, +#744,#745,#746,#747,#748,#749,#750,#751,#752,#753,#754,#755,#756,#757,#758, +#759,#760,#761,#762,#763,#764,#765,#766)); +#6820=EDGE_LOOP('',(#767,#768,#769,#770)); +#6821=EDGE_LOOP('',(#771,#772,#773,#774)); +#6822=EDGE_LOOP('',(#775,#776,#777,#778)); +#6823=EDGE_LOOP('',(#779,#780,#781,#782)); +#6824=EDGE_LOOP('',(#783,#784,#785,#786)); +#6825=EDGE_LOOP('',(#787,#788,#789,#790)); +#6826=EDGE_LOOP('',(#791,#792,#793,#794)); +#6827=EDGE_LOOP('',(#795,#796,#797,#798)); +#6828=EDGE_LOOP('',(#799,#800,#801,#802)); +#6829=EDGE_LOOP('',(#803,#804,#805,#806)); +#6830=EDGE_LOOP('',(#807,#808,#809,#810)); +#6831=EDGE_LOOP('',(#811,#812,#813,#814)); +#6832=EDGE_LOOP('',(#815,#816,#817,#818)); +#6833=EDGE_LOOP('',(#819,#820,#821,#822)); +#6834=EDGE_LOOP('',(#823,#824,#825,#826)); +#6835=EDGE_LOOP('',(#827,#828,#829,#830)); +#6836=EDGE_LOOP('',(#831,#832,#833,#834)); +#6837=EDGE_LOOP('',(#835,#836,#837,#838)); +#6838=EDGE_LOOP('',(#839,#840,#841,#842)); +#6839=EDGE_LOOP('',(#843,#844,#845,#846)); +#6840=EDGE_LOOP('',(#847,#848,#849,#850)); +#6841=EDGE_LOOP('',(#851,#852,#853,#854)); +#6842=EDGE_LOOP('',(#855,#856,#857,#858)); +#6843=EDGE_LOOP('',(#859,#860,#861,#862)); +#6844=EDGE_LOOP('',(#863,#864,#865,#866)); +#6845=EDGE_LOOP('',(#867,#868,#869,#870)); +#6846=EDGE_LOOP('',(#871,#872,#873,#874)); +#6847=EDGE_LOOP('',(#875,#876,#877,#878)); +#6848=EDGE_LOOP('',(#879,#880,#881,#882)); +#6849=EDGE_LOOP('',(#883,#884,#885,#886)); +#6850=EDGE_LOOP('',(#887,#888,#889,#890)); +#6851=EDGE_LOOP('',(#891,#892,#893,#894)); +#6852=EDGE_LOOP('',(#895,#896,#897,#898)); +#6853=EDGE_LOOP('',(#899,#900,#901,#902)); +#6854=EDGE_LOOP('',(#903,#904,#905,#906)); +#6855=EDGE_LOOP('',(#907,#908,#909,#910)); +#6856=EDGE_LOOP('',(#911,#912,#913,#914)); +#6857=EDGE_LOOP('',(#915,#916,#917,#918)); +#6858=EDGE_LOOP('',(#919,#920,#921,#922)); +#6859=EDGE_LOOP('',(#923,#924,#925,#926)); +#6860=EDGE_LOOP('',(#927,#928,#929,#930)); +#6861=EDGE_LOOP('',(#931,#932,#933,#934)); +#6862=EDGE_LOOP('',(#935,#936,#937,#938)); +#6863=EDGE_LOOP('',(#939,#940,#941,#942)); +#6864=EDGE_LOOP('',(#943,#944,#945,#946)); +#6865=EDGE_LOOP('',(#947,#948,#949,#950)); +#6866=EDGE_LOOP('',(#951,#952,#953,#954)); +#6867=EDGE_LOOP('',(#955,#956,#957,#958)); +#6868=EDGE_LOOP('',(#959,#960,#961,#962)); +#6869=EDGE_LOOP('',(#963,#964,#965,#966)); +#6870=EDGE_LOOP('',(#967,#968,#969,#970)); +#6871=EDGE_LOOP('',(#971,#972,#973,#974)); +#6872=EDGE_LOOP('',(#975,#976,#977,#978)); +#6873=EDGE_LOOP('',(#979,#980,#981,#982)); +#6874=EDGE_LOOP('',(#983,#984,#985,#986)); +#6875=EDGE_LOOP('',(#987,#988,#989,#990)); +#6876=EDGE_LOOP('',(#991,#992,#993,#994)); +#6877=EDGE_LOOP('',(#995,#996,#997,#998)); +#6878=EDGE_LOOP('',(#999,#1000,#1001,#1002)); +#6879=EDGE_LOOP('',(#1003,#1004,#1005,#1006)); +#6880=EDGE_LOOP('',(#1007,#1008,#1009,#1010)); +#6881=EDGE_LOOP('',(#1011,#1012,#1013,#1014)); +#6882=EDGE_LOOP('',(#1015,#1016,#1017,#1018)); +#6883=EDGE_LOOP('',(#1019,#1020,#1021,#1022)); +#6884=EDGE_LOOP('',(#1023,#1024,#1025,#1026)); +#6885=EDGE_LOOP('',(#1027,#1028,#1029,#1030)); +#6886=EDGE_LOOP('',(#1031,#1032,#1033,#1034)); +#6887=EDGE_LOOP('',(#1035,#1036,#1037,#1038)); +#6888=EDGE_LOOP('',(#1039,#1040,#1041,#1042)); +#6889=EDGE_LOOP('',(#1043,#1044,#1045,#1046)); +#6890=EDGE_LOOP('',(#1047,#1048,#1049,#1050)); +#6891=EDGE_LOOP('',(#1051,#1052,#1053,#1054)); +#6892=EDGE_LOOP('',(#1055,#1056,#1057,#1058)); +#6893=EDGE_LOOP('',(#1059,#1060,#1061,#1062)); +#6894=EDGE_LOOP('',(#1063,#1064,#1065,#1066)); +#6895=EDGE_LOOP('',(#1067,#1068,#1069,#1070)); +#6896=EDGE_LOOP('',(#1071,#1072,#1073,#1074)); +#6897=EDGE_LOOP('',(#1075,#1076,#1077,#1078)); +#6898=EDGE_LOOP('',(#1079,#1080,#1081,#1082)); +#6899=EDGE_LOOP('',(#1083,#1084,#1085,#1086)); +#6900=EDGE_LOOP('',(#1087,#1088,#1089,#1090)); +#6901=EDGE_LOOP('',(#1091,#1092,#1093,#1094)); +#6902=EDGE_LOOP('',(#1095,#1096,#1097,#1098)); +#6903=EDGE_LOOP('',(#1099,#1100,#1101,#1102)); +#6904=EDGE_LOOP('',(#1103,#1104,#1105,#1106)); +#6905=EDGE_LOOP('',(#1107,#1108,#1109,#1110)); +#6906=EDGE_LOOP('',(#1111,#1112,#1113,#1114)); +#6907=EDGE_LOOP('',(#1115,#1116,#1117,#1118)); +#6908=EDGE_LOOP('',(#1119,#1120,#1121,#1122,#1123,#1124,#1125,#1126,#1127, +#1128,#1129,#1130,#1131,#1132,#1133,#1134,#1135,#1136,#1137,#1138,#1139, +#1140,#1141,#1142,#1143,#1144,#1145,#1146,#1147,#1148,#1149,#1150,#1151, +#1152,#1153,#1154,#1155,#1156,#1157,#1158,#1159,#1160,#1161,#1162,#1163, +#1164,#1165,#1166,#1167,#1168,#1169,#1170,#1171,#1172,#1173,#1174,#1175, +#1176,#1177,#1178,#1179,#1180,#1181,#1182)); +#6909=EDGE_LOOP('',(#1183,#1184,#1185,#1186)); +#6910=EDGE_LOOP('',(#1187,#1188,#1189,#1190)); +#6911=EDGE_LOOP('',(#1191,#1192,#1193,#1194)); +#6912=EDGE_LOOP('',(#1195,#1196,#1197,#1198)); +#6913=EDGE_LOOP('',(#1199,#1200,#1201,#1202)); +#6914=EDGE_LOOP('',(#1203,#1204,#1205,#1206)); +#6915=EDGE_LOOP('',(#1207,#1208,#1209,#1210)); +#6916=EDGE_LOOP('',(#1211,#1212,#1213,#1214)); +#6917=EDGE_LOOP('',(#1215,#1216,#1217,#1218)); +#6918=EDGE_LOOP('',(#1219,#1220,#1221,#1222)); +#6919=EDGE_LOOP('',(#1223,#1224,#1225,#1226)); +#6920=EDGE_LOOP('',(#1227,#1228,#1229,#1230)); +#6921=EDGE_LOOP('',(#1231,#1232,#1233,#1234)); +#6922=EDGE_LOOP('',(#1235,#1236,#1237,#1238)); +#6923=EDGE_LOOP('',(#1239,#1240,#1241,#1242)); +#6924=EDGE_LOOP('',(#1243,#1244,#1245,#1246)); +#6925=EDGE_LOOP('',(#1247,#1248,#1249,#1250,#1251,#1252,#1253,#1254,#1255, +#1256,#1257,#1258,#1259,#1260,#1261,#1262,#1263,#1264,#1265,#1266,#1267, +#1268,#1269,#1270,#1271,#1272,#1273,#1274,#1275,#1276,#1277,#1278,#1279, +#1280,#1281,#1282,#1283,#1284,#1285,#1286,#1287,#1288,#1289,#1290,#1291, +#1292,#1293,#1294,#1295,#1296,#1297,#1298,#1299,#1300,#1301,#1302,#1303, +#1304,#1305,#1306,#1307,#1308,#1309,#1310,#1311,#1312,#1313,#1314)); +#6926=EDGE_LOOP('',(#1315,#1316,#1317,#1318,#1319,#1320,#1321,#1322,#1323, +#1324,#1325,#1326,#1327,#1328,#1329,#1330,#1331,#1332,#1333,#1334,#1335, +#1336,#1337,#1338,#1339,#1340,#1341,#1342,#1343,#1344,#1345,#1346,#1347, +#1348,#1349,#1350,#1351,#1352,#1353,#1354,#1355,#1356,#1357,#1358,#1359, +#1360,#1361,#1362,#1363,#1364,#1365,#1366,#1367,#1368,#1369,#1370,#1371, +#1372,#1373,#1374)); +#6927=EDGE_LOOP('',(#1375,#1376,#1377,#1378)); +#6928=EDGE_LOOP('',(#1379,#1380,#1381,#1382)); +#6929=EDGE_LOOP('',(#1383,#1384,#1385,#1386)); +#6930=EDGE_LOOP('',(#1387,#1388,#1389,#1390)); +#6931=EDGE_LOOP('',(#1391,#1392,#1393,#1394,#1395,#1396,#1397,#1398,#1399, +#1400,#1401,#1402,#1403,#1404,#1405,#1406,#1407,#1408,#1409,#1410,#1411, +#1412,#1413,#1414,#1415,#1416,#1417,#1418,#1419,#1420,#1421,#1422)); +#6932=EDGE_LOOP('',(#1423,#1424,#1425,#1426,#1427,#1428,#1429,#1430,#1431, +#1432,#1433,#1434,#1435,#1436,#1437,#1438,#1439,#1440,#1441,#1442,#1443, +#1444,#1445,#1446,#1447,#1448,#1449,#1450,#1451,#1452,#1453,#1454)); +#6933=EDGE_LOOP('',(#1455,#1456,#1457,#1458)); +#6934=EDGE_LOOP('',(#1459,#1460,#1461,#1462)); +#6935=EDGE_LOOP('',(#1463,#1464,#1465,#1466)); +#6936=EDGE_LOOP('',(#1467,#1468,#1469,#1470)); +#6937=EDGE_LOOP('',(#1471,#1472,#1473,#1474,#1475,#1476,#1477,#1478,#1479, +#1480,#1481,#1482)); +#6938=EDGE_LOOP('',(#1483,#1484,#1485,#1486,#1487,#1488,#1489,#1490,#1491, +#1492,#1493,#1494)); +#6939=EDGE_LOOP('',(#1495,#1496,#1497,#1498,#1499,#1500,#1501,#1502,#1503, +#1504,#1505,#1506)); +#6940=EDGE_LOOP('',(#1507,#1508,#1509,#1510,#1511,#1512,#1513,#1514,#1515, +#1516,#1517,#1518)); +#6941=EDGE_LOOP('',(#1519,#1520,#1521,#1522,#1523,#1524,#1525,#1526,#1527, +#1528,#1529,#1530)); +#6942=EDGE_LOOP('',(#1531,#1532,#1533,#1534,#1535,#1536,#1537,#1538,#1539, +#1540,#1541,#1542)); +#6943=EDGE_LOOP('',(#1543,#1544,#1545,#1546,#1547,#1548,#1549,#1550,#1551, +#1552,#1553,#1554)); +#6944=EDGE_LOOP('',(#1555,#1556,#1557,#1558,#1559,#1560,#1561,#1562,#1563, +#1564,#1565,#1566)); +#6945=EDGE_LOOP('',(#1567,#1568,#1569,#1570,#1571,#1572,#1573,#1574,#1575, +#1576,#1577,#1578)); +#6946=EDGE_LOOP('',(#1579,#1580,#1581,#1582,#1583,#1584,#1585,#1586,#1587, +#1588,#1589,#1590)); +#6947=EDGE_LOOP('',(#1591,#1592,#1593,#1594,#1595,#1596,#1597,#1598,#1599, +#1600,#1601,#1602)); +#6948=EDGE_LOOP('',(#1603,#1604,#1605,#1606,#1607,#1608,#1609,#1610,#1611, +#1612,#1613,#1614)); +#6949=EDGE_LOOP('',(#1615,#1616,#1617,#1618,#1619,#1620,#1621,#1622,#1623, +#1624,#1625,#1626)); +#6950=EDGE_LOOP('',(#1627,#1628,#1629,#1630,#1631,#1632,#1633,#1634,#1635, +#1636,#1637,#1638)); +#6951=EDGE_LOOP('',(#1639,#1640,#1641,#1642,#1643,#1644,#1645,#1646,#1647, +#1648,#1649,#1650)); +#6952=EDGE_LOOP('',(#1651,#1652,#1653,#1654,#1655,#1656,#1657,#1658,#1659, +#1660,#1661,#1662)); +#6953=EDGE_LOOP('',(#1663,#1664,#1665,#1666,#1667,#1668,#1669,#1670,#1671, +#1672,#1673,#1674)); +#6954=EDGE_LOOP('',(#1675,#1676,#1677,#1678,#1679,#1680,#1681,#1682,#1683, +#1684,#1685,#1686)); +#6955=EDGE_LOOP('',(#1687,#1688,#1689,#1690,#1691,#1692,#1693,#1694,#1695, +#1696,#1697,#1698)); +#6956=EDGE_LOOP('',(#1699,#1700,#1701,#1702,#1703,#1704,#1705,#1706,#1707, +#1708,#1709,#1710)); +#6957=EDGE_LOOP('',(#1711,#1712,#1713,#1714,#1715,#1716,#1717,#1718,#1719, +#1720,#1721,#1722)); +#6958=EDGE_LOOP('',(#1723,#1724,#1725,#1726,#1727,#1728,#1729,#1730,#1731, +#1732,#1733,#1734)); +#6959=EDGE_LOOP('',(#1735,#1736,#1737,#1738,#1739,#1740,#1741,#1742,#1743, +#1744,#1745,#1746)); +#6960=EDGE_LOOP('',(#1747,#1748,#1749,#1750,#1751,#1752,#1753,#1754,#1755, +#1756,#1757,#1758)); +#6961=EDGE_LOOP('',(#1759,#1760,#1761,#1762,#1763,#1764,#1765,#1766,#1767, +#1768,#1769,#1770)); +#6962=EDGE_LOOP('',(#1771,#1772,#1773,#1774,#1775,#1776,#1777,#1778,#1779, +#1780,#1781,#1782)); +#6963=EDGE_LOOP('',(#1783,#1784,#1785,#1786,#1787,#1788,#1789,#1790,#1791, +#1792,#1793,#1794)); +#6964=EDGE_LOOP('',(#1795,#1796,#1797,#1798,#1799,#1800,#1801,#1802,#1803, +#1804,#1805,#1806)); +#6965=EDGE_LOOP('',(#1807,#1808,#1809,#1810,#1811,#1812)); +#6966=EDGE_LOOP('',(#1813,#1814,#1815,#1816,#1817,#1818)); +#6967=EDGE_LOOP('',(#1819,#1820,#1821,#1822,#1823,#1824)); +#6968=EDGE_LOOP('',(#1825,#1826,#1827,#1828,#1829,#1830)); +#6969=EDGE_LOOP('',(#1831,#1832,#1833,#1834,#1835,#1836)); +#6970=EDGE_LOOP('',(#1837,#1838,#1839,#1840,#1841,#1842)); +#6971=EDGE_LOOP('',(#1843,#1844,#1845,#1846,#1847,#1848)); +#6972=EDGE_LOOP('',(#1849,#1850,#1851,#1852,#1853,#1854)); +#6973=EDGE_LOOP('',(#1855,#1856,#1857,#1858,#1859,#1860)); +#6974=EDGE_LOOP('',(#1861,#1862,#1863,#1864,#1865,#1866)); +#6975=EDGE_LOOP('',(#1867,#1868,#1869,#1870,#1871,#1872)); +#6976=EDGE_LOOP('',(#1873,#1874,#1875,#1876,#1877,#1878)); +#6977=EDGE_LOOP('',(#1879,#1880,#1881,#1882,#1883,#1884)); +#6978=EDGE_LOOP('',(#1885,#1886,#1887,#1888,#1889,#1890)); +#6979=EDGE_LOOP('',(#1891,#1892,#1893,#1894,#1895,#1896)); +#6980=EDGE_LOOP('',(#1897,#1898,#1899,#1900,#1901,#1902)); +#6981=EDGE_LOOP('',(#1903,#1904,#1905,#1906,#1907,#1908)); +#6982=EDGE_LOOP('',(#1909,#1910,#1911,#1912,#1913,#1914)); +#6983=EDGE_LOOP('',(#1915,#1916,#1917,#1918,#1919,#1920)); +#6984=EDGE_LOOP('',(#1921,#1922,#1923,#1924,#1925,#1926)); +#6985=EDGE_LOOP('',(#1927,#1928,#1929,#1930,#1931,#1932)); +#6986=EDGE_LOOP('',(#1933,#1934,#1935,#1936,#1937,#1938)); +#6987=EDGE_LOOP('',(#1939,#1940,#1941,#1942,#1943,#1944)); +#6988=EDGE_LOOP('',(#1945,#1946,#1947,#1948,#1949,#1950)); +#6989=EDGE_LOOP('',(#1951,#1952,#1953,#1954,#1955,#1956)); +#6990=EDGE_LOOP('',(#1957,#1958,#1959,#1960,#1961,#1962)); +#6991=EDGE_LOOP('',(#1963,#1964,#1965,#1966,#1967,#1968)); +#6992=EDGE_LOOP('',(#1969,#1970,#1971,#1972,#1973,#1974)); +#6993=EDGE_LOOP('',(#1975,#1976,#1977,#1978,#1979,#1980)); +#6994=EDGE_LOOP('',(#1981,#1982,#1983,#1984,#1985,#1986)); +#6995=EDGE_LOOP('',(#1987,#1988,#1989,#1990,#1991,#1992)); +#6996=EDGE_LOOP('',(#1993,#1994,#1995,#1996,#1997,#1998)); +#6997=EDGE_LOOP('',(#1999,#2000,#2001,#2002,#2003,#2004,#2005,#2006,#2007, +#2008,#2009,#2010,#2011,#2012,#2013,#2014,#2015,#2016,#2017,#2018,#2019, +#2020,#2021,#2022,#2023,#2024,#2025,#2026,#2027,#2028,#2029,#2030,#2031, +#2032,#2033,#2034)); +#6998=EDGE_LOOP('',(#2035,#2036,#2037,#2038,#2039,#2040,#2041,#2042,#2043, +#2044,#2045,#2046,#2047,#2048,#2049,#2050,#2051,#2052,#2053,#2054,#2055, +#2056,#2057,#2058,#2059,#2060,#2061,#2062,#2063,#2064,#2065,#2066,#2067, +#2068,#2069,#2070)); +#6999=EDGE_LOOP('',(#2071,#2072,#2073,#2074)); +#7000=EDGE_LOOP('',(#2075,#2076,#2077,#2078)); +#7001=EDGE_LOOP('',(#2079,#2080,#2081,#2082)); +#7002=EDGE_LOOP('',(#2083,#2084,#2085,#2086)); +#7003=EDGE_LOOP('',(#2087,#2088,#2089,#2090)); +#7004=EDGE_LOOP('',(#2091,#2092,#2093,#2094)); +#7005=EDGE_LOOP('',(#2095,#2096,#2097,#2098)); +#7006=EDGE_LOOP('',(#2099,#2100,#2101,#2102)); +#7007=EDGE_LOOP('',(#2103,#2104,#2105,#2106)); +#7008=EDGE_LOOP('',(#2107,#2108,#2109,#2110)); +#7009=EDGE_LOOP('',(#2111,#2112,#2113,#2114)); +#7010=EDGE_LOOP('',(#2115,#2116,#2117,#2118)); +#7011=EDGE_LOOP('',(#2119,#2120,#2121,#2122)); +#7012=EDGE_LOOP('',(#2123,#2124,#2125,#2126)); +#7013=EDGE_LOOP('',(#2127,#2128,#2129,#2130)); +#7014=EDGE_LOOP('',(#2131,#2132,#2133,#2134)); +#7015=EDGE_LOOP('',(#2135,#2136,#2137,#2138)); +#7016=EDGE_LOOP('',(#2139,#2140,#2141,#2142)); +#7017=EDGE_LOOP('',(#2143,#2144,#2145,#2146)); +#7018=EDGE_LOOP('',(#2147,#2148,#2149,#2150)); +#7019=EDGE_LOOP('',(#2151,#2152,#2153,#2154)); +#7020=EDGE_LOOP('',(#2155,#2156,#2157,#2158)); +#7021=EDGE_LOOP('',(#2159,#2160,#2161,#2162)); +#7022=EDGE_LOOP('',(#2163,#2164,#2165,#2166)); +#7023=EDGE_LOOP('',(#2167,#2168,#2169,#2170)); +#7024=EDGE_LOOP('',(#2171,#2172,#2173,#2174)); +#7025=EDGE_LOOP('',(#2175,#2176,#2177,#2178)); +#7026=EDGE_LOOP('',(#2179,#2180,#2181,#2182)); +#7027=EDGE_LOOP('',(#2183,#2184,#2185,#2186)); +#7028=EDGE_LOOP('',(#2187,#2188,#2189,#2190)); +#7029=EDGE_LOOP('',(#2191,#2192,#2193,#2194)); +#7030=EDGE_LOOP('',(#2195,#2196,#2197,#2198)); +#7031=EDGE_LOOP('',(#2199,#2200,#2201,#2202)); +#7032=EDGE_LOOP('',(#2203,#2204,#2205,#2206)); +#7033=EDGE_LOOP('',(#2207,#2208,#2209,#2210)); +#7034=EDGE_LOOP('',(#2211,#2212,#2213,#2214)); +#7035=EDGE_LOOP('',(#2215,#2216,#2217,#2218)); +#7036=EDGE_LOOP('',(#2219,#2220,#2221,#2222)); +#7037=EDGE_LOOP('',(#2223,#2224,#2225,#2226)); +#7038=EDGE_LOOP('',(#2227,#2228,#2229,#2230)); +#7039=EDGE_LOOP('',(#2231,#2232,#2233,#2234)); +#7040=EDGE_LOOP('',(#2235,#2236,#2237,#2238)); +#7041=EDGE_LOOP('',(#2239,#2240,#2241,#2242)); +#7042=EDGE_LOOP('',(#2243,#2244,#2245,#2246)); +#7043=EDGE_LOOP('',(#2247,#2248,#2249,#2250)); +#7044=EDGE_LOOP('',(#2251,#2252,#2253,#2254)); +#7045=EDGE_LOOP('',(#2255,#2256,#2257,#2258)); +#7046=EDGE_LOOP('',(#2259,#2260,#2261,#2262)); +#7047=EDGE_LOOP('',(#2263,#2264,#2265,#2266)); +#7048=EDGE_LOOP('',(#2267,#2268,#2269,#2270)); +#7049=EDGE_LOOP('',(#2271,#2272,#2273,#2274)); +#7050=EDGE_LOOP('',(#2275,#2276,#2277,#2278)); +#7051=EDGE_LOOP('',(#2279,#2280,#2281,#2282)); +#7052=EDGE_LOOP('',(#2283,#2284,#2285,#2286)); +#7053=EDGE_LOOP('',(#2287,#2288,#2289,#2290)); +#7054=EDGE_LOOP('',(#2291,#2292,#2293,#2294)); +#7055=EDGE_LOOP('',(#2295,#2296,#2297,#2298)); +#7056=EDGE_LOOP('',(#2299,#2300,#2301,#2302)); +#7057=EDGE_LOOP('',(#2303,#2304,#2305,#2306)); +#7058=EDGE_LOOP('',(#2307,#2308,#2309,#2310)); +#7059=EDGE_LOOP('',(#2311,#2312,#2313,#2314)); +#7060=EDGE_LOOP('',(#2315,#2316,#2317,#2318)); +#7061=EDGE_LOOP('',(#2319,#2320,#2321,#2322)); +#7062=EDGE_LOOP('',(#2323,#2324,#2325,#2326)); +#7063=EDGE_LOOP('',(#2327,#2328,#2329,#2330)); +#7064=EDGE_LOOP('',(#2331,#2332,#2333,#2334)); +#7065=EDGE_LOOP('',(#2335,#2336,#2337,#2338)); +#7066=EDGE_LOOP('',(#2339,#2340,#2341,#2342)); +#7067=EDGE_LOOP('',(#2343,#2344,#2345,#2346)); +#7068=EDGE_LOOP('',(#2347,#2348,#2349,#2350)); +#7069=EDGE_LOOP('',(#2351,#2352,#2353,#2354)); +#7070=EDGE_LOOP('',(#2355,#2356,#2357,#2358)); +#7071=EDGE_LOOP('',(#2359,#2360,#2361,#2362)); +#7072=EDGE_LOOP('',(#2363,#2364,#2365,#2366)); +#7073=EDGE_LOOP('',(#2367,#2368,#2369,#2370)); +#7074=EDGE_LOOP('',(#2371,#2372,#2373,#2374)); +#7075=EDGE_LOOP('',(#2375,#2376,#2377,#2378)); +#7076=EDGE_LOOP('',(#2379,#2380,#2381,#2382)); +#7077=EDGE_LOOP('',(#2383,#2384,#2385,#2386)); +#7078=EDGE_LOOP('',(#2387,#2388,#2389,#2390)); +#7079=EDGE_LOOP('',(#2391,#2392,#2393,#2394)); +#7080=EDGE_LOOP('',(#2395,#2396,#2397,#2398)); +#7081=EDGE_LOOP('',(#2399,#2400,#2401,#2402)); +#7082=EDGE_LOOP('',(#2403,#2404,#2405,#2406)); +#7083=EDGE_LOOP('',(#2407,#2408,#2409,#2410)); +#7084=EDGE_LOOP('',(#2411,#2412,#2413,#2414)); +#7085=EDGE_LOOP('',(#2415,#2416,#2417,#2418)); +#7086=EDGE_LOOP('',(#2419,#2420,#2421,#2422)); +#7087=EDGE_LOOP('',(#2423,#2424,#2425,#2426)); +#7088=EDGE_LOOP('',(#2427,#2428,#2429,#2430)); +#7089=EDGE_LOOP('',(#2431,#2432,#2433,#2434)); +#7090=EDGE_LOOP('',(#2435,#2436,#2437,#2438)); +#7091=EDGE_LOOP('',(#2439,#2440,#2441,#2442)); +#7092=EDGE_LOOP('',(#2443,#2444,#2445,#2446)); +#7093=EDGE_LOOP('',(#2447,#2448,#2449,#2450)); +#7094=EDGE_LOOP('',(#2451,#2452,#2453,#2454)); +#7095=EDGE_LOOP('',(#2455,#2456,#2457,#2458)); +#7096=EDGE_LOOP('',(#2459,#2460,#2461,#2462)); +#7097=EDGE_LOOP('',(#2463,#2464,#2465,#2466)); +#7098=EDGE_LOOP('',(#2467,#2468,#2469,#2470)); +#7099=EDGE_LOOP('',(#2471,#2472,#2473,#2474)); +#7100=EDGE_LOOP('',(#2475,#2476,#2477,#2478)); +#7101=EDGE_LOOP('',(#2479,#2480,#2481,#2482)); +#7102=EDGE_LOOP('',(#2483,#2484,#2485,#2486)); +#7103=EDGE_LOOP('',(#2487,#2488,#2489,#2490)); +#7104=EDGE_LOOP('',(#2491,#2492,#2493,#2494)); +#7105=EDGE_LOOP('',(#2495,#2496,#2497,#2498)); +#7106=EDGE_LOOP('',(#2499,#2500,#2501,#2502)); +#7107=EDGE_LOOP('',(#2503,#2504,#2505,#2506)); +#7108=EDGE_LOOP('',(#2507,#2508,#2509,#2510)); +#7109=EDGE_LOOP('',(#2511,#2512,#2513,#2514)); +#7110=EDGE_LOOP('',(#2515,#2516,#2517,#2518)); +#7111=EDGE_LOOP('',(#2519,#2520,#2521,#2522)); +#7112=EDGE_LOOP('',(#2523,#2524,#2525,#2526)); +#7113=EDGE_LOOP('',(#2527,#2528,#2529,#2530)); +#7114=EDGE_LOOP('',(#2531,#2532,#2533,#2534)); +#7115=EDGE_LOOP('',(#2535,#2536,#2537,#2538)); +#7116=EDGE_LOOP('',(#2539,#2540,#2541,#2542)); +#7117=EDGE_LOOP('',(#2543,#2544,#2545,#2546)); +#7118=EDGE_LOOP('',(#2547,#2548,#2549,#2550)); +#7119=EDGE_LOOP('',(#2551,#2552,#2553,#2554)); +#7120=EDGE_LOOP('',(#2555,#2556,#2557,#2558)); +#7121=EDGE_LOOP('',(#2559,#2560,#2561,#2562)); +#7122=EDGE_LOOP('',(#2563,#2564,#2565,#2566)); +#7123=EDGE_LOOP('',(#2567,#2568,#2569,#2570)); +#7124=EDGE_LOOP('',(#2571,#2572,#2573,#2574)); +#7125=EDGE_LOOP('',(#2575,#2576,#2577,#2578)); +#7126=EDGE_LOOP('',(#2579,#2580,#2581,#2582)); +#7127=EDGE_LOOP('',(#2583,#2584,#2585,#2586)); +#7128=EDGE_LOOP('',(#2587,#2588,#2589,#2590)); +#7129=EDGE_LOOP('',(#2591,#2592,#2593,#2594)); +#7130=EDGE_LOOP('',(#2595,#2596,#2597,#2598)); +#7131=FACE_BOUND('',#6699,.T.); +#7132=FACE_BOUND('',#6700,.T.); +#7133=FACE_BOUND('',#6701,.T.); +#7134=FACE_BOUND('',#6702,.T.); +#7135=FACE_BOUND('',#6703,.T.); +#7136=FACE_BOUND('',#6704,.T.); +#7137=FACE_BOUND('',#6705,.T.); +#7138=FACE_BOUND('',#6706,.T.); +#7139=FACE_BOUND('',#6707,.T.); +#7140=FACE_BOUND('',#6708,.T.); +#7141=FACE_BOUND('',#6709,.T.); +#7142=FACE_BOUND('',#6710,.T.); +#7143=FACE_BOUND('',#6711,.T.); +#7144=FACE_BOUND('',#6712,.T.); +#7145=FACE_BOUND('',#6713,.T.); +#7146=FACE_BOUND('',#6714,.T.); +#7147=FACE_BOUND('',#6715,.T.); +#7148=FACE_BOUND('',#6716,.T.); +#7149=FACE_BOUND('',#6717,.T.); +#7150=FACE_BOUND('',#6718,.T.); +#7151=FACE_BOUND('',#6719,.T.); +#7152=FACE_BOUND('',#6720,.T.); +#7153=FACE_BOUND('',#6721,.T.); +#7154=FACE_BOUND('',#6722,.T.); +#7155=FACE_BOUND('',#6723,.T.); +#7156=FACE_BOUND('',#6724,.T.); +#7157=FACE_BOUND('',#6725,.T.); +#7158=FACE_BOUND('',#6726,.T.); +#7159=FACE_BOUND('',#6727,.T.); +#7160=FACE_BOUND('',#6728,.T.); +#7161=FACE_BOUND('',#6729,.T.); +#7162=FACE_BOUND('',#6730,.T.); +#7163=FACE_BOUND('',#6731,.T.); +#7164=FACE_BOUND('',#6732,.T.); +#7165=FACE_BOUND('',#6733,.T.); +#7166=FACE_BOUND('',#6734,.T.); +#7167=FACE_BOUND('',#6735,.T.); +#7168=FACE_BOUND('',#6736,.T.); +#7169=FACE_BOUND('',#6737,.T.); +#7170=FACE_BOUND('',#6738,.T.); +#7171=FACE_BOUND('',#6739,.T.); +#7172=FACE_BOUND('',#6740,.T.); +#7173=FACE_BOUND('',#6741,.T.); +#7174=FACE_BOUND('',#6742,.T.); +#7175=FACE_BOUND('',#6743,.T.); +#7176=FACE_BOUND('',#6744,.T.); +#7177=FACE_BOUND('',#6745,.T.); +#7178=FACE_BOUND('',#6746,.T.); +#7179=FACE_BOUND('',#6747,.T.); +#7180=FACE_BOUND('',#6748,.T.); +#7181=FACE_BOUND('',#6749,.T.); +#7182=FACE_BOUND('',#6750,.T.); +#7183=FACE_BOUND('',#6751,.T.); +#7184=FACE_BOUND('',#6752,.T.); +#7185=FACE_BOUND('',#6753,.T.); +#7186=FACE_BOUND('',#6754,.T.); +#7187=FACE_BOUND('',#6755,.T.); +#7188=FACE_BOUND('',#6756,.T.); +#7189=FACE_BOUND('',#6757,.T.); +#7190=FACE_BOUND('',#6758,.T.); +#7191=FACE_BOUND('',#6759,.T.); +#7192=FACE_BOUND('',#6760,.T.); +#7193=FACE_BOUND('',#6761,.T.); +#7194=FACE_BOUND('',#6762,.T.); +#7195=FACE_BOUND('',#6763,.T.); +#7196=FACE_BOUND('',#6764,.T.); +#7197=FACE_BOUND('',#6765,.T.); +#7198=FACE_BOUND('',#6766,.T.); +#7199=FACE_BOUND('',#6767,.T.); +#7200=FACE_BOUND('',#6768,.T.); +#7201=FACE_BOUND('',#6769,.T.); +#7202=FACE_BOUND('',#6770,.T.); +#7203=FACE_BOUND('',#6771,.T.); +#7204=FACE_BOUND('',#6772,.T.); +#7205=FACE_BOUND('',#6773,.T.); +#7206=FACE_BOUND('',#6774,.T.); +#7207=FACE_BOUND('',#6775,.T.); +#7208=FACE_BOUND('',#6776,.T.); +#7209=FACE_BOUND('',#6777,.T.); +#7210=FACE_BOUND('',#6778,.T.); +#7211=FACE_BOUND('',#6779,.T.); +#7212=FACE_BOUND('',#6780,.T.); +#7213=FACE_BOUND('',#6781,.T.); +#7214=FACE_BOUND('',#6782,.T.); +#7215=FACE_BOUND('',#6783,.T.); +#7216=FACE_BOUND('',#6784,.T.); +#7217=FACE_BOUND('',#6785,.T.); +#7218=FACE_BOUND('',#6786,.T.); +#7219=FACE_BOUND('',#6787,.T.); +#7220=FACE_BOUND('',#6788,.T.); +#7221=FACE_BOUND('',#6789,.T.); +#7222=FACE_BOUND('',#6790,.T.); +#7223=FACE_BOUND('',#6791,.T.); +#7224=FACE_BOUND('',#6792,.T.); +#7225=FACE_BOUND('',#6793,.T.); +#7226=FACE_BOUND('',#6794,.T.); +#7227=FACE_BOUND('',#6795,.T.); +#7228=FACE_BOUND('',#6796,.T.); +#7229=FACE_BOUND('',#6797,.T.); +#7230=FACE_BOUND('',#6798,.T.); +#7231=FACE_BOUND('',#6799,.T.); +#7232=FACE_BOUND('',#6800,.T.); +#7233=FACE_BOUND('',#6801,.T.); +#7234=FACE_BOUND('',#6802,.T.); +#7235=FACE_BOUND('',#6803,.T.); +#7236=FACE_BOUND('',#6804,.T.); +#7237=FACE_BOUND('',#6805,.T.); +#7238=FACE_BOUND('',#6806,.T.); +#7239=FACE_BOUND('',#6807,.T.); +#7240=FACE_BOUND('',#6808,.T.); +#7241=FACE_BOUND('',#6809,.T.); +#7242=FACE_BOUND('',#6810,.T.); +#7243=FACE_BOUND('',#6811,.T.); +#7244=FACE_BOUND('',#6812,.T.); +#7245=FACE_BOUND('',#6813,.T.); +#7246=FACE_BOUND('',#6814,.T.); +#7247=FACE_BOUND('',#6815,.T.); +#7248=FACE_BOUND('',#6816,.T.); +#7249=FACE_BOUND('',#6817,.T.); +#7250=FACE_BOUND('',#6818,.T.); +#7251=FACE_BOUND('',#6819,.T.); +#7252=FACE_BOUND('',#6820,.T.); +#7253=FACE_BOUND('',#6821,.T.); +#7254=FACE_BOUND('',#6822,.T.); +#7255=FACE_BOUND('',#6823,.T.); +#7256=FACE_BOUND('',#6824,.T.); +#7257=FACE_BOUND('',#6825,.T.); +#7258=FACE_BOUND('',#6826,.T.); +#7259=FACE_BOUND('',#6827,.T.); +#7260=FACE_BOUND('',#6828,.T.); +#7261=FACE_BOUND('',#6829,.T.); +#7262=FACE_BOUND('',#6830,.T.); +#7263=FACE_BOUND('',#6831,.T.); +#7264=FACE_BOUND('',#6832,.T.); +#7265=FACE_BOUND('',#6833,.T.); +#7266=FACE_BOUND('',#6834,.T.); +#7267=FACE_BOUND('',#6835,.T.); +#7268=FACE_BOUND('',#6836,.T.); +#7269=FACE_BOUND('',#6837,.T.); +#7270=FACE_BOUND('',#6838,.T.); +#7271=FACE_BOUND('',#6839,.T.); +#7272=FACE_BOUND('',#6840,.T.); +#7273=FACE_BOUND('',#6841,.T.); +#7274=FACE_BOUND('',#6842,.T.); +#7275=FACE_BOUND('',#6843,.T.); +#7276=FACE_BOUND('',#6844,.T.); +#7277=FACE_BOUND('',#6845,.T.); +#7278=FACE_BOUND('',#6846,.T.); +#7279=FACE_BOUND('',#6847,.T.); +#7280=FACE_BOUND('',#6848,.T.); +#7281=FACE_BOUND('',#6849,.T.); +#7282=FACE_BOUND('',#6850,.T.); +#7283=FACE_BOUND('',#6851,.T.); +#7284=FACE_BOUND('',#6852,.T.); +#7285=FACE_BOUND('',#6853,.T.); +#7286=FACE_BOUND('',#6854,.T.); +#7287=FACE_BOUND('',#6855,.T.); +#7288=FACE_BOUND('',#6856,.T.); +#7289=FACE_BOUND('',#6857,.T.); +#7290=FACE_BOUND('',#6858,.T.); +#7291=FACE_BOUND('',#6859,.T.); +#7292=FACE_BOUND('',#6860,.T.); +#7293=FACE_BOUND('',#6861,.T.); +#7294=FACE_BOUND('',#6862,.T.); +#7295=FACE_BOUND('',#6863,.T.); +#7296=FACE_BOUND('',#6864,.T.); +#7297=FACE_BOUND('',#6865,.T.); +#7298=FACE_BOUND('',#6866,.T.); +#7299=FACE_BOUND('',#6867,.T.); +#7300=FACE_BOUND('',#6868,.T.); +#7301=FACE_BOUND('',#6869,.T.); +#7302=FACE_BOUND('',#6870,.T.); +#7303=FACE_BOUND('',#6871,.T.); +#7304=FACE_BOUND('',#6872,.T.); +#7305=FACE_BOUND('',#6873,.T.); +#7306=FACE_BOUND('',#6874,.T.); +#7307=FACE_BOUND('',#6875,.T.); +#7308=FACE_BOUND('',#6876,.T.); +#7309=FACE_BOUND('',#6877,.T.); +#7310=FACE_BOUND('',#6878,.T.); +#7311=FACE_BOUND('',#6879,.T.); +#7312=FACE_BOUND('',#6880,.T.); +#7313=FACE_BOUND('',#6881,.T.); +#7314=FACE_BOUND('',#6882,.T.); +#7315=FACE_BOUND('',#6883,.T.); +#7316=FACE_BOUND('',#6884,.T.); +#7317=FACE_BOUND('',#6885,.T.); +#7318=FACE_BOUND('',#6886,.T.); +#7319=FACE_BOUND('',#6887,.T.); +#7320=FACE_BOUND('',#6888,.T.); +#7321=FACE_BOUND('',#6889,.T.); +#7322=FACE_BOUND('',#6890,.T.); +#7323=FACE_BOUND('',#6891,.T.); +#7324=FACE_BOUND('',#6892,.T.); +#7325=FACE_BOUND('',#6893,.T.); +#7326=FACE_BOUND('',#6894,.T.); +#7327=FACE_BOUND('',#6895,.T.); +#7328=FACE_BOUND('',#6896,.T.); +#7329=FACE_BOUND('',#6897,.T.); +#7330=FACE_BOUND('',#6898,.T.); +#7331=FACE_BOUND('',#6899,.T.); +#7332=FACE_BOUND('',#6900,.T.); +#7333=FACE_BOUND('',#6901,.T.); +#7334=FACE_BOUND('',#6902,.T.); +#7335=FACE_BOUND('',#6903,.T.); +#7336=FACE_BOUND('',#6904,.T.); +#7337=FACE_BOUND('',#6905,.T.); +#7338=FACE_BOUND('',#6906,.T.); +#7339=FACE_BOUND('',#6907,.T.); +#7340=FACE_BOUND('',#6908,.T.); +#7341=FACE_BOUND('',#6909,.T.); +#7342=FACE_BOUND('',#6910,.T.); +#7343=FACE_BOUND('',#6911,.T.); +#7344=FACE_BOUND('',#6912,.T.); +#7345=FACE_BOUND('',#6913,.T.); +#7346=FACE_BOUND('',#6914,.T.); +#7347=FACE_BOUND('',#6915,.T.); +#7348=FACE_BOUND('',#6916,.T.); +#7349=FACE_BOUND('',#6917,.T.); +#7350=FACE_BOUND('',#6918,.T.); +#7351=FACE_BOUND('',#6919,.T.); +#7352=FACE_BOUND('',#6920,.T.); +#7353=FACE_BOUND('',#6921,.T.); +#7354=FACE_BOUND('',#6922,.T.); +#7355=FACE_BOUND('',#6923,.T.); +#7356=FACE_BOUND('',#6924,.T.); +#7357=FACE_BOUND('',#6925,.T.); +#7358=FACE_BOUND('',#6926,.T.); +#7359=FACE_BOUND('',#6927,.T.); +#7360=FACE_BOUND('',#6928,.T.); +#7361=FACE_BOUND('',#6929,.T.); +#7362=FACE_BOUND('',#6930,.T.); +#7363=FACE_BOUND('',#6931,.T.); +#7364=FACE_BOUND('',#6932,.T.); +#7365=FACE_BOUND('',#6933,.T.); +#7366=FACE_BOUND('',#6934,.T.); +#7367=FACE_BOUND('',#6935,.T.); +#7368=FACE_BOUND('',#6936,.T.); +#7369=FACE_BOUND('',#6937,.T.); +#7370=FACE_BOUND('',#6938,.T.); +#7371=FACE_BOUND('',#6939,.T.); +#7372=FACE_BOUND('',#6940,.T.); +#7373=FACE_BOUND('',#6941,.T.); +#7374=FACE_BOUND('',#6942,.T.); +#7375=FACE_BOUND('',#6943,.T.); +#7376=FACE_BOUND('',#6944,.T.); +#7377=FACE_BOUND('',#6945,.T.); +#7378=FACE_BOUND('',#6946,.T.); +#7379=FACE_BOUND('',#6947,.T.); +#7380=FACE_BOUND('',#6948,.T.); +#7381=FACE_BOUND('',#6949,.T.); +#7382=FACE_BOUND('',#6950,.T.); +#7383=FACE_BOUND('',#6951,.T.); +#7384=FACE_BOUND('',#6952,.T.); +#7385=FACE_BOUND('',#6953,.T.); +#7386=FACE_BOUND('',#6954,.T.); +#7387=FACE_BOUND('',#6955,.T.); +#7388=FACE_BOUND('',#6956,.T.); +#7389=FACE_BOUND('',#6957,.T.); +#7390=FACE_BOUND('',#6958,.T.); +#7391=FACE_BOUND('',#6959,.T.); +#7392=FACE_BOUND('',#6960,.T.); +#7393=FACE_BOUND('',#6961,.T.); +#7394=FACE_BOUND('',#6962,.T.); +#7395=FACE_BOUND('',#6963,.T.); +#7396=FACE_BOUND('',#6964,.T.); +#7397=FACE_BOUND('',#6965,.T.); +#7398=FACE_BOUND('',#6966,.T.); +#7399=FACE_BOUND('',#6967,.T.); +#7400=FACE_BOUND('',#6968,.T.); +#7401=FACE_BOUND('',#6969,.T.); +#7402=FACE_BOUND('',#6970,.T.); +#7403=FACE_BOUND('',#6971,.T.); +#7404=FACE_BOUND('',#6972,.T.); +#7405=FACE_BOUND('',#6973,.T.); +#7406=FACE_BOUND('',#6974,.T.); +#7407=FACE_BOUND('',#6975,.T.); +#7408=FACE_BOUND('',#6976,.T.); +#7409=FACE_BOUND('',#6977,.T.); +#7410=FACE_BOUND('',#6978,.T.); +#7411=FACE_BOUND('',#6979,.T.); +#7412=FACE_BOUND('',#6980,.T.); +#7413=FACE_BOUND('',#6981,.T.); +#7414=FACE_BOUND('',#6982,.T.); +#7415=FACE_BOUND('',#6983,.T.); +#7416=FACE_BOUND('',#6984,.T.); +#7417=FACE_BOUND('',#6985,.T.); +#7418=FACE_BOUND('',#6986,.T.); +#7419=FACE_BOUND('',#6987,.T.); +#7420=FACE_BOUND('',#6988,.T.); +#7421=FACE_BOUND('',#6989,.T.); +#7422=FACE_BOUND('',#6990,.T.); +#7423=FACE_BOUND('',#6991,.T.); +#7424=FACE_BOUND('',#6992,.T.); +#7425=FACE_BOUND('',#6993,.T.); +#7426=FACE_BOUND('',#6994,.T.); +#7427=FACE_BOUND('',#6995,.T.); +#7428=FACE_BOUND('',#6996,.T.); +#7429=FACE_BOUND('',#6997,.T.); +#7430=FACE_BOUND('',#6998,.T.); +#7431=FACE_BOUND('',#6999,.T.); +#7432=FACE_BOUND('',#7000,.T.); +#7433=FACE_BOUND('',#7001,.T.); +#7434=FACE_BOUND('',#7002,.T.); +#7435=FACE_BOUND('',#7003,.T.); +#7436=FACE_BOUND('',#7004,.T.); +#7437=FACE_BOUND('',#7005,.T.); +#7438=FACE_BOUND('',#7006,.T.); +#7439=FACE_BOUND('',#7007,.T.); +#7440=FACE_BOUND('',#7008,.T.); +#7441=FACE_BOUND('',#7009,.T.); +#7442=FACE_BOUND('',#7010,.T.); +#7443=FACE_BOUND('',#7011,.T.); +#7444=FACE_BOUND('',#7012,.T.); +#7445=FACE_BOUND('',#7013,.T.); +#7446=FACE_BOUND('',#7014,.T.); +#7447=FACE_BOUND('',#7015,.T.); +#7448=FACE_BOUND('',#7016,.T.); +#7449=FACE_BOUND('',#7017,.T.); +#7450=FACE_BOUND('',#7018,.T.); +#7451=FACE_BOUND('',#7019,.T.); +#7452=FACE_BOUND('',#7020,.T.); +#7453=FACE_BOUND('',#7021,.T.); +#7454=FACE_BOUND('',#7022,.T.); +#7455=FACE_BOUND('',#7023,.T.); +#7456=FACE_BOUND('',#7024,.T.); +#7457=FACE_BOUND('',#7025,.T.); +#7458=FACE_BOUND('',#7026,.T.); +#7459=FACE_BOUND('',#7027,.T.); +#7460=FACE_BOUND('',#7028,.T.); +#7461=FACE_BOUND('',#7029,.T.); +#7462=FACE_BOUND('',#7030,.T.); +#7463=FACE_BOUND('',#7031,.T.); +#7464=FACE_BOUND('',#7032,.T.); +#7465=FACE_BOUND('',#7033,.T.); +#7466=FACE_BOUND('',#7034,.T.); +#7467=FACE_BOUND('',#7035,.T.); +#7468=FACE_BOUND('',#7036,.T.); +#7469=FACE_BOUND('',#7037,.T.); +#7470=FACE_BOUND('',#7038,.T.); +#7471=FACE_BOUND('',#7039,.T.); +#7472=FACE_BOUND('',#7040,.T.); +#7473=FACE_BOUND('',#7041,.T.); +#7474=FACE_BOUND('',#7042,.T.); +#7475=FACE_BOUND('',#7043,.T.); +#7476=FACE_BOUND('',#7044,.T.); +#7477=FACE_BOUND('',#7045,.T.); +#7478=FACE_BOUND('',#7046,.T.); +#7479=FACE_BOUND('',#7047,.T.); +#7480=FACE_BOUND('',#7048,.T.); +#7481=FACE_BOUND('',#7049,.T.); +#7482=FACE_BOUND('',#7050,.T.); +#7483=FACE_BOUND('',#7051,.T.); +#7484=FACE_BOUND('',#7052,.T.); +#7485=FACE_BOUND('',#7053,.T.); +#7486=FACE_BOUND('',#7054,.T.); +#7487=FACE_BOUND('',#7055,.T.); +#7488=FACE_BOUND('',#7056,.T.); +#7489=FACE_BOUND('',#7057,.T.); +#7490=FACE_BOUND('',#7058,.T.); +#7491=FACE_BOUND('',#7059,.T.); +#7492=FACE_BOUND('',#7060,.T.); +#7493=FACE_BOUND('',#7061,.T.); +#7494=FACE_BOUND('',#7062,.T.); +#7495=FACE_BOUND('',#7063,.T.); +#7496=FACE_BOUND('',#7064,.T.); +#7497=FACE_BOUND('',#7065,.T.); +#7498=FACE_BOUND('',#7066,.T.); +#7499=FACE_BOUND('',#7067,.T.); +#7500=FACE_BOUND('',#7068,.T.); +#7501=FACE_BOUND('',#7069,.T.); +#7502=FACE_BOUND('',#7070,.T.); +#7503=FACE_BOUND('',#7071,.T.); +#7504=FACE_BOUND('',#7072,.T.); +#7505=FACE_BOUND('',#7073,.T.); +#7506=FACE_BOUND('',#7074,.T.); +#7507=FACE_BOUND('',#7075,.T.); +#7508=FACE_BOUND('',#7076,.T.); +#7509=FACE_BOUND('',#7077,.T.); +#7510=FACE_BOUND('',#7078,.T.); +#7511=FACE_BOUND('',#7079,.T.); +#7512=FACE_BOUND('',#7080,.T.); +#7513=FACE_BOUND('',#7081,.T.); +#7514=FACE_BOUND('',#7082,.T.); +#7515=FACE_BOUND('',#7083,.T.); +#7516=FACE_BOUND('',#7084,.T.); +#7517=FACE_BOUND('',#7085,.T.); +#7518=FACE_BOUND('',#7086,.T.); +#7519=FACE_BOUND('',#7087,.T.); +#7520=FACE_BOUND('',#7088,.T.); +#7521=FACE_BOUND('',#7089,.T.); +#7522=FACE_BOUND('',#7090,.T.); +#7523=FACE_BOUND('',#7091,.T.); +#7524=FACE_BOUND('',#7092,.T.); +#7525=FACE_BOUND('',#7093,.T.); +#7526=FACE_BOUND('',#7094,.T.); +#7527=FACE_BOUND('',#7095,.T.); +#7528=FACE_BOUND('',#7096,.T.); +#7529=FACE_BOUND('',#7097,.T.); +#7530=FACE_BOUND('',#7098,.T.); +#7531=FACE_BOUND('',#7099,.T.); +#7532=FACE_BOUND('',#7100,.T.); +#7533=FACE_BOUND('',#7101,.T.); +#7534=FACE_BOUND('',#7102,.T.); +#7535=FACE_BOUND('',#7103,.T.); +#7536=FACE_BOUND('',#7104,.T.); +#7537=FACE_BOUND('',#7105,.T.); +#7538=FACE_BOUND('',#7106,.T.); +#7539=FACE_BOUND('',#7107,.T.); +#7540=FACE_BOUND('',#7108,.T.); +#7541=FACE_BOUND('',#7109,.T.); +#7542=FACE_BOUND('',#7110,.T.); +#7543=FACE_BOUND('',#7111,.T.); +#7544=FACE_BOUND('',#7112,.T.); +#7545=FACE_BOUND('',#7113,.T.); +#7546=FACE_BOUND('',#7114,.T.); +#7547=FACE_BOUND('',#7115,.T.); +#7548=FACE_BOUND('',#7116,.T.); +#7549=FACE_BOUND('',#7117,.T.); +#7550=FACE_BOUND('',#7118,.T.); +#7551=FACE_BOUND('',#7119,.T.); +#7552=FACE_BOUND('',#7120,.T.); +#7553=FACE_BOUND('',#7121,.T.); +#7554=FACE_BOUND('',#7122,.T.); +#7555=FACE_BOUND('',#7123,.T.); +#7556=FACE_BOUND('',#7124,.T.); +#7557=FACE_BOUND('',#7125,.T.); +#7558=FACE_BOUND('',#7126,.T.); +#7559=FACE_BOUND('',#7127,.T.); +#7560=FACE_BOUND('',#7128,.T.); +#7561=FACE_BOUND('',#7129,.T.); +#7562=FACE_BOUND('',#7130,.T.); +#7563=PLANE('',#8325); +#7564=PLANE('',#8326); +#7565=PLANE('',#8327); +#7566=PLANE('',#8328); +#7567=PLANE('',#8329); +#7568=PLANE('',#8330); +#7569=PLANE('',#8331); +#7570=PLANE('',#8332); +#7571=PLANE('',#8333); +#7572=PLANE('',#8334); +#7573=PLANE('',#8335); +#7574=PLANE('',#8336); +#7575=PLANE('',#8337); +#7576=PLANE('',#8338); +#7577=PLANE('',#8339); +#7578=PLANE('',#8340); +#7579=PLANE('',#8341); +#7580=PLANE('',#8342); +#7581=PLANE('',#8343); +#7582=PLANE('',#8344); +#7583=PLANE('',#8345); +#7584=PLANE('',#8346); +#7585=PLANE('',#8347); +#7586=PLANE('',#8348); +#7587=PLANE('',#8349); +#7588=PLANE('',#8350); +#7589=PLANE('',#8351); +#7590=PLANE('',#8352); +#7591=PLANE('',#8353); +#7592=PLANE('',#8354); +#7593=PLANE('',#8355); +#7594=PLANE('',#8356); +#7595=PLANE('',#8357); +#7596=PLANE('',#8358); +#7597=PLANE('',#8359); +#7598=PLANE('',#8360); +#7599=PLANE('',#8361); +#7600=PLANE('',#8362); +#7601=PLANE('',#8363); +#7602=PLANE('',#8364); +#7603=PLANE('',#8365); +#7604=PLANE('',#8366); +#7605=PLANE('',#8367); +#7606=PLANE('',#8368); +#7607=PLANE('',#8369); +#7608=PLANE('',#8370); +#7609=PLANE('',#8371); +#7610=PLANE('',#8372); +#7611=PLANE('',#8373); +#7612=PLANE('',#8374); +#7613=PLANE('',#8375); +#7614=PLANE('',#8376); +#7615=PLANE('',#8377); +#7616=PLANE('',#8378); +#7617=PLANE('',#8379); +#7618=PLANE('',#8380); +#7619=PLANE('',#8381); +#7620=PLANE('',#8382); +#7621=PLANE('',#8383); +#7622=PLANE('',#8384); +#7623=PLANE('',#8385); +#7624=PLANE('',#8386); +#7625=PLANE('',#8387); +#7626=PLANE('',#8388); +#7627=PLANE('',#8413); +#7628=PLANE('',#8414); +#7629=PLANE('',#8415); +#7630=PLANE('',#8416); +#7631=PLANE('',#8417); +#7632=PLANE('',#8418); +#7633=PLANE('',#8419); +#7634=PLANE('',#8420); +#7635=PLANE('',#8421); +#7636=PLANE('',#8422); +#7637=PLANE('',#8423); +#7638=PLANE('',#8424); +#7639=PLANE('',#8425); +#7640=PLANE('',#8426); +#7641=PLANE('',#8427); +#7642=PLANE('',#8428); +#7643=PLANE('',#8429); +#7644=PLANE('',#8430); +#7645=PLANE('',#8431); +#7646=PLANE('',#8432); +#7647=PLANE('',#8433); +#7648=PLANE('',#8434); +#7649=PLANE('',#8435); +#7650=PLANE('',#8436); +#7651=PLANE('',#8461); +#7652=PLANE('',#8462); +#7653=PLANE('',#8463); +#7654=PLANE('',#8464); +#7655=PLANE('',#8465); +#7656=PLANE('',#8466); +#7657=PLANE('',#8467); +#7658=PLANE('',#8468); +#7659=PLANE('',#8493); +#7660=PLANE('',#8494); +#7661=PLANE('',#8495); +#7662=PLANE('',#8496); +#7663=PLANE('',#8497); +#7664=PLANE('',#8498); +#7665=PLANE('',#8499); +#7666=PLANE('',#8500); +#7667=PLANE('',#8501); +#7668=PLANE('',#8526); +#7669=PLANE('',#8527); +#7670=PLANE('',#8528); +#7671=PLANE('',#8529); +#7672=PLANE('',#8530); +#7673=PLANE('',#8531); +#7674=PLANE('',#8532); +#7675=PLANE('',#8533); +#7676=PLANE('',#8534); +#7677=PLANE('',#8535); +#7678=PLANE('',#8536); +#7679=PLANE('',#8537); +#7680=PLANE('',#8538); +#7681=PLANE('',#8539); +#7682=PLANE('',#8540); +#7683=PLANE('',#8541); +#7684=PLANE('',#8566); +#7685=PLANE('',#8567); +#7686=PLANE('',#8568); +#7687=PLANE('',#8569); +#7688=PLANE('',#8570); +#7689=PLANE('',#8571); +#7690=PLANE('',#8572); +#7691=PLANE('',#8573); +#7692=PLANE('',#8574); +#7693=PLANE('',#8575); +#7694=PLANE('',#8576); +#7695=PLANE('',#8577); +#7696=PLANE('',#8578); +#7697=PLANE('',#8579); +#7698=PLANE('',#8580); +#7699=PLANE('',#8581); +#7700=PLANE('',#8582); +#7701=PLANE('',#8583); +#7702=PLANE('',#8584); +#7703=PLANE('',#8585); +#7704=PLANE('',#8586); +#7705=PLANE('',#8587); +#7706=PLANE('',#8588); +#7707=PLANE('',#8589); +#7708=PLANE('',#8614); +#7709=PLANE('',#8615); +#7710=PLANE('',#8616); +#7711=PLANE('',#8617); +#7712=PLANE('',#8618); +#7713=PLANE('',#8619); +#7714=PLANE('',#8620); +#7715=PLANE('',#8621); +#7716=PLANE('',#8646); +#7717=PLANE('',#8671); +#7718=PLANE('',#8672); +#7719=PLANE('',#8673); +#7720=PLANE('',#8674); +#7721=PLANE('',#8675); +#7722=PLANE('',#8676); +#7723=PLANE('',#8677); +#7724=PLANE('',#8678); +#7725=PLANE('',#8679); +#7726=PLANE('',#8680); +#7727=PLANE('',#8681); +#7728=PLANE('',#8682); +#7729=PLANE('',#8683); +#7730=PLANE('',#8684); +#7731=PLANE('',#8685); +#7732=PLANE('',#8686); +#7733=PLANE('',#8687); +#7734=PLANE('',#8688); +#7735=PLANE('',#8689); +#7736=PLANE('',#8690); +#7737=PLANE('',#8691); +#7738=PLANE('',#8692); +#7739=PLANE('',#8693); +#7740=PLANE('',#8694); +#7741=PLANE('',#8695); +#7742=PLANE('',#8696); +#7743=PLANE('',#8697); +#7744=PLANE('',#8698); +#7745=PLANE('',#8699); +#7746=PLANE('',#8700); +#7747=PLANE('',#8701); +#7748=PLANE('',#8702); +#7749=PLANE('',#8703); +#7750=PLANE('',#8704); +#7751=PLANE('',#8705); +#7752=PLANE('',#8706); +#7753=PLANE('',#8707); +#7754=PLANE('',#8708); +#7755=PLANE('',#8709); +#7756=PLANE('',#8710); +#7757=PLANE('',#8711); +#7758=PLANE('',#8712); +#7759=PLANE('',#8713); +#7760=PLANE('',#8714); +#7761=PLANE('',#8715); +#7762=PLANE('',#8716); +#7763=PLANE('',#8717); +#7764=PLANE('',#8718); +#7765=PLANE('',#8719); +#7766=PLANE('',#8720); +#7767=PLANE('',#8721); +#7768=PLANE('',#8722); +#7769=PLANE('',#8723); +#7770=PLANE('',#8724); +#7771=PLANE('',#8725); +#7772=PLANE('',#8726); +#7773=PLANE('',#8727); +#7774=PLANE('',#8728); +#7775=PLANE('',#8729); +#7776=PLANE('',#8730); +#7777=PLANE('',#8731); +#7778=PLANE('',#8732); +#7779=PLANE('',#8733); +#7780=PLANE('',#8734); +#7781=PLANE('',#8735); +#7782=PLANE('',#8736); +#7783=PLANE('',#8737); +#7784=PLANE('',#8738); +#7785=PLANE('',#8739); +#7786=PLANE('',#8740); +#7787=PLANE('',#8741); +#7788=PLANE('',#8742); +#7789=PLANE('',#8743); +#7790=PLANE('',#8744); +#7791=PLANE('',#8745); +#7792=PLANE('',#8746); +#7793=PLANE('',#8747); +#7794=PLANE('',#8748); +#7795=PLANE('',#8749); +#7796=PLANE('',#8750); +#7797=PLANE('',#8752); +#7798=PLANE('',#8753); +#7799=PLANE('',#8754); +#7800=PLANE('',#8755); +#7801=PLANE('',#8756); +#7802=PLANE('',#8757); +#7803=PLANE('',#8758); +#7804=PLANE('',#8759); +#7805=PLANE('',#8760); +#7806=PLANE('',#8761); +#7807=PLANE('',#8762); +#7808=PLANE('',#8763); +#7809=PLANE('',#8764); +#7810=PLANE('',#8765); +#7811=PLANE('',#8766); +#7812=PLANE('',#8767); +#7813=PLANE('',#8768); +#7814=PLANE('',#8769); +#7815=PLANE('',#8770); +#7816=PLANE('',#8771); +#7817=PLANE('',#8772); +#7818=PLANE('',#8773); +#7819=PLANE('',#8774); +#7820=PLANE('',#8775); +#7821=PLANE('',#8776); +#7822=PLANE('',#8777); +#7823=PLANE('',#8778); +#7824=PLANE('',#8779); +#7825=PLANE('',#8780); +#7826=PLANE('',#8781); +#7827=PLANE('',#8782); +#7828=PLANE('',#8783); +#7829=PLANE('',#8784); +#7830=PLANE('',#8785); +#7831=PLANE('',#8786); +#7832=PLANE('',#8787); +#7833=PLANE('',#8788); +#7834=PLANE('',#8789); +#7835=PLANE('',#8790); +#7836=PLANE('',#8791); +#7837=PLANE('',#8792); +#7838=PLANE('',#8793); +#7839=PLANE('',#8794); +#7840=PLANE('',#8795); +#7841=PLANE('',#8796); +#7842=PLANE('',#8797); +#7843=PLANE('',#8798); +#7844=PLANE('',#8799); +#7845=PLANE('',#8800); +#7846=PLANE('',#8801); +#7847=PLANE('',#8802); +#7848=PLANE('',#8803); +#7849=PLANE('',#8804); +#7850=PLANE('',#8805); +#7851=PLANE('',#8806); +#7852=PLANE('',#8807); +#7853=PLANE('',#8808); +#7854=PLANE('',#8809); +#7855=PLANE('',#8810); +#7856=PLANE('',#8811); +#7857=PLANE('',#8812); +#7858=PLANE('',#8813); +#7859=PLANE('',#8814); +#7860=PLANE('',#8815); +#7861=PLANE('',#8816); +#7862=PLANE('',#8817); +#7863=PLANE('',#8818); +#7864=PLANE('',#8819); +#7865=PLANE('',#8820); +#7866=PLANE('',#8821); +#7867=PLANE('',#8822); +#7868=PLANE('',#8823); +#7869=PLANE('',#8824); +#7870=PLANE('',#8825); +#7871=PLANE('',#8826); +#7872=PLANE('',#8827); +#7873=PLANE('',#8828); +#7874=PLANE('',#8829); +#7875=PLANE('',#8830); +#7876=PLANE('',#8831); +#7877=PLANE('',#8832); +#7878=PLANE('',#8833); +#7879=PLANE('',#8834); +#7880=PLANE('',#8835); +#7881=PLANE('',#8836); +#7882=PLANE('',#8837); +#7883=PLANE('',#8838); +#7884=PLANE('',#8839); +#7885=PLANE('',#8840); +#7886=PLANE('',#8841); +#7887=PLANE('',#8842); +#7888=PLANE('',#8843); +#7889=PLANE('',#8844); +#7890=PLANE('',#8845); +#7891=PLANE('',#8846); +#7892=PLANE('',#8847); +#7893=PLANE('',#8848); +#7894=PLANE('',#8849); +#7895=PLANE('',#8850); +#7896=PLANE('',#8851); +#7897=PLANE('',#8852); +#7898=PLANE('',#8853); +#7899=ADVANCED_FACE('',(#7131),#7563,.F.); +#7900=ADVANCED_FACE('',(#7132),#7564,.F.); +#7901=ADVANCED_FACE('',(#7133),#7565,.F.); +#7902=ADVANCED_FACE('',(#7134),#7566,.F.); +#7903=ADVANCED_FACE('',(#7135),#7567,.F.); +#7904=ADVANCED_FACE('',(#7136),#7568,.F.); +#7905=ADVANCED_FACE('',(#7137),#7569,.F.); +#7906=ADVANCED_FACE('',(#7138),#7570,.F.); +#7907=ADVANCED_FACE('',(#7139),#7571,.F.); +#7908=ADVANCED_FACE('',(#7140),#7572,.F.); +#7909=ADVANCED_FACE('',(#7141),#7573,.F.); +#7910=ADVANCED_FACE('',(#7142),#7574,.F.); +#7911=ADVANCED_FACE('',(#7143),#7575,.F.); +#7912=ADVANCED_FACE('',(#7144),#7576,.F.); +#7913=ADVANCED_FACE('',(#7145),#7577,.F.); +#7914=ADVANCED_FACE('',(#7146),#7578,.F.); +#7915=ADVANCED_FACE('',(#7147),#7579,.F.); +#7916=ADVANCED_FACE('',(#7148),#7580,.F.); +#7917=ADVANCED_FACE('',(#7149),#7581,.F.); +#7918=ADVANCED_FACE('',(#7150),#7582,.F.); +#7919=ADVANCED_FACE('',(#7151),#7583,.F.); +#7920=ADVANCED_FACE('',(#7152),#7584,.F.); +#7921=ADVANCED_FACE('',(#7153),#7585,.F.); +#7922=ADVANCED_FACE('',(#7154),#7586,.F.); +#7923=ADVANCED_FACE('',(#7155),#7587,.F.); +#7924=ADVANCED_FACE('',(#7156),#7588,.F.); +#7925=ADVANCED_FACE('',(#7157),#7589,.F.); +#7926=ADVANCED_FACE('',(#7158),#7590,.F.); +#7927=ADVANCED_FACE('',(#7159),#7591,.F.); +#7928=ADVANCED_FACE('',(#7160),#7592,.F.); +#7929=ADVANCED_FACE('',(#7161),#7593,.F.); +#7930=ADVANCED_FACE('',(#7162),#7594,.F.); +#7931=ADVANCED_FACE('',(#7163),#7595,.F.); +#7932=ADVANCED_FACE('',(#7164),#7596,.F.); +#7933=ADVANCED_FACE('',(#7165),#7597,.F.); +#7934=ADVANCED_FACE('',(#7166),#7598,.F.); +#7935=ADVANCED_FACE('',(#7167),#7599,.F.); +#7936=ADVANCED_FACE('',(#7168),#7600,.F.); +#7937=ADVANCED_FACE('',(#7169),#7601,.F.); +#7938=ADVANCED_FACE('',(#7170),#7602,.F.); +#7939=ADVANCED_FACE('',(#7171),#7603,.F.); +#7940=ADVANCED_FACE('',(#7172),#7604,.F.); +#7941=ADVANCED_FACE('',(#7173),#7605,.F.); +#7942=ADVANCED_FACE('',(#7174),#7606,.F.); +#7943=ADVANCED_FACE('',(#7175),#7607,.F.); +#7944=ADVANCED_FACE('',(#7176),#7608,.F.); +#7945=ADVANCED_FACE('',(#7177),#7609,.F.); +#7946=ADVANCED_FACE('',(#7178),#7610,.F.); +#7947=ADVANCED_FACE('',(#7179),#7611,.F.); +#7948=ADVANCED_FACE('',(#7180),#7612,.F.); +#7949=ADVANCED_FACE('',(#7181),#7613,.F.); +#7950=ADVANCED_FACE('',(#7182),#7614,.F.); +#7951=ADVANCED_FACE('',(#7183),#7615,.F.); +#7952=ADVANCED_FACE('',(#7184),#7616,.F.); +#7953=ADVANCED_FACE('',(#7185),#7617,.F.); +#7954=ADVANCED_FACE('',(#7186),#7618,.F.); +#7955=ADVANCED_FACE('',(#7187),#7619,.F.); +#7956=ADVANCED_FACE('',(#7188),#7620,.F.); +#7957=ADVANCED_FACE('',(#7189),#7621,.F.); +#7958=ADVANCED_FACE('',(#7190),#7622,.F.); +#7959=ADVANCED_FACE('',(#7191),#7623,.F.); +#7960=ADVANCED_FACE('',(#7192),#7624,.F.); +#7961=ADVANCED_FACE('',(#7193),#7625,.F.); +#7962=ADVANCED_FACE('',(#7194),#7626,.F.); +#7963=ADVANCED_FACE('',(#7195),#159,.T.); +#7964=ADVANCED_FACE('',(#7196),#160,.T.); +#7965=ADVANCED_FACE('',(#7197),#161,.T.); +#7966=ADVANCED_FACE('',(#7198),#162,.T.); +#7967=ADVANCED_FACE('',(#7199),#163,.T.); +#7968=ADVANCED_FACE('',(#7200),#164,.T.); +#7969=ADVANCED_FACE('',(#7201),#165,.T.); +#7970=ADVANCED_FACE('',(#7202),#166,.T.); +#7971=ADVANCED_FACE('',(#7203),#7627,.F.); +#7972=ADVANCED_FACE('',(#7204),#7628,.F.); +#7973=ADVANCED_FACE('',(#7205),#7629,.F.); +#7974=ADVANCED_FACE('',(#7206),#7630,.F.); +#7975=ADVANCED_FACE('',(#7207),#7631,.F.); +#7976=ADVANCED_FACE('',(#7208),#7632,.F.); +#7977=ADVANCED_FACE('',(#7209),#7633,.F.); +#7978=ADVANCED_FACE('',(#7210),#7634,.F.); +#7979=ADVANCED_FACE('',(#7211),#7635,.F.); +#7980=ADVANCED_FACE('',(#7212),#7636,.F.); +#7981=ADVANCED_FACE('',(#7213),#7637,.F.); +#7982=ADVANCED_FACE('',(#7214),#7638,.F.); +#7983=ADVANCED_FACE('',(#7215),#7639,.F.); +#7984=ADVANCED_FACE('',(#7216),#7640,.F.); +#7985=ADVANCED_FACE('',(#7217),#7641,.F.); +#7986=ADVANCED_FACE('',(#7218),#7642,.F.); +#7987=ADVANCED_FACE('',(#7219),#7643,.F.); +#7988=ADVANCED_FACE('',(#7220),#7644,.F.); +#7989=ADVANCED_FACE('',(#7221),#7645,.F.); +#7990=ADVANCED_FACE('',(#7222),#7646,.F.); +#7991=ADVANCED_FACE('',(#7223),#7647,.F.); +#7992=ADVANCED_FACE('',(#7224),#7648,.F.); +#7993=ADVANCED_FACE('',(#7225),#7649,.F.); +#7994=ADVANCED_FACE('',(#7226),#7650,.F.); +#7995=ADVANCED_FACE('',(#7227),#167,.F.); +#7996=ADVANCED_FACE('',(#7228),#168,.F.); +#7997=ADVANCED_FACE('',(#7229),#169,.F.); +#7998=ADVANCED_FACE('',(#7230),#170,.F.); +#7999=ADVANCED_FACE('',(#7231),#171,.F.); +#8000=ADVANCED_FACE('',(#7232),#172,.F.); +#8001=ADVANCED_FACE('',(#7233),#173,.F.); +#8002=ADVANCED_FACE('',(#7234),#174,.F.); +#8003=ADVANCED_FACE('',(#7235),#7651,.F.); +#8004=ADVANCED_FACE('',(#7236),#7652,.F.); +#8005=ADVANCED_FACE('',(#7237),#7653,.F.); +#8006=ADVANCED_FACE('',(#7238),#7654,.F.); +#8007=ADVANCED_FACE('',(#7239),#7655,.F.); +#8008=ADVANCED_FACE('',(#7240),#7656,.F.); +#8009=ADVANCED_FACE('',(#7241),#7657,.F.); +#8010=ADVANCED_FACE('',(#7242),#7658,.F.); +#8011=ADVANCED_FACE('',(#7243),#175,.T.); +#8012=ADVANCED_FACE('',(#7244),#176,.T.); +#8013=ADVANCED_FACE('',(#7245),#177,.T.); +#8014=ADVANCED_FACE('',(#7246),#178,.T.); +#8015=ADVANCED_FACE('',(#7247),#179,.T.); +#8016=ADVANCED_FACE('',(#7248),#180,.T.); +#8017=ADVANCED_FACE('',(#7249),#181,.T.); +#8018=ADVANCED_FACE('',(#7250),#182,.T.); +#8019=ADVANCED_FACE('',(#7251),#7659,.F.); +#8020=ADVANCED_FACE('',(#7252),#7660,.F.); +#8021=ADVANCED_FACE('',(#7253),#7661,.F.); +#8022=ADVANCED_FACE('',(#7254),#7662,.F.); +#8023=ADVANCED_FACE('',(#7255),#7663,.F.); +#8024=ADVANCED_FACE('',(#7256),#7664,.F.); +#8025=ADVANCED_FACE('',(#7257),#7665,.F.); +#8026=ADVANCED_FACE('',(#7258),#7666,.F.); +#8027=ADVANCED_FACE('',(#7259),#7667,.F.); +#8028=ADVANCED_FACE('',(#7260),#183,.F.); +#8029=ADVANCED_FACE('',(#7261),#184,.F.); +#8030=ADVANCED_FACE('',(#7262),#185,.F.); +#8031=ADVANCED_FACE('',(#7263),#186,.F.); +#8032=ADVANCED_FACE('',(#7264),#187,.F.); +#8033=ADVANCED_FACE('',(#7265),#188,.F.); +#8034=ADVANCED_FACE('',(#7266),#189,.F.); +#8035=ADVANCED_FACE('',(#7267),#190,.F.); +#8036=ADVANCED_FACE('',(#7268),#7668,.F.); +#8037=ADVANCED_FACE('',(#7269),#7669,.F.); +#8038=ADVANCED_FACE('',(#7270),#7670,.F.); +#8039=ADVANCED_FACE('',(#7271),#7671,.F.); +#8040=ADVANCED_FACE('',(#7272),#7672,.F.); +#8041=ADVANCED_FACE('',(#7273),#7673,.F.); +#8042=ADVANCED_FACE('',(#7274),#7674,.F.); +#8043=ADVANCED_FACE('',(#7275),#7675,.F.); +#8044=ADVANCED_FACE('',(#7276),#7676,.F.); +#8045=ADVANCED_FACE('',(#7277),#7677,.F.); +#8046=ADVANCED_FACE('',(#7278),#7678,.F.); +#8047=ADVANCED_FACE('',(#7279),#7679,.F.); +#8048=ADVANCED_FACE('',(#7280),#7680,.F.); +#8049=ADVANCED_FACE('',(#7281),#7681,.F.); +#8050=ADVANCED_FACE('',(#7282),#7682,.F.); +#8051=ADVANCED_FACE('',(#7283),#7683,.F.); +#8052=ADVANCED_FACE('',(#7284),#191,.T.); +#8053=ADVANCED_FACE('',(#7285),#192,.T.); +#8054=ADVANCED_FACE('',(#7286),#193,.T.); +#8055=ADVANCED_FACE('',(#7287),#194,.T.); +#8056=ADVANCED_FACE('',(#7288),#195,.T.); +#8057=ADVANCED_FACE('',(#7289),#196,.T.); +#8058=ADVANCED_FACE('',(#7290),#197,.T.); +#8059=ADVANCED_FACE('',(#7291),#198,.T.); +#8060=ADVANCED_FACE('',(#7292),#7684,.F.); +#8061=ADVANCED_FACE('',(#7293),#7685,.F.); +#8062=ADVANCED_FACE('',(#7294),#7686,.F.); +#8063=ADVANCED_FACE('',(#7295),#7687,.F.); +#8064=ADVANCED_FACE('',(#7296),#7688,.F.); +#8065=ADVANCED_FACE('',(#7297),#7689,.F.); +#8066=ADVANCED_FACE('',(#7298),#7690,.F.); +#8067=ADVANCED_FACE('',(#7299),#7691,.F.); +#8068=ADVANCED_FACE('',(#7300),#7692,.F.); +#8069=ADVANCED_FACE('',(#7301),#7693,.F.); +#8070=ADVANCED_FACE('',(#7302),#7694,.F.); +#8071=ADVANCED_FACE('',(#7303),#7695,.F.); +#8072=ADVANCED_FACE('',(#7304),#7696,.F.); +#8073=ADVANCED_FACE('',(#7305),#7697,.F.); +#8074=ADVANCED_FACE('',(#7306),#7698,.F.); +#8075=ADVANCED_FACE('',(#7307),#7699,.F.); +#8076=ADVANCED_FACE('',(#7308),#7700,.F.); +#8077=ADVANCED_FACE('',(#7309),#7701,.F.); +#8078=ADVANCED_FACE('',(#7310),#7702,.F.); +#8079=ADVANCED_FACE('',(#7311),#7703,.F.); +#8080=ADVANCED_FACE('',(#7312),#7704,.F.); +#8081=ADVANCED_FACE('',(#7313),#7705,.F.); +#8082=ADVANCED_FACE('',(#7314),#7706,.F.); +#8083=ADVANCED_FACE('',(#7315),#7707,.F.); +#8084=ADVANCED_FACE('',(#7316),#199,.F.); +#8085=ADVANCED_FACE('',(#7317),#200,.F.); +#8086=ADVANCED_FACE('',(#7318),#201,.F.); +#8087=ADVANCED_FACE('',(#7319),#202,.F.); +#8088=ADVANCED_FACE('',(#7320),#203,.F.); +#8089=ADVANCED_FACE('',(#7321),#204,.F.); +#8090=ADVANCED_FACE('',(#7322),#205,.F.); +#8091=ADVANCED_FACE('',(#7323),#206,.F.); +#8092=ADVANCED_FACE('',(#7324),#7708,.F.); +#8093=ADVANCED_FACE('',(#7325),#7709,.F.); +#8094=ADVANCED_FACE('',(#7326),#7710,.F.); +#8095=ADVANCED_FACE('',(#7327),#7711,.F.); +#8096=ADVANCED_FACE('',(#7328),#7712,.F.); +#8097=ADVANCED_FACE('',(#7329),#7713,.F.); +#8098=ADVANCED_FACE('',(#7330),#7714,.F.); +#8099=ADVANCED_FACE('',(#7331),#7715,.F.); +#8100=ADVANCED_FACE('',(#7332),#207,.T.); +#8101=ADVANCED_FACE('',(#7333),#208,.T.); +#8102=ADVANCED_FACE('',(#7334),#209,.T.); +#8103=ADVANCED_FACE('',(#7335),#210,.T.); +#8104=ADVANCED_FACE('',(#7336),#211,.T.); +#8105=ADVANCED_FACE('',(#7337),#212,.T.); +#8106=ADVANCED_FACE('',(#7338),#213,.T.); +#8107=ADVANCED_FACE('',(#7339),#214,.T.); +#8108=ADVANCED_FACE('',(#7340),#7716,.F.); +#8109=ADVANCED_FACE('',(#7341),#215,.F.); +#8110=ADVANCED_FACE('',(#7342),#216,.F.); +#8111=ADVANCED_FACE('',(#7343),#217,.F.); +#8112=ADVANCED_FACE('',(#7344),#218,.F.); +#8113=ADVANCED_FACE('',(#7345),#219,.F.); +#8114=ADVANCED_FACE('',(#7346),#220,.F.); +#8115=ADVANCED_FACE('',(#7347),#221,.F.); +#8116=ADVANCED_FACE('',(#7348),#222,.F.); +#8117=ADVANCED_FACE('',(#7349),#7717,.F.); +#8118=ADVANCED_FACE('',(#7350),#7718,.F.); +#8119=ADVANCED_FACE('',(#7351),#7719,.F.); +#8120=ADVANCED_FACE('',(#7352),#7720,.F.); +#8121=ADVANCED_FACE('',(#7353),#7721,.F.); +#8122=ADVANCED_FACE('',(#7354),#7722,.F.); +#8123=ADVANCED_FACE('',(#7355),#7723,.F.); +#8124=ADVANCED_FACE('',(#7356),#7724,.F.); +#8125=ADVANCED_FACE('',(#7357),#7725,.F.); +#8126=ADVANCED_FACE('',(#7358),#7726,.F.); +#8127=ADVANCED_FACE('',(#7359),#7727,.F.); +#8128=ADVANCED_FACE('',(#7360),#7728,.F.); +#8129=ADVANCED_FACE('',(#7361),#7729,.F.); +#8130=ADVANCED_FACE('',(#7362),#7730,.F.); +#8131=ADVANCED_FACE('',(#7363),#7731,.T.); +#8132=ADVANCED_FACE('',(#7364),#7732,.F.); +#8133=ADVANCED_FACE('',(#7365),#7733,.F.); +#8134=ADVANCED_FACE('',(#7366),#7734,.F.); +#8135=ADVANCED_FACE('',(#7367),#7735,.F.); +#8136=ADVANCED_FACE('',(#7368),#7736,.F.); +#8137=ADVANCED_FACE('',(#7369),#7737,.T.); +#8138=ADVANCED_FACE('',(#7370),#7738,.T.); +#8139=ADVANCED_FACE('',(#7371),#7739,.T.); +#8140=ADVANCED_FACE('',(#7372),#7740,.T.); +#8141=ADVANCED_FACE('',(#7373),#7741,.T.); +#8142=ADVANCED_FACE('',(#7374),#7742,.T.); +#8143=ADVANCED_FACE('',(#7375),#7743,.T.); +#8144=ADVANCED_FACE('',(#7376),#7744,.T.); +#8145=ADVANCED_FACE('',(#7377),#7745,.T.); +#8146=ADVANCED_FACE('',(#7378),#7746,.T.); +#8147=ADVANCED_FACE('',(#7379),#7747,.T.); +#8148=ADVANCED_FACE('',(#7380),#7748,.T.); +#8149=ADVANCED_FACE('',(#7381),#7749,.T.); +#8150=ADVANCED_FACE('',(#7382),#7750,.T.); +#8151=ADVANCED_FACE('',(#7383),#7751,.T.); +#8152=ADVANCED_FACE('',(#7384),#7752,.T.); +#8153=ADVANCED_FACE('',(#7385),#7753,.T.); +#8154=ADVANCED_FACE('',(#7386),#7754,.T.); +#8155=ADVANCED_FACE('',(#7387),#7755,.T.); +#8156=ADVANCED_FACE('',(#7388),#7756,.T.); +#8157=ADVANCED_FACE('',(#7389),#7757,.T.); +#8158=ADVANCED_FACE('',(#7390),#7758,.T.); +#8159=ADVANCED_FACE('',(#7391),#7759,.T.); +#8160=ADVANCED_FACE('',(#7392),#7760,.T.); +#8161=ADVANCED_FACE('',(#7393),#7761,.T.); +#8162=ADVANCED_FACE('',(#7394),#7762,.T.); +#8163=ADVANCED_FACE('',(#7395),#7763,.T.); +#8164=ADVANCED_FACE('',(#7396),#7764,.T.); +#8165=ADVANCED_FACE('',(#7397),#7765,.F.); +#8166=ADVANCED_FACE('',(#7398),#7766,.F.); +#8167=ADVANCED_FACE('',(#7399),#7767,.F.); +#8168=ADVANCED_FACE('',(#7400),#7768,.F.); +#8169=ADVANCED_FACE('',(#7401),#7769,.F.); +#8170=ADVANCED_FACE('',(#7402),#7770,.F.); +#8171=ADVANCED_FACE('',(#7403),#7771,.F.); +#8172=ADVANCED_FACE('',(#7404),#7772,.F.); +#8173=ADVANCED_FACE('',(#7405),#7773,.F.); +#8174=ADVANCED_FACE('',(#7406),#7774,.F.); +#8175=ADVANCED_FACE('',(#7407),#7775,.F.); +#8176=ADVANCED_FACE('',(#7408),#7776,.F.); +#8177=ADVANCED_FACE('',(#7409),#7777,.F.); +#8178=ADVANCED_FACE('',(#7410),#7778,.F.); +#8179=ADVANCED_FACE('',(#7411),#7779,.F.); +#8180=ADVANCED_FACE('',(#7412),#7780,.F.); +#8181=ADVANCED_FACE('',(#7413),#7781,.F.); +#8182=ADVANCED_FACE('',(#7414),#7782,.F.); +#8183=ADVANCED_FACE('',(#7415),#7783,.F.); +#8184=ADVANCED_FACE('',(#7416),#7784,.F.); +#8185=ADVANCED_FACE('',(#7417),#7785,.F.); +#8186=ADVANCED_FACE('',(#7418),#7786,.F.); +#8187=ADVANCED_FACE('',(#7419),#7787,.F.); +#8188=ADVANCED_FACE('',(#7420),#7788,.F.); +#8189=ADVANCED_FACE('',(#7421),#7789,.F.); +#8190=ADVANCED_FACE('',(#7422),#7790,.F.); +#8191=ADVANCED_FACE('',(#7423),#7791,.F.); +#8192=ADVANCED_FACE('',(#7424),#7792,.F.); +#8193=ADVANCED_FACE('',(#7425),#7793,.F.); +#8194=ADVANCED_FACE('',(#7426),#7794,.F.); +#8195=ADVANCED_FACE('',(#7427),#7795,.F.); +#8196=ADVANCED_FACE('',(#7428),#7796,.F.); +#8197=ADVANCED_FACE('',(#7429),#7797,.F.); +#8198=ADVANCED_FACE('',(#7430),#7798,.F.); +#8199=ADVANCED_FACE('',(#7431),#7799,.T.); +#8200=ADVANCED_FACE('',(#7432),#7800,.T.); +#8201=ADVANCED_FACE('',(#7433),#7801,.T.); +#8202=ADVANCED_FACE('',(#7434),#7802,.T.); +#8203=ADVANCED_FACE('',(#7435),#7803,.T.); +#8204=ADVANCED_FACE('',(#7436),#7804,.T.); +#8205=ADVANCED_FACE('',(#7437),#7805,.T.); +#8206=ADVANCED_FACE('',(#7438),#7806,.T.); +#8207=ADVANCED_FACE('',(#7439),#7807,.T.); +#8208=ADVANCED_FACE('',(#7440),#7808,.T.); +#8209=ADVANCED_FACE('',(#7441),#7809,.T.); +#8210=ADVANCED_FACE('',(#7442),#7810,.T.); +#8211=ADVANCED_FACE('',(#7443),#7811,.T.); +#8212=ADVANCED_FACE('',(#7444),#7812,.T.); +#8213=ADVANCED_FACE('',(#7445),#7813,.T.); +#8214=ADVANCED_FACE('',(#7446),#7814,.T.); +#8215=ADVANCED_FACE('',(#7447),#7815,.T.); +#8216=ADVANCED_FACE('',(#7448),#7816,.T.); +#8217=ADVANCED_FACE('',(#7449),#7817,.T.); +#8218=ADVANCED_FACE('',(#7450),#7818,.T.); +#8219=ADVANCED_FACE('',(#7451),#7819,.T.); +#8220=ADVANCED_FACE('',(#7452),#7820,.T.); +#8221=ADVANCED_FACE('',(#7453),#7821,.T.); +#8222=ADVANCED_FACE('',(#7454),#7822,.T.); +#8223=ADVANCED_FACE('',(#7455),#7823,.T.); +#8224=ADVANCED_FACE('',(#7456),#7824,.T.); +#8225=ADVANCED_FACE('',(#7457),#7825,.T.); +#8226=ADVANCED_FACE('',(#7458),#7826,.T.); +#8227=ADVANCED_FACE('',(#7459),#7827,.T.); +#8228=ADVANCED_FACE('',(#7460),#7828,.T.); +#8229=ADVANCED_FACE('',(#7461),#7829,.T.); +#8230=ADVANCED_FACE('',(#7462),#7830,.T.); +#8231=ADVANCED_FACE('',(#7463),#7831,.T.); +#8232=ADVANCED_FACE('',(#7464),#7832,.T.); +#8233=ADVANCED_FACE('',(#7465),#7833,.T.); +#8234=ADVANCED_FACE('',(#7466),#7834,.T.); +#8235=ADVANCED_FACE('',(#7467),#7835,.T.); +#8236=ADVANCED_FACE('',(#7468),#7836,.T.); +#8237=ADVANCED_FACE('',(#7469),#7837,.T.); +#8238=ADVANCED_FACE('',(#7470),#7838,.T.); +#8239=ADVANCED_FACE('',(#7471),#7839,.T.); +#8240=ADVANCED_FACE('',(#7472),#7840,.T.); +#8241=ADVANCED_FACE('',(#7473),#7841,.T.); +#8242=ADVANCED_FACE('',(#7474),#7842,.T.); +#8243=ADVANCED_FACE('',(#7475),#7843,.T.); +#8244=ADVANCED_FACE('',(#7476),#7844,.T.); +#8245=ADVANCED_FACE('',(#7477),#7845,.T.); +#8246=ADVANCED_FACE('',(#7478),#7846,.T.); +#8247=ADVANCED_FACE('',(#7479),#7847,.T.); +#8248=ADVANCED_FACE('',(#7480),#7848,.T.); +#8249=ADVANCED_FACE('',(#7481),#7849,.T.); +#8250=ADVANCED_FACE('',(#7482),#7850,.T.); +#8251=ADVANCED_FACE('',(#7483),#7851,.T.); +#8252=ADVANCED_FACE('',(#7484),#7852,.T.); +#8253=ADVANCED_FACE('',(#7485),#7853,.T.); +#8254=ADVANCED_FACE('',(#7486),#7854,.T.); +#8255=ADVANCED_FACE('',(#7487),#7855,.T.); +#8256=ADVANCED_FACE('',(#7488),#7856,.T.); +#8257=ADVANCED_FACE('',(#7489),#7857,.T.); +#8258=ADVANCED_FACE('',(#7490),#7858,.T.); +#8259=ADVANCED_FACE('',(#7491),#7859,.T.); +#8260=ADVANCED_FACE('',(#7492),#7860,.T.); +#8261=ADVANCED_FACE('',(#7493),#7861,.T.); +#8262=ADVANCED_FACE('',(#7494),#7862,.T.); +#8263=ADVANCED_FACE('',(#7495),#7863,.F.); +#8264=ADVANCED_FACE('',(#7496),#7864,.F.); +#8265=ADVANCED_FACE('',(#7497),#7865,.F.); +#8266=ADVANCED_FACE('',(#7498),#7866,.F.); +#8267=ADVANCED_FACE('',(#7499),#7867,.F.); +#8268=ADVANCED_FACE('',(#7500),#7868,.F.); +#8269=ADVANCED_FACE('',(#7501),#7869,.F.); +#8270=ADVANCED_FACE('',(#7502),#7870,.F.); +#8271=ADVANCED_FACE('',(#7503),#7871,.F.); +#8272=ADVANCED_FACE('',(#7504),#7872,.F.); +#8273=ADVANCED_FACE('',(#7505),#7873,.F.); +#8274=ADVANCED_FACE('',(#7506,#7507,#7508,#7509,#7510,#7511,#7512,#7513, +#7514,#7515,#7516,#7517,#7518,#7519,#7520,#7521,#7522),#7874,.T.); +#8275=ADVANCED_FACE('',(#7523),#7875,.T.); +#8276=ADVANCED_FACE('',(#7524),#7876,.T.); +#8277=ADVANCED_FACE('',(#7525,#7526,#7527),#7877,.T.); +#8278=ADVANCED_FACE('',(#7528),#7878,.T.); +#8279=ADVANCED_FACE('',(#7529),#7879,.T.); +#8280=ADVANCED_FACE('',(#7530,#7531,#7532),#7880,.T.); +#8281=ADVANCED_FACE('',(#7533),#7881,.T.); +#8282=ADVANCED_FACE('',(#7534),#7882,.T.); +#8283=ADVANCED_FACE('',(#7535,#7536,#7537),#7883,.T.); +#8284=ADVANCED_FACE('',(#7538),#7884,.T.); +#8285=ADVANCED_FACE('',(#7539),#7885,.T.); +#8286=ADVANCED_FACE('',(#7540,#7541,#7542),#7886,.T.); +#8287=ADVANCED_FACE('',(#7543),#7887,.T.); +#8288=ADVANCED_FACE('',(#7544),#7888,.T.); +#8289=ADVANCED_FACE('',(#7545,#7546,#7547),#7889,.T.); +#8290=ADVANCED_FACE('',(#7548),#7890,.T.); +#8291=ADVANCED_FACE('',(#7549),#7891,.T.); +#8292=ADVANCED_FACE('',(#7550,#7551,#7552),#7892,.T.); +#8293=ADVANCED_FACE('',(#7553),#7893,.T.); +#8294=ADVANCED_FACE('',(#7554),#7894,.T.); +#8295=ADVANCED_FACE('',(#7555,#7556,#7557),#7895,.T.); +#8296=ADVANCED_FACE('',(#7558),#7896,.T.); +#8297=ADVANCED_FACE('',(#7559,#7560,#7561),#7897,.T.); +#8298=ADVANCED_FACE('',(#7562),#7898,.T.); +#8299=CLOSED_SHELL('',(#7899,#7900,#7901,#7902,#7903,#7904,#7905,#7906, +#7907,#7908,#7909,#7910,#7911,#7912,#7913,#7914,#7915,#7916,#7917,#7918, +#7919,#7920,#7921,#7922,#7923,#7924,#7925,#7926,#7927,#7928,#7929,#7930, +#7931,#7932,#7933,#7934,#7935,#7936,#7937,#7938,#7939,#7940,#7941,#7942, +#7943,#7944,#7945,#7946,#7947,#7948,#7949,#7950,#7951,#7952,#7953,#7954, +#7955,#7956,#7957,#7958,#7959,#7960,#7961,#7962,#7963,#7964,#7965,#7966, +#7967,#7968,#7969,#7970,#7971,#7972,#7973,#7974,#7975,#7976,#7977,#7978, +#7979,#7980,#7981,#7982,#7983,#7984,#7985,#7986,#7987,#7988,#7989,#7990, +#7991,#7992,#7993,#7994,#7995,#7996,#7997,#7998,#7999,#8000,#8001,#8002, +#8003,#8004,#8005,#8006,#8007,#8008,#8009,#8010,#8011,#8012,#8013,#8014, +#8015,#8016,#8017,#8018,#8019,#8020,#8021,#8022,#8023,#8024,#8025,#8026, +#8027,#8028,#8029,#8030,#8031,#8032,#8033,#8034,#8035,#8036,#8037,#8038, +#8039,#8040,#8041,#8042,#8043,#8044,#8045,#8046,#8047,#8048,#8049,#8050, +#8051,#8052,#8053,#8054,#8055,#8056,#8057,#8058,#8059,#8060,#8061,#8062, +#8063,#8064,#8065,#8066,#8067,#8068,#8069,#8070,#8071,#8072,#8073,#8074, +#8075,#8076,#8077,#8078,#8079,#8080,#8081,#8082,#8083,#8084,#8085,#8086, +#8087,#8088,#8089,#8090,#8091,#8092,#8093,#8094,#8095,#8096,#8097,#8098, +#8099,#8100,#8101,#8102,#8103,#8104,#8105,#8106,#8107,#8108,#8109,#8110, +#8111,#8112,#8113,#8114,#8115,#8116,#8117,#8118,#8119,#8120,#8121,#8122, +#8123,#8124,#8125,#8126,#8127,#8128,#8129,#8130,#8131,#8132,#8133,#8134, +#8135,#8136,#8137,#8138,#8139,#8140,#8141,#8142,#8143,#8144,#8145,#8146, +#8147,#8148,#8149,#8150,#8151,#8152,#8153,#8154,#8155,#8156,#8157,#8158, +#8159,#8160,#8161,#8162,#8163,#8164,#8165,#8166,#8167,#8168,#8169,#8170, +#8171,#8172,#8173,#8174,#8175,#8176,#8177,#8178,#8179,#8180,#8181,#8182, +#8183,#8184,#8185,#8186,#8187,#8188,#8189,#8190,#8191,#8192,#8193,#8194, +#8195,#8196)); +#8300=CLOSED_SHELL('',(#8197,#8198,#8199,#8200,#8201,#8202,#8203,#8204, +#8205,#8206,#8207,#8208,#8209,#8210,#8211,#8212,#8213,#8214,#8215,#8216, +#8217,#8218,#8219,#8220,#8221,#8222,#8223,#8224,#8225,#8226,#8227,#8228, +#8229,#8230,#8231,#8232,#8233,#8234,#8235,#8236,#8237,#8238,#8239,#8240, +#8241,#8242,#8243,#8244,#8245,#8246,#8247,#8248,#8249,#8250,#8251,#8252, +#8253,#8254,#8255,#8256,#8257,#8258,#8259,#8260,#8261,#8262,#8263,#8264, +#8265,#8266,#8267,#8268,#8269,#8270,#8271,#8272,#8273,#8274,#8275,#8276, +#8277,#8278,#8279,#8280,#8281,#8282,#8283,#8284,#8285,#8286,#8287,#8288, +#8289,#8290,#8291,#8292,#8293,#8294,#8295,#8296,#8297,#8298)); +#8301=STYLED_ITEM('',(#8303),#8316); +#8302=STYLED_ITEM('',(#8304),#8317); +#8303=PRESENTATION_STYLE_ASSIGNMENT((#8305)); +#8304=PRESENTATION_STYLE_ASSIGNMENT((#8306)); +#8305=SURFACE_STYLE_USAGE(.BOTH.,#8307); +#8306=SURFACE_STYLE_USAGE(.BOTH.,#8308); +#8307=SURFACE_SIDE_STYLE('',(#8309)); +#8308=SURFACE_SIDE_STYLE('',(#8310)); +#8309=SURFACE_STYLE_FILL_AREA(#8311); +#8310=SURFACE_STYLE_FILL_AREA(#8312); +#8311=FILL_AREA_STYLE('',(#8313)); +#8312=FILL_AREA_STYLE('',(#8314)); +#8313=FILL_AREA_STYLE_COLOUR('',#8315); +#8314=FILL_AREA_STYLE_COLOUR('',#18); +#8315=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#8316=MANIFOLD_SOLID_BREP('C-08-03-08-D_SMH',#8299); +#8317=MANIFOLD_SOLID_BREP('SMH-108-D_socket',#8300); +#8318=SHAPE_DEFINITION_REPRESENTATION(#13373,#8321); +#8319=SHAPE_DEFINITION_REPRESENTATION(#13374,#8322); +#8320=SHAPE_DEFINITION_REPRESENTATION(#13376,#8323); +#8321=SHAPE_REPRESENTATION('SMH-108-02-L-D',(#8324,#8751,#8854),#13361); +#8322=SHAPE_REPRESENTATION('C-08-03-08-D_SMH',(#8324),#13362); +#8323=SHAPE_REPRESENTATION('SMH-108-D_socket',(#8324),#13363); +#8324=AXIS2_PLACEMENT_3D('',#10977,#8855,#8856); +#8325=AXIS2_PLACEMENT_3D('',#10978,#8857,#8858); +#8326=AXIS2_PLACEMENT_3D('',#10987,#8863,#8864); +#8327=AXIS2_PLACEMENT_3D('',#10996,#8869,#8870); +#8328=AXIS2_PLACEMENT_3D('',#11005,#8875,#8876); +#8329=AXIS2_PLACEMENT_3D('',#11014,#8881,#8882); +#8330=AXIS2_PLACEMENT_3D('',#11023,#8887,#8888); +#8331=AXIS2_PLACEMENT_3D('',#11032,#8893,#8894); +#8332=AXIS2_PLACEMENT_3D('',#11041,#8899,#8900); +#8333=AXIS2_PLACEMENT_3D('',#11050,#8905,#8906); +#8334=AXIS2_PLACEMENT_3D('',#11056,#8910,#8911); +#8335=AXIS2_PLACEMENT_3D('',#11062,#8915,#8916); +#8336=AXIS2_PLACEMENT_3D('',#11068,#8920,#8921); +#8337=AXIS2_PLACEMENT_3D('',#11074,#8925,#8926); +#8338=AXIS2_PLACEMENT_3D('',#11080,#8930,#8931); +#8339=AXIS2_PLACEMENT_3D('',#11086,#8935,#8936); +#8340=AXIS2_PLACEMENT_3D('',#11092,#8940,#8941); +#8341=AXIS2_PLACEMENT_3D('',#11098,#8945,#8946); +#8342=AXIS2_PLACEMENT_3D('',#11104,#8950,#8951); +#8343=AXIS2_PLACEMENT_3D('',#11110,#8955,#8956); +#8344=AXIS2_PLACEMENT_3D('',#11116,#8960,#8961); +#8345=AXIS2_PLACEMENT_3D('',#11122,#8965,#8966); +#8346=AXIS2_PLACEMENT_3D('',#11128,#8970,#8971); +#8347=AXIS2_PLACEMENT_3D('',#11134,#8975,#8976); +#8348=AXIS2_PLACEMENT_3D('',#11140,#8980,#8981); +#8349=AXIS2_PLACEMENT_3D('',#11146,#8985,#8986); +#8350=AXIS2_PLACEMENT_3D('',#11152,#8990,#8991); +#8351=AXIS2_PLACEMENT_3D('',#11158,#8995,#8996); +#8352=AXIS2_PLACEMENT_3D('',#11164,#9000,#9001); +#8353=AXIS2_PLACEMENT_3D('',#11170,#9005,#9006); +#8354=AXIS2_PLACEMENT_3D('',#11176,#9010,#9011); +#8355=AXIS2_PLACEMENT_3D('',#11182,#9015,#9016); +#8356=AXIS2_PLACEMENT_3D('',#11188,#9020,#9021); +#8357=AXIS2_PLACEMENT_3D('',#11194,#9025,#9026); +#8358=AXIS2_PLACEMENT_3D('',#11203,#9031,#9032); +#8359=AXIS2_PLACEMENT_3D('',#11212,#9037,#9038); +#8360=AXIS2_PLACEMENT_3D('',#11221,#9043,#9044); +#8361=AXIS2_PLACEMENT_3D('',#11230,#9049,#9050); +#8362=AXIS2_PLACEMENT_3D('',#11239,#9055,#9056); +#8363=AXIS2_PLACEMENT_3D('',#11248,#9061,#9062); +#8364=AXIS2_PLACEMENT_3D('',#11257,#9067,#9068); +#8365=AXIS2_PLACEMENT_3D('',#11266,#9073,#9074); +#8366=AXIS2_PLACEMENT_3D('',#11272,#9078,#9079); +#8367=AXIS2_PLACEMENT_3D('',#11278,#9083,#9084); +#8368=AXIS2_PLACEMENT_3D('',#11284,#9088,#9089); +#8369=AXIS2_PLACEMENT_3D('',#11290,#9093,#9094); +#8370=AXIS2_PLACEMENT_3D('',#11296,#9098,#9099); +#8371=AXIS2_PLACEMENT_3D('',#11302,#9103,#9104); +#8372=AXIS2_PLACEMENT_3D('',#11308,#9108,#9109); +#8373=AXIS2_PLACEMENT_3D('',#11314,#9113,#9114); +#8374=AXIS2_PLACEMENT_3D('',#11320,#9118,#9119); +#8375=AXIS2_PLACEMENT_3D('',#11326,#9123,#9124); +#8376=AXIS2_PLACEMENT_3D('',#11332,#9128,#9129); +#8377=AXIS2_PLACEMENT_3D('',#11338,#9133,#9134); +#8378=AXIS2_PLACEMENT_3D('',#11344,#9138,#9139); +#8379=AXIS2_PLACEMENT_3D('',#11350,#9143,#9144); +#8380=AXIS2_PLACEMENT_3D('',#11356,#9148,#9149); +#8381=AXIS2_PLACEMENT_3D('',#11362,#9153,#9154); +#8382=AXIS2_PLACEMENT_3D('',#11368,#9158,#9159); +#8383=AXIS2_PLACEMENT_3D('',#11374,#9163,#9164); +#8384=AXIS2_PLACEMENT_3D('',#11380,#9168,#9169); +#8385=AXIS2_PLACEMENT_3D('',#11386,#9173,#9174); +#8386=AXIS2_PLACEMENT_3D('',#11392,#9178,#9179); +#8387=AXIS2_PLACEMENT_3D('',#11398,#9183,#9184); +#8388=AXIS2_PLACEMENT_3D('',#11404,#9188,#9189); +#8389=AXIS2_PLACEMENT_3D('',#11410,#9193,#9194); +#8390=AXIS2_PLACEMENT_3D('',#11411,#9195,#9196); +#8391=AXIS2_PLACEMENT_3D('',#11416,#9198,#9199); +#8392=AXIS2_PLACEMENT_3D('',#11419,#9201,#9202); +#8393=AXIS2_PLACEMENT_3D('',#11420,#9203,#9204); +#8394=AXIS2_PLACEMENT_3D('',#11425,#9206,#9207); +#8395=AXIS2_PLACEMENT_3D('',#11428,#9209,#9210); +#8396=AXIS2_PLACEMENT_3D('',#11429,#9211,#9212); +#8397=AXIS2_PLACEMENT_3D('',#11434,#9214,#9215); +#8398=AXIS2_PLACEMENT_3D('',#11437,#9217,#9218); +#8399=AXIS2_PLACEMENT_3D('',#11438,#9219,#9220); +#8400=AXIS2_PLACEMENT_3D('',#11443,#9222,#9223); +#8401=AXIS2_PLACEMENT_3D('',#11446,#9225,#9226); +#8402=AXIS2_PLACEMENT_3D('',#11447,#9227,#9228); +#8403=AXIS2_PLACEMENT_3D('',#11452,#9230,#9231); +#8404=AXIS2_PLACEMENT_3D('',#11455,#9233,#9234); +#8405=AXIS2_PLACEMENT_3D('',#11456,#9235,#9236); +#8406=AXIS2_PLACEMENT_3D('',#11461,#9238,#9239); +#8407=AXIS2_PLACEMENT_3D('',#11464,#9241,#9242); +#8408=AXIS2_PLACEMENT_3D('',#11465,#9243,#9244); +#8409=AXIS2_PLACEMENT_3D('',#11470,#9246,#9247); +#8410=AXIS2_PLACEMENT_3D('',#11473,#9249,#9250); +#8411=AXIS2_PLACEMENT_3D('',#11474,#9251,#9252); +#8412=AXIS2_PLACEMENT_3D('',#11479,#9254,#9255); +#8413=AXIS2_PLACEMENT_3D('',#11482,#9257,#9258); +#8414=AXIS2_PLACEMENT_3D('',#11488,#9262,#9263); +#8415=AXIS2_PLACEMENT_3D('',#11494,#9267,#9268); +#8416=AXIS2_PLACEMENT_3D('',#11500,#9272,#9273); +#8417=AXIS2_PLACEMENT_3D('',#11506,#9277,#9278); +#8418=AXIS2_PLACEMENT_3D('',#11512,#9282,#9283); +#8419=AXIS2_PLACEMENT_3D('',#11518,#9287,#9288); +#8420=AXIS2_PLACEMENT_3D('',#11524,#9292,#9293); +#8421=AXIS2_PLACEMENT_3D('',#11530,#9297,#9298); +#8422=AXIS2_PLACEMENT_3D('',#11536,#9302,#9303); +#8423=AXIS2_PLACEMENT_3D('',#11542,#9307,#9308); +#8424=AXIS2_PLACEMENT_3D('',#11548,#9312,#9313); +#8425=AXIS2_PLACEMENT_3D('',#11554,#9317,#9318); +#8426=AXIS2_PLACEMENT_3D('',#11560,#9322,#9323); +#8427=AXIS2_PLACEMENT_3D('',#11566,#9327,#9328); +#8428=AXIS2_PLACEMENT_3D('',#11572,#9332,#9333); +#8429=AXIS2_PLACEMENT_3D('',#11578,#9337,#9338); +#8430=AXIS2_PLACEMENT_3D('',#11584,#9342,#9343); +#8431=AXIS2_PLACEMENT_3D('',#11590,#9347,#9348); +#8432=AXIS2_PLACEMENT_3D('',#11596,#9352,#9353); +#8433=AXIS2_PLACEMENT_3D('',#11602,#9357,#9358); +#8434=AXIS2_PLACEMENT_3D('',#11608,#9362,#9363); +#8435=AXIS2_PLACEMENT_3D('',#11614,#9367,#9368); +#8436=AXIS2_PLACEMENT_3D('',#11620,#9372,#9373); +#8437=AXIS2_PLACEMENT_3D('',#11626,#9377,#9378); +#8438=AXIS2_PLACEMENT_3D('',#11627,#9379,#9380); +#8439=AXIS2_PLACEMENT_3D('',#11631,#9382,#9383); +#8440=AXIS2_PLACEMENT_3D('',#11632,#9384,#9385); +#8441=AXIS2_PLACEMENT_3D('',#11636,#9387,#9388); +#8442=AXIS2_PLACEMENT_3D('',#11637,#9389,#9390); +#8443=AXIS2_PLACEMENT_3D('',#11638,#9391,#9392); +#8444=AXIS2_PLACEMENT_3D('',#11642,#9394,#9395); +#8445=AXIS2_PLACEMENT_3D('',#11643,#9396,#9397); +#8446=AXIS2_PLACEMENT_3D('',#11644,#9398,#9399); +#8447=AXIS2_PLACEMENT_3D('',#11648,#9401,#9402); +#8448=AXIS2_PLACEMENT_3D('',#11649,#9403,#9404); +#8449=AXIS2_PLACEMENT_3D('',#11650,#9405,#9406); +#8450=AXIS2_PLACEMENT_3D('',#11654,#9408,#9409); +#8451=AXIS2_PLACEMENT_3D('',#11655,#9410,#9411); +#8452=AXIS2_PLACEMENT_3D('',#11656,#9412,#9413); +#8453=AXIS2_PLACEMENT_3D('',#11660,#9415,#9416); +#8454=AXIS2_PLACEMENT_3D('',#11661,#9417,#9418); +#8455=AXIS2_PLACEMENT_3D('',#11662,#9419,#9420); +#8456=AXIS2_PLACEMENT_3D('',#11666,#9422,#9423); +#8457=AXIS2_PLACEMENT_3D('',#11667,#9424,#9425); +#8458=AXIS2_PLACEMENT_3D('',#11668,#9426,#9427); +#8459=AXIS2_PLACEMENT_3D('',#11672,#9429,#9430); +#8460=AXIS2_PLACEMENT_3D('',#11673,#9431,#9432); +#8461=AXIS2_PLACEMENT_3D('',#11674,#9433,#9434); +#8462=AXIS2_PLACEMENT_3D('',#11680,#9438,#9439); +#8463=AXIS2_PLACEMENT_3D('',#11686,#9443,#9444); +#8464=AXIS2_PLACEMENT_3D('',#11692,#9448,#9449); +#8465=AXIS2_PLACEMENT_3D('',#11698,#9453,#9454); +#8466=AXIS2_PLACEMENT_3D('',#11704,#9458,#9459); +#8467=AXIS2_PLACEMENT_3D('',#11710,#9463,#9464); +#8468=AXIS2_PLACEMENT_3D('',#11716,#9468,#9469); +#8469=AXIS2_PLACEMENT_3D('',#11722,#9473,#9474); +#8470=AXIS2_PLACEMENT_3D('',#11723,#9475,#9476); +#8471=AXIS2_PLACEMENT_3D('',#11727,#9478,#9479); +#8472=AXIS2_PLACEMENT_3D('',#11728,#9480,#9481); +#8473=AXIS2_PLACEMENT_3D('',#11729,#9482,#9483); +#8474=AXIS2_PLACEMENT_3D('',#11731,#9484,#9485); +#8475=AXIS2_PLACEMENT_3D('',#11734,#9487,#9488); +#8476=AXIS2_PLACEMENT_3D('',#11735,#9489,#9490); +#8477=AXIS2_PLACEMENT_3D('',#11737,#9491,#9492); +#8478=AXIS2_PLACEMENT_3D('',#11740,#9494,#9495); +#8479=AXIS2_PLACEMENT_3D('',#11741,#9496,#9497); +#8480=AXIS2_PLACEMENT_3D('',#11743,#9498,#9499); +#8481=AXIS2_PLACEMENT_3D('',#11746,#9501,#9502); +#8482=AXIS2_PLACEMENT_3D('',#11747,#9503,#9504); +#8483=AXIS2_PLACEMENT_3D('',#11749,#9505,#9506); +#8484=AXIS2_PLACEMENT_3D('',#11752,#9508,#9509); +#8485=AXIS2_PLACEMENT_3D('',#11753,#9510,#9511); +#8486=AXIS2_PLACEMENT_3D('',#11755,#9512,#9513); +#8487=AXIS2_PLACEMENT_3D('',#11758,#9515,#9516); +#8488=AXIS2_PLACEMENT_3D('',#11759,#9517,#9518); +#8489=AXIS2_PLACEMENT_3D('',#11761,#9519,#9520); +#8490=AXIS2_PLACEMENT_3D('',#11764,#9522,#9523); +#8491=AXIS2_PLACEMENT_3D('',#11765,#9524,#9525); +#8492=AXIS2_PLACEMENT_3D('',#11767,#9526,#9527); +#8493=AXIS2_PLACEMENT_3D('',#11770,#9529,#9530); +#8494=AXIS2_PLACEMENT_3D('',#11851,#9579,#9580); +#8495=AXIS2_PLACEMENT_3D('',#11860,#9585,#9586); +#8496=AXIS2_PLACEMENT_3D('',#11869,#9591,#9592); +#8497=AXIS2_PLACEMENT_3D('',#11878,#9597,#9598); +#8498=AXIS2_PLACEMENT_3D('',#11887,#9603,#9604); +#8499=AXIS2_PLACEMENT_3D('',#11896,#9609,#9610); +#8500=AXIS2_PLACEMENT_3D('',#11905,#9615,#9616); +#8501=AXIS2_PLACEMENT_3D('',#11914,#9621,#9622); +#8502=AXIS2_PLACEMENT_3D('',#11923,#9627,#9628); +#8503=AXIS2_PLACEMENT_3D('',#11924,#9629,#9630); +#8504=AXIS2_PLACEMENT_3D('',#11928,#9632,#9633); +#8505=AXIS2_PLACEMENT_3D('',#11929,#9634,#9635); +#8506=AXIS2_PLACEMENT_3D('',#11933,#9637,#9638); +#8507=AXIS2_PLACEMENT_3D('',#11934,#9639,#9640); +#8508=AXIS2_PLACEMENT_3D('',#11935,#9641,#9642); +#8509=AXIS2_PLACEMENT_3D('',#11939,#9644,#9645); +#8510=AXIS2_PLACEMENT_3D('',#11940,#9646,#9647); +#8511=AXIS2_PLACEMENT_3D('',#11941,#9648,#9649); +#8512=AXIS2_PLACEMENT_3D('',#11945,#9651,#9652); +#8513=AXIS2_PLACEMENT_3D('',#11946,#9653,#9654); +#8514=AXIS2_PLACEMENT_3D('',#11947,#9655,#9656); +#8515=AXIS2_PLACEMENT_3D('',#11951,#9658,#9659); +#8516=AXIS2_PLACEMENT_3D('',#11952,#9660,#9661); +#8517=AXIS2_PLACEMENT_3D('',#11953,#9662,#9663); +#8518=AXIS2_PLACEMENT_3D('',#11957,#9665,#9666); +#8519=AXIS2_PLACEMENT_3D('',#11958,#9667,#9668); +#8520=AXIS2_PLACEMENT_3D('',#11959,#9669,#9670); +#8521=AXIS2_PLACEMENT_3D('',#11963,#9672,#9673); +#8522=AXIS2_PLACEMENT_3D('',#11964,#9674,#9675); +#8523=AXIS2_PLACEMENT_3D('',#11965,#9676,#9677); +#8524=AXIS2_PLACEMENT_3D('',#11969,#9679,#9680); +#8525=AXIS2_PLACEMENT_3D('',#11970,#9681,#9682); +#8526=AXIS2_PLACEMENT_3D('',#11971,#9683,#9684); +#8527=AXIS2_PLACEMENT_3D('',#11974,#9687,#9688); +#8528=AXIS2_PLACEMENT_3D('',#11977,#9691,#9692); +#8529=AXIS2_PLACEMENT_3D('',#11980,#9695,#9696); +#8530=AXIS2_PLACEMENT_3D('',#11983,#9699,#9700); +#8531=AXIS2_PLACEMENT_3D('',#11986,#9703,#9704); +#8532=AXIS2_PLACEMENT_3D('',#11989,#9707,#9708); +#8533=AXIS2_PLACEMENT_3D('',#11992,#9711,#9712); +#8534=AXIS2_PLACEMENT_3D('',#11995,#9715,#9716); +#8535=AXIS2_PLACEMENT_3D('',#12004,#9721,#9722); +#8536=AXIS2_PLACEMENT_3D('',#12013,#9727,#9728); +#8537=AXIS2_PLACEMENT_3D('',#12022,#9733,#9734); +#8538=AXIS2_PLACEMENT_3D('',#12031,#9739,#9740); +#8539=AXIS2_PLACEMENT_3D('',#12040,#9745,#9746); +#8540=AXIS2_PLACEMENT_3D('',#12049,#9751,#9752); +#8541=AXIS2_PLACEMENT_3D('',#12058,#9757,#9758); +#8542=AXIS2_PLACEMENT_3D('',#12067,#9763,#9764); +#8543=AXIS2_PLACEMENT_3D('',#12068,#9765,#9766); +#8544=AXIS2_PLACEMENT_3D('',#12073,#9768,#9769); +#8545=AXIS2_PLACEMENT_3D('',#12076,#9771,#9772); +#8546=AXIS2_PLACEMENT_3D('',#12077,#9773,#9774); +#8547=AXIS2_PLACEMENT_3D('',#12082,#9776,#9777); +#8548=AXIS2_PLACEMENT_3D('',#12085,#9779,#9780); +#8549=AXIS2_PLACEMENT_3D('',#12086,#9781,#9782); +#8550=AXIS2_PLACEMENT_3D('',#12091,#9784,#9785); +#8551=AXIS2_PLACEMENT_3D('',#12094,#9787,#9788); +#8552=AXIS2_PLACEMENT_3D('',#12095,#9789,#9790); +#8553=AXIS2_PLACEMENT_3D('',#12100,#9792,#9793); +#8554=AXIS2_PLACEMENT_3D('',#12103,#9795,#9796); +#8555=AXIS2_PLACEMENT_3D('',#12104,#9797,#9798); +#8556=AXIS2_PLACEMENT_3D('',#12109,#9800,#9801); +#8557=AXIS2_PLACEMENT_3D('',#12112,#9803,#9804); +#8558=AXIS2_PLACEMENT_3D('',#12113,#9805,#9806); +#8559=AXIS2_PLACEMENT_3D('',#12118,#9808,#9809); +#8560=AXIS2_PLACEMENT_3D('',#12121,#9811,#9812); +#8561=AXIS2_PLACEMENT_3D('',#12122,#9813,#9814); +#8562=AXIS2_PLACEMENT_3D('',#12127,#9816,#9817); +#8563=AXIS2_PLACEMENT_3D('',#12130,#9819,#9820); +#8564=AXIS2_PLACEMENT_3D('',#12131,#9821,#9822); +#8565=AXIS2_PLACEMENT_3D('',#12136,#9824,#9825); +#8566=AXIS2_PLACEMENT_3D('',#12139,#9827,#9828); +#8567=AXIS2_PLACEMENT_3D('',#12145,#9832,#9833); +#8568=AXIS2_PLACEMENT_3D('',#12151,#9837,#9838); +#8569=AXIS2_PLACEMENT_3D('',#12157,#9842,#9843); +#8570=AXIS2_PLACEMENT_3D('',#12163,#9847,#9848); +#8571=AXIS2_PLACEMENT_3D('',#12169,#9852,#9853); +#8572=AXIS2_PLACEMENT_3D('',#12175,#9857,#9858); +#8573=AXIS2_PLACEMENT_3D('',#12181,#9862,#9863); +#8574=AXIS2_PLACEMENT_3D('',#12187,#9867,#9868); +#8575=AXIS2_PLACEMENT_3D('',#12193,#9872,#9873); +#8576=AXIS2_PLACEMENT_3D('',#12199,#9877,#9878); +#8577=AXIS2_PLACEMENT_3D('',#12205,#9882,#9883); +#8578=AXIS2_PLACEMENT_3D('',#12211,#9887,#9888); +#8579=AXIS2_PLACEMENT_3D('',#12217,#9892,#9893); +#8580=AXIS2_PLACEMENT_3D('',#12223,#9897,#9898); +#8581=AXIS2_PLACEMENT_3D('',#12229,#9902,#9903); +#8582=AXIS2_PLACEMENT_3D('',#12235,#9907,#9908); +#8583=AXIS2_PLACEMENT_3D('',#12241,#9912,#9913); +#8584=AXIS2_PLACEMENT_3D('',#12247,#9917,#9918); +#8585=AXIS2_PLACEMENT_3D('',#12253,#9922,#9923); +#8586=AXIS2_PLACEMENT_3D('',#12259,#9927,#9928); +#8587=AXIS2_PLACEMENT_3D('',#12265,#9932,#9933); +#8588=AXIS2_PLACEMENT_3D('',#12271,#9937,#9938); +#8589=AXIS2_PLACEMENT_3D('',#12277,#9942,#9943); +#8590=AXIS2_PLACEMENT_3D('',#12283,#9947,#9948); +#8591=AXIS2_PLACEMENT_3D('',#12284,#9949,#9950); +#8592=AXIS2_PLACEMENT_3D('',#12288,#9952,#9953); +#8593=AXIS2_PLACEMENT_3D('',#12289,#9954,#9955); +#8594=AXIS2_PLACEMENT_3D('',#12293,#9957,#9958); +#8595=AXIS2_PLACEMENT_3D('',#12294,#9959,#9960); +#8596=AXIS2_PLACEMENT_3D('',#12295,#9961,#9962); +#8597=AXIS2_PLACEMENT_3D('',#12299,#9964,#9965); +#8598=AXIS2_PLACEMENT_3D('',#12300,#9966,#9967); +#8599=AXIS2_PLACEMENT_3D('',#12301,#9968,#9969); +#8600=AXIS2_PLACEMENT_3D('',#12305,#9971,#9972); +#8601=AXIS2_PLACEMENT_3D('',#12306,#9973,#9974); +#8602=AXIS2_PLACEMENT_3D('',#12307,#9975,#9976); +#8603=AXIS2_PLACEMENT_3D('',#12311,#9978,#9979); +#8604=AXIS2_PLACEMENT_3D('',#12312,#9980,#9981); +#8605=AXIS2_PLACEMENT_3D('',#12313,#9982,#9983); +#8606=AXIS2_PLACEMENT_3D('',#12317,#9985,#9986); +#8607=AXIS2_PLACEMENT_3D('',#12318,#9987,#9988); +#8608=AXIS2_PLACEMENT_3D('',#12319,#9989,#9990); +#8609=AXIS2_PLACEMENT_3D('',#12323,#9992,#9993); +#8610=AXIS2_PLACEMENT_3D('',#12324,#9994,#9995); +#8611=AXIS2_PLACEMENT_3D('',#12325,#9996,#9997); +#8612=AXIS2_PLACEMENT_3D('',#12329,#9999,#10000); +#8613=AXIS2_PLACEMENT_3D('',#12330,#10001,#10002); +#8614=AXIS2_PLACEMENT_3D('',#12331,#10003,#10004); +#8615=AXIS2_PLACEMENT_3D('',#12337,#10008,#10009); +#8616=AXIS2_PLACEMENT_3D('',#12343,#10013,#10014); +#8617=AXIS2_PLACEMENT_3D('',#12349,#10018,#10019); +#8618=AXIS2_PLACEMENT_3D('',#12355,#10023,#10024); +#8619=AXIS2_PLACEMENT_3D('',#12361,#10028,#10029); +#8620=AXIS2_PLACEMENT_3D('',#12367,#10033,#10034); +#8621=AXIS2_PLACEMENT_3D('',#12373,#10038,#10039); +#8622=AXIS2_PLACEMENT_3D('',#12379,#10043,#10044); +#8623=AXIS2_PLACEMENT_3D('',#12380,#10045,#10046); +#8624=AXIS2_PLACEMENT_3D('',#12381,#10047,#10048); +#8625=AXIS2_PLACEMENT_3D('',#12382,#10049,#10050); +#8626=AXIS2_PLACEMENT_3D('',#12383,#10051,#10052); +#8627=AXIS2_PLACEMENT_3D('',#12384,#10053,#10054); +#8628=AXIS2_PLACEMENT_3D('',#12385,#10055,#10056); +#8629=AXIS2_PLACEMENT_3D('',#12386,#10057,#10058); +#8630=AXIS2_PLACEMENT_3D('',#12387,#10059,#10060); +#8631=AXIS2_PLACEMENT_3D('',#12388,#10061,#10062); +#8632=AXIS2_PLACEMENT_3D('',#12389,#10063,#10064); +#8633=AXIS2_PLACEMENT_3D('',#12390,#10065,#10066); +#8634=AXIS2_PLACEMENT_3D('',#12391,#10067,#10068); +#8635=AXIS2_PLACEMENT_3D('',#12392,#10069,#10070); +#8636=AXIS2_PLACEMENT_3D('',#12393,#10071,#10072); +#8637=AXIS2_PLACEMENT_3D('',#12394,#10073,#10074); +#8638=AXIS2_PLACEMENT_3D('',#12395,#10075,#10076); +#8639=AXIS2_PLACEMENT_3D('',#12396,#10077,#10078); +#8640=AXIS2_PLACEMENT_3D('',#12397,#10079,#10080); +#8641=AXIS2_PLACEMENT_3D('',#12398,#10081,#10082); +#8642=AXIS2_PLACEMENT_3D('',#12399,#10083,#10084); +#8643=AXIS2_PLACEMENT_3D('',#12400,#10085,#10086); +#8644=AXIS2_PLACEMENT_3D('',#12401,#10087,#10088); +#8645=AXIS2_PLACEMENT_3D('',#12402,#10089,#10090); +#8646=AXIS2_PLACEMENT_3D('',#12403,#10091,#10092); +#8647=AXIS2_PLACEMENT_3D('',#12508,#10149,#10150); +#8648=AXIS2_PLACEMENT_3D('',#12509,#10151,#10152); +#8649=AXIS2_PLACEMENT_3D('',#12513,#10154,#10155); +#8650=AXIS2_PLACEMENT_3D('',#12514,#10156,#10157); +#8651=AXIS2_PLACEMENT_3D('',#12518,#10159,#10160); +#8652=AXIS2_PLACEMENT_3D('',#12519,#10161,#10162); +#8653=AXIS2_PLACEMENT_3D('',#12520,#10163,#10164); +#8654=AXIS2_PLACEMENT_3D('',#12524,#10166,#10167); +#8655=AXIS2_PLACEMENT_3D('',#12525,#10168,#10169); +#8656=AXIS2_PLACEMENT_3D('',#12526,#10170,#10171); +#8657=AXIS2_PLACEMENT_3D('',#12530,#10173,#10174); +#8658=AXIS2_PLACEMENT_3D('',#12531,#10175,#10176); +#8659=AXIS2_PLACEMENT_3D('',#12532,#10177,#10178); +#8660=AXIS2_PLACEMENT_3D('',#12536,#10180,#10181); +#8661=AXIS2_PLACEMENT_3D('',#12537,#10182,#10183); +#8662=AXIS2_PLACEMENT_3D('',#12538,#10184,#10185); +#8663=AXIS2_PLACEMENT_3D('',#12542,#10187,#10188); +#8664=AXIS2_PLACEMENT_3D('',#12543,#10189,#10190); +#8665=AXIS2_PLACEMENT_3D('',#12544,#10191,#10192); +#8666=AXIS2_PLACEMENT_3D('',#12548,#10194,#10195); +#8667=AXIS2_PLACEMENT_3D('',#12549,#10196,#10197); +#8668=AXIS2_PLACEMENT_3D('',#12550,#10198,#10199); +#8669=AXIS2_PLACEMENT_3D('',#12554,#10201,#10202); +#8670=AXIS2_PLACEMENT_3D('',#12555,#10203,#10204); +#8671=AXIS2_PLACEMENT_3D('',#12556,#10205,#10206); +#8672=AXIS2_PLACEMENT_3D('',#12559,#10209,#10210); +#8673=AXIS2_PLACEMENT_3D('',#12562,#10213,#10214); +#8674=AXIS2_PLACEMENT_3D('',#12565,#10217,#10218); +#8675=AXIS2_PLACEMENT_3D('',#12568,#10221,#10222); +#8676=AXIS2_PLACEMENT_3D('',#12571,#10225,#10226); +#8677=AXIS2_PLACEMENT_3D('',#12574,#10229,#10230); +#8678=AXIS2_PLACEMENT_3D('',#12577,#10233,#10234); +#8679=AXIS2_PLACEMENT_3D('',#12580,#10237,#10238); +#8680=AXIS2_PLACEMENT_3D('',#12621,#10275,#10276); +#8681=AXIS2_PLACEMENT_3D('',#12652,#10307,#10308); +#8682=AXIS2_PLACEMENT_3D('',#12658,#10312,#10313); +#8683=AXIS2_PLACEMENT_3D('',#12664,#10317,#10318); +#8684=AXIS2_PLACEMENT_3D('',#12670,#10322,#10323); +#8685=AXIS2_PLACEMENT_3D('',#12673,#10326,#10327); +#8686=AXIS2_PLACEMENT_3D('',#12674,#10328,#10329); +#8687=AXIS2_PLACEMENT_3D('',#12675,#10330,#10331); +#8688=AXIS2_PLACEMENT_3D('',#12681,#10335,#10336); +#8689=AXIS2_PLACEMENT_3D('',#12687,#10340,#10341); +#8690=AXIS2_PLACEMENT_3D('',#12693,#10345,#10346); +#8691=AXIS2_PLACEMENT_3D('',#12696,#10349,#10350); +#8692=AXIS2_PLACEMENT_3D('',#12697,#10351,#10352); +#8693=AXIS2_PLACEMENT_3D('',#12698,#10353,#10354); +#8694=AXIS2_PLACEMENT_3D('',#12699,#10355,#10356); +#8695=AXIS2_PLACEMENT_3D('',#12700,#10357,#10358); +#8696=AXIS2_PLACEMENT_3D('',#12701,#10359,#10360); +#8697=AXIS2_PLACEMENT_3D('',#12702,#10361,#10362); +#8698=AXIS2_PLACEMENT_3D('',#12703,#10363,#10364); +#8699=AXIS2_PLACEMENT_3D('',#12704,#10365,#10366); +#8700=AXIS2_PLACEMENT_3D('',#12705,#10367,#10368); +#8701=AXIS2_PLACEMENT_3D('',#12706,#10369,#10370); +#8702=AXIS2_PLACEMENT_3D('',#12707,#10371,#10372); +#8703=AXIS2_PLACEMENT_3D('',#12708,#10373,#10374); +#8704=AXIS2_PLACEMENT_3D('',#12709,#10375,#10376); +#8705=AXIS2_PLACEMENT_3D('',#12710,#10377,#10378); +#8706=AXIS2_PLACEMENT_3D('',#12711,#10379,#10380); +#8707=AXIS2_PLACEMENT_3D('',#12712,#10381,#10382); +#8708=AXIS2_PLACEMENT_3D('',#12713,#10383,#10384); +#8709=AXIS2_PLACEMENT_3D('',#12714,#10385,#10386); +#8710=AXIS2_PLACEMENT_3D('',#12715,#10387,#10388); +#8711=AXIS2_PLACEMENT_3D('',#12716,#10389,#10390); +#8712=AXIS2_PLACEMENT_3D('',#12717,#10391,#10392); +#8713=AXIS2_PLACEMENT_3D('',#12718,#10393,#10394); +#8714=AXIS2_PLACEMENT_3D('',#12719,#10395,#10396); +#8715=AXIS2_PLACEMENT_3D('',#12720,#10397,#10398); +#8716=AXIS2_PLACEMENT_3D('',#12721,#10399,#10400); +#8717=AXIS2_PLACEMENT_3D('',#12722,#10401,#10402); +#8718=AXIS2_PLACEMENT_3D('',#12723,#10403,#10404); +#8719=AXIS2_PLACEMENT_3D('',#12724,#10405,#10406); +#8720=AXIS2_PLACEMENT_3D('',#12725,#10407,#10408); +#8721=AXIS2_PLACEMENT_3D('',#12726,#10409,#10410); +#8722=AXIS2_PLACEMENT_3D('',#12727,#10411,#10412); +#8723=AXIS2_PLACEMENT_3D('',#12728,#10413,#10414); +#8724=AXIS2_PLACEMENT_3D('',#12729,#10415,#10416); +#8725=AXIS2_PLACEMENT_3D('',#12730,#10417,#10418); +#8726=AXIS2_PLACEMENT_3D('',#12731,#10419,#10420); +#8727=AXIS2_PLACEMENT_3D('',#12732,#10421,#10422); +#8728=AXIS2_PLACEMENT_3D('',#12733,#10423,#10424); +#8729=AXIS2_PLACEMENT_3D('',#12734,#10425,#10426); +#8730=AXIS2_PLACEMENT_3D('',#12735,#10427,#10428); +#8731=AXIS2_PLACEMENT_3D('',#12736,#10429,#10430); +#8732=AXIS2_PLACEMENT_3D('',#12737,#10431,#10432); +#8733=AXIS2_PLACEMENT_3D('',#12738,#10433,#10434); +#8734=AXIS2_PLACEMENT_3D('',#12739,#10435,#10436); +#8735=AXIS2_PLACEMENT_3D('',#12740,#10437,#10438); +#8736=AXIS2_PLACEMENT_3D('',#12741,#10439,#10440); +#8737=AXIS2_PLACEMENT_3D('',#12742,#10441,#10442); +#8738=AXIS2_PLACEMENT_3D('',#12743,#10443,#10444); +#8739=AXIS2_PLACEMENT_3D('',#12744,#10445,#10446); +#8740=AXIS2_PLACEMENT_3D('',#12745,#10447,#10448); +#8741=AXIS2_PLACEMENT_3D('',#12746,#10449,#10450); +#8742=AXIS2_PLACEMENT_3D('',#12747,#10451,#10452); +#8743=AXIS2_PLACEMENT_3D('',#12748,#10453,#10454); +#8744=AXIS2_PLACEMENT_3D('',#12749,#10455,#10456); +#8745=AXIS2_PLACEMENT_3D('',#12750,#10457,#10458); +#8746=AXIS2_PLACEMENT_3D('',#12751,#10459,#10460); +#8747=AXIS2_PLACEMENT_3D('',#12752,#10461,#10462); +#8748=AXIS2_PLACEMENT_3D('',#12753,#10463,#10464); +#8749=AXIS2_PLACEMENT_3D('',#12754,#10465,#10466); +#8750=AXIS2_PLACEMENT_3D('',#12755,#10467,#10468); +#8751=AXIS2_PLACEMENT_3D('',#12756,#10469,#10470); +#8752=AXIS2_PLACEMENT_3D('',#12757,#10471,#10472); +#8753=AXIS2_PLACEMENT_3D('',#12830,#10509,#10510); +#8754=AXIS2_PLACEMENT_3D('',#12903,#10547,#10548); +#8755=AXIS2_PLACEMENT_3D('',#12912,#10553,#10554); +#8756=AXIS2_PLACEMENT_3D('',#12918,#10558,#10559); +#8757=AXIS2_PLACEMENT_3D('',#12924,#10563,#10564); +#8758=AXIS2_PLACEMENT_3D('',#12933,#10569,#10570); +#8759=AXIS2_PLACEMENT_3D('',#12939,#10574,#10575); +#8760=AXIS2_PLACEMENT_3D('',#12945,#10579,#10580); +#8761=AXIS2_PLACEMENT_3D('',#12954,#10585,#10586); +#8762=AXIS2_PLACEMENT_3D('',#12960,#10590,#10591); +#8763=AXIS2_PLACEMENT_3D('',#12966,#10595,#10596); +#8764=AXIS2_PLACEMENT_3D('',#12975,#10601,#10602); +#8765=AXIS2_PLACEMENT_3D('',#12981,#10606,#10607); +#8766=AXIS2_PLACEMENT_3D('',#12987,#10611,#10612); +#8767=AXIS2_PLACEMENT_3D('',#12996,#10617,#10618); +#8768=AXIS2_PLACEMENT_3D('',#13002,#10622,#10623); +#8769=AXIS2_PLACEMENT_3D('',#13008,#10627,#10628); +#8770=AXIS2_PLACEMENT_3D('',#13017,#10633,#10634); +#8771=AXIS2_PLACEMENT_3D('',#13023,#10638,#10639); +#8772=AXIS2_PLACEMENT_3D('',#13029,#10643,#10644); +#8773=AXIS2_PLACEMENT_3D('',#13038,#10649,#10650); +#8774=AXIS2_PLACEMENT_3D('',#13044,#10654,#10655); +#8775=AXIS2_PLACEMENT_3D('',#13050,#10659,#10660); +#8776=AXIS2_PLACEMENT_3D('',#13059,#10665,#10666); +#8777=AXIS2_PLACEMENT_3D('',#13065,#10670,#10671); +#8778=AXIS2_PLACEMENT_3D('',#13071,#10675,#10676); +#8779=AXIS2_PLACEMENT_3D('',#13080,#10681,#10682); +#8780=AXIS2_PLACEMENT_3D('',#13086,#10686,#10687); +#8781=AXIS2_PLACEMENT_3D('',#13092,#10691,#10692); +#8782=AXIS2_PLACEMENT_3D('',#13101,#10697,#10698); +#8783=AXIS2_PLACEMENT_3D('',#13107,#10702,#10703); +#8784=AXIS2_PLACEMENT_3D('',#13113,#10707,#10708); +#8785=AXIS2_PLACEMENT_3D('',#13122,#10713,#10714); +#8786=AXIS2_PLACEMENT_3D('',#13128,#10718,#10719); +#8787=AXIS2_PLACEMENT_3D('',#13134,#10723,#10724); +#8788=AXIS2_PLACEMENT_3D('',#13143,#10729,#10730); +#8789=AXIS2_PLACEMENT_3D('',#13149,#10734,#10735); +#8790=AXIS2_PLACEMENT_3D('',#13155,#10739,#10740); +#8791=AXIS2_PLACEMENT_3D('',#13164,#10745,#10746); +#8792=AXIS2_PLACEMENT_3D('',#13170,#10750,#10751); +#8793=AXIS2_PLACEMENT_3D('',#13176,#10755,#10756); +#8794=AXIS2_PLACEMENT_3D('',#13185,#10761,#10762); +#8795=AXIS2_PLACEMENT_3D('',#13191,#10766,#10767); +#8796=AXIS2_PLACEMENT_3D('',#13197,#10771,#10772); +#8797=AXIS2_PLACEMENT_3D('',#13206,#10777,#10778); +#8798=AXIS2_PLACEMENT_3D('',#13212,#10782,#10783); +#8799=AXIS2_PLACEMENT_3D('',#13218,#10787,#10788); +#8800=AXIS2_PLACEMENT_3D('',#13227,#10793,#10794); +#8801=AXIS2_PLACEMENT_3D('',#13233,#10798,#10799); +#8802=AXIS2_PLACEMENT_3D('',#13239,#10803,#10804); +#8803=AXIS2_PLACEMENT_3D('',#13242,#10807,#10808); +#8804=AXIS2_PLACEMENT_3D('',#13245,#10811,#10812); +#8805=AXIS2_PLACEMENT_3D('',#13248,#10815,#10816); +#8806=AXIS2_PLACEMENT_3D('',#13251,#10819,#10820); +#8807=AXIS2_PLACEMENT_3D('',#13254,#10823,#10824); +#8808=AXIS2_PLACEMENT_3D('',#13257,#10827,#10828); +#8809=AXIS2_PLACEMENT_3D('',#13260,#10831,#10832); +#8810=AXIS2_PLACEMENT_3D('',#13263,#10835,#10836); +#8811=AXIS2_PLACEMENT_3D('',#13266,#10839,#10840); +#8812=AXIS2_PLACEMENT_3D('',#13269,#10843,#10844); +#8813=AXIS2_PLACEMENT_3D('',#13272,#10847,#10848); +#8814=AXIS2_PLACEMENT_3D('',#13275,#10851,#10852); +#8815=AXIS2_PLACEMENT_3D('',#13278,#10855,#10856); +#8816=AXIS2_PLACEMENT_3D('',#13281,#10859,#10860); +#8817=AXIS2_PLACEMENT_3D('',#13284,#10863,#10864); +#8818=AXIS2_PLACEMENT_3D('',#13287,#10867,#10868); +#8819=AXIS2_PLACEMENT_3D('',#13290,#10871,#10872); +#8820=AXIS2_PLACEMENT_3D('',#13293,#10875,#10876); +#8821=AXIS2_PLACEMENT_3D('',#13296,#10879,#10880); +#8822=AXIS2_PLACEMENT_3D('',#13299,#10883,#10884); +#8823=AXIS2_PLACEMENT_3D('',#13302,#10887,#10888); +#8824=AXIS2_PLACEMENT_3D('',#13305,#10891,#10892); +#8825=AXIS2_PLACEMENT_3D('',#13308,#10895,#10896); +#8826=AXIS2_PLACEMENT_3D('',#13311,#10899,#10900); +#8827=AXIS2_PLACEMENT_3D('',#13314,#10903,#10904); +#8828=AXIS2_PLACEMENT_3D('',#13316,#10906,#10907); +#8829=AXIS2_PLACEMENT_3D('',#13318,#10909,#10910); +#8830=AXIS2_PLACEMENT_3D('',#13319,#10911,#10912); +#8831=AXIS2_PLACEMENT_3D('',#13321,#10914,#10915); +#8832=AXIS2_PLACEMENT_3D('',#13323,#10917,#10918); +#8833=AXIS2_PLACEMENT_3D('',#13324,#10919,#10920); +#8834=AXIS2_PLACEMENT_3D('',#13326,#10922,#10923); +#8835=AXIS2_PLACEMENT_3D('',#13328,#10925,#10926); +#8836=AXIS2_PLACEMENT_3D('',#13329,#10927,#10928); +#8837=AXIS2_PLACEMENT_3D('',#13331,#10930,#10931); +#8838=AXIS2_PLACEMENT_3D('',#13333,#10933,#10934); +#8839=AXIS2_PLACEMENT_3D('',#13334,#10935,#10936); +#8840=AXIS2_PLACEMENT_3D('',#13336,#10938,#10939); +#8841=AXIS2_PLACEMENT_3D('',#13338,#10941,#10942); +#8842=AXIS2_PLACEMENT_3D('',#13339,#10943,#10944); +#8843=AXIS2_PLACEMENT_3D('',#13341,#10946,#10947); +#8844=AXIS2_PLACEMENT_3D('',#13343,#10949,#10950); +#8845=AXIS2_PLACEMENT_3D('',#13344,#10951,#10952); +#8846=AXIS2_PLACEMENT_3D('',#13346,#10954,#10955); +#8847=AXIS2_PLACEMENT_3D('',#13348,#10957,#10958); +#8848=AXIS2_PLACEMENT_3D('',#13349,#10959,#10960); +#8849=AXIS2_PLACEMENT_3D('',#13351,#10962,#10963); +#8850=AXIS2_PLACEMENT_3D('',#13353,#10965,#10966); +#8851=AXIS2_PLACEMENT_3D('',#13354,#10967,#10968); +#8852=AXIS2_PLACEMENT_3D('',#13356,#10970,#10971); +#8853=AXIS2_PLACEMENT_3D('',#13358,#10973,#10974); +#8854=AXIS2_PLACEMENT_3D('',#13359,#10975,#10976); +#8855=DIRECTION('',(0.,0.,1.)); +#8856=DIRECTION('',(1.,0.,0.)); +#8857=DIRECTION('',(0.,-0.707106781186543,0.707106781186552)); +#8858=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8859=DIRECTION('',(-1.,0.,0.)); +#8860=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#8861=DIRECTION('',(-1.,0.,0.)); +#8862=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#8863=DIRECTION('',(0.,-0.707106781186543,0.707106781186552)); +#8864=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8865=DIRECTION('',(-1.,0.,0.)); +#8866=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8867=DIRECTION('',(-1.,0.,0.)); +#8868=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#8869=DIRECTION('',(0.,-0.707106781186543,0.707106781186552)); +#8870=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8871=DIRECTION('',(-1.,0.,0.)); +#8872=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8873=DIRECTION('',(-1.,0.,0.)); +#8874=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#8875=DIRECTION('',(0.,-0.707106781186543,0.707106781186552)); +#8876=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8877=DIRECTION('',(-1.,0.,0.)); +#8878=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8879=DIRECTION('',(-1.,0.,0.)); +#8880=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#8881=DIRECTION('',(0.,-0.707106781186543,0.707106781186552)); +#8882=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8883=DIRECTION('',(-1.,0.,0.)); +#8884=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8885=DIRECTION('',(-1.,0.,0.)); +#8886=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#8887=DIRECTION('',(0.,-0.707106781186543,0.707106781186552)); +#8888=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8889=DIRECTION('',(-1.,0.,0.)); +#8890=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8891=DIRECTION('',(-1.,0.,0.)); +#8892=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#8893=DIRECTION('',(0.,-0.707106781186543,0.707106781186552)); +#8894=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8895=DIRECTION('',(-1.,0.,0.)); +#8896=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8897=DIRECTION('',(-1.,0.,0.)); +#8898=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#8899=DIRECTION('',(0.,-0.707106781186543,0.707106781186552)); +#8900=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8901=DIRECTION('',(-1.,0.,0.)); +#8902=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#8903=DIRECTION('',(-1.,0.,0.)); +#8904=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#8905=DIRECTION('',(0.,-1.,0.)); +#8906=DIRECTION('',(0.,0.,-1.)); +#8907=DIRECTION('',(0.,0.,1.)); +#8908=DIRECTION('',(-1.,0.,0.)); +#8909=DIRECTION('',(0.,0.,1.)); +#8910=DIRECTION('',(0.,-1.,0.)); +#8911=DIRECTION('',(0.,0.,-1.)); +#8912=DIRECTION('',(-1.,0.,0.)); +#8913=DIRECTION('',(0.,0.,-1.)); +#8914=DIRECTION('',(0.,0.,1.)); +#8915=DIRECTION('',(0.,-1.,0.)); +#8916=DIRECTION('',(0.,0.,-1.)); +#8917=DIRECTION('',(-1.,0.,0.)); +#8918=DIRECTION('',(0.,0.,-1.)); +#8919=DIRECTION('',(0.,0.,1.)); +#8920=DIRECTION('',(0.,-1.,0.)); +#8921=DIRECTION('',(0.,0.,-1.)); +#8922=DIRECTION('',(-1.,0.,0.)); +#8923=DIRECTION('',(0.,0.,-1.)); +#8924=DIRECTION('',(0.,0.,1.)); +#8925=DIRECTION('',(0.,-1.,0.)); +#8926=DIRECTION('',(0.,0.,-1.)); +#8927=DIRECTION('',(-1.,0.,0.)); +#8928=DIRECTION('',(0.,0.,-1.)); +#8929=DIRECTION('',(0.,0.,1.)); +#8930=DIRECTION('',(0.,-1.,0.)); +#8931=DIRECTION('',(0.,0.,-1.)); +#8932=DIRECTION('',(-1.,0.,0.)); +#8933=DIRECTION('',(0.,0.,-1.)); +#8934=DIRECTION('',(0.,0.,1.)); +#8935=DIRECTION('',(0.,-1.,0.)); +#8936=DIRECTION('',(0.,0.,-1.)); +#8937=DIRECTION('',(-1.,0.,0.)); +#8938=DIRECTION('',(0.,0.,-1.)); +#8939=DIRECTION('',(0.,0.,1.)); +#8940=DIRECTION('',(0.,-1.,0.)); +#8941=DIRECTION('',(0.,0.,-1.)); +#8942=DIRECTION('',(-1.,0.,0.)); +#8943=DIRECTION('',(0.,0.,-1.)); +#8944=DIRECTION('',(0.,0.,1.)); +#8945=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#8946=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8947=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#8948=DIRECTION('',(-1.,0.,0.)); +#8949=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#8950=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#8951=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8952=DIRECTION('',(-1.,0.,0.)); +#8953=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8954=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#8955=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#8956=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8957=DIRECTION('',(-1.,0.,0.)); +#8958=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8959=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#8960=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#8961=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8962=DIRECTION('',(-1.,0.,0.)); +#8963=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8964=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#8965=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#8966=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8967=DIRECTION('',(-1.,0.,0.)); +#8968=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8969=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#8970=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#8971=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8972=DIRECTION('',(-1.,0.,0.)); +#8973=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8974=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#8975=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#8976=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8977=DIRECTION('',(-1.,0.,0.)); +#8978=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8979=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#8980=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#8981=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8982=DIRECTION('',(-1.,0.,0.)); +#8983=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#8984=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#8985=DIRECTION('',(0.,0.,-1.)); +#8986=DIRECTION('',(-1.,0.,0.)); +#8987=DIRECTION('',(0.,-1.,0.)); +#8988=DIRECTION('',(-1.,0.,0.)); +#8989=DIRECTION('',(0.,-1.,0.)); +#8990=DIRECTION('',(0.,0.,-1.)); +#8991=DIRECTION('',(-1.,0.,0.)); +#8992=DIRECTION('',(-1.,0.,0.)); +#8993=DIRECTION('',(0.,1.,0.)); +#8994=DIRECTION('',(0.,-1.,0.)); +#8995=DIRECTION('',(0.,0.,-1.)); +#8996=DIRECTION('',(-1.,0.,0.)); +#8997=DIRECTION('',(-1.,0.,0.)); +#8998=DIRECTION('',(0.,1.,0.)); +#8999=DIRECTION('',(0.,-1.,0.)); +#9000=DIRECTION('',(0.,0.,-1.)); +#9001=DIRECTION('',(-1.,0.,0.)); +#9002=DIRECTION('',(-1.,0.,0.)); +#9003=DIRECTION('',(0.,1.,0.)); +#9004=DIRECTION('',(0.,-1.,0.)); +#9005=DIRECTION('',(0.,0.,-1.)); +#9006=DIRECTION('',(-1.,0.,0.)); +#9007=DIRECTION('',(-1.,0.,0.)); +#9008=DIRECTION('',(0.,1.,0.)); +#9009=DIRECTION('',(0.,-1.,0.)); +#9010=DIRECTION('',(0.,0.,-1.)); +#9011=DIRECTION('',(-1.,0.,0.)); +#9012=DIRECTION('',(-1.,0.,0.)); +#9013=DIRECTION('',(0.,1.,0.)); +#9014=DIRECTION('',(0.,-1.,0.)); +#9015=DIRECTION('',(0.,0.,-1.)); +#9016=DIRECTION('',(-1.,0.,0.)); +#9017=DIRECTION('',(-1.,0.,0.)); +#9018=DIRECTION('',(0.,1.,0.)); +#9019=DIRECTION('',(0.,-1.,0.)); +#9020=DIRECTION('',(0.,0.,-1.)); +#9021=DIRECTION('',(-1.,0.,0.)); +#9022=DIRECTION('',(-1.,0.,0.)); +#9023=DIRECTION('',(0.,1.,0.)); +#9024=DIRECTION('',(0.,-1.,0.)); +#9025=DIRECTION('',(0.,0.,1.)); +#9026=DIRECTION('',(1.,0.,0.)); +#9027=DIRECTION('',(-1.,0.,0.)); +#9028=DIRECTION('',(0.,1.,0.)); +#9029=DIRECTION('',(-1.,0.,0.)); +#9030=DIRECTION('',(0.,1.,0.)); +#9031=DIRECTION('',(0.,0.,1.)); +#9032=DIRECTION('',(1.,0.,0.)); +#9033=DIRECTION('',(-1.,0.,0.)); +#9034=DIRECTION('',(0.,-1.,0.)); +#9035=DIRECTION('',(-1.,0.,0.)); +#9036=DIRECTION('',(0.,1.,0.)); +#9037=DIRECTION('',(0.,0.,1.)); +#9038=DIRECTION('',(1.,0.,0.)); +#9039=DIRECTION('',(-1.,0.,0.)); +#9040=DIRECTION('',(0.,-1.,0.)); +#9041=DIRECTION('',(-1.,0.,0.)); +#9042=DIRECTION('',(0.,1.,0.)); +#9043=DIRECTION('',(0.,0.,1.)); +#9044=DIRECTION('',(1.,0.,0.)); +#9045=DIRECTION('',(-1.,0.,0.)); +#9046=DIRECTION('',(0.,-1.,0.)); +#9047=DIRECTION('',(-1.,0.,0.)); +#9048=DIRECTION('',(0.,1.,0.)); +#9049=DIRECTION('',(0.,0.,1.)); +#9050=DIRECTION('',(1.,0.,0.)); +#9051=DIRECTION('',(-1.,0.,0.)); +#9052=DIRECTION('',(0.,-1.,0.)); +#9053=DIRECTION('',(-1.,0.,0.)); +#9054=DIRECTION('',(0.,1.,0.)); +#9055=DIRECTION('',(0.,0.,1.)); +#9056=DIRECTION('',(1.,0.,0.)); +#9057=DIRECTION('',(-1.,0.,0.)); +#9058=DIRECTION('',(0.,-1.,0.)); +#9059=DIRECTION('',(-1.,0.,0.)); +#9060=DIRECTION('',(0.,1.,0.)); +#9061=DIRECTION('',(0.,0.,1.)); +#9062=DIRECTION('',(1.,0.,0.)); +#9063=DIRECTION('',(-1.,0.,0.)); +#9064=DIRECTION('',(0.,-1.,0.)); +#9065=DIRECTION('',(-1.,0.,0.)); +#9066=DIRECTION('',(0.,1.,0.)); +#9067=DIRECTION('',(0.,0.,1.)); +#9068=DIRECTION('',(1.,0.,0.)); +#9069=DIRECTION('',(-1.,0.,0.)); +#9070=DIRECTION('',(0.,-1.,0.)); +#9071=DIRECTION('',(-1.,0.,0.)); +#9072=DIRECTION('',(0.,1.,0.)); +#9073=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#9074=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9075=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#9076=DIRECTION('',(-1.,0.,0.)); +#9077=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#9078=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#9079=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9080=DIRECTION('',(-1.,0.,0.)); +#9081=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9082=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#9083=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#9084=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9085=DIRECTION('',(-1.,0.,0.)); +#9086=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9087=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#9088=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#9089=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9090=DIRECTION('',(-1.,0.,0.)); +#9091=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9092=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#9093=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#9094=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9095=DIRECTION('',(-1.,0.,0.)); +#9096=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9097=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#9098=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#9099=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9100=DIRECTION('',(-1.,0.,0.)); +#9101=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9102=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#9103=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#9104=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9105=DIRECTION('',(-1.,0.,0.)); +#9106=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9107=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#9108=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#9109=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9110=DIRECTION('',(-1.,0.,0.)); +#9111=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#9112=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#9113=DIRECTION('',(0.,-1.,0.)); +#9114=DIRECTION('',(0.,0.,-1.)); +#9115=DIRECTION('',(0.,0.,1.)); +#9116=DIRECTION('',(-1.,0.,0.)); +#9117=DIRECTION('',(0.,0.,1.)); +#9118=DIRECTION('',(0.,-1.,0.)); +#9119=DIRECTION('',(0.,0.,-1.)); +#9120=DIRECTION('',(-1.,0.,0.)); +#9121=DIRECTION('',(0.,0.,-1.)); +#9122=DIRECTION('',(0.,0.,1.)); +#9123=DIRECTION('',(0.,-1.,0.)); +#9124=DIRECTION('',(0.,0.,-1.)); +#9125=DIRECTION('',(-1.,0.,0.)); +#9126=DIRECTION('',(0.,0.,-1.)); +#9127=DIRECTION('',(0.,0.,1.)); +#9128=DIRECTION('',(0.,-1.,0.)); +#9129=DIRECTION('',(0.,0.,-1.)); +#9130=DIRECTION('',(-1.,0.,0.)); +#9131=DIRECTION('',(0.,0.,-1.)); +#9132=DIRECTION('',(0.,0.,1.)); +#9133=DIRECTION('',(0.,-1.,0.)); +#9134=DIRECTION('',(0.,0.,-1.)); +#9135=DIRECTION('',(-1.,0.,0.)); +#9136=DIRECTION('',(0.,0.,-1.)); +#9137=DIRECTION('',(0.,0.,1.)); +#9138=DIRECTION('',(0.,-1.,0.)); +#9139=DIRECTION('',(0.,0.,-1.)); +#9140=DIRECTION('',(-1.,0.,0.)); +#9141=DIRECTION('',(0.,0.,-1.)); +#9142=DIRECTION('',(0.,0.,1.)); +#9143=DIRECTION('',(0.,-1.,0.)); +#9144=DIRECTION('',(0.,0.,-1.)); +#9145=DIRECTION('',(-1.,0.,0.)); +#9146=DIRECTION('',(0.,0.,-1.)); +#9147=DIRECTION('',(0.,0.,1.)); +#9148=DIRECTION('',(0.,-1.,0.)); +#9149=DIRECTION('',(0.,0.,-1.)); +#9150=DIRECTION('',(-1.,0.,0.)); +#9151=DIRECTION('',(0.,0.,-1.)); +#9152=DIRECTION('',(0.,0.,1.)); +#9153=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#9154=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9155=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#9156=DIRECTION('',(-1.,0.,0.)); +#9157=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#9158=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#9159=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9160=DIRECTION('',(-1.,0.,0.)); +#9161=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9162=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#9163=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#9164=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9165=DIRECTION('',(-1.,0.,0.)); +#9166=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9167=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#9168=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#9169=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9170=DIRECTION('',(-1.,0.,0.)); +#9171=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9172=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#9173=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#9174=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9175=DIRECTION('',(-1.,0.,0.)); +#9176=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9177=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#9178=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#9179=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9180=DIRECTION('',(-1.,0.,0.)); +#9181=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9182=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#9183=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#9184=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9185=DIRECTION('',(-1.,0.,0.)); +#9186=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9187=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#9188=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#9189=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9190=DIRECTION('',(-1.,0.,0.)); +#9191=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#9192=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#9193=DIRECTION('',(-1.,0.,0.)); +#9194=DIRECTION('',(0.,0.,1.)); +#9195=DIRECTION('',(-1.,0.,0.)); +#9196=DIRECTION('',(0.,0.,1.)); +#9197=DIRECTION('',(-1.,0.,0.)); +#9198=DIRECTION('',(1.,0.,0.)); +#9199=DIRECTION('',(0.,0.,-1.)); +#9200=DIRECTION('',(-1.,0.,0.)); +#9201=DIRECTION('',(-1.,0.,0.)); +#9202=DIRECTION('',(0.,0.,1.)); +#9203=DIRECTION('',(1.,0.,0.)); +#9204=DIRECTION('',(0.,0.,-1.)); +#9205=DIRECTION('',(-1.,0.,0.)); +#9206=DIRECTION('',(-1.,0.,0.)); +#9207=DIRECTION('',(0.,0.,1.)); +#9208=DIRECTION('',(-1.,0.,0.)); +#9209=DIRECTION('',(-1.,0.,0.)); +#9210=DIRECTION('',(0.,0.,1.)); +#9211=DIRECTION('',(1.,0.,0.)); +#9212=DIRECTION('',(0.,0.,-1.)); +#9213=DIRECTION('',(-1.,0.,0.)); +#9214=DIRECTION('',(-1.,0.,0.)); +#9215=DIRECTION('',(0.,0.,1.)); +#9216=DIRECTION('',(-1.,0.,0.)); +#9217=DIRECTION('',(-1.,0.,0.)); +#9218=DIRECTION('',(0.,0.,1.)); +#9219=DIRECTION('',(1.,0.,0.)); +#9220=DIRECTION('',(0.,0.,-1.)); +#9221=DIRECTION('',(-1.,0.,0.)); +#9222=DIRECTION('',(-1.,0.,0.)); +#9223=DIRECTION('',(0.,0.,1.)); +#9224=DIRECTION('',(-1.,0.,0.)); +#9225=DIRECTION('',(-1.,0.,0.)); +#9226=DIRECTION('',(0.,0.,1.)); +#9227=DIRECTION('',(1.,0.,0.)); +#9228=DIRECTION('',(0.,0.,-1.)); +#9229=DIRECTION('',(-1.,0.,0.)); +#9230=DIRECTION('',(-1.,0.,0.)); +#9231=DIRECTION('',(0.,0.,1.)); +#9232=DIRECTION('',(-1.,0.,0.)); +#9233=DIRECTION('',(-1.,0.,0.)); +#9234=DIRECTION('',(0.,0.,1.)); +#9235=DIRECTION('',(1.,0.,0.)); +#9236=DIRECTION('',(0.,0.,-1.)); +#9237=DIRECTION('',(-1.,0.,0.)); +#9238=DIRECTION('',(-1.,0.,0.)); +#9239=DIRECTION('',(0.,0.,1.)); +#9240=DIRECTION('',(-1.,0.,0.)); +#9241=DIRECTION('',(-1.,0.,0.)); +#9242=DIRECTION('',(0.,0.,1.)); +#9243=DIRECTION('',(1.,0.,0.)); +#9244=DIRECTION('',(0.,0.,-1.)); +#9245=DIRECTION('',(-1.,0.,0.)); +#9246=DIRECTION('',(-1.,0.,0.)); +#9247=DIRECTION('',(0.,0.,1.)); +#9248=DIRECTION('',(-1.,0.,0.)); +#9249=DIRECTION('',(-1.,0.,0.)); +#9250=DIRECTION('',(0.,0.,1.)); +#9251=DIRECTION('',(1.,0.,0.)); +#9252=DIRECTION('',(0.,0.,-1.)); +#9253=DIRECTION('',(-1.,0.,0.)); +#9254=DIRECTION('',(1.,0.,0.)); +#9255=DIRECTION('',(0.,0.,-1.)); +#9256=DIRECTION('',(-1.,0.,0.)); +#9257=DIRECTION('',(0.,0.,-1.)); +#9258=DIRECTION('',(-1.,0.,0.)); +#9259=DIRECTION('',(0.,1.,0.)); +#9260=DIRECTION('',(-1.,0.,0.)); +#9261=DIRECTION('',(0.,-1.,0.)); +#9262=DIRECTION('',(0.,0.,-1.)); +#9263=DIRECTION('',(-1.,0.,0.)); +#9264=DIRECTION('',(0.,-1.,0.)); +#9265=DIRECTION('',(0.,1.,0.)); +#9266=DIRECTION('',(-1.,0.,0.)); +#9267=DIRECTION('',(0.,0.,-1.)); +#9268=DIRECTION('',(-1.,0.,0.)); +#9269=DIRECTION('',(0.,-1.,0.)); +#9270=DIRECTION('',(0.,1.,0.)); +#9271=DIRECTION('',(-1.,0.,0.)); +#9272=DIRECTION('',(0.,0.,-1.)); +#9273=DIRECTION('',(-1.,0.,0.)); +#9274=DIRECTION('',(0.,-1.,0.)); +#9275=DIRECTION('',(0.,1.,0.)); +#9276=DIRECTION('',(-1.,0.,0.)); +#9277=DIRECTION('',(0.,0.,-1.)); +#9278=DIRECTION('',(-1.,0.,0.)); +#9279=DIRECTION('',(0.,-1.,0.)); +#9280=DIRECTION('',(0.,1.,0.)); +#9281=DIRECTION('',(-1.,0.,0.)); +#9282=DIRECTION('',(0.,0.,-1.)); +#9283=DIRECTION('',(-1.,0.,0.)); +#9284=DIRECTION('',(0.,-1.,0.)); +#9285=DIRECTION('',(0.,1.,0.)); +#9286=DIRECTION('',(-1.,0.,0.)); +#9287=DIRECTION('',(0.,0.,-1.)); +#9288=DIRECTION('',(-1.,0.,0.)); +#9289=DIRECTION('',(0.,-1.,0.)); +#9290=DIRECTION('',(0.,1.,0.)); +#9291=DIRECTION('',(-1.,0.,0.)); +#9292=DIRECTION('',(0.,0.,-1.)); +#9293=DIRECTION('',(-1.,0.,0.)); +#9294=DIRECTION('',(0.,-1.,0.)); +#9295=DIRECTION('',(0.,-1.,0.)); +#9296=DIRECTION('',(-1.,0.,0.)); +#9297=DIRECTION('',(0.,1.,0.)); +#9298=DIRECTION('',(0.,0.,1.)); +#9299=DIRECTION('',(0.,0.,-1.)); +#9300=DIRECTION('',(-1.,0.,0.)); +#9301=DIRECTION('',(0.,0.,-1.)); +#9302=DIRECTION('',(0.,1.,0.)); +#9303=DIRECTION('',(0.,0.,1.)); +#9304=DIRECTION('',(0.,0.,-1.)); +#9305=DIRECTION('',(0.,0.,-1.)); +#9306=DIRECTION('',(-1.,0.,0.)); +#9307=DIRECTION('',(0.,1.,0.)); +#9308=DIRECTION('',(0.,0.,1.)); +#9309=DIRECTION('',(0.,0.,-1.)); +#9310=DIRECTION('',(0.,0.,-1.)); +#9311=DIRECTION('',(-1.,0.,0.)); +#9312=DIRECTION('',(0.,1.,0.)); +#9313=DIRECTION('',(0.,0.,1.)); +#9314=DIRECTION('',(0.,0.,-1.)); +#9315=DIRECTION('',(0.,0.,-1.)); +#9316=DIRECTION('',(-1.,0.,0.)); +#9317=DIRECTION('',(0.,1.,0.)); +#9318=DIRECTION('',(0.,0.,1.)); +#9319=DIRECTION('',(0.,0.,-1.)); +#9320=DIRECTION('',(0.,0.,-1.)); +#9321=DIRECTION('',(-1.,0.,0.)); +#9322=DIRECTION('',(0.,1.,0.)); +#9323=DIRECTION('',(0.,0.,1.)); +#9324=DIRECTION('',(0.,0.,-1.)); +#9325=DIRECTION('',(0.,0.,-1.)); +#9326=DIRECTION('',(-1.,0.,0.)); +#9327=DIRECTION('',(0.,1.,0.)); +#9328=DIRECTION('',(0.,0.,1.)); +#9329=DIRECTION('',(0.,0.,-1.)); +#9330=DIRECTION('',(0.,0.,-1.)); +#9331=DIRECTION('',(-1.,0.,0.)); +#9332=DIRECTION('',(0.,1.,0.)); +#9333=DIRECTION('',(0.,0.,1.)); +#9334=DIRECTION('',(0.,0.,-1.)); +#9335=DIRECTION('',(0.,0.,-1.)); +#9336=DIRECTION('',(-1.,0.,0.)); +#9337=DIRECTION('',(0.,0.,1.)); +#9338=DIRECTION('',(1.,0.,0.)); +#9339=DIRECTION('',(0.,-1.,0.)); +#9340=DIRECTION('',(-1.,0.,0.)); +#9341=DIRECTION('',(0.,1.,0.)); +#9342=DIRECTION('',(0.,0.,1.)); +#9343=DIRECTION('',(1.,0.,0.)); +#9344=DIRECTION('',(0.,1.,0.)); +#9345=DIRECTION('',(0.,-1.,0.)); +#9346=DIRECTION('',(-1.,0.,0.)); +#9347=DIRECTION('',(0.,0.,1.)); +#9348=DIRECTION('',(1.,0.,0.)); +#9349=DIRECTION('',(0.,1.,0.)); +#9350=DIRECTION('',(0.,-1.,0.)); +#9351=DIRECTION('',(-1.,0.,0.)); +#9352=DIRECTION('',(0.,0.,1.)); +#9353=DIRECTION('',(1.,0.,0.)); +#9354=DIRECTION('',(0.,1.,0.)); +#9355=DIRECTION('',(0.,-1.,0.)); +#9356=DIRECTION('',(-1.,0.,0.)); +#9357=DIRECTION('',(0.,0.,1.)); +#9358=DIRECTION('',(1.,0.,0.)); +#9359=DIRECTION('',(0.,1.,0.)); +#9360=DIRECTION('',(0.,-1.,0.)); +#9361=DIRECTION('',(-1.,0.,0.)); +#9362=DIRECTION('',(0.,0.,1.)); +#9363=DIRECTION('',(1.,0.,0.)); +#9364=DIRECTION('',(0.,1.,0.)); +#9365=DIRECTION('',(0.,-1.,0.)); +#9366=DIRECTION('',(-1.,0.,0.)); +#9367=DIRECTION('',(0.,0.,1.)); +#9368=DIRECTION('',(1.,0.,0.)); +#9369=DIRECTION('',(0.,1.,0.)); +#9370=DIRECTION('',(0.,-1.,0.)); +#9371=DIRECTION('',(-1.,0.,0.)); +#9372=DIRECTION('',(0.,0.,1.)); +#9373=DIRECTION('',(1.,0.,0.)); +#9374=DIRECTION('',(0.,1.,0.)); +#9375=DIRECTION('',(0.,1.,0.)); +#9376=DIRECTION('',(-1.,0.,0.)); +#9377=DIRECTION('',(-1.,0.,0.)); +#9378=DIRECTION('',(0.,0.,1.)); +#9379=DIRECTION('',(-1.,0.,0.)); +#9380=DIRECTION('',(0.,0.,1.)); +#9381=DIRECTION('',(-1.,0.,0.)); +#9382=DIRECTION('',(1.,0.,0.)); +#9383=DIRECTION('',(0.,0.,-1.)); +#9384=DIRECTION('',(-1.,0.,0.)); +#9385=DIRECTION('',(0.,0.,1.)); +#9386=DIRECTION('',(-1.,0.,0.)); +#9387=DIRECTION('',(1.,0.,0.)); +#9388=DIRECTION('',(0.,0.,-1.)); +#9389=DIRECTION('',(-1.,0.,0.)); +#9390=DIRECTION('',(0.,0.,1.)); +#9391=DIRECTION('',(-1.,0.,0.)); +#9392=DIRECTION('',(0.,0.,1.)); +#9393=DIRECTION('',(-1.,0.,0.)); +#9394=DIRECTION('',(1.,0.,0.)); +#9395=DIRECTION('',(0.,0.,-1.)); +#9396=DIRECTION('',(-1.,0.,0.)); +#9397=DIRECTION('',(0.,0.,1.)); +#9398=DIRECTION('',(-1.,0.,0.)); +#9399=DIRECTION('',(0.,0.,1.)); +#9400=DIRECTION('',(-1.,0.,0.)); +#9401=DIRECTION('',(1.,0.,0.)); +#9402=DIRECTION('',(0.,0.,-1.)); +#9403=DIRECTION('',(-1.,0.,0.)); +#9404=DIRECTION('',(0.,0.,1.)); +#9405=DIRECTION('',(-1.,0.,0.)); +#9406=DIRECTION('',(0.,0.,1.)); +#9407=DIRECTION('',(-1.,0.,0.)); +#9408=DIRECTION('',(1.,0.,0.)); +#9409=DIRECTION('',(0.,0.,-1.)); +#9410=DIRECTION('',(-1.,0.,0.)); +#9411=DIRECTION('',(0.,0.,1.)); +#9412=DIRECTION('',(-1.,0.,0.)); +#9413=DIRECTION('',(0.,0.,1.)); +#9414=DIRECTION('',(-1.,0.,0.)); +#9415=DIRECTION('',(1.,0.,0.)); +#9416=DIRECTION('',(0.,0.,-1.)); +#9417=DIRECTION('',(-1.,0.,0.)); +#9418=DIRECTION('',(0.,0.,1.)); +#9419=DIRECTION('',(-1.,0.,0.)); +#9420=DIRECTION('',(0.,0.,1.)); +#9421=DIRECTION('',(-1.,0.,0.)); +#9422=DIRECTION('',(1.,0.,0.)); +#9423=DIRECTION('',(0.,0.,-1.)); +#9424=DIRECTION('',(-1.,0.,0.)); +#9425=DIRECTION('',(0.,0.,1.)); +#9426=DIRECTION('',(-1.,0.,0.)); +#9427=DIRECTION('',(0.,0.,1.)); +#9428=DIRECTION('',(-1.,0.,0.)); +#9429=DIRECTION('',(1.,0.,0.)); +#9430=DIRECTION('',(0.,0.,-1.)); +#9431=DIRECTION('',(1.,0.,0.)); +#9432=DIRECTION('',(0.,0.,-1.)); +#9433=DIRECTION('',(0.,0.765245389577821,0.643738684350948)); +#9434=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9435=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9436=DIRECTION('',(-1.,0.,0.)); +#9437=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9438=DIRECTION('',(0.,0.765245389577821,0.643738684350948)); +#9439=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9440=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9441=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9442=DIRECTION('',(-1.,0.,0.)); +#9443=DIRECTION('',(0.,0.765245389577821,0.643738684350948)); +#9444=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9445=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9446=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9447=DIRECTION('',(-1.,0.,0.)); +#9448=DIRECTION('',(0.,0.765245389577821,0.643738684350948)); +#9449=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9450=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9451=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9452=DIRECTION('',(-1.,0.,0.)); +#9453=DIRECTION('',(0.,0.765245389577821,0.643738684350948)); +#9454=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9455=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9456=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9457=DIRECTION('',(-1.,0.,0.)); +#9458=DIRECTION('',(0.,0.765245389577821,0.643738684350948)); +#9459=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9460=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9461=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9462=DIRECTION('',(-1.,0.,0.)); +#9463=DIRECTION('',(0.,0.765245389577821,0.643738684350948)); +#9464=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9465=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9466=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9467=DIRECTION('',(-1.,0.,0.)); +#9468=DIRECTION('',(0.,0.765245389577821,0.643738684350948)); +#9469=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9470=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9471=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9472=DIRECTION('',(-1.,0.,0.)); +#9473=DIRECTION('',(-1.,0.,0.)); +#9474=DIRECTION('',(0.,0.,1.)); +#9475=DIRECTION('',(-1.,0.,0.)); +#9476=DIRECTION('',(0.,0.,1.)); +#9477=DIRECTION('',(-1.,0.,0.)); +#9478=DIRECTION('',(1.,0.,0.)); +#9479=DIRECTION('',(0.,0.,-1.)); +#9480=DIRECTION('',(-1.,0.,0.)); +#9481=DIRECTION('',(0.,0.,1.)); +#9482=DIRECTION('',(1.,0.,0.)); +#9483=DIRECTION('',(0.,0.,-1.)); +#9484=DIRECTION('',(-1.,0.,0.)); +#9485=DIRECTION('',(0.,0.,1.)); +#9486=DIRECTION('',(-1.,0.,0.)); +#9487=DIRECTION('',(-1.,0.,0.)); +#9488=DIRECTION('',(0.,0.,1.)); +#9489=DIRECTION('',(1.,0.,0.)); +#9490=DIRECTION('',(0.,0.,-1.)); +#9491=DIRECTION('',(-1.,0.,0.)); +#9492=DIRECTION('',(0.,0.,1.)); +#9493=DIRECTION('',(-1.,0.,0.)); +#9494=DIRECTION('',(-1.,0.,0.)); +#9495=DIRECTION('',(0.,0.,1.)); +#9496=DIRECTION('',(1.,0.,0.)); +#9497=DIRECTION('',(0.,0.,-1.)); +#9498=DIRECTION('',(-1.,0.,0.)); +#9499=DIRECTION('',(0.,0.,1.)); +#9500=DIRECTION('',(-1.,0.,0.)); +#9501=DIRECTION('',(-1.,0.,0.)); +#9502=DIRECTION('',(0.,0.,1.)); +#9503=DIRECTION('',(1.,0.,0.)); +#9504=DIRECTION('',(0.,0.,-1.)); +#9505=DIRECTION('',(-1.,0.,0.)); +#9506=DIRECTION('',(0.,0.,1.)); +#9507=DIRECTION('',(-1.,0.,0.)); +#9508=DIRECTION('',(-1.,0.,0.)); +#9509=DIRECTION('',(0.,0.,1.)); +#9510=DIRECTION('',(1.,0.,0.)); +#9511=DIRECTION('',(0.,0.,-1.)); +#9512=DIRECTION('',(-1.,0.,0.)); +#9513=DIRECTION('',(0.,0.,1.)); +#9514=DIRECTION('',(-1.,0.,0.)); +#9515=DIRECTION('',(-1.,0.,0.)); +#9516=DIRECTION('',(0.,0.,1.)); +#9517=DIRECTION('',(1.,0.,0.)); +#9518=DIRECTION('',(0.,0.,-1.)); +#9519=DIRECTION('',(-1.,0.,0.)); +#9520=DIRECTION('',(0.,0.,1.)); +#9521=DIRECTION('',(-1.,0.,0.)); +#9522=DIRECTION('',(-1.,0.,0.)); +#9523=DIRECTION('',(0.,0.,1.)); +#9524=DIRECTION('',(1.,0.,0.)); +#9525=DIRECTION('',(0.,0.,-1.)); +#9526=DIRECTION('',(1.,0.,0.)); +#9527=DIRECTION('',(0.,0.,-1.)); +#9528=DIRECTION('',(-1.,0.,0.)); +#9529=DIRECTION('',(0.,0.,1.)); +#9530=DIRECTION('',(1.,0.,0.)); +#9531=DIRECTION('',(-1.,0.,0.)); +#9532=DIRECTION('',(0.,1.,0.)); +#9533=DIRECTION('',(0.,1.,0.)); +#9534=DIRECTION('',(0.,-1.,0.)); +#9535=DIRECTION('',(1.,0.,0.)); +#9536=DIRECTION('',(0.,1.,0.)); +#9537=DIRECTION('',(0.,-1.,0.)); +#9538=DIRECTION('',(1.,0.,0.)); +#9539=DIRECTION('',(0.,1.,0.)); +#9540=DIRECTION('',(0.,-1.,0.)); +#9541=DIRECTION('',(1.,0.,0.)); +#9542=DIRECTION('',(0.,1.,0.)); +#9543=DIRECTION('',(0.,-1.,0.)); +#9544=DIRECTION('',(1.,0.,0.)); +#9545=DIRECTION('',(0.,1.,0.)); +#9546=DIRECTION('',(0.,-1.,0.)); +#9547=DIRECTION('',(1.,0.,0.)); +#9548=DIRECTION('',(0.,1.,0.)); +#9549=DIRECTION('',(0.,-1.,0.)); +#9550=DIRECTION('',(1.,0.,0.)); +#9551=DIRECTION('',(0.,1.,0.)); +#9552=DIRECTION('',(0.,-1.,0.)); +#9553=DIRECTION('',(1.,0.,0.)); +#9554=DIRECTION('',(0.,1.,0.)); +#9555=DIRECTION('',(0.,1.,0.)); +#9556=DIRECTION('',(0.,-1.,0.)); +#9557=DIRECTION('',(-1.,0.,0.)); +#9558=DIRECTION('',(0.,1.,0.)); +#9559=DIRECTION('',(-1.,0.,0.)); +#9560=DIRECTION('',(0.,-1.,0.)); +#9561=DIRECTION('',(-1.,0.,0.)); +#9562=DIRECTION('',(0.,1.,0.)); +#9563=DIRECTION('',(0.,-1.,0.)); +#9564=DIRECTION('',(-1.,0.,0.)); +#9565=DIRECTION('',(0.,1.,0.)); +#9566=DIRECTION('',(0.,-1.,0.)); +#9567=DIRECTION('',(-1.,0.,0.)); +#9568=DIRECTION('',(0.,1.,0.)); +#9569=DIRECTION('',(0.,-1.,0.)); +#9570=DIRECTION('',(-1.,0.,0.)); +#9571=DIRECTION('',(0.,1.,0.)); +#9572=DIRECTION('',(0.,-1.,0.)); +#9573=DIRECTION('',(-1.,0.,0.)); +#9574=DIRECTION('',(0.,1.,0.)); +#9575=DIRECTION('',(0.,-1.,0.)); +#9576=DIRECTION('',(-1.,0.,0.)); +#9577=DIRECTION('',(0.,1.,0.)); +#9578=DIRECTION('',(0.,-1.,0.)); +#9579=DIRECTION('',(0.,5.24799124274558E-17,-1.)); +#9580=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9581=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9582=DIRECTION('',(-1.,0.,0.)); +#9583=DIRECTION('',(0.,-1.,-5.24799124274558E-17)); +#9584=DIRECTION('',(-1.,0.,0.)); +#9585=DIRECTION('',(0.,5.24799124274558E-17,-1.)); +#9586=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9587=DIRECTION('',(0.,-1.,-5.24799124274558E-17)); +#9588=DIRECTION('',(-1.,0.,0.)); +#9589=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9590=DIRECTION('',(-1.,0.,0.)); +#9591=DIRECTION('',(0.,5.24799124274558E-17,-1.)); +#9592=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9593=DIRECTION('',(0.,-1.,-5.24799124274558E-17)); +#9594=DIRECTION('',(-1.,0.,0.)); +#9595=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9596=DIRECTION('',(-1.,0.,0.)); +#9597=DIRECTION('',(0.,5.24799124274558E-17,-1.)); +#9598=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9599=DIRECTION('',(0.,-1.,-5.24799124274558E-17)); +#9600=DIRECTION('',(-1.,0.,0.)); +#9601=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9602=DIRECTION('',(-1.,0.,0.)); +#9603=DIRECTION('',(0.,5.24799124274558E-17,-1.)); +#9604=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9605=DIRECTION('',(0.,-1.,-5.24799124274558E-17)); +#9606=DIRECTION('',(-1.,0.,0.)); +#9607=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9608=DIRECTION('',(-1.,0.,0.)); +#9609=DIRECTION('',(0.,5.24799124274558E-17,-1.)); +#9610=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9611=DIRECTION('',(0.,-1.,-5.24799124274558E-17)); +#9612=DIRECTION('',(-1.,0.,0.)); +#9613=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9614=DIRECTION('',(-1.,0.,0.)); +#9615=DIRECTION('',(0.,5.24799124274558E-17,-1.)); +#9616=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9617=DIRECTION('',(0.,-1.,-5.24799124274558E-17)); +#9618=DIRECTION('',(-1.,0.,0.)); +#9619=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9620=DIRECTION('',(-1.,0.,0.)); +#9621=DIRECTION('',(0.,5.24799124274558E-17,-1.)); +#9622=DIRECTION('',(0.,1.,5.24799124274558E-17)); +#9623=DIRECTION('',(0.,-1.,-5.24799124274558E-17)); +#9624=DIRECTION('',(-1.,0.,0.)); +#9625=DIRECTION('',(0.,-1.,-5.24799124274558E-17)); +#9626=DIRECTION('',(-1.,0.,0.)); +#9627=DIRECTION('',(-1.,0.,0.)); +#9628=DIRECTION('',(0.,0.,1.)); +#9629=DIRECTION('',(-1.,0.,0.)); +#9630=DIRECTION('',(0.,0.,1.)); +#9631=DIRECTION('',(-1.,0.,0.)); +#9632=DIRECTION('',(1.,0.,0.)); +#9633=DIRECTION('',(0.,0.,-1.)); +#9634=DIRECTION('',(-1.,0.,0.)); +#9635=DIRECTION('',(0.,0.,1.)); +#9636=DIRECTION('',(-1.,0.,0.)); +#9637=DIRECTION('',(1.,0.,0.)); +#9638=DIRECTION('',(0.,0.,-1.)); +#9639=DIRECTION('',(-1.,0.,0.)); +#9640=DIRECTION('',(0.,0.,1.)); +#9641=DIRECTION('',(-1.,0.,0.)); +#9642=DIRECTION('',(0.,0.,1.)); +#9643=DIRECTION('',(-1.,0.,0.)); +#9644=DIRECTION('',(1.,0.,0.)); +#9645=DIRECTION('',(0.,0.,-1.)); +#9646=DIRECTION('',(-1.,0.,0.)); +#9647=DIRECTION('',(0.,0.,1.)); +#9648=DIRECTION('',(-1.,0.,0.)); +#9649=DIRECTION('',(0.,0.,1.)); +#9650=DIRECTION('',(-1.,0.,0.)); +#9651=DIRECTION('',(1.,0.,0.)); +#9652=DIRECTION('',(0.,0.,-1.)); +#9653=DIRECTION('',(-1.,0.,0.)); +#9654=DIRECTION('',(0.,0.,1.)); +#9655=DIRECTION('',(-1.,0.,0.)); +#9656=DIRECTION('',(0.,0.,1.)); +#9657=DIRECTION('',(-1.,0.,0.)); +#9658=DIRECTION('',(1.,0.,0.)); +#9659=DIRECTION('',(0.,0.,-1.)); +#9660=DIRECTION('',(-1.,0.,0.)); +#9661=DIRECTION('',(0.,0.,1.)); +#9662=DIRECTION('',(-1.,0.,0.)); +#9663=DIRECTION('',(0.,0.,1.)); +#9664=DIRECTION('',(-1.,0.,0.)); +#9665=DIRECTION('',(1.,0.,0.)); +#9666=DIRECTION('',(0.,0.,-1.)); +#9667=DIRECTION('',(-1.,0.,0.)); +#9668=DIRECTION('',(0.,0.,1.)); +#9669=DIRECTION('',(-1.,0.,0.)); +#9670=DIRECTION('',(0.,0.,1.)); +#9671=DIRECTION('',(-1.,0.,0.)); +#9672=DIRECTION('',(1.,0.,0.)); +#9673=DIRECTION('',(0.,0.,-1.)); +#9674=DIRECTION('',(-1.,0.,0.)); +#9675=DIRECTION('',(0.,0.,1.)); +#9676=DIRECTION('',(-1.,0.,0.)); +#9677=DIRECTION('',(0.,0.,1.)); +#9678=DIRECTION('',(-1.,0.,0.)); +#9679=DIRECTION('',(1.,0.,0.)); +#9680=DIRECTION('',(0.,0.,-1.)); +#9681=DIRECTION('',(1.,0.,0.)); +#9682=DIRECTION('',(0.,0.,-1.)); +#9683=DIRECTION('',(0.,-0.765245389577821,-0.643738684350947)); +#9684=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9685=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9686=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9687=DIRECTION('',(0.,-0.765245389577821,-0.643738684350947)); +#9688=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9689=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9690=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9691=DIRECTION('',(0.,-0.765245389577821,-0.643738684350947)); +#9692=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9693=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9694=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9695=DIRECTION('',(0.,-0.765245389577821,-0.643738684350947)); +#9696=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9697=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9698=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9699=DIRECTION('',(0.,-0.765245389577821,-0.643738684350947)); +#9700=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9701=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9702=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9703=DIRECTION('',(0.,-0.765245389577821,-0.643738684350947)); +#9704=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9705=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9706=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9707=DIRECTION('',(0.,-0.765245389577821,-0.643738684350947)); +#9708=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9709=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9710=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9711=DIRECTION('',(0.,-0.765245389577821,-0.643738684350947)); +#9712=DIRECTION('',(0.,0.643738684350948,-0.765245389577821)); +#9713=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9714=DIRECTION('',(0.,-0.643738684350948,0.765245389577821)); +#9715=DIRECTION('',(0.,0.,1.)); +#9716=DIRECTION('',(1.,0.,0.)); +#9717=DIRECTION('',(0.,-1.,0.)); +#9718=DIRECTION('',(1.,0.,0.)); +#9719=DIRECTION('',(0.,1.,0.)); +#9720=DIRECTION('',(-1.,0.,0.)); +#9721=DIRECTION('',(0.,0.,1.)); +#9722=DIRECTION('',(1.,0.,0.)); +#9723=DIRECTION('',(0.,1.,0.)); +#9724=DIRECTION('',(-1.,0.,0.)); +#9725=DIRECTION('',(0.,-1.,0.)); +#9726=DIRECTION('',(1.,0.,0.)); +#9727=DIRECTION('',(0.,0.,1.)); +#9728=DIRECTION('',(1.,0.,0.)); +#9729=DIRECTION('',(0.,1.,0.)); +#9730=DIRECTION('',(-1.,0.,0.)); +#9731=DIRECTION('',(0.,-1.,0.)); +#9732=DIRECTION('',(1.,0.,0.)); +#9733=DIRECTION('',(0.,0.,1.)); +#9734=DIRECTION('',(1.,0.,0.)); +#9735=DIRECTION('',(0.,1.,0.)); +#9736=DIRECTION('',(-1.,0.,0.)); +#9737=DIRECTION('',(0.,-1.,0.)); +#9738=DIRECTION('',(1.,0.,0.)); +#9739=DIRECTION('',(0.,0.,1.)); +#9740=DIRECTION('',(1.,0.,0.)); +#9741=DIRECTION('',(0.,1.,0.)); +#9742=DIRECTION('',(-1.,0.,0.)); +#9743=DIRECTION('',(0.,-1.,0.)); +#9744=DIRECTION('',(1.,0.,0.)); +#9745=DIRECTION('',(0.,0.,1.)); +#9746=DIRECTION('',(1.,0.,0.)); +#9747=DIRECTION('',(0.,1.,0.)); +#9748=DIRECTION('',(-1.,0.,0.)); +#9749=DIRECTION('',(0.,-1.,0.)); +#9750=DIRECTION('',(1.,0.,0.)); +#9751=DIRECTION('',(0.,0.,1.)); +#9752=DIRECTION('',(1.,0.,0.)); +#9753=DIRECTION('',(0.,1.,0.)); +#9754=DIRECTION('',(-1.,0.,0.)); +#9755=DIRECTION('',(0.,-1.,0.)); +#9756=DIRECTION('',(1.,0.,0.)); +#9757=DIRECTION('',(0.,0.,1.)); +#9758=DIRECTION('',(1.,0.,0.)); +#9759=DIRECTION('',(0.,1.,0.)); +#9760=DIRECTION('',(-1.,0.,0.)); +#9761=DIRECTION('',(0.,1.,0.)); +#9762=DIRECTION('',(1.,0.,0.)); +#9763=DIRECTION('',(-1.,0.,0.)); +#9764=DIRECTION('',(0.,0.,1.)); +#9765=DIRECTION('',(-1.,0.,0.)); +#9766=DIRECTION('',(0.,0.,1.)); +#9767=DIRECTION('',(-1.,0.,0.)); +#9768=DIRECTION('',(1.,0.,0.)); +#9769=DIRECTION('',(0.,0.,-1.)); +#9770=DIRECTION('',(-1.,0.,0.)); +#9771=DIRECTION('',(-1.,0.,0.)); +#9772=DIRECTION('',(0.,0.,1.)); +#9773=DIRECTION('',(1.,0.,0.)); +#9774=DIRECTION('',(0.,0.,-1.)); +#9775=DIRECTION('',(-1.,0.,0.)); +#9776=DIRECTION('',(-1.,0.,0.)); +#9777=DIRECTION('',(0.,0.,1.)); +#9778=DIRECTION('',(-1.,0.,0.)); +#9779=DIRECTION('',(-1.,0.,0.)); +#9780=DIRECTION('',(0.,0.,1.)); +#9781=DIRECTION('',(1.,0.,0.)); +#9782=DIRECTION('',(0.,0.,-1.)); +#9783=DIRECTION('',(-1.,0.,0.)); +#9784=DIRECTION('',(-1.,0.,0.)); +#9785=DIRECTION('',(0.,0.,1.)); +#9786=DIRECTION('',(-1.,0.,0.)); +#9787=DIRECTION('',(-1.,0.,0.)); +#9788=DIRECTION('',(0.,0.,1.)); +#9789=DIRECTION('',(1.,0.,0.)); +#9790=DIRECTION('',(0.,0.,-1.)); +#9791=DIRECTION('',(-1.,0.,0.)); +#9792=DIRECTION('',(-1.,0.,0.)); +#9793=DIRECTION('',(0.,0.,1.)); +#9794=DIRECTION('',(-1.,0.,0.)); +#9795=DIRECTION('',(-1.,0.,0.)); +#9796=DIRECTION('',(0.,0.,1.)); +#9797=DIRECTION('',(1.,0.,0.)); +#9798=DIRECTION('',(0.,0.,-1.)); +#9799=DIRECTION('',(-1.,0.,0.)); +#9800=DIRECTION('',(-1.,0.,0.)); +#9801=DIRECTION('',(0.,0.,1.)); +#9802=DIRECTION('',(-1.,0.,0.)); +#9803=DIRECTION('',(-1.,0.,0.)); +#9804=DIRECTION('',(0.,0.,1.)); +#9805=DIRECTION('',(1.,0.,0.)); +#9806=DIRECTION('',(0.,0.,-1.)); +#9807=DIRECTION('',(-1.,0.,0.)); +#9808=DIRECTION('',(-1.,0.,0.)); +#9809=DIRECTION('',(0.,0.,1.)); +#9810=DIRECTION('',(-1.,0.,0.)); +#9811=DIRECTION('',(-1.,0.,0.)); +#9812=DIRECTION('',(0.,0.,1.)); +#9813=DIRECTION('',(1.,0.,0.)); +#9814=DIRECTION('',(0.,0.,-1.)); +#9815=DIRECTION('',(-1.,0.,0.)); +#9816=DIRECTION('',(-1.,0.,0.)); +#9817=DIRECTION('',(0.,0.,1.)); +#9818=DIRECTION('',(-1.,0.,0.)); +#9819=DIRECTION('',(-1.,0.,0.)); +#9820=DIRECTION('',(0.,0.,1.)); +#9821=DIRECTION('',(1.,0.,0.)); +#9822=DIRECTION('',(0.,0.,-1.)); +#9823=DIRECTION('',(-1.,0.,0.)); +#9824=DIRECTION('',(1.,0.,0.)); +#9825=DIRECTION('',(0.,0.,-1.)); +#9826=DIRECTION('',(-1.,0.,0.)); +#9827=DIRECTION('',(0.,0.,-1.)); +#9828=DIRECTION('',(-1.,0.,0.)); +#9829=DIRECTION('',(0.,1.,0.)); +#9830=DIRECTION('',(-1.,0.,0.)); +#9831=DIRECTION('',(0.,-1.,0.)); +#9832=DIRECTION('',(0.,0.,-1.)); +#9833=DIRECTION('',(-1.,0.,0.)); +#9834=DIRECTION('',(0.,-1.,0.)); +#9835=DIRECTION('',(0.,1.,0.)); +#9836=DIRECTION('',(-1.,0.,0.)); +#9837=DIRECTION('',(0.,0.,-1.)); +#9838=DIRECTION('',(-1.,0.,0.)); +#9839=DIRECTION('',(0.,-1.,0.)); +#9840=DIRECTION('',(0.,1.,0.)); +#9841=DIRECTION('',(-1.,0.,0.)); +#9842=DIRECTION('',(0.,0.,-1.)); +#9843=DIRECTION('',(-1.,0.,0.)); +#9844=DIRECTION('',(0.,-1.,0.)); +#9845=DIRECTION('',(0.,1.,0.)); +#9846=DIRECTION('',(-1.,0.,0.)); +#9847=DIRECTION('',(0.,0.,-1.)); +#9848=DIRECTION('',(-1.,0.,0.)); +#9849=DIRECTION('',(0.,-1.,0.)); +#9850=DIRECTION('',(0.,1.,0.)); +#9851=DIRECTION('',(-1.,0.,0.)); +#9852=DIRECTION('',(0.,0.,-1.)); +#9853=DIRECTION('',(-1.,0.,0.)); +#9854=DIRECTION('',(0.,-1.,0.)); +#9855=DIRECTION('',(0.,1.,0.)); +#9856=DIRECTION('',(-1.,0.,0.)); +#9857=DIRECTION('',(0.,0.,-1.)); +#9858=DIRECTION('',(-1.,0.,0.)); +#9859=DIRECTION('',(0.,-1.,0.)); +#9860=DIRECTION('',(0.,1.,0.)); +#9861=DIRECTION('',(-1.,0.,0.)); +#9862=DIRECTION('',(0.,0.,-1.)); +#9863=DIRECTION('',(-1.,0.,0.)); +#9864=DIRECTION('',(0.,-1.,0.)); +#9865=DIRECTION('',(0.,-1.,0.)); +#9866=DIRECTION('',(-1.,0.,0.)); +#9867=DIRECTION('',(0.,1.,0.)); +#9868=DIRECTION('',(0.,0.,1.)); +#9869=DIRECTION('',(0.,0.,1.)); +#9870=DIRECTION('',(-1.,0.,0.)); +#9871=DIRECTION('',(0.,0.,-1.)); +#9872=DIRECTION('',(0.,1.,0.)); +#9873=DIRECTION('',(0.,0.,1.)); +#9874=DIRECTION('',(0.,0.,-1.)); +#9875=DIRECTION('',(0.,0.,1.)); +#9876=DIRECTION('',(-1.,0.,0.)); +#9877=DIRECTION('',(0.,1.,0.)); +#9878=DIRECTION('',(0.,0.,1.)); +#9879=DIRECTION('',(0.,0.,-1.)); +#9880=DIRECTION('',(0.,0.,1.)); +#9881=DIRECTION('',(-1.,0.,0.)); +#9882=DIRECTION('',(0.,1.,0.)); +#9883=DIRECTION('',(0.,0.,1.)); +#9884=DIRECTION('',(0.,0.,-1.)); +#9885=DIRECTION('',(0.,0.,1.)); +#9886=DIRECTION('',(-1.,0.,0.)); +#9887=DIRECTION('',(0.,1.,0.)); +#9888=DIRECTION('',(0.,0.,1.)); +#9889=DIRECTION('',(0.,0.,-1.)); +#9890=DIRECTION('',(0.,0.,1.)); +#9891=DIRECTION('',(-1.,0.,0.)); +#9892=DIRECTION('',(0.,1.,0.)); +#9893=DIRECTION('',(0.,0.,1.)); +#9894=DIRECTION('',(0.,0.,-1.)); +#9895=DIRECTION('',(0.,0.,1.)); +#9896=DIRECTION('',(-1.,0.,0.)); +#9897=DIRECTION('',(0.,1.,0.)); +#9898=DIRECTION('',(0.,0.,1.)); +#9899=DIRECTION('',(0.,0.,-1.)); +#9900=DIRECTION('',(0.,0.,1.)); +#9901=DIRECTION('',(-1.,0.,0.)); +#9902=DIRECTION('',(0.,1.,0.)); +#9903=DIRECTION('',(0.,0.,1.)); +#9904=DIRECTION('',(0.,0.,-1.)); +#9905=DIRECTION('',(0.,0.,-1.)); +#9906=DIRECTION('',(-1.,0.,0.)); +#9907=DIRECTION('',(0.,0.,1.)); +#9908=DIRECTION('',(1.,0.,0.)); +#9909=DIRECTION('',(0.,-1.,0.)); +#9910=DIRECTION('',(-1.,0.,0.)); +#9911=DIRECTION('',(0.,1.,0.)); +#9912=DIRECTION('',(0.,0.,1.)); +#9913=DIRECTION('',(1.,0.,0.)); +#9914=DIRECTION('',(0.,1.,0.)); +#9915=DIRECTION('',(0.,-1.,0.)); +#9916=DIRECTION('',(-1.,0.,0.)); +#9917=DIRECTION('',(0.,0.,1.)); +#9918=DIRECTION('',(1.,0.,0.)); +#9919=DIRECTION('',(0.,1.,0.)); +#9920=DIRECTION('',(0.,-1.,0.)); +#9921=DIRECTION('',(-1.,0.,0.)); +#9922=DIRECTION('',(0.,0.,1.)); +#9923=DIRECTION('',(1.,0.,0.)); +#9924=DIRECTION('',(0.,1.,0.)); +#9925=DIRECTION('',(0.,-1.,0.)); +#9926=DIRECTION('',(-1.,0.,0.)); +#9927=DIRECTION('',(0.,0.,1.)); +#9928=DIRECTION('',(1.,0.,0.)); +#9929=DIRECTION('',(0.,1.,0.)); +#9930=DIRECTION('',(0.,-1.,0.)); +#9931=DIRECTION('',(-1.,0.,0.)); +#9932=DIRECTION('',(0.,0.,1.)); +#9933=DIRECTION('',(1.,0.,0.)); +#9934=DIRECTION('',(0.,1.,0.)); +#9935=DIRECTION('',(0.,-1.,0.)); +#9936=DIRECTION('',(-1.,0.,0.)); +#9937=DIRECTION('',(0.,0.,1.)); +#9938=DIRECTION('',(1.,0.,0.)); +#9939=DIRECTION('',(0.,1.,0.)); +#9940=DIRECTION('',(0.,-1.,0.)); +#9941=DIRECTION('',(-1.,0.,0.)); +#9942=DIRECTION('',(0.,0.,1.)); +#9943=DIRECTION('',(1.,0.,0.)); +#9944=DIRECTION('',(0.,1.,0.)); +#9945=DIRECTION('',(0.,1.,0.)); +#9946=DIRECTION('',(-1.,0.,0.)); +#9947=DIRECTION('',(-1.,0.,0.)); +#9948=DIRECTION('',(0.,0.,1.)); +#9949=DIRECTION('',(-1.,0.,0.)); +#9950=DIRECTION('',(0.,0.,1.)); +#9951=DIRECTION('',(-1.,0.,0.)); +#9952=DIRECTION('',(1.,0.,0.)); +#9953=DIRECTION('',(0.,0.,-1.)); +#9954=DIRECTION('',(-1.,0.,0.)); +#9955=DIRECTION('',(0.,0.,1.)); +#9956=DIRECTION('',(-1.,0.,0.)); +#9957=DIRECTION('',(1.,0.,0.)); +#9958=DIRECTION('',(0.,0.,-1.)); +#9959=DIRECTION('',(-1.,0.,0.)); +#9960=DIRECTION('',(0.,0.,1.)); +#9961=DIRECTION('',(-1.,0.,0.)); +#9962=DIRECTION('',(0.,0.,1.)); +#9963=DIRECTION('',(-1.,0.,0.)); +#9964=DIRECTION('',(1.,0.,0.)); +#9965=DIRECTION('',(0.,0.,-1.)); +#9966=DIRECTION('',(-1.,0.,0.)); +#9967=DIRECTION('',(0.,0.,1.)); +#9968=DIRECTION('',(-1.,0.,0.)); +#9969=DIRECTION('',(0.,0.,1.)); +#9970=DIRECTION('',(-1.,0.,0.)); +#9971=DIRECTION('',(1.,0.,0.)); +#9972=DIRECTION('',(0.,0.,-1.)); +#9973=DIRECTION('',(-1.,0.,0.)); +#9974=DIRECTION('',(0.,0.,1.)); +#9975=DIRECTION('',(-1.,0.,0.)); +#9976=DIRECTION('',(0.,0.,1.)); +#9977=DIRECTION('',(-1.,0.,0.)); +#9978=DIRECTION('',(1.,0.,0.)); +#9979=DIRECTION('',(0.,0.,-1.)); +#9980=DIRECTION('',(-1.,0.,0.)); +#9981=DIRECTION('',(0.,0.,1.)); +#9982=DIRECTION('',(-1.,0.,0.)); +#9983=DIRECTION('',(0.,0.,1.)); +#9984=DIRECTION('',(-1.,0.,0.)); +#9985=DIRECTION('',(1.,0.,0.)); +#9986=DIRECTION('',(0.,0.,-1.)); +#9987=DIRECTION('',(-1.,0.,0.)); +#9988=DIRECTION('',(0.,0.,1.)); +#9989=DIRECTION('',(-1.,0.,0.)); +#9990=DIRECTION('',(0.,0.,1.)); +#9991=DIRECTION('',(-1.,0.,0.)); +#9992=DIRECTION('',(1.,0.,0.)); +#9993=DIRECTION('',(0.,0.,-1.)); +#9994=DIRECTION('',(-1.,0.,0.)); +#9995=DIRECTION('',(0.,0.,1.)); +#9996=DIRECTION('',(-1.,0.,0.)); +#9997=DIRECTION('',(0.,0.,1.)); +#9998=DIRECTION('',(-1.,0.,0.)); +#9999=DIRECTION('',(1.,0.,0.)); +#10000=DIRECTION('',(0.,0.,-1.)); +#10001=DIRECTION('',(1.,0.,0.)); +#10002=DIRECTION('',(0.,0.,-1.)); +#10003=DIRECTION('',(0.,0.691095516600362,0.722763437740786)); +#10004=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10005=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10006=DIRECTION('',(-1.,0.,0.)); +#10007=DIRECTION('',(0.,0.722763437740786,-0.691095516600362)); +#10008=DIRECTION('',(0.,0.691095516600362,0.722763437740786)); +#10009=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10010=DIRECTION('',(0.,0.722763437740786,-0.691095516600362)); +#10011=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10012=DIRECTION('',(-1.,0.,0.)); +#10013=DIRECTION('',(0.,0.691095516600362,0.722763437740786)); +#10014=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10015=DIRECTION('',(0.,0.722763437740786,-0.691095516600362)); +#10016=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10017=DIRECTION('',(-1.,0.,0.)); +#10018=DIRECTION('',(0.,0.691095516600362,0.722763437740786)); +#10019=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10020=DIRECTION('',(0.,0.722763437740786,-0.691095516600362)); +#10021=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10022=DIRECTION('',(-1.,0.,0.)); +#10023=DIRECTION('',(0.,0.691095516600362,0.722763437740786)); +#10024=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10025=DIRECTION('',(0.,0.722763437740786,-0.691095516600362)); +#10026=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10027=DIRECTION('',(-1.,0.,0.)); +#10028=DIRECTION('',(0.,0.691095516600362,0.722763437740786)); +#10029=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10030=DIRECTION('',(0.,0.722763437740786,-0.691095516600362)); +#10031=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10032=DIRECTION('',(-1.,0.,0.)); +#10033=DIRECTION('',(0.,0.691095516600362,0.722763437740786)); +#10034=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10035=DIRECTION('',(0.,0.722763437740786,-0.691095516600362)); +#10036=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10037=DIRECTION('',(-1.,0.,0.)); +#10038=DIRECTION('',(0.,0.691095516600362,0.722763437740786)); +#10039=DIRECTION('',(0.,-0.722763437740786,0.691095516600362)); +#10040=DIRECTION('',(0.,0.722763437740786,-0.691095516600362)); +#10041=DIRECTION('',(0.,0.722763437740786,-0.691095516600362)); +#10042=DIRECTION('',(-1.,0.,0.)); +#10043=DIRECTION('',(-1.,0.,0.)); +#10044=DIRECTION('',(0.,0.,1.)); +#10045=DIRECTION('',(-1.,0.,0.)); +#10046=DIRECTION('',(0.,0.,1.)); +#10047=DIRECTION('',(1.,0.,0.)); +#10048=DIRECTION('',(0.,0.,-1.)); +#10049=DIRECTION('',(-1.,0.,0.)); +#10050=DIRECTION('',(0.,0.,1.)); +#10051=DIRECTION('',(1.,0.,0.)); +#10052=DIRECTION('',(0.,0.,-1.)); +#10053=DIRECTION('',(-1.,0.,0.)); +#10054=DIRECTION('',(0.,0.,1.)); +#10055=DIRECTION('',(-1.,0.,0.)); +#10056=DIRECTION('',(0.,0.,1.)); +#10057=DIRECTION('',(1.,0.,0.)); +#10058=DIRECTION('',(0.,0.,-1.)); +#10059=DIRECTION('',(-1.,0.,0.)); +#10060=DIRECTION('',(0.,0.,1.)); +#10061=DIRECTION('',(-1.,0.,0.)); +#10062=DIRECTION('',(0.,0.,1.)); +#10063=DIRECTION('',(1.,0.,0.)); +#10064=DIRECTION('',(0.,0.,-1.)); +#10065=DIRECTION('',(-1.,0.,0.)); +#10066=DIRECTION('',(0.,0.,1.)); +#10067=DIRECTION('',(-1.,0.,0.)); +#10068=DIRECTION('',(0.,0.,1.)); +#10069=DIRECTION('',(1.,0.,0.)); +#10070=DIRECTION('',(0.,0.,-1.)); +#10071=DIRECTION('',(-1.,0.,0.)); +#10072=DIRECTION('',(0.,0.,1.)); +#10073=DIRECTION('',(-1.,0.,0.)); +#10074=DIRECTION('',(0.,0.,1.)); +#10075=DIRECTION('',(1.,0.,0.)); +#10076=DIRECTION('',(0.,0.,-1.)); +#10077=DIRECTION('',(-1.,0.,0.)); +#10078=DIRECTION('',(0.,0.,1.)); +#10079=DIRECTION('',(-1.,0.,0.)); +#10080=DIRECTION('',(0.,0.,1.)); +#10081=DIRECTION('',(1.,0.,0.)); +#10082=DIRECTION('',(0.,0.,-1.)); +#10083=DIRECTION('',(-1.,0.,0.)); +#10084=DIRECTION('',(0.,0.,1.)); +#10085=DIRECTION('',(-1.,0.,0.)); +#10086=DIRECTION('',(0.,0.,1.)); +#10087=DIRECTION('',(1.,0.,0.)); +#10088=DIRECTION('',(0.,0.,-1.)); +#10089=DIRECTION('',(1.,0.,0.)); +#10090=DIRECTION('',(0.,0.,-1.)); +#10091=DIRECTION('',(0.,0.,-1.)); +#10092=DIRECTION('',(-1.,0.,0.)); +#10093=DIRECTION('',(1.,0.,0.)); +#10094=DIRECTION('',(0.,1.,0.)); +#10095=DIRECTION('',(0.,-1.,0.)); +#10096=DIRECTION('',(-1.,0.,0.)); +#10097=DIRECTION('',(0.,-1.,0.)); +#10098=DIRECTION('',(-1.,0.,0.)); +#10099=DIRECTION('',(0.,1.,0.)); +#10100=DIRECTION('',(-1.,0.,0.)); +#10101=DIRECTION('',(0.,-1.,0.)); +#10102=DIRECTION('',(-1.,0.,0.)); +#10103=DIRECTION('',(0.,1.,0.)); +#10104=DIRECTION('',(-1.,0.,0.)); +#10105=DIRECTION('',(0.,-1.,0.)); +#10106=DIRECTION('',(-1.,0.,0.)); +#10107=DIRECTION('',(0.,1.,0.)); +#10108=DIRECTION('',(-1.,0.,0.)); +#10109=DIRECTION('',(0.,-1.,0.)); +#10110=DIRECTION('',(-1.,0.,0.)); +#10111=DIRECTION('',(0.,1.,0.)); +#10112=DIRECTION('',(-1.,0.,0.)); +#10113=DIRECTION('',(0.,-1.,0.)); +#10114=DIRECTION('',(-1.,0.,0.)); +#10115=DIRECTION('',(0.,1.,0.)); +#10116=DIRECTION('',(-1.,0.,0.)); +#10117=DIRECTION('',(0.,-1.,0.)); +#10118=DIRECTION('',(-1.,0.,0.)); +#10119=DIRECTION('',(0.,1.,0.)); +#10120=DIRECTION('',(-1.,0.,0.)); +#10121=DIRECTION('',(0.,-1.,0.)); +#10122=DIRECTION('',(-1.,0.,0.)); +#10123=DIRECTION('',(0.,1.,0.)); +#10124=DIRECTION('',(-1.,0.,0.)); +#10125=DIRECTION('',(0.,-1.,0.)); +#10126=DIRECTION('',(0.,-1.,0.)); +#10127=DIRECTION('',(1.,0.,0.)); +#10128=DIRECTION('',(0.,1.,0.)); +#10129=DIRECTION('',(0.,-1.,0.)); +#10130=DIRECTION('',(1.,0.,0.)); +#10131=DIRECTION('',(0.,1.,0.)); +#10132=DIRECTION('',(0.,-1.,0.)); +#10133=DIRECTION('',(1.,0.,0.)); +#10134=DIRECTION('',(0.,1.,0.)); +#10135=DIRECTION('',(0.,-1.,0.)); +#10136=DIRECTION('',(1.,0.,0.)); +#10137=DIRECTION('',(0.,1.,0.)); +#10138=DIRECTION('',(0.,-1.,0.)); +#10139=DIRECTION('',(1.,0.,0.)); +#10140=DIRECTION('',(0.,1.,0.)); +#10141=DIRECTION('',(0.,-1.,0.)); +#10142=DIRECTION('',(1.,0.,0.)); +#10143=DIRECTION('',(0.,1.,0.)); +#10144=DIRECTION('',(0.,-1.,0.)); +#10145=DIRECTION('',(1.,0.,0.)); +#10146=DIRECTION('',(0.,1.,0.)); +#10147=DIRECTION('',(-1.,0.,0.)); +#10148=DIRECTION('',(0.,-1.,0.)); +#10149=DIRECTION('',(-1.,0.,0.)); +#10150=DIRECTION('',(0.,0.,1.)); +#10151=DIRECTION('',(-1.,0.,0.)); +#10152=DIRECTION('',(0.,0.,1.)); +#10153=DIRECTION('',(-1.,0.,0.)); +#10154=DIRECTION('',(1.,0.,0.)); +#10155=DIRECTION('',(0.,0.,-1.)); +#10156=DIRECTION('',(-1.,0.,0.)); +#10157=DIRECTION('',(0.,0.,1.)); +#10158=DIRECTION('',(-1.,0.,0.)); +#10159=DIRECTION('',(1.,0.,0.)); +#10160=DIRECTION('',(0.,0.,-1.)); +#10161=DIRECTION('',(-1.,0.,0.)); +#10162=DIRECTION('',(0.,0.,1.)); +#10163=DIRECTION('',(-1.,0.,0.)); +#10164=DIRECTION('',(0.,0.,1.)); +#10165=DIRECTION('',(-1.,0.,0.)); +#10166=DIRECTION('',(1.,0.,0.)); +#10167=DIRECTION('',(0.,0.,-1.)); +#10168=DIRECTION('',(-1.,0.,0.)); +#10169=DIRECTION('',(0.,0.,1.)); +#10170=DIRECTION('',(-1.,0.,0.)); +#10171=DIRECTION('',(0.,0.,1.)); +#10172=DIRECTION('',(-1.,0.,0.)); +#10173=DIRECTION('',(1.,0.,0.)); +#10174=DIRECTION('',(0.,0.,-1.)); +#10175=DIRECTION('',(-1.,0.,0.)); +#10176=DIRECTION('',(0.,0.,1.)); +#10177=DIRECTION('',(-1.,0.,0.)); +#10178=DIRECTION('',(0.,0.,1.)); +#10179=DIRECTION('',(-1.,0.,0.)); +#10180=DIRECTION('',(1.,0.,0.)); +#10181=DIRECTION('',(0.,0.,-1.)); +#10182=DIRECTION('',(-1.,0.,0.)); +#10183=DIRECTION('',(0.,0.,1.)); +#10184=DIRECTION('',(-1.,0.,0.)); +#10185=DIRECTION('',(0.,0.,1.)); +#10186=DIRECTION('',(-1.,0.,0.)); +#10187=DIRECTION('',(1.,0.,0.)); +#10188=DIRECTION('',(0.,0.,-1.)); +#10189=DIRECTION('',(-1.,0.,0.)); +#10190=DIRECTION('',(0.,0.,1.)); +#10191=DIRECTION('',(-1.,0.,0.)); +#10192=DIRECTION('',(0.,0.,1.)); +#10193=DIRECTION('',(-1.,0.,0.)); +#10194=DIRECTION('',(1.,0.,0.)); +#10195=DIRECTION('',(0.,0.,-1.)); +#10196=DIRECTION('',(-1.,0.,0.)); +#10197=DIRECTION('',(0.,0.,1.)); +#10198=DIRECTION('',(-1.,0.,0.)); +#10199=DIRECTION('',(0.,0.,1.)); +#10200=DIRECTION('',(-1.,0.,0.)); +#10201=DIRECTION('',(1.,0.,0.)); +#10202=DIRECTION('',(0.,0.,-1.)); +#10203=DIRECTION('',(1.,0.,0.)); +#10204=DIRECTION('',(0.,0.,-1.)); +#10205=DIRECTION('',(0.,-0.691095516600362,-0.722763437740785)); +#10206=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10207=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10208=DIRECTION('',(0.,-0.722763437740785,0.691095516600362)); +#10209=DIRECTION('',(0.,-0.691095516600362,-0.722763437740785)); +#10210=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10211=DIRECTION('',(0.,-0.722763437740785,0.691095516600362)); +#10212=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10213=DIRECTION('',(0.,-0.691095516600362,-0.722763437740785)); +#10214=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10215=DIRECTION('',(0.,-0.722763437740785,0.691095516600362)); +#10216=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10217=DIRECTION('',(0.,-0.691095516600362,-0.722763437740785)); +#10218=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10219=DIRECTION('',(0.,-0.722763437740785,0.691095516600362)); +#10220=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10221=DIRECTION('',(0.,-0.691095516600362,-0.722763437740785)); +#10222=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10223=DIRECTION('',(0.,-0.722763437740785,0.691095516600362)); +#10224=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10225=DIRECTION('',(0.,-0.691095516600362,-0.722763437740785)); +#10226=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10227=DIRECTION('',(0.,-0.722763437740785,0.691095516600362)); +#10228=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10229=DIRECTION('',(0.,-0.691095516600362,-0.722763437740785)); +#10230=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10231=DIRECTION('',(0.,-0.722763437740785,0.691095516600362)); +#10232=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10233=DIRECTION('',(0.,-0.691095516600362,-0.722763437740785)); +#10234=DIRECTION('',(0.,0.722763437740785,-0.691095516600362)); +#10235=DIRECTION('',(0.,-0.722763437740785,0.691095516600362)); +#10236=DIRECTION('',(0.,-0.722763437740785,0.691095516600362)); +#10237=DIRECTION('',(0.,-1.,-9.27937497847915E-17)); +#10238=DIRECTION('',(0.,9.27937497847916E-17,-1.)); +#10239=DIRECTION('',(-1.,0.,0.)); +#10240=DIRECTION('',(0.,0.,1.)); +#10241=DIRECTION('',(0.,0.,-1.)); +#10242=DIRECTION('',(0.,0.,1.)); +#10243=DIRECTION('',(0.,0.,-1.)); +#10244=DIRECTION('',(0.,0.,1.)); +#10245=DIRECTION('',(0.,0.,-1.)); +#10246=DIRECTION('',(0.,0.,1.)); +#10247=DIRECTION('',(0.,0.,-1.)); +#10248=DIRECTION('',(0.,0.,1.)); +#10249=DIRECTION('',(0.,0.,-1.)); +#10250=DIRECTION('',(0.,0.,1.)); +#10251=DIRECTION('',(0.,0.,-1.)); +#10252=DIRECTION('',(0.,0.,1.)); +#10253=DIRECTION('',(0.,0.,-1.)); +#10254=DIRECTION('',(0.,-9.27937497847915E-17,1.)); +#10255=DIRECTION('',(0.,0.,-1.)); +#10256=DIRECTION('',(0.,0.,1.)); +#10257=DIRECTION('',(0.,0.,-1.)); +#10258=DIRECTION('',(0.,0.,1.)); +#10259=DIRECTION('',(0.,0.,-1.)); +#10260=DIRECTION('',(0.,0.,1.)); +#10261=DIRECTION('',(0.,0.,-1.)); +#10262=DIRECTION('',(0.,0.,1.)); +#10263=DIRECTION('',(0.,0.,-1.)); +#10264=DIRECTION('',(0.,0.,1.)); +#10265=DIRECTION('',(0.,0.,-1.)); +#10266=DIRECTION('',(0.,0.,1.)); +#10267=DIRECTION('',(0.,0.,-1.)); +#10268=DIRECTION('',(0.,0.,1.)); +#10269=DIRECTION('',(-1.,0.,0.)); +#10270=DIRECTION('',(0.,0.,-1.)); +#10271=DIRECTION('',(0.,0.,1.)); +#10272=DIRECTION('',(0.,-9.27937497847915E-17,1.)); +#10273=DIRECTION('',(0.,0.,1.)); +#10274=DIRECTION('',(0.,0.,-1.)); +#10275=DIRECTION('',(0.,1.,0.)); +#10276=DIRECTION('',(0.,0.,1.)); +#10277=DIRECTION('',(0.,0.,-1.)); +#10278=DIRECTION('',(0.,0.,-1.)); +#10279=DIRECTION('',(0.,0.,-1.)); +#10280=DIRECTION('',(0.,0.,-1.)); +#10281=DIRECTION('',(0.,0.,-1.)); +#10282=DIRECTION('',(0.,0.,-1.)); +#10283=DIRECTION('',(0.,0.,-1.)); +#10284=DIRECTION('',(0.,0.,-1.)); +#10285=DIRECTION('',(0.,0.,-1.)); +#10286=DIRECTION('',(0.,0.,-1.)); +#10287=DIRECTION('',(0.,0.,-1.)); +#10288=DIRECTION('',(0.,0.,-1.)); +#10289=DIRECTION('',(0.,0.,-1.)); +#10290=DIRECTION('',(0.,0.,-1.)); +#10291=DIRECTION('',(0.,0.,-1.)); +#10292=DIRECTION('',(0.,0.,-1.)); +#10293=DIRECTION('',(0.,0.,-1.)); +#10294=DIRECTION('',(0.,0.,-1.)); +#10295=DIRECTION('',(0.,0.,-1.)); +#10296=DIRECTION('',(0.,0.,-1.)); +#10297=DIRECTION('',(0.,0.,-1.)); +#10298=DIRECTION('',(0.,0.,-1.)); +#10299=DIRECTION('',(0.,0.,-1.)); +#10300=DIRECTION('',(0.,0.,-1.)); +#10301=DIRECTION('',(0.,0.,-1.)); +#10302=DIRECTION('',(0.,0.,-1.)); +#10303=DIRECTION('',(0.,0.,-1.)); +#10304=DIRECTION('',(0.,0.,-1.)); +#10305=DIRECTION('',(0.,0.,-1.)); +#10306=DIRECTION('',(0.,0.,-1.)); +#10307=DIRECTION('',(0.,-0.707106781186543,0.707106781186552)); +#10308=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#10309=DIRECTION('',(-1.,0.,0.)); +#10310=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543)); +#10311=DIRECTION('',(0.,0.707106781186552,0.707106781186543)); +#10312=DIRECTION('',(0.,-1.,0.)); +#10313=DIRECTION('',(0.,0.,-1.)); +#10314=DIRECTION('',(-1.,0.,0.)); +#10315=DIRECTION('',(0.,0.,-1.)); +#10316=DIRECTION('',(0.,0.,1.)); +#10317=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#10318=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#10319=DIRECTION('',(-1.,0.,0.)); +#10320=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#10321=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#10322=DIRECTION('',(0.,0.,-1.)); +#10323=DIRECTION('',(-1.,0.,0.)); +#10324=DIRECTION('',(0.,1.,0.)); +#10325=DIRECTION('',(0.,-1.,0.)); +#10326=DIRECTION('',(1.,0.,0.)); +#10327=DIRECTION('',(0.,0.,-1.)); +#10328=DIRECTION('',(1.,0.,0.)); +#10329=DIRECTION('',(0.,0.,-1.)); +#10330=DIRECTION('',(0.,0.,1.)); +#10331=DIRECTION('',(1.,0.,0.)); +#10332=DIRECTION('',(-1.,0.,0.)); +#10333=DIRECTION('',(0.,-1.,0.)); +#10334=DIRECTION('',(0.,1.,0.)); +#10335=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#10336=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#10337=DIRECTION('',(-1.,0.,0.)); +#10338=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); +#10339=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#10340=DIRECTION('',(0.,-1.,0.)); +#10341=DIRECTION('',(0.,0.,-1.)); +#10342=DIRECTION('',(-1.,0.,0.)); +#10343=DIRECTION('',(0.,0.,-1.)); +#10344=DIRECTION('',(0.,0.,1.)); +#10345=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); +#10346=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#10347=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#10348=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#10349=DIRECTION('',(-1.,0.,0.)); +#10350=DIRECTION('',(0.,0.,1.)); +#10351=DIRECTION('',(1.,0.,0.)); +#10352=DIRECTION('',(0.,0.,-1.)); +#10353=DIRECTION('',(-1.,0.,0.)); +#10354=DIRECTION('',(0.,0.,1.)); +#10355=DIRECTION('',(1.,0.,0.)); +#10356=DIRECTION('',(0.,0.,-1.)); +#10357=DIRECTION('',(-1.,0.,0.)); +#10358=DIRECTION('',(0.,0.,1.)); +#10359=DIRECTION('',(1.,0.,0.)); +#10360=DIRECTION('',(0.,0.,-1.)); +#10361=DIRECTION('',(-1.,0.,0.)); +#10362=DIRECTION('',(0.,0.,1.)); +#10363=DIRECTION('',(1.,0.,0.)); +#10364=DIRECTION('',(0.,0.,-1.)); +#10365=DIRECTION('',(-1.,0.,0.)); +#10366=DIRECTION('',(0.,0.,1.)); +#10367=DIRECTION('',(1.,0.,0.)); +#10368=DIRECTION('',(0.,0.,-1.)); +#10369=DIRECTION('',(-1.,0.,0.)); +#10370=DIRECTION('',(0.,0.,1.)); +#10371=DIRECTION('',(1.,0.,0.)); +#10372=DIRECTION('',(0.,0.,-1.)); +#10373=DIRECTION('',(-1.,0.,0.)); +#10374=DIRECTION('',(0.,0.,1.)); +#10375=DIRECTION('',(1.,0.,0.)); +#10376=DIRECTION('',(0.,0.,-1.)); +#10377=DIRECTION('',(-1.,0.,0.)); +#10378=DIRECTION('',(0.,0.,1.)); +#10379=DIRECTION('',(1.,0.,0.)); +#10380=DIRECTION('',(0.,0.,-1.)); +#10381=DIRECTION('',(-1.,0.,0.)); +#10382=DIRECTION('',(0.,0.,1.)); +#10383=DIRECTION('',(1.,0.,0.)); +#10384=DIRECTION('',(0.,0.,-1.)); +#10385=DIRECTION('',(-1.,0.,0.)); +#10386=DIRECTION('',(0.,0.,1.)); +#10387=DIRECTION('',(1.,0.,0.)); +#10388=DIRECTION('',(0.,0.,-1.)); +#10389=DIRECTION('',(-1.,0.,0.)); +#10390=DIRECTION('',(0.,0.,1.)); +#10391=DIRECTION('',(1.,0.,0.)); +#10392=DIRECTION('',(0.,0.,-1.)); +#10393=DIRECTION('',(-1.,0.,0.)); +#10394=DIRECTION('',(0.,0.,1.)); +#10395=DIRECTION('',(1.,0.,0.)); +#10396=DIRECTION('',(0.,0.,-1.)); +#10397=DIRECTION('',(-1.,0.,0.)); +#10398=DIRECTION('',(0.,0.,1.)); +#10399=DIRECTION('',(1.,0.,0.)); +#10400=DIRECTION('',(0.,0.,-1.)); +#10401=DIRECTION('',(-1.,0.,0.)); +#10402=DIRECTION('',(0.,0.,1.)); +#10403=DIRECTION('',(1.,0.,0.)); +#10404=DIRECTION('',(0.,0.,-1.)); +#10405=DIRECTION('',(1.,0.,0.)); +#10406=DIRECTION('',(0.,0.,-1.)); +#10407=DIRECTION('',(-1.,0.,0.)); +#10408=DIRECTION('',(0.,0.,1.)); +#10409=DIRECTION('',(1.,0.,0.)); +#10410=DIRECTION('',(0.,0.,-1.)); +#10411=DIRECTION('',(-1.,0.,0.)); +#10412=DIRECTION('',(0.,0.,1.)); +#10413=DIRECTION('',(1.,0.,0.)); +#10414=DIRECTION('',(0.,0.,-1.)); +#10415=DIRECTION('',(-1.,0.,0.)); +#10416=DIRECTION('',(0.,0.,1.)); +#10417=DIRECTION('',(1.,0.,0.)); +#10418=DIRECTION('',(0.,0.,-1.)); +#10419=DIRECTION('',(-1.,0.,0.)); +#10420=DIRECTION('',(0.,0.,1.)); +#10421=DIRECTION('',(1.,0.,0.)); +#10422=DIRECTION('',(0.,0.,-1.)); +#10423=DIRECTION('',(-1.,0.,0.)); +#10424=DIRECTION('',(0.,0.,1.)); +#10425=DIRECTION('',(1.,0.,0.)); +#10426=DIRECTION('',(0.,0.,-1.)); +#10427=DIRECTION('',(-1.,0.,0.)); +#10428=DIRECTION('',(0.,0.,1.)); +#10429=DIRECTION('',(1.,0.,0.)); +#10430=DIRECTION('',(0.,0.,-1.)); +#10431=DIRECTION('',(-1.,0.,0.)); +#10432=DIRECTION('',(0.,0.,1.)); +#10433=DIRECTION('',(1.,0.,0.)); +#10434=DIRECTION('',(0.,0.,-1.)); +#10435=DIRECTION('',(-1.,0.,0.)); +#10436=DIRECTION('',(0.,0.,1.)); +#10437=DIRECTION('',(-1.,0.,0.)); +#10438=DIRECTION('',(0.,0.,1.)); +#10439=DIRECTION('',(1.,0.,0.)); +#10440=DIRECTION('',(0.,0.,-1.)); +#10441=DIRECTION('',(-1.,0.,0.)); +#10442=DIRECTION('',(0.,0.,1.)); +#10443=DIRECTION('',(1.,0.,0.)); +#10444=DIRECTION('',(0.,0.,-1.)); +#10445=DIRECTION('',(-1.,0.,0.)); +#10446=DIRECTION('',(0.,0.,1.)); +#10447=DIRECTION('',(1.,0.,0.)); +#10448=DIRECTION('',(0.,0.,-1.)); +#10449=DIRECTION('',(-1.,0.,0.)); +#10450=DIRECTION('',(0.,0.,1.)); +#10451=DIRECTION('',(1.,0.,0.)); +#10452=DIRECTION('',(0.,0.,-1.)); +#10453=DIRECTION('',(-1.,0.,0.)); +#10454=DIRECTION('',(0.,0.,1.)); +#10455=DIRECTION('',(1.,0.,0.)); +#10456=DIRECTION('',(0.,0.,-1.)); +#10457=DIRECTION('',(-1.,0.,0.)); +#10458=DIRECTION('',(0.,0.,1.)); +#10459=DIRECTION('',(1.,0.,0.)); +#10460=DIRECTION('',(0.,0.,-1.)); +#10461=DIRECTION('',(-1.,0.,0.)); +#10462=DIRECTION('',(0.,0.,1.)); +#10463=DIRECTION('',(1.,0.,0.)); +#10464=DIRECTION('',(0.,0.,-1.)); +#10465=DIRECTION('',(-1.,0.,0.)); +#10466=DIRECTION('',(0.,0.,1.)); +#10467=DIRECTION('',(1.,0.,0.)); +#10468=DIRECTION('',(0.,0.,-1.)); +#10469=DIRECTION('',(0.,-1.,0.)); +#10470=DIRECTION('',(1.,0.,0.)); +#10471=DIRECTION('',(0.,0.,1.)); +#10472=DIRECTION('',(1.,0.,0.)); +#10473=DIRECTION('',(0.,1.,0.)); +#10474=DIRECTION('',(-1.,0.,0.)); +#10475=DIRECTION('',(0.,-1.,0.)); +#10476=DIRECTION('',(-1.,0.,0.)); +#10477=DIRECTION('',(0.,1.,0.)); +#10478=DIRECTION('',(-1.,0.,0.)); +#10479=DIRECTION('',(0.,-1.,0.)); +#10480=DIRECTION('',(-1.,0.,0.)); +#10481=DIRECTION('',(0.,1.,0.)); +#10482=DIRECTION('',(-1.,0.,0.)); +#10483=DIRECTION('',(0.,-1.,0.)); +#10484=DIRECTION('',(-1.,0.,0.)); +#10485=DIRECTION('',(0.,1.,0.)); +#10486=DIRECTION('',(-1.,0.,0.)); +#10487=DIRECTION('',(0.,-1.,0.)); +#10488=DIRECTION('',(-1.,0.,0.)); +#10489=DIRECTION('',(0.,1.,0.)); +#10490=DIRECTION('',(-1.,0.,0.)); +#10491=DIRECTION('',(0.,-1.,0.)); +#10492=DIRECTION('',(-1.,0.,0.)); +#10493=DIRECTION('',(0.,1.,0.)); +#10494=DIRECTION('',(-1.,0.,0.)); +#10495=DIRECTION('',(0.,-1.,0.)); +#10496=DIRECTION('',(-1.,0.,0.)); +#10497=DIRECTION('',(0.,1.,0.)); +#10498=DIRECTION('',(-1.,0.,0.)); +#10499=DIRECTION('',(0.,-1.,0.)); +#10500=DIRECTION('',(-1.,0.,0.)); +#10501=DIRECTION('',(0.,1.,0.)); +#10502=DIRECTION('',(-1.,0.,0.)); +#10503=DIRECTION('',(0.,-1.,0.)); +#10504=DIRECTION('',(-1.,0.,0.)); +#10505=DIRECTION('',(0.,-1.,0.)); +#10506=DIRECTION('',(-1.,0.,0.)); +#10507=DIRECTION('',(0.,-1.,0.)); +#10508=DIRECTION('',(-1.,0.,0.)); +#10509=DIRECTION('',(0.,0.,-1.)); +#10510=DIRECTION('',(-1.,0.,0.)); +#10511=DIRECTION('',(0.,1.,0.)); +#10512=DIRECTION('',(1.,0.,0.)); +#10513=DIRECTION('',(0.,-1.,0.)); +#10514=DIRECTION('',(1.,0.,0.)); +#10515=DIRECTION('',(0.,-1.,0.)); +#10516=DIRECTION('',(1.,0.,0.)); +#10517=DIRECTION('',(0.,-1.,0.)); +#10518=DIRECTION('',(-1.,0.,0.)); +#10519=DIRECTION('',(0.,1.,0.)); +#10520=DIRECTION('',(1.,0.,0.)); +#10521=DIRECTION('',(0.,-1.,0.)); +#10522=DIRECTION('',(-1.,0.,0.)); +#10523=DIRECTION('',(0.,1.,0.)); +#10524=DIRECTION('',(1.,0.,0.)); +#10525=DIRECTION('',(0.,-1.,0.)); +#10526=DIRECTION('',(-1.,0.,0.)); +#10527=DIRECTION('',(0.,1.,0.)); +#10528=DIRECTION('',(1.,0.,0.)); +#10529=DIRECTION('',(0.,-1.,0.)); +#10530=DIRECTION('',(-1.,0.,0.)); +#10531=DIRECTION('',(0.,1.,0.)); +#10532=DIRECTION('',(1.,0.,0.)); +#10533=DIRECTION('',(0.,-1.,0.)); +#10534=DIRECTION('',(-1.,0.,0.)); +#10535=DIRECTION('',(0.,1.,0.)); +#10536=DIRECTION('',(1.,0.,0.)); +#10537=DIRECTION('',(0.,-1.,0.)); +#10538=DIRECTION('',(-1.,0.,0.)); +#10539=DIRECTION('',(0.,1.,0.)); +#10540=DIRECTION('',(1.,0.,0.)); +#10541=DIRECTION('',(0.,-1.,0.)); +#10542=DIRECTION('',(-1.,0.,0.)); +#10543=DIRECTION('',(0.,1.,0.)); +#10544=DIRECTION('',(1.,0.,0.)); +#10545=DIRECTION('',(0.,-1.,0.)); +#10546=DIRECTION('',(-1.,0.,0.)); +#10547=DIRECTION('',(0.,0.,1.)); +#10548=DIRECTION('',(1.,0.,0.)); +#10549=DIRECTION('',(0.,-1.,0.)); +#10550=DIRECTION('',(1.,0.,0.)); +#10551=DIRECTION('',(0.,-1.,0.)); +#10552=DIRECTION('',(-1.,0.,0.)); +#10553=DIRECTION('',(-1.,0.,0.)); +#10554=DIRECTION('',(0.,0.,1.)); +#10555=DIRECTION('',(0.,0.,1.)); +#10556=DIRECTION('',(0.,-1.,0.)); +#10557=DIRECTION('',(0.,0.,-1.)); +#10558=DIRECTION('',(0.,0.,-1.)); +#10559=DIRECTION('',(-1.,0.,0.)); +#10560=DIRECTION('',(-1.,0.,0.)); +#10561=DIRECTION('',(0.,-1.,0.)); +#10562=DIRECTION('',(1.,0.,0.)); +#10563=DIRECTION('',(0.,0.,1.)); +#10564=DIRECTION('',(1.,0.,0.)); +#10565=DIRECTION('',(0.,-1.,0.)); +#10566=DIRECTION('',(1.,0.,0.)); +#10567=DIRECTION('',(0.,-1.,0.)); +#10568=DIRECTION('',(-1.,0.,0.)); +#10569=DIRECTION('',(-1.,0.,0.)); +#10570=DIRECTION('',(0.,0.,1.)); +#10571=DIRECTION('',(0.,0.,1.)); +#10572=DIRECTION('',(0.,-1.,0.)); +#10573=DIRECTION('',(0.,0.,-1.)); +#10574=DIRECTION('',(0.,0.,-1.)); +#10575=DIRECTION('',(-1.,0.,0.)); +#10576=DIRECTION('',(-1.,0.,0.)); +#10577=DIRECTION('',(0.,-1.,0.)); +#10578=DIRECTION('',(1.,0.,0.)); +#10579=DIRECTION('',(0.,0.,1.)); +#10580=DIRECTION('',(1.,0.,0.)); +#10581=DIRECTION('',(0.,-1.,0.)); +#10582=DIRECTION('',(1.,0.,0.)); +#10583=DIRECTION('',(0.,-1.,0.)); +#10584=DIRECTION('',(-1.,0.,0.)); +#10585=DIRECTION('',(-1.,0.,0.)); +#10586=DIRECTION('',(0.,0.,1.)); +#10587=DIRECTION('',(0.,0.,1.)); +#10588=DIRECTION('',(0.,-1.,0.)); +#10589=DIRECTION('',(0.,0.,-1.)); +#10590=DIRECTION('',(0.,0.,-1.)); +#10591=DIRECTION('',(-1.,0.,0.)); +#10592=DIRECTION('',(-1.,0.,0.)); +#10593=DIRECTION('',(0.,-1.,0.)); +#10594=DIRECTION('',(1.,0.,0.)); +#10595=DIRECTION('',(0.,0.,1.)); +#10596=DIRECTION('',(1.,0.,0.)); +#10597=DIRECTION('',(0.,-1.,0.)); +#10598=DIRECTION('',(1.,0.,0.)); +#10599=DIRECTION('',(0.,-1.,0.)); +#10600=DIRECTION('',(-1.,0.,0.)); +#10601=DIRECTION('',(-1.,0.,0.)); +#10602=DIRECTION('',(0.,0.,1.)); +#10603=DIRECTION('',(0.,0.,1.)); +#10604=DIRECTION('',(0.,-1.,0.)); +#10605=DIRECTION('',(0.,0.,-1.)); +#10606=DIRECTION('',(0.,0.,-1.)); +#10607=DIRECTION('',(-1.,0.,0.)); +#10608=DIRECTION('',(-1.,0.,0.)); +#10609=DIRECTION('',(0.,-1.,0.)); +#10610=DIRECTION('',(1.,0.,0.)); +#10611=DIRECTION('',(0.,0.,1.)); +#10612=DIRECTION('',(1.,0.,0.)); +#10613=DIRECTION('',(0.,-1.,0.)); +#10614=DIRECTION('',(1.,0.,0.)); +#10615=DIRECTION('',(0.,-1.,0.)); +#10616=DIRECTION('',(-1.,0.,0.)); +#10617=DIRECTION('',(-1.,0.,0.)); +#10618=DIRECTION('',(0.,0.,1.)); +#10619=DIRECTION('',(0.,0.,1.)); +#10620=DIRECTION('',(0.,-1.,0.)); +#10621=DIRECTION('',(0.,0.,-1.)); +#10622=DIRECTION('',(0.,0.,-1.)); +#10623=DIRECTION('',(-1.,0.,0.)); +#10624=DIRECTION('',(-1.,0.,0.)); +#10625=DIRECTION('',(0.,-1.,0.)); +#10626=DIRECTION('',(1.,0.,0.)); +#10627=DIRECTION('',(0.,0.,1.)); +#10628=DIRECTION('',(1.,0.,0.)); +#10629=DIRECTION('',(0.,-1.,0.)); +#10630=DIRECTION('',(1.,0.,0.)); +#10631=DIRECTION('',(0.,-1.,0.)); +#10632=DIRECTION('',(-1.,0.,0.)); +#10633=DIRECTION('',(-1.,0.,0.)); +#10634=DIRECTION('',(0.,0.,1.)); +#10635=DIRECTION('',(0.,0.,1.)); +#10636=DIRECTION('',(0.,-1.,0.)); +#10637=DIRECTION('',(0.,0.,-1.)); +#10638=DIRECTION('',(0.,0.,-1.)); +#10639=DIRECTION('',(-1.,0.,0.)); +#10640=DIRECTION('',(-1.,0.,0.)); +#10641=DIRECTION('',(0.,-1.,0.)); +#10642=DIRECTION('',(1.,0.,0.)); +#10643=DIRECTION('',(0.,0.,1.)); +#10644=DIRECTION('',(1.,0.,0.)); +#10645=DIRECTION('',(0.,-1.,0.)); +#10646=DIRECTION('',(1.,0.,0.)); +#10647=DIRECTION('',(0.,-1.,0.)); +#10648=DIRECTION('',(-1.,0.,0.)); +#10649=DIRECTION('',(-1.,0.,0.)); +#10650=DIRECTION('',(0.,0.,1.)); +#10651=DIRECTION('',(0.,0.,1.)); +#10652=DIRECTION('',(0.,-1.,0.)); +#10653=DIRECTION('',(0.,0.,-1.)); +#10654=DIRECTION('',(0.,0.,-1.)); +#10655=DIRECTION('',(-1.,0.,0.)); +#10656=DIRECTION('',(-1.,0.,0.)); +#10657=DIRECTION('',(0.,-1.,0.)); +#10658=DIRECTION('',(1.,0.,0.)); +#10659=DIRECTION('',(0.,0.,1.)); +#10660=DIRECTION('',(1.,0.,0.)); +#10661=DIRECTION('',(0.,-1.,0.)); +#10662=DIRECTION('',(1.,0.,0.)); +#10663=DIRECTION('',(0.,-1.,0.)); +#10664=DIRECTION('',(-1.,0.,0.)); +#10665=DIRECTION('',(-1.,0.,0.)); +#10666=DIRECTION('',(0.,0.,1.)); +#10667=DIRECTION('',(0.,0.,1.)); +#10668=DIRECTION('',(0.,-1.,0.)); +#10669=DIRECTION('',(0.,0.,-1.)); +#10670=DIRECTION('',(0.,0.,-1.)); +#10671=DIRECTION('',(-1.,0.,0.)); +#10672=DIRECTION('',(-1.,0.,0.)); +#10673=DIRECTION('',(0.,-1.,0.)); +#10674=DIRECTION('',(1.,0.,0.)); +#10675=DIRECTION('',(0.,0.,1.)); +#10676=DIRECTION('',(1.,0.,0.)); +#10677=DIRECTION('',(0.,-1.,0.)); +#10678=DIRECTION('',(1.,0.,0.)); +#10679=DIRECTION('',(0.,-1.,0.)); +#10680=DIRECTION('',(-1.,0.,0.)); +#10681=DIRECTION('',(-1.,0.,0.)); +#10682=DIRECTION('',(0.,0.,1.)); +#10683=DIRECTION('',(0.,0.,1.)); +#10684=DIRECTION('',(0.,-1.,0.)); +#10685=DIRECTION('',(0.,0.,-1.)); +#10686=DIRECTION('',(0.,0.,-1.)); +#10687=DIRECTION('',(-1.,0.,0.)); +#10688=DIRECTION('',(-1.,0.,0.)); +#10689=DIRECTION('',(0.,-1.,0.)); +#10690=DIRECTION('',(1.,0.,0.)); +#10691=DIRECTION('',(0.,0.,1.)); +#10692=DIRECTION('',(1.,0.,0.)); +#10693=DIRECTION('',(0.,-1.,0.)); +#10694=DIRECTION('',(1.,0.,0.)); +#10695=DIRECTION('',(0.,-1.,0.)); +#10696=DIRECTION('',(-1.,0.,0.)); +#10697=DIRECTION('',(-1.,0.,0.)); +#10698=DIRECTION('',(0.,0.,1.)); +#10699=DIRECTION('',(0.,0.,1.)); +#10700=DIRECTION('',(0.,-1.,0.)); +#10701=DIRECTION('',(0.,0.,-1.)); +#10702=DIRECTION('',(0.,0.,-1.)); +#10703=DIRECTION('',(-1.,0.,0.)); +#10704=DIRECTION('',(-1.,0.,0.)); +#10705=DIRECTION('',(0.,-1.,0.)); +#10706=DIRECTION('',(1.,0.,0.)); +#10707=DIRECTION('',(0.,0.,1.)); +#10708=DIRECTION('',(1.,0.,0.)); +#10709=DIRECTION('',(0.,-1.,0.)); +#10710=DIRECTION('',(1.,0.,0.)); +#10711=DIRECTION('',(0.,-1.,0.)); +#10712=DIRECTION('',(-1.,0.,0.)); +#10713=DIRECTION('',(-1.,0.,0.)); +#10714=DIRECTION('',(0.,0.,1.)); +#10715=DIRECTION('',(0.,0.,1.)); +#10716=DIRECTION('',(0.,-1.,0.)); +#10717=DIRECTION('',(0.,0.,-1.)); +#10718=DIRECTION('',(0.,0.,-1.)); +#10719=DIRECTION('',(-1.,0.,0.)); +#10720=DIRECTION('',(-1.,0.,0.)); +#10721=DIRECTION('',(0.,-1.,0.)); +#10722=DIRECTION('',(1.,0.,0.)); +#10723=DIRECTION('',(0.,0.,1.)); +#10724=DIRECTION('',(1.,0.,0.)); +#10725=DIRECTION('',(0.,-1.,0.)); +#10726=DIRECTION('',(1.,0.,0.)); +#10727=DIRECTION('',(0.,-1.,0.)); +#10728=DIRECTION('',(-1.,0.,0.)); +#10729=DIRECTION('',(-1.,0.,0.)); +#10730=DIRECTION('',(0.,0.,1.)); +#10731=DIRECTION('',(0.,0.,1.)); +#10732=DIRECTION('',(0.,-1.,0.)); +#10733=DIRECTION('',(0.,0.,-1.)); +#10734=DIRECTION('',(0.,0.,-1.)); +#10735=DIRECTION('',(-1.,0.,0.)); +#10736=DIRECTION('',(-1.,0.,0.)); +#10737=DIRECTION('',(0.,-1.,0.)); +#10738=DIRECTION('',(1.,0.,0.)); +#10739=DIRECTION('',(0.,0.,1.)); +#10740=DIRECTION('',(1.,0.,0.)); +#10741=DIRECTION('',(0.,-1.,0.)); +#10742=DIRECTION('',(1.,0.,0.)); +#10743=DIRECTION('',(0.,-1.,0.)); +#10744=DIRECTION('',(-1.,0.,0.)); +#10745=DIRECTION('',(-1.,0.,0.)); +#10746=DIRECTION('',(0.,0.,1.)); +#10747=DIRECTION('',(0.,0.,1.)); +#10748=DIRECTION('',(0.,-1.,0.)); +#10749=DIRECTION('',(0.,0.,-1.)); +#10750=DIRECTION('',(0.,0.,-1.)); +#10751=DIRECTION('',(-1.,0.,0.)); +#10752=DIRECTION('',(-1.,0.,0.)); +#10753=DIRECTION('',(0.,-1.,0.)); +#10754=DIRECTION('',(1.,0.,0.)); +#10755=DIRECTION('',(0.,0.,1.)); +#10756=DIRECTION('',(1.,0.,0.)); +#10757=DIRECTION('',(0.,-1.,0.)); +#10758=DIRECTION('',(1.,0.,0.)); +#10759=DIRECTION('',(0.,-1.,0.)); +#10760=DIRECTION('',(-1.,0.,0.)); +#10761=DIRECTION('',(-1.,0.,0.)); +#10762=DIRECTION('',(0.,0.,1.)); +#10763=DIRECTION('',(0.,0.,1.)); +#10764=DIRECTION('',(0.,-1.,0.)); +#10765=DIRECTION('',(0.,0.,-1.)); +#10766=DIRECTION('',(0.,0.,-1.)); +#10767=DIRECTION('',(-1.,0.,0.)); +#10768=DIRECTION('',(-1.,0.,0.)); +#10769=DIRECTION('',(0.,-1.,0.)); +#10770=DIRECTION('',(1.,0.,0.)); +#10771=DIRECTION('',(0.,0.,1.)); +#10772=DIRECTION('',(1.,0.,0.)); +#10773=DIRECTION('',(0.,-1.,0.)); +#10774=DIRECTION('',(1.,0.,0.)); +#10775=DIRECTION('',(0.,-1.,0.)); +#10776=DIRECTION('',(-1.,0.,0.)); +#10777=DIRECTION('',(-1.,0.,0.)); +#10778=DIRECTION('',(0.,0.,1.)); +#10779=DIRECTION('',(0.,0.,1.)); +#10780=DIRECTION('',(0.,-1.,0.)); +#10781=DIRECTION('',(0.,0.,-1.)); +#10782=DIRECTION('',(0.,0.,-1.)); +#10783=DIRECTION('',(-1.,0.,0.)); +#10784=DIRECTION('',(-1.,0.,0.)); +#10785=DIRECTION('',(0.,-1.,0.)); +#10786=DIRECTION('',(1.,0.,0.)); +#10787=DIRECTION('',(0.,0.,1.)); +#10788=DIRECTION('',(1.,0.,0.)); +#10789=DIRECTION('',(0.,-1.,0.)); +#10790=DIRECTION('',(1.,0.,0.)); +#10791=DIRECTION('',(0.,-1.,0.)); +#10792=DIRECTION('',(-1.,0.,0.)); +#10793=DIRECTION('',(-1.,0.,0.)); +#10794=DIRECTION('',(0.,0.,1.)); +#10795=DIRECTION('',(0.,0.,1.)); +#10796=DIRECTION('',(0.,-1.,0.)); +#10797=DIRECTION('',(0.,0.,-1.)); +#10798=DIRECTION('',(0.,0.,-1.)); +#10799=DIRECTION('',(-1.,0.,0.)); +#10800=DIRECTION('',(-1.,0.,0.)); +#10801=DIRECTION('',(0.,-1.,0.)); +#10802=DIRECTION('',(1.,0.,0.)); +#10803=DIRECTION('',(1.,0.,0.)); +#10804=DIRECTION('',(0.,0.,-1.)); +#10805=DIRECTION('',(0.,0.,-1.)); +#10806=DIRECTION('',(0.,0.,1.)); +#10807=DIRECTION('',(1.,0.,0.)); +#10808=DIRECTION('',(0.,0.,-1.)); +#10809=DIRECTION('',(0.,0.,-1.)); +#10810=DIRECTION('',(0.,0.,1.)); +#10811=DIRECTION('',(1.,0.,0.)); +#10812=DIRECTION('',(0.,0.,-1.)); +#10813=DIRECTION('',(0.,0.,-1.)); +#10814=DIRECTION('',(0.,0.,1.)); +#10815=DIRECTION('',(1.,0.,0.)); +#10816=DIRECTION('',(0.,0.,-1.)); +#10817=DIRECTION('',(0.,0.,-1.)); +#10818=DIRECTION('',(0.,0.,1.)); +#10819=DIRECTION('',(1.,0.,0.)); +#10820=DIRECTION('',(0.,0.,-1.)); +#10821=DIRECTION('',(0.,0.,-1.)); +#10822=DIRECTION('',(0.,0.,1.)); +#10823=DIRECTION('',(1.,0.,0.)); +#10824=DIRECTION('',(0.,0.,-1.)); +#10825=DIRECTION('',(0.,0.,-1.)); +#10826=DIRECTION('',(0.,0.,1.)); +#10827=DIRECTION('',(1.,0.,0.)); +#10828=DIRECTION('',(0.,0.,-1.)); +#10829=DIRECTION('',(0.,0.,-1.)); +#10830=DIRECTION('',(0.,0.,1.)); +#10831=DIRECTION('',(1.,0.,0.)); +#10832=DIRECTION('',(0.,0.,-1.)); +#10833=DIRECTION('',(0.,0.,-1.)); +#10834=DIRECTION('',(0.,0.,1.)); +#10835=DIRECTION('',(1.,0.,0.)); +#10836=DIRECTION('',(0.,0.,-1.)); +#10837=DIRECTION('',(0.,0.,-1.)); +#10838=DIRECTION('',(0.,0.,1.)); +#10839=DIRECTION('',(1.,0.,0.)); +#10840=DIRECTION('',(0.,0.,-1.)); +#10841=DIRECTION('',(0.,0.,-1.)); +#10842=DIRECTION('',(0.,0.,1.)); +#10843=DIRECTION('',(1.,0.,0.)); +#10844=DIRECTION('',(0.,0.,-1.)); +#10845=DIRECTION('',(0.,0.,-1.)); +#10846=DIRECTION('',(0.,0.,1.)); +#10847=DIRECTION('',(1.,0.,0.)); +#10848=DIRECTION('',(0.,0.,-1.)); +#10849=DIRECTION('',(0.,0.,-1.)); +#10850=DIRECTION('',(0.,0.,1.)); +#10851=DIRECTION('',(1.,0.,0.)); +#10852=DIRECTION('',(0.,0.,-1.)); +#10853=DIRECTION('',(0.,0.,-1.)); +#10854=DIRECTION('',(0.,0.,1.)); +#10855=DIRECTION('',(1.,0.,0.)); +#10856=DIRECTION('',(0.,0.,-1.)); +#10857=DIRECTION('',(0.,0.,-1.)); +#10858=DIRECTION('',(0.,0.,1.)); +#10859=DIRECTION('',(1.,0.,0.)); +#10860=DIRECTION('',(0.,0.,-1.)); +#10861=DIRECTION('',(0.,0.,-1.)); +#10862=DIRECTION('',(0.,0.,1.)); +#10863=DIRECTION('',(1.,0.,0.)); +#10864=DIRECTION('',(0.,0.,-1.)); +#10865=DIRECTION('',(0.,0.,-1.)); +#10866=DIRECTION('',(0.,0.,1.)); +#10867=DIRECTION('',(0.,1.,0.)); +#10868=DIRECTION('',(0.,0.,1.)); +#10869=DIRECTION('',(0.,0.,-1.)); +#10870=DIRECTION('',(0.,0.,-1.)); +#10871=DIRECTION('',(0.,1.,0.)); +#10872=DIRECTION('',(0.,0.,1.)); +#10873=DIRECTION('',(0.,0.,-1.)); +#10874=DIRECTION('',(0.,0.,-1.)); +#10875=DIRECTION('',(0.,1.,0.)); +#10876=DIRECTION('',(0.,0.,1.)); +#10877=DIRECTION('',(0.,0.,-1.)); +#10878=DIRECTION('',(0.,0.,-1.)); +#10879=DIRECTION('',(0.,1.,0.)); +#10880=DIRECTION('',(0.,0.,1.)); +#10881=DIRECTION('',(0.,0.,-1.)); +#10882=DIRECTION('',(0.,0.,-1.)); +#10883=DIRECTION('',(0.,1.,0.)); +#10884=DIRECTION('',(0.,0.,1.)); +#10885=DIRECTION('',(0.,0.,-1.)); +#10886=DIRECTION('',(0.,0.,-1.)); +#10887=DIRECTION('',(0.,1.,0.)); +#10888=DIRECTION('',(0.,0.,1.)); +#10889=DIRECTION('',(0.,0.,-1.)); +#10890=DIRECTION('',(0.,0.,-1.)); +#10891=DIRECTION('',(0.,1.,0.)); +#10892=DIRECTION('',(0.,0.,1.)); +#10893=DIRECTION('',(0.,0.,-1.)); +#10894=DIRECTION('',(0.,0.,-1.)); +#10895=DIRECTION('',(0.,1.,0.)); +#10896=DIRECTION('',(0.,0.,1.)); +#10897=DIRECTION('',(0.,0.,-1.)); +#10898=DIRECTION('',(0.,0.,-1.)); +#10899=DIRECTION('',(0.,1.,0.)); +#10900=DIRECTION('',(0.,0.,1.)); +#10901=DIRECTION('',(0.,0.,-1.)); +#10902=DIRECTION('',(0.,0.,1.)); +#10903=DIRECTION('',(1.,0.,0.)); +#10904=DIRECTION('',(0.,0.,-1.)); +#10905=DIRECTION('',(0.,0.,1.)); +#10906=DIRECTION('',(-1.,0.,0.)); +#10907=DIRECTION('',(0.,0.,1.)); +#10908=DIRECTION('',(0.,0.,-1.)); +#10909=DIRECTION('',(0.,1.,0.)); +#10910=DIRECTION('',(0.,0.,1.)); +#10911=DIRECTION('',(-1.,0.,0.)); +#10912=DIRECTION('',(0.,0.,1.)); +#10913=DIRECTION('',(0.,0.,-1.)); +#10914=DIRECTION('',(1.,0.,0.)); +#10915=DIRECTION('',(0.,0.,-1.)); +#10916=DIRECTION('',(0.,0.,-1.)); +#10917=DIRECTION('',(0.,-1.,0.)); +#10918=DIRECTION('',(0.,0.,-1.)); +#10919=DIRECTION('',(-1.,0.,0.)); +#10920=DIRECTION('',(0.,0.,1.)); +#10921=DIRECTION('',(0.,0.,-1.)); +#10922=DIRECTION('',(1.,0.,0.)); +#10923=DIRECTION('',(0.,0.,-1.)); +#10924=DIRECTION('',(0.,0.,-1.)); +#10925=DIRECTION('',(0.,-1.,0.)); +#10926=DIRECTION('',(0.,0.,-1.)); +#10927=DIRECTION('',(-1.,0.,0.)); +#10928=DIRECTION('',(0.,0.,1.)); +#10929=DIRECTION('',(0.,0.,-1.)); +#10930=DIRECTION('',(1.,0.,0.)); +#10931=DIRECTION('',(0.,0.,-1.)); +#10932=DIRECTION('',(0.,0.,-1.)); +#10933=DIRECTION('',(0.,-1.,0.)); +#10934=DIRECTION('',(0.,0.,-1.)); +#10935=DIRECTION('',(-1.,0.,0.)); +#10936=DIRECTION('',(0.,0.,1.)); +#10937=DIRECTION('',(0.,0.,-1.)); +#10938=DIRECTION('',(1.,0.,0.)); +#10939=DIRECTION('',(0.,0.,-1.)); +#10940=DIRECTION('',(0.,0.,-1.)); +#10941=DIRECTION('',(0.,-1.,0.)); +#10942=DIRECTION('',(0.,0.,-1.)); +#10943=DIRECTION('',(-1.,0.,0.)); +#10944=DIRECTION('',(0.,0.,1.)); +#10945=DIRECTION('',(0.,0.,-1.)); +#10946=DIRECTION('',(1.,0.,0.)); +#10947=DIRECTION('',(0.,0.,-1.)); +#10948=DIRECTION('',(0.,0.,-1.)); +#10949=DIRECTION('',(0.,-1.,0.)); +#10950=DIRECTION('',(0.,0.,-1.)); +#10951=DIRECTION('',(-1.,0.,0.)); +#10952=DIRECTION('',(0.,0.,1.)); +#10953=DIRECTION('',(0.,0.,-1.)); +#10954=DIRECTION('',(1.,0.,0.)); +#10955=DIRECTION('',(0.,0.,-1.)); +#10956=DIRECTION('',(0.,0.,-1.)); +#10957=DIRECTION('',(0.,-1.,0.)); +#10958=DIRECTION('',(0.,0.,-1.)); +#10959=DIRECTION('',(-1.,0.,0.)); +#10960=DIRECTION('',(0.,0.,1.)); +#10961=DIRECTION('',(0.,0.,-1.)); +#10962=DIRECTION('',(1.,0.,0.)); +#10963=DIRECTION('',(0.,0.,-1.)); +#10964=DIRECTION('',(0.,0.,-1.)); +#10965=DIRECTION('',(0.,-1.,0.)); +#10966=DIRECTION('',(0.,0.,-1.)); +#10967=DIRECTION('',(-1.,0.,0.)); +#10968=DIRECTION('',(0.,0.,1.)); +#10969=DIRECTION('',(0.,0.,-1.)); +#10970=DIRECTION('',(0.,-1.,0.)); +#10971=DIRECTION('',(0.,0.,-1.)); +#10972=DIRECTION('',(0.,0.,-1.)); +#10973=DIRECTION('',(1.,0.,0.)); +#10974=DIRECTION('',(0.,0.,-1.)); +#10975=DIRECTION('',(0.,-1.,0.)); +#10976=DIRECTION('',(1.,0.,0.)); +#10977=CARTESIAN_POINT('',(0.,0.,0.)); +#10978=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#10979=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#10980=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#10981=CARTESIAN_POINT('',(0.3605,0.281,-0.058)); +#10982=CARTESIAN_POINT('',(0.3605,0.281,-0.058)); +#10983=CARTESIAN_POINT('',(0.3605,0.285,-0.054)); +#10984=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#10985=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#10986=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#10987=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#10988=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#10989=CARTESIAN_POINT('',(0.3395,0.285,-0.054)); +#10990=CARTESIAN_POINT('',(0.2605,0.285,-0.054)); +#10991=CARTESIAN_POINT('',(0.3395,0.281,-0.058)); +#10992=CARTESIAN_POINT('',(0.3395,0.281,-0.058)); +#10993=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#10994=CARTESIAN_POINT('',(0.2605,0.281,-0.058)); +#10995=CARTESIAN_POINT('',(0.2605,0.281,-0.058)); +#10996=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#10997=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#10998=CARTESIAN_POINT('',(0.2395,0.285,-0.054)); +#10999=CARTESIAN_POINT('',(0.1605,0.285,-0.054)); +#11000=CARTESIAN_POINT('',(0.2395,0.281,-0.058)); +#11001=CARTESIAN_POINT('',(0.2395,0.281,-0.058)); +#11002=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11003=CARTESIAN_POINT('',(0.1605,0.281,-0.058)); +#11004=CARTESIAN_POINT('',(0.1605,0.281,-0.058)); +#11005=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11006=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11007=CARTESIAN_POINT('',(0.1395,0.285,-0.054)); +#11008=CARTESIAN_POINT('',(0.0605,0.285,-0.054)); +#11009=CARTESIAN_POINT('',(0.1395,0.281,-0.058)); +#11010=CARTESIAN_POINT('',(0.1395,0.281,-0.058)); +#11011=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11012=CARTESIAN_POINT('',(0.0605,0.281,-0.058)); +#11013=CARTESIAN_POINT('',(0.0605,0.281,-0.058)); +#11014=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11015=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11016=CARTESIAN_POINT('',(0.0395,0.285,-0.054)); +#11017=CARTESIAN_POINT('',(-0.0394999999999999,0.285,-0.054)); +#11018=CARTESIAN_POINT('',(0.0394999999999999,0.281,-0.058)); +#11019=CARTESIAN_POINT('',(0.0394999999999999,0.281,-0.058)); +#11020=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11021=CARTESIAN_POINT('',(-0.0395,0.281,-0.058)); +#11022=CARTESIAN_POINT('',(-0.0395,0.281,-0.058)); +#11023=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11024=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11025=CARTESIAN_POINT('',(-0.0605,0.285,-0.054)); +#11026=CARTESIAN_POINT('',(-0.1395,0.285,-0.054)); +#11027=CARTESIAN_POINT('',(-0.0605000000000001,0.281,-0.058)); +#11028=CARTESIAN_POINT('',(-0.0605000000000001,0.281,-0.058)); +#11029=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11030=CARTESIAN_POINT('',(-0.1395,0.281,-0.058)); +#11031=CARTESIAN_POINT('',(-0.1395,0.281,-0.058)); +#11032=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11033=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11034=CARTESIAN_POINT('',(-0.1605,0.285,-0.054)); +#11035=CARTESIAN_POINT('',(-0.2395,0.285,-0.054)); +#11036=CARTESIAN_POINT('',(-0.1605,0.281,-0.058)); +#11037=CARTESIAN_POINT('',(-0.1605,0.281,-0.058)); +#11038=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11039=CARTESIAN_POINT('',(-0.2395,0.281,-0.058)); +#11040=CARTESIAN_POINT('',(-0.2395,0.281,-0.058)); +#11041=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11042=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11043=CARTESIAN_POINT('',(-0.3605,0.285,-0.054)); +#11044=CARTESIAN_POINT('',(-0.3655,0.285,-0.054)); +#11045=CARTESIAN_POINT('',(-0.3605,0.281,-0.058)); +#11046=CARTESIAN_POINT('',(-0.3605,0.281,-0.058)); +#11047=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11048=CARTESIAN_POINT('',(-0.3655,0.281,-0.058)); +#11049=CARTESIAN_POINT('',(-0.3655,0.281,-0.058)); +#11050=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11051=CARTESIAN_POINT('',(0.3605,0.285,-0.054)); +#11052=CARTESIAN_POINT('',(0.3605,0.285,-0.046)); +#11053=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11054=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11055=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11056=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11057=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11058=CARTESIAN_POINT('',(0.3395,0.285,-0.046)); +#11059=CARTESIAN_POINT('',(0.2605,0.285,-0.046)); +#11060=CARTESIAN_POINT('',(0.3395,0.285,-0.054)); +#11061=CARTESIAN_POINT('',(0.2605,0.285,-0.054)); +#11062=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11063=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11064=CARTESIAN_POINT('',(0.2395,0.285,-0.046)); +#11065=CARTESIAN_POINT('',(0.1605,0.285,-0.046)); +#11066=CARTESIAN_POINT('',(0.2395,0.285,-0.054)); +#11067=CARTESIAN_POINT('',(0.1605,0.285,-0.054)); +#11068=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11069=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11070=CARTESIAN_POINT('',(0.1395,0.285,-0.046)); +#11071=CARTESIAN_POINT('',(0.0605,0.285,-0.046)); +#11072=CARTESIAN_POINT('',(0.1395,0.285,-0.054)); +#11073=CARTESIAN_POINT('',(0.0605,0.285,-0.054)); +#11074=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11075=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11076=CARTESIAN_POINT('',(0.0394999999999999,0.285,-0.046)); +#11077=CARTESIAN_POINT('',(-0.0395,0.285,-0.046)); +#11078=CARTESIAN_POINT('',(0.0395,0.285,-0.054)); +#11079=CARTESIAN_POINT('',(-0.0394999999999999,0.285,-0.054)); +#11080=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11081=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11082=CARTESIAN_POINT('',(-0.0605000000000001,0.285,-0.046)); +#11083=CARTESIAN_POINT('',(-0.1395,0.285,-0.046)); +#11084=CARTESIAN_POINT('',(-0.0605,0.285,-0.054)); +#11085=CARTESIAN_POINT('',(-0.1395,0.285,-0.054)); +#11086=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11087=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11088=CARTESIAN_POINT('',(-0.1605,0.285,-0.046)); +#11089=CARTESIAN_POINT('',(-0.2395,0.285,-0.046)); +#11090=CARTESIAN_POINT('',(-0.1605,0.285,-0.054)); +#11091=CARTESIAN_POINT('',(-0.2395,0.285,-0.054)); +#11092=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#11093=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11094=CARTESIAN_POINT('',(-0.3605,0.285,-0.046)); +#11095=CARTESIAN_POINT('',(-0.3655,0.285,-0.046)); +#11096=CARTESIAN_POINT('',(-0.3605,0.285,-0.054)); +#11097=CARTESIAN_POINT('',(-0.3655,0.285,-0.054)); +#11098=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11099=CARTESIAN_POINT('',(0.3605,0.285,-0.046)); +#11100=CARTESIAN_POINT('',(0.3605,0.281,-0.042)); +#11101=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11102=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11103=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11104=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11105=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11106=CARTESIAN_POINT('',(0.3395,0.281,-0.042)); +#11107=CARTESIAN_POINT('',(0.2605,0.281,-0.042)); +#11108=CARTESIAN_POINT('',(0.3395,0.285,-0.046)); +#11109=CARTESIAN_POINT('',(0.2605,0.285,-0.046)); +#11110=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11111=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11112=CARTESIAN_POINT('',(0.2395,0.281,-0.042)); +#11113=CARTESIAN_POINT('',(0.1605,0.281,-0.042)); +#11114=CARTESIAN_POINT('',(0.2395,0.285,-0.046)); +#11115=CARTESIAN_POINT('',(0.1605,0.285,-0.046)); +#11116=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11117=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11118=CARTESIAN_POINT('',(0.1395,0.281,-0.042)); +#11119=CARTESIAN_POINT('',(0.0605,0.281,-0.042)); +#11120=CARTESIAN_POINT('',(0.1395,0.285,-0.046)); +#11121=CARTESIAN_POINT('',(0.0605,0.285,-0.046)); +#11122=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11123=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11124=CARTESIAN_POINT('',(0.0394999999999999,0.281,-0.042)); +#11125=CARTESIAN_POINT('',(-0.0395,0.281,-0.042)); +#11126=CARTESIAN_POINT('',(0.0394999999999999,0.285,-0.046)); +#11127=CARTESIAN_POINT('',(-0.0395,0.285,-0.046)); +#11128=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11129=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11130=CARTESIAN_POINT('',(-0.0605000000000001,0.281,-0.042)); +#11131=CARTESIAN_POINT('',(-0.1395,0.281,-0.042)); +#11132=CARTESIAN_POINT('',(-0.0605000000000001,0.285,-0.046)); +#11133=CARTESIAN_POINT('',(-0.1395,0.285,-0.046)); +#11134=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11135=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11136=CARTESIAN_POINT('',(-0.1605,0.281,-0.042)); +#11137=CARTESIAN_POINT('',(-0.2395,0.281,-0.042)); +#11138=CARTESIAN_POINT('',(-0.1605,0.285,-0.046)); +#11139=CARTESIAN_POINT('',(-0.2395,0.285,-0.046)); +#11140=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#11141=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11142=CARTESIAN_POINT('',(-0.3605,0.281,-0.042)); +#11143=CARTESIAN_POINT('',(-0.3655,0.281,-0.042)); +#11144=CARTESIAN_POINT('',(-0.3605,0.285,-0.046)); +#11145=CARTESIAN_POINT('',(-0.3655,0.285,-0.046)); +#11146=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11147=CARTESIAN_POINT('',(0.3605,0.281,-0.042)); +#11148=CARTESIAN_POINT('',(0.3605,0.05,-0.042)); +#11149=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#11150=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#11151=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11152=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11153=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#11154=CARTESIAN_POINT('',(0.3395,0.05,-0.042)); +#11155=CARTESIAN_POINT('',(0.2605,0.05,-0.042)); +#11156=CARTESIAN_POINT('',(0.3395,0.281,-0.042)); +#11157=CARTESIAN_POINT('',(0.2605,0.281,-0.042)); +#11158=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11159=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#11160=CARTESIAN_POINT('',(0.2395,0.05,-0.042)); +#11161=CARTESIAN_POINT('',(0.1605,0.05,-0.042)); +#11162=CARTESIAN_POINT('',(0.2395,0.281,-0.042)); +#11163=CARTESIAN_POINT('',(0.1605,0.281,-0.042)); +#11164=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11165=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#11166=CARTESIAN_POINT('',(0.1395,0.05,-0.042)); +#11167=CARTESIAN_POINT('',(0.0605,0.05,-0.042)); +#11168=CARTESIAN_POINT('',(0.1395,0.281,-0.042)); +#11169=CARTESIAN_POINT('',(0.0605,0.281,-0.042)); +#11170=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11171=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#11172=CARTESIAN_POINT('',(0.0395000000000001,0.05,-0.042)); +#11173=CARTESIAN_POINT('',(-0.0395,0.05,-0.042)); +#11174=CARTESIAN_POINT('',(0.0394999999999999,0.281,-0.042)); +#11175=CARTESIAN_POINT('',(-0.0395,0.281,-0.042)); +#11176=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11177=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#11178=CARTESIAN_POINT('',(-0.0604999999999999,0.05,-0.042)); +#11179=CARTESIAN_POINT('',(-0.1395,0.05,-0.042)); +#11180=CARTESIAN_POINT('',(-0.0605000000000001,0.281,-0.042)); +#11181=CARTESIAN_POINT('',(-0.1395,0.281,-0.042)); +#11182=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11183=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#11184=CARTESIAN_POINT('',(-0.1605,0.05,-0.042)); +#11185=CARTESIAN_POINT('',(-0.2395,0.05,-0.042)); +#11186=CARTESIAN_POINT('',(-0.1605,0.281,-0.042)); +#11187=CARTESIAN_POINT('',(-0.2395,0.281,-0.042)); +#11188=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#11189=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#11190=CARTESIAN_POINT('',(-0.3605,0.05,-0.042)); +#11191=CARTESIAN_POINT('',(-0.3655,0.05,-0.042)); +#11192=CARTESIAN_POINT('',(-0.3605,0.281,-0.042)); +#11193=CARTESIAN_POINT('',(-0.3655,0.281,-0.042)); +#11194=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11195=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#11196=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#11197=CARTESIAN_POINT('',(0.3605,0.05,0.042)); +#11198=CARTESIAN_POINT('',(0.3605,-0.0474069312180434,0.042)); +#11199=CARTESIAN_POINT('',(0.3605,0.281,0.0420000000000001)); +#11200=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11201=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11202=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11203=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11204=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11205=CARTESIAN_POINT('',(0.3395,0.281,0.0420000000000001)); +#11206=CARTESIAN_POINT('',(0.2605,0.281,0.0420000000000001)); +#11207=CARTESIAN_POINT('',(0.3395,-0.0474069312180434,0.042)); +#11208=CARTESIAN_POINT('',(0.3395,0.05,0.042)); +#11209=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#11210=CARTESIAN_POINT('',(0.2605,0.05,0.042)); +#11211=CARTESIAN_POINT('',(0.2605,-0.0474069312180434,0.042)); +#11212=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11213=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11214=CARTESIAN_POINT('',(0.2395,0.281,0.0420000000000001)); +#11215=CARTESIAN_POINT('',(0.1605,0.281,0.0420000000000001)); +#11216=CARTESIAN_POINT('',(0.2395,-0.0474069312180434,0.042)); +#11217=CARTESIAN_POINT('',(0.2395,0.05,0.042)); +#11218=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#11219=CARTESIAN_POINT('',(0.1605,0.05,0.042)); +#11220=CARTESIAN_POINT('',(0.1605,-0.0474069312180434,0.042)); +#11221=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11222=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11223=CARTESIAN_POINT('',(0.1395,0.281,0.0420000000000001)); +#11224=CARTESIAN_POINT('',(0.0605,0.281,0.0420000000000001)); +#11225=CARTESIAN_POINT('',(0.1395,-0.0474069312180434,0.042)); +#11226=CARTESIAN_POINT('',(0.1395,0.05,0.042)); +#11227=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#11228=CARTESIAN_POINT('',(0.0605,0.05,0.042)); +#11229=CARTESIAN_POINT('',(0.0605,-0.0474069312180434,0.042)); +#11230=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11231=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11232=CARTESIAN_POINT('',(0.0394999999999999,0.281,0.0420000000000001)); +#11233=CARTESIAN_POINT('',(-0.0395,0.281,0.0420000000000001)); +#11234=CARTESIAN_POINT('',(0.0395,-0.0474069312180434,0.042)); +#11235=CARTESIAN_POINT('',(0.0394999999999999,0.05,0.042)); +#11236=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#11237=CARTESIAN_POINT('',(-0.0395,0.05,0.042)); +#11238=CARTESIAN_POINT('',(-0.0394999999999999,-0.0474069312180434,0.042)); +#11239=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11240=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11241=CARTESIAN_POINT('',(-0.0605000000000001,0.281,0.0420000000000001)); +#11242=CARTESIAN_POINT('',(-0.1395,0.281,0.0420000000000001)); +#11243=CARTESIAN_POINT('',(-0.0605,-0.0474069312180434,0.042)); +#11244=CARTESIAN_POINT('',(-0.0605000000000001,0.05,0.042)); +#11245=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#11246=CARTESIAN_POINT('',(-0.1395,0.05,0.042)); +#11247=CARTESIAN_POINT('',(-0.1395,-0.0474069312180434,0.042)); +#11248=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11249=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11250=CARTESIAN_POINT('',(-0.1605,0.281,0.0420000000000001)); +#11251=CARTESIAN_POINT('',(-0.2395,0.281,0.0420000000000001)); +#11252=CARTESIAN_POINT('',(-0.1605,-0.0474069312180434,0.042)); +#11253=CARTESIAN_POINT('',(-0.1605,0.05,0.042)); +#11254=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#11255=CARTESIAN_POINT('',(-0.2395,0.05,0.042)); +#11256=CARTESIAN_POINT('',(-0.2395,-0.0474069312180434,0.042)); +#11257=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11258=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11259=CARTESIAN_POINT('',(-0.3605,0.281,0.0420000000000001)); +#11260=CARTESIAN_POINT('',(-0.3655,0.281,0.042)); +#11261=CARTESIAN_POINT('',(-0.3605,-0.0474069312180434,0.042)); +#11262=CARTESIAN_POINT('',(-0.3605,0.05,0.042)); +#11263=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#11264=CARTESIAN_POINT('',(-0.3655,0.05,0.042)); +#11265=CARTESIAN_POINT('',(-0.3655,-0.0474069312180434,0.042)); +#11266=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11267=CARTESIAN_POINT('',(0.3605,0.281,0.042)); +#11268=CARTESIAN_POINT('',(0.3605,0.285,0.046)); +#11269=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11270=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11271=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11272=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11273=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11274=CARTESIAN_POINT('',(0.3395,0.285,0.046)); +#11275=CARTESIAN_POINT('',(0.2605,0.285,0.046)); +#11276=CARTESIAN_POINT('',(0.3395,0.281,0.042)); +#11277=CARTESIAN_POINT('',(0.2605,0.281,0.042)); +#11278=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11279=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11280=CARTESIAN_POINT('',(0.2395,0.285,0.046)); +#11281=CARTESIAN_POINT('',(0.1605,0.285,0.046)); +#11282=CARTESIAN_POINT('',(0.2395,0.281,0.042)); +#11283=CARTESIAN_POINT('',(0.1605,0.281,0.042)); +#11284=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11285=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11286=CARTESIAN_POINT('',(0.1395,0.285,0.046)); +#11287=CARTESIAN_POINT('',(0.0605,0.285,0.046)); +#11288=CARTESIAN_POINT('',(0.1395,0.281,0.042)); +#11289=CARTESIAN_POINT('',(0.0605,0.281,0.042)); +#11290=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11291=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11292=CARTESIAN_POINT('',(0.0395,0.285,0.046)); +#11293=CARTESIAN_POINT('',(-0.0394999999999999,0.285,0.046)); +#11294=CARTESIAN_POINT('',(0.0394999999999999,0.281,0.042)); +#11295=CARTESIAN_POINT('',(-0.0395,0.281,0.042)); +#11296=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11297=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11298=CARTESIAN_POINT('',(-0.0605,0.285,0.046)); +#11299=CARTESIAN_POINT('',(-0.1395,0.285,0.046)); +#11300=CARTESIAN_POINT('',(-0.0605000000000001,0.281,0.042)); +#11301=CARTESIAN_POINT('',(-0.1395,0.281,0.042)); +#11302=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11303=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11304=CARTESIAN_POINT('',(-0.1605,0.285,0.046)); +#11305=CARTESIAN_POINT('',(-0.2395,0.285,0.046)); +#11306=CARTESIAN_POINT('',(-0.1605,0.281,0.042)); +#11307=CARTESIAN_POINT('',(-0.2395,0.281,0.042)); +#11308=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#11309=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11310=CARTESIAN_POINT('',(-0.3605,0.285,0.046)); +#11311=CARTESIAN_POINT('',(-0.3655,0.285,0.046)); +#11312=CARTESIAN_POINT('',(-0.3605,0.281,0.042)); +#11313=CARTESIAN_POINT('',(-0.3655,0.281,0.042)); +#11314=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11315=CARTESIAN_POINT('',(0.3605,0.285,0.046)); +#11316=CARTESIAN_POINT('',(0.3605,0.285,0.054)); +#11317=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11318=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11319=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11320=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11321=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11322=CARTESIAN_POINT('',(0.3395,0.285,0.054)); +#11323=CARTESIAN_POINT('',(0.2605,0.285,0.054)); +#11324=CARTESIAN_POINT('',(0.3395,0.285,0.046)); +#11325=CARTESIAN_POINT('',(0.2605,0.285,0.046)); +#11326=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11327=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11328=CARTESIAN_POINT('',(0.2395,0.285,0.054)); +#11329=CARTESIAN_POINT('',(0.1605,0.285,0.054)); +#11330=CARTESIAN_POINT('',(0.2395,0.285,0.046)); +#11331=CARTESIAN_POINT('',(0.1605,0.285,0.046)); +#11332=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11333=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11334=CARTESIAN_POINT('',(0.1395,0.285,0.054)); +#11335=CARTESIAN_POINT('',(0.0605,0.285,0.054)); +#11336=CARTESIAN_POINT('',(0.1395,0.285,0.046)); +#11337=CARTESIAN_POINT('',(0.0605,0.285,0.046)); +#11338=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11339=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11340=CARTESIAN_POINT('',(0.0395000000000001,0.285,0.054)); +#11341=CARTESIAN_POINT('',(-0.0395,0.285,0.054)); +#11342=CARTESIAN_POINT('',(0.0395,0.285,0.046)); +#11343=CARTESIAN_POINT('',(-0.0394999999999999,0.285,0.046)); +#11344=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11345=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11346=CARTESIAN_POINT('',(-0.0604999999999999,0.285,0.054)); +#11347=CARTESIAN_POINT('',(-0.1395,0.285,0.054)); +#11348=CARTESIAN_POINT('',(-0.0605,0.285,0.046)); +#11349=CARTESIAN_POINT('',(-0.1395,0.285,0.046)); +#11350=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11351=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11352=CARTESIAN_POINT('',(-0.1605,0.285,0.054)); +#11353=CARTESIAN_POINT('',(-0.2395,0.285,0.054)); +#11354=CARTESIAN_POINT('',(-0.1605,0.285,0.046)); +#11355=CARTESIAN_POINT('',(-0.2395,0.285,0.046)); +#11356=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#11357=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11358=CARTESIAN_POINT('',(-0.3605,0.285,0.054)); +#11359=CARTESIAN_POINT('',(-0.3655,0.285,0.054)); +#11360=CARTESIAN_POINT('',(-0.3605,0.285,0.046)); +#11361=CARTESIAN_POINT('',(-0.3655,0.285,0.046)); +#11362=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11363=CARTESIAN_POINT('',(0.3605,0.285,0.054)); +#11364=CARTESIAN_POINT('',(0.3605,0.281,0.058)); +#11365=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#11366=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#11367=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11368=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11369=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#11370=CARTESIAN_POINT('',(0.3395,0.281,0.058)); +#11371=CARTESIAN_POINT('',(0.2605,0.281,0.058)); +#11372=CARTESIAN_POINT('',(0.3395,0.285,0.054)); +#11373=CARTESIAN_POINT('',(0.2605,0.285,0.054)); +#11374=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11375=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#11376=CARTESIAN_POINT('',(0.2395,0.281,0.058)); +#11377=CARTESIAN_POINT('',(0.1605,0.281,0.058)); +#11378=CARTESIAN_POINT('',(0.2395,0.285,0.054)); +#11379=CARTESIAN_POINT('',(0.1605,0.285,0.054)); +#11380=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11381=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#11382=CARTESIAN_POINT('',(0.1395,0.281,0.058)); +#11383=CARTESIAN_POINT('',(0.0605,0.281,0.058)); +#11384=CARTESIAN_POINT('',(0.1395,0.285,0.054)); +#11385=CARTESIAN_POINT('',(0.0605,0.285,0.054)); +#11386=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11387=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#11388=CARTESIAN_POINT('',(0.0394999999999999,0.281,0.058)); +#11389=CARTESIAN_POINT('',(-0.0395,0.281,0.058)); +#11390=CARTESIAN_POINT('',(0.0394999999999999,0.285,0.054)); +#11391=CARTESIAN_POINT('',(-0.0395,0.285,0.054)); +#11392=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11393=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#11394=CARTESIAN_POINT('',(-0.0605000000000001,0.281,0.058)); +#11395=CARTESIAN_POINT('',(-0.1395,0.281,0.058)); +#11396=CARTESIAN_POINT('',(-0.0605000000000001,0.285,0.054)); +#11397=CARTESIAN_POINT('',(-0.1395,0.285,0.054)); +#11398=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11399=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#11400=CARTESIAN_POINT('',(-0.1605,0.281,0.058)); +#11401=CARTESIAN_POINT('',(-0.2395,0.281,0.058)); +#11402=CARTESIAN_POINT('',(-0.1605,0.285,0.054)); +#11403=CARTESIAN_POINT('',(-0.2395,0.285,0.054)); +#11404=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#11405=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#11406=CARTESIAN_POINT('',(-0.3605,0.281,0.058)); +#11407=CARTESIAN_POINT('',(-0.3655,0.281,0.058)); +#11408=CARTESIAN_POINT('',(-0.3605,0.285,0.054)); +#11409=CARTESIAN_POINT('',(-0.3655,0.285,0.054)); +#11410=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#11411=CARTESIAN_POINT('',(0.3345,-0.25700551730858,0.0875000000000002)); +#11412=CARTESIAN_POINT('',(0.3345,-0.25700551730858,0.0975)); +#11413=CARTESIAN_POINT('',(0.3345,-0.249353063412802,0.0939373868435095)); +#11414=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11415=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11416=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#11417=CARTESIAN_POINT('',(0.3655,-0.249353063412802,0.0939373868435095)); +#11418=CARTESIAN_POINT('',(0.3655,-0.249353063412802,0.0939373868435095)); +#11419=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#11420=CARTESIAN_POINT('',(0.2655,-0.25700551730858,0.0875000000000002)); +#11421=CARTESIAN_POINT('',(0.2655,-0.249353063412802,0.0939373868435095)); +#11422=CARTESIAN_POINT('',(0.2655,-0.25700551730858,0.0975)); +#11423=CARTESIAN_POINT('',(0.3655,-0.249353063412802,0.0939373868435095)); +#11424=CARTESIAN_POINT('',(0.2345,-0.249353063412802,0.0939373868435095)); +#11425=CARTESIAN_POINT('',(0.2345,-0.25700551730858,0.0875000000000002)); +#11426=CARTESIAN_POINT('',(0.2345,-0.25700551730858,0.0975)); +#11427=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11428=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#11429=CARTESIAN_POINT('',(0.1655,-0.25700551730858,0.0875000000000002)); +#11430=CARTESIAN_POINT('',(0.1655,-0.249353063412802,0.0939373868435095)); +#11431=CARTESIAN_POINT('',(0.1655,-0.25700551730858,0.0975)); +#11432=CARTESIAN_POINT('',(0.3655,-0.249353063412802,0.0939373868435095)); +#11433=CARTESIAN_POINT('',(0.1345,-0.249353063412802,0.0939373868435095)); +#11434=CARTESIAN_POINT('',(0.1345,-0.25700551730858,0.0875000000000002)); +#11435=CARTESIAN_POINT('',(0.1345,-0.25700551730858,0.0975)); +#11436=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11437=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#11438=CARTESIAN_POINT('',(0.0655,-0.25700551730858,0.0875000000000002)); +#11439=CARTESIAN_POINT('',(0.0655,-0.249353063412802,0.0939373868435095)); +#11440=CARTESIAN_POINT('',(0.0655,-0.25700551730858,0.0975)); +#11441=CARTESIAN_POINT('',(0.3655,-0.249353063412802,0.0939373868435095)); +#11442=CARTESIAN_POINT('',(0.0345000000000001,-0.249353063412802,0.0939373868435095)); +#11443=CARTESIAN_POINT('',(0.0345000000000001,-0.25700551730858,0.0875000000000002)); +#11444=CARTESIAN_POINT('',(0.0345000000000001,-0.25700551730858,0.0975)); +#11445=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11446=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#11447=CARTESIAN_POINT('',(-0.0345,-0.25700551730858,0.0875000000000002)); +#11448=CARTESIAN_POINT('',(-0.0345,-0.249353063412802,0.0939373868435095)); +#11449=CARTESIAN_POINT('',(-0.0345,-0.25700551730858,0.0975)); +#11450=CARTESIAN_POINT('',(0.3655,-0.249353063412802,0.0939373868435095)); +#11451=CARTESIAN_POINT('',(-0.0655,-0.249353063412802,0.0939373868435095)); +#11452=CARTESIAN_POINT('',(-0.0655,-0.25700551730858,0.0875000000000002)); +#11453=CARTESIAN_POINT('',(-0.0655,-0.25700551730858,0.0975)); +#11454=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11455=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#11456=CARTESIAN_POINT('',(-0.1345,-0.25700551730858,0.0875000000000002)); +#11457=CARTESIAN_POINT('',(-0.1345,-0.249353063412802,0.0939373868435095)); +#11458=CARTESIAN_POINT('',(-0.1345,-0.25700551730858,0.0975)); +#11459=CARTESIAN_POINT('',(0.3655,-0.249353063412802,0.0939373868435095)); +#11460=CARTESIAN_POINT('',(-0.1655,-0.249353063412802,0.0939373868435095)); +#11461=CARTESIAN_POINT('',(-0.1655,-0.25700551730858,0.0875000000000002)); +#11462=CARTESIAN_POINT('',(-0.1655,-0.25700551730858,0.0975)); +#11463=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11464=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#11465=CARTESIAN_POINT('',(-0.2345,-0.25700551730858,0.0875000000000002)); +#11466=CARTESIAN_POINT('',(-0.2345,-0.249353063412802,0.0939373868435095)); +#11467=CARTESIAN_POINT('',(-0.2345,-0.25700551730858,0.0975)); +#11468=CARTESIAN_POINT('',(0.3655,-0.249353063412802,0.0939373868435095)); +#11469=CARTESIAN_POINT('',(-0.2655,-0.249353063412802,0.0939373868435095)); +#11470=CARTESIAN_POINT('',(-0.2655,-0.25700551730858,0.0875000000000002)); +#11471=CARTESIAN_POINT('',(-0.2655,-0.25700551730858,0.0975)); +#11472=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11473=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#11474=CARTESIAN_POINT('',(-0.3345,-0.25700551730858,0.0875000000000002)); +#11475=CARTESIAN_POINT('',(-0.3345,-0.249353063412802,0.0939373868435095)); +#11476=CARTESIAN_POINT('',(-0.3345,-0.25700551730858,0.0975)); +#11477=CARTESIAN_POINT('',(0.3655,-0.249353063412802,0.0939373868435095)); +#11478=CARTESIAN_POINT('',(-0.3655,-0.249353063412802,0.0939373868435095)); +#11479=CARTESIAN_POINT('',(-0.3655,-0.25700551730858,0.0875000000000002)); +#11480=CARTESIAN_POINT('',(-0.3655,-0.25700551730858,0.0975)); +#11481=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11482=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11483=CARTESIAN_POINT('',(0.3345,-0.25700551730858,0.0975)); +#11484=CARTESIAN_POINT('',(0.3345,-0.285,0.0975)); +#11485=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11486=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11487=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11488=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11489=CARTESIAN_POINT('',(0.2655,-0.25700551730858,0.0975)); +#11490=CARTESIAN_POINT('',(0.2655,-0.285,0.0975)); +#11491=CARTESIAN_POINT('',(0.2345,-0.25700551730858,0.0975)); +#11492=CARTESIAN_POINT('',(0.2345,-0.285,0.0975)); +#11493=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11494=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11495=CARTESIAN_POINT('',(0.1655,-0.25700551730858,0.0975)); +#11496=CARTESIAN_POINT('',(0.1655,-0.285,0.0975)); +#11497=CARTESIAN_POINT('',(0.1345,-0.25700551730858,0.0975)); +#11498=CARTESIAN_POINT('',(0.1345,-0.285,0.0975)); +#11499=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11500=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11501=CARTESIAN_POINT('',(0.0655,-0.25700551730858,0.0975)); +#11502=CARTESIAN_POINT('',(0.0655,-0.285,0.0975)); +#11503=CARTESIAN_POINT('',(0.0345000000000001,-0.25700551730858,0.0975)); +#11504=CARTESIAN_POINT('',(0.0345000000000001,-0.285,0.0975)); +#11505=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11506=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11507=CARTESIAN_POINT('',(-0.0345,-0.25700551730858,0.0975)); +#11508=CARTESIAN_POINT('',(-0.0345,-0.285,0.0975)); +#11509=CARTESIAN_POINT('',(-0.0655,-0.25700551730858,0.0975)); +#11510=CARTESIAN_POINT('',(-0.0654999999999999,-0.285,0.0975)); +#11511=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11512=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11513=CARTESIAN_POINT('',(-0.1345,-0.25700551730858,0.0975)); +#11514=CARTESIAN_POINT('',(-0.1345,-0.285,0.0975)); +#11515=CARTESIAN_POINT('',(-0.1655,-0.25700551730858,0.0975)); +#11516=CARTESIAN_POINT('',(-0.1655,-0.285,0.0975)); +#11517=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11518=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11519=CARTESIAN_POINT('',(-0.2345,-0.25700551730858,0.0975)); +#11520=CARTESIAN_POINT('',(-0.2345,-0.285,0.0975)); +#11521=CARTESIAN_POINT('',(-0.2655,-0.25700551730858,0.0975)); +#11522=CARTESIAN_POINT('',(-0.2655,-0.285,0.0975)); +#11523=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11524=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0975)); +#11525=CARTESIAN_POINT('',(-0.3345,-0.25700551730858,0.0975)); +#11526=CARTESIAN_POINT('',(-0.3345,-0.285,0.0975)); +#11527=CARTESIAN_POINT('',(-0.3655,-0.25700551730858,0.0975)); +#11528=CARTESIAN_POINT('',(-0.3655,-0.285,0.0975)); +#11529=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11530=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11531=CARTESIAN_POINT('',(0.3345,-0.285,1.)); +#11532=CARTESIAN_POINT('',(0.3345,-0.285,0.0814999999999999)); +#11533=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11534=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11535=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11536=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11537=CARTESIAN_POINT('',(0.2655,-0.285,1.)); +#11538=CARTESIAN_POINT('',(0.2655,-0.285,0.0814999999999999)); +#11539=CARTESIAN_POINT('',(0.2345,-0.285,1.)); +#11540=CARTESIAN_POINT('',(0.2345,-0.285,0.0814999999999999)); +#11541=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11542=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11543=CARTESIAN_POINT('',(0.1655,-0.285,1.)); +#11544=CARTESIAN_POINT('',(0.1655,-0.285,0.0814999999999999)); +#11545=CARTESIAN_POINT('',(0.1345,-0.285,1.)); +#11546=CARTESIAN_POINT('',(0.1345,-0.285,0.0814999999999999)); +#11547=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11548=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11549=CARTESIAN_POINT('',(0.0655,-0.285,1.)); +#11550=CARTESIAN_POINT('',(0.0655,-0.285,0.0814999999999999)); +#11551=CARTESIAN_POINT('',(0.0345000000000001,-0.285,1.)); +#11552=CARTESIAN_POINT('',(0.0345000000000001,-0.285,0.0814999999999999)); +#11553=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11554=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11555=CARTESIAN_POINT('',(-0.0345,-0.285,1.)); +#11556=CARTESIAN_POINT('',(-0.0345,-0.285,0.0814999999999999)); +#11557=CARTESIAN_POINT('',(-0.0654999999999999,-0.285,1.)); +#11558=CARTESIAN_POINT('',(-0.0654999999999999,-0.285,0.0814999999999999)); +#11559=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11560=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11561=CARTESIAN_POINT('',(-0.1345,-0.285,1.)); +#11562=CARTESIAN_POINT('',(-0.1345,-0.285,0.0814999999999999)); +#11563=CARTESIAN_POINT('',(-0.1655,-0.285,1.)); +#11564=CARTESIAN_POINT('',(-0.1655,-0.285,0.0814999999999999)); +#11565=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11566=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11567=CARTESIAN_POINT('',(-0.2345,-0.285,1.)); +#11568=CARTESIAN_POINT('',(-0.2345,-0.285,0.0814999999999999)); +#11569=CARTESIAN_POINT('',(-0.2655,-0.285,1.)); +#11570=CARTESIAN_POINT('',(-0.2655,-0.285,0.0814999999999999)); +#11571=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11572=CARTESIAN_POINT('',(0.3655,-0.285,0.0975)); +#11573=CARTESIAN_POINT('',(-0.3345,-0.285,1.)); +#11574=CARTESIAN_POINT('',(-0.3345,-0.285,0.0814999999999999)); +#11575=CARTESIAN_POINT('',(-0.3655,-0.285,0.0975)); +#11576=CARTESIAN_POINT('',(-0.3655,-0.285,0.0815)); +#11577=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11578=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11579=CARTESIAN_POINT('',(0.3345,-0.285,0.0815)); +#11580=CARTESIAN_POINT('',(0.3345,-0.262126586348018,0.0815)); +#11581=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0815)); +#11582=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0815)); +#11583=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11584=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11585=CARTESIAN_POINT('',(0.2655,-0.285,0.0815)); +#11586=CARTESIAN_POINT('',(0.2655,-0.262126586348018,0.0815)); +#11587=CARTESIAN_POINT('',(0.2345,-0.285,0.0815)); +#11588=CARTESIAN_POINT('',(0.2345,-0.262126586348018,0.0815)); +#11589=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0815)); +#11590=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11591=CARTESIAN_POINT('',(0.1655,-0.285,0.0815)); +#11592=CARTESIAN_POINT('',(0.1655,-0.262126586348018,0.0815)); +#11593=CARTESIAN_POINT('',(0.1345,-0.285,0.0815)); +#11594=CARTESIAN_POINT('',(0.1345,-0.262126586348018,0.0815)); +#11595=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0815)); +#11596=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11597=CARTESIAN_POINT('',(0.0655,-0.285,0.0815)); +#11598=CARTESIAN_POINT('',(0.0655,-0.262126586348018,0.0815)); +#11599=CARTESIAN_POINT('',(0.0345000000000001,-0.285,0.0815)); +#11600=CARTESIAN_POINT('',(0.0345000000000001,-0.262126586348018,0.0815)); +#11601=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0815)); +#11602=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11603=CARTESIAN_POINT('',(-0.0345,-0.285,0.0815)); +#11604=CARTESIAN_POINT('',(-0.0345,-0.262126586348018,0.0815)); +#11605=CARTESIAN_POINT('',(-0.0655,-0.285,0.0815)); +#11606=CARTESIAN_POINT('',(-0.0655,-0.262126586348018,0.0815)); +#11607=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0815)); +#11608=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11609=CARTESIAN_POINT('',(-0.1345,-0.285,0.0815)); +#11610=CARTESIAN_POINT('',(-0.1345,-0.262126586348018,0.0815)); +#11611=CARTESIAN_POINT('',(-0.1655,-0.285,0.0815)); +#11612=CARTESIAN_POINT('',(-0.1655,-0.262126586348018,0.0815)); +#11613=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0815)); +#11614=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11615=CARTESIAN_POINT('',(-0.2345,-0.285,0.0815)); +#11616=CARTESIAN_POINT('',(-0.2345,-0.262126586348018,0.0815)); +#11617=CARTESIAN_POINT('',(-0.2655,-0.285,0.0815)); +#11618=CARTESIAN_POINT('',(-0.2655,-0.262126586348018,0.0815)); +#11619=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0815)); +#11620=CARTESIAN_POINT('',(0.3655,-0.285,0.0815)); +#11621=CARTESIAN_POINT('',(-0.3345,-0.285,0.0815)); +#11622=CARTESIAN_POINT('',(-0.3345,-0.262126586348018,0.0815)); +#11623=CARTESIAN_POINT('',(-0.3655,-0.285,0.0815)); +#11624=CARTESIAN_POINT('',(-0.3655,-0.262126586348018,0.0815)); +#11625=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0815)); +#11626=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0765)); +#11627=CARTESIAN_POINT('',(0.3345,-0.262126586348018,0.0765)); +#11628=CARTESIAN_POINT('',(0.3345,-0.258300359400129,0.0797186934217547)); +#11629=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11630=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11631=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0765)); +#11632=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0765)); +#11633=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11634=CARTESIAN_POINT('',(0.2655,-0.258300359400129,0.0797186934217547)); +#11635=CARTESIAN_POINT('',(0.2345,-0.258300359400129,0.0797186934217547)); +#11636=CARTESIAN_POINT('',(0.2655,-0.262126586348018,0.0765)); +#11637=CARTESIAN_POINT('',(0.2345,-0.262126586348018,0.0765)); +#11638=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0765)); +#11639=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11640=CARTESIAN_POINT('',(0.1655,-0.258300359400129,0.0797186934217547)); +#11641=CARTESIAN_POINT('',(0.1345,-0.258300359400129,0.0797186934217547)); +#11642=CARTESIAN_POINT('',(0.1655,-0.262126586348018,0.0765)); +#11643=CARTESIAN_POINT('',(0.1345,-0.262126586348018,0.0765)); +#11644=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0765)); +#11645=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11646=CARTESIAN_POINT('',(0.0655,-0.258300359400129,0.0797186934217547)); +#11647=CARTESIAN_POINT('',(0.0345000000000001,-0.258300359400129,0.0797186934217547)); +#11648=CARTESIAN_POINT('',(0.0655,-0.262126586348018,0.0765)); +#11649=CARTESIAN_POINT('',(0.0345000000000001,-0.262126586348018,0.0765)); +#11650=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0765)); +#11651=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11652=CARTESIAN_POINT('',(-0.0345,-0.258300359400129,0.0797186934217547)); +#11653=CARTESIAN_POINT('',(-0.0655,-0.258300359400129,0.0797186934217547)); +#11654=CARTESIAN_POINT('',(-0.0345,-0.262126586348018,0.0765)); +#11655=CARTESIAN_POINT('',(-0.0655,-0.262126586348018,0.0765)); +#11656=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0765)); +#11657=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11658=CARTESIAN_POINT('',(-0.1345,-0.258300359400129,0.0797186934217547)); +#11659=CARTESIAN_POINT('',(-0.1655,-0.258300359400129,0.0797186934217547)); +#11660=CARTESIAN_POINT('',(-0.1345,-0.262126586348018,0.0765)); +#11661=CARTESIAN_POINT('',(-0.1655,-0.262126586348018,0.0765)); +#11662=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0765)); +#11663=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11664=CARTESIAN_POINT('',(-0.2345,-0.258300359400129,0.0797186934217547)); +#11665=CARTESIAN_POINT('',(-0.2655,-0.258300359400129,0.0797186934217547)); +#11666=CARTESIAN_POINT('',(-0.2345,-0.262126586348018,0.0765)); +#11667=CARTESIAN_POINT('',(-0.2655,-0.262126586348018,0.0765)); +#11668=CARTESIAN_POINT('',(0.3655,-0.262126586348018,0.0765)); +#11669=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11670=CARTESIAN_POINT('',(-0.3345,-0.258300359400129,0.0797186934217547)); +#11671=CARTESIAN_POINT('',(-0.3655,-0.258300359400129,0.0797186934217547)); +#11672=CARTESIAN_POINT('',(-0.3345,-0.262126586348018,0.0765)); +#11673=CARTESIAN_POINT('',(-0.3655,-0.262126586348018,0.0765)); +#11674=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11675=CARTESIAN_POINT('',(0.3345,-0.258300359400129,0.0797186934217547)); +#11676=CARTESIAN_POINT('',(0.3345,-0.145445764280926,-0.0544373868435095)); +#11677=CARTESIAN_POINT('',(0.3655,-0.145445764280926,-0.0544373868435095)); +#11678=CARTESIAN_POINT('',(0.3655,-0.145445764280926,-0.0544373868435095)); +#11679=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11680=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11681=CARTESIAN_POINT('',(0.2655,-0.258300359400129,0.0797186934217547)); +#11682=CARTESIAN_POINT('',(0.2655,-0.145445764280926,-0.0544373868435095)); +#11683=CARTESIAN_POINT('',(0.2345,-0.258300359400129,0.0797186934217547)); +#11684=CARTESIAN_POINT('',(0.2345,-0.145445764280926,-0.0544373868435095)); +#11685=CARTESIAN_POINT('',(0.3655,-0.145445764280926,-0.0544373868435095)); +#11686=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11687=CARTESIAN_POINT('',(0.1655,-0.258300359400129,0.0797186934217547)); +#11688=CARTESIAN_POINT('',(0.1655,-0.145445764280926,-0.0544373868435095)); +#11689=CARTESIAN_POINT('',(0.1345,-0.258300359400129,0.0797186934217547)); +#11690=CARTESIAN_POINT('',(0.1345,-0.145445764280926,-0.0544373868435095)); +#11691=CARTESIAN_POINT('',(0.3655,-0.145445764280926,-0.0544373868435095)); +#11692=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11693=CARTESIAN_POINT('',(0.0655,-0.258300359400129,0.0797186934217547)); +#11694=CARTESIAN_POINT('',(0.0655,-0.145445764280926,-0.0544373868435095)); +#11695=CARTESIAN_POINT('',(0.0345000000000001,-0.258300359400129,0.0797186934217547)); +#11696=CARTESIAN_POINT('',(0.0345000000000001,-0.145445764280926,-0.0544373868435095)); +#11697=CARTESIAN_POINT('',(0.3655,-0.145445764280926,-0.0544373868435095)); +#11698=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11699=CARTESIAN_POINT('',(-0.0345,-0.258300359400129,0.0797186934217547)); +#11700=CARTESIAN_POINT('',(-0.0345,-0.145445764280926,-0.0544373868435095)); +#11701=CARTESIAN_POINT('',(-0.0655,-0.258300359400129,0.0797186934217547)); +#11702=CARTESIAN_POINT('',(-0.0655,-0.145445764280926,-0.0544373868435095)); +#11703=CARTESIAN_POINT('',(0.3655,-0.145445764280926,-0.0544373868435095)); +#11704=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11705=CARTESIAN_POINT('',(-0.1345,-0.258300359400129,0.0797186934217547)); +#11706=CARTESIAN_POINT('',(-0.1345,-0.145445764280926,-0.0544373868435095)); +#11707=CARTESIAN_POINT('',(-0.1655,-0.258300359400129,0.0797186934217547)); +#11708=CARTESIAN_POINT('',(-0.1655,-0.145445764280926,-0.0544373868435095)); +#11709=CARTESIAN_POINT('',(0.3655,-0.145445764280926,-0.0544373868435095)); +#11710=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11711=CARTESIAN_POINT('',(-0.2345,-0.258300359400129,0.0797186934217547)); +#11712=CARTESIAN_POINT('',(-0.2345,-0.145445764280926,-0.0544373868435095)); +#11713=CARTESIAN_POINT('',(-0.2655,-0.258300359400129,0.0797186934217547)); +#11714=CARTESIAN_POINT('',(-0.2655,-0.145445764280926,-0.0544373868435095)); +#11715=CARTESIAN_POINT('',(0.3655,-0.145445764280926,-0.0544373868435095)); +#11716=CARTESIAN_POINT('',(0.3655,-0.258300359400129,0.0797186934217547)); +#11717=CARTESIAN_POINT('',(-0.3345,-0.258300359400129,0.0797186934217547)); +#11718=CARTESIAN_POINT('',(-0.3345,-0.145445764280926,-0.0544373868435095)); +#11719=CARTESIAN_POINT('',(-0.3655,-0.258300359400129,0.0797186934217547)); +#11720=CARTESIAN_POINT('',(-0.3655,-0.145445764280926,-0.0544373868435095)); +#11721=CARTESIAN_POINT('',(0.3655,-0.145445764280926,-0.0544373868435095)); +#11722=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.0480000000000001)); +#11723=CARTESIAN_POINT('',(0.3345,-0.137793310385148,-0.0480000000000001)); +#11724=CARTESIAN_POINT('',(0.3345,-0.137793310385148,-0.058)); +#11725=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11726=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11727=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.0480000000000001)); +#11728=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.0480000000000001)); +#11729=CARTESIAN_POINT('',(0.2655,-0.137793310385148,-0.0480000000000001)); +#11730=CARTESIAN_POINT('',(0.2655,-0.137793310385148,-0.058)); +#11731=CARTESIAN_POINT('',(0.2345,-0.137793310385148,-0.0480000000000001)); +#11732=CARTESIAN_POINT('',(0.2345,-0.137793310385148,-0.058)); +#11733=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11734=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.0480000000000001)); +#11735=CARTESIAN_POINT('',(0.1655,-0.137793310385148,-0.0480000000000001)); +#11736=CARTESIAN_POINT('',(0.1655,-0.137793310385148,-0.058)); +#11737=CARTESIAN_POINT('',(0.1345,-0.137793310385148,-0.0480000000000001)); +#11738=CARTESIAN_POINT('',(0.1345,-0.137793310385148,-0.058)); +#11739=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11740=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.0480000000000001)); +#11741=CARTESIAN_POINT('',(0.0655,-0.137793310385148,-0.0480000000000001)); +#11742=CARTESIAN_POINT('',(0.0655,-0.137793310385148,-0.058)); +#11743=CARTESIAN_POINT('',(0.0345000000000001,-0.137793310385148,-0.0480000000000001)); +#11744=CARTESIAN_POINT('',(0.0345000000000001,-0.137793310385148,-0.058)); +#11745=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11746=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.0480000000000001)); +#11747=CARTESIAN_POINT('',(-0.0345,-0.137793310385148,-0.0480000000000001)); +#11748=CARTESIAN_POINT('',(-0.0345,-0.137793310385148,-0.058)); +#11749=CARTESIAN_POINT('',(-0.0655,-0.137793310385148,-0.0480000000000001)); +#11750=CARTESIAN_POINT('',(-0.0655,-0.137793310385148,-0.058)); +#11751=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11752=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.0480000000000001)); +#11753=CARTESIAN_POINT('',(-0.1345,-0.137793310385148,-0.0480000000000001)); +#11754=CARTESIAN_POINT('',(-0.1345,-0.137793310385148,-0.058)); +#11755=CARTESIAN_POINT('',(-0.1655,-0.137793310385148,-0.0480000000000001)); +#11756=CARTESIAN_POINT('',(-0.1655,-0.137793310385148,-0.058)); +#11757=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11758=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.0480000000000001)); +#11759=CARTESIAN_POINT('',(-0.2345,-0.137793310385148,-0.0480000000000001)); +#11760=CARTESIAN_POINT('',(-0.2345,-0.137793310385148,-0.058)); +#11761=CARTESIAN_POINT('',(-0.2655,-0.137793310385148,-0.0480000000000001)); +#11762=CARTESIAN_POINT('',(-0.2655,-0.137793310385148,-0.058)); +#11763=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11764=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.0480000000000001)); +#11765=CARTESIAN_POINT('',(-0.3345,-0.137793310385148,-0.0480000000000001)); +#11766=CARTESIAN_POINT('',(-0.3345,-0.137793310385148,-0.058)); +#11767=CARTESIAN_POINT('',(-0.3655,-0.137793310385148,-0.0480000000000001)); +#11768=CARTESIAN_POINT('',(-0.3655,-0.137793310385148,-0.058)); +#11769=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11770=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11771=CARTESIAN_POINT('',(0.3655,0.05,-0.058)); +#11772=CARTESIAN_POINT('',(0.3605,0.05,-0.058)); +#11773=CARTESIAN_POINT('',(0.3395,0.05,-0.058)); +#11774=CARTESIAN_POINT('',(0.3605,-0.137793310385148,-0.058)); +#11775=CARTESIAN_POINT('',(0.3655,-0.137793310385148,-0.058)); +#11776=CARTESIAN_POINT('',(0.3345,-0.137793310385148,-0.058)); +#11777=CARTESIAN_POINT('',(0.3345,0.03,-0.058)); +#11778=CARTESIAN_POINT('',(0.3655,0.03,-0.058)); +#11779=CARTESIAN_POINT('',(0.2655,0.03,-0.058)); +#11780=CARTESIAN_POINT('',(0.2655,-0.137793310385148,-0.058)); +#11781=CARTESIAN_POINT('',(0.2345,-0.137793310385148,-0.058)); +#11782=CARTESIAN_POINT('',(0.2345,0.03,-0.058)); +#11783=CARTESIAN_POINT('',(0.3655,0.03,-0.058)); +#11784=CARTESIAN_POINT('',(0.1655,0.03,-0.058)); +#11785=CARTESIAN_POINT('',(0.1655,-0.137793310385148,-0.058)); +#11786=CARTESIAN_POINT('',(0.1345,-0.137793310385148,-0.058)); +#11787=CARTESIAN_POINT('',(0.1345,0.03,-0.058)); +#11788=CARTESIAN_POINT('',(0.3655,0.03,-0.058)); +#11789=CARTESIAN_POINT('',(0.0655,0.03,-0.058)); +#11790=CARTESIAN_POINT('',(0.0655,-0.137793310385148,-0.058)); +#11791=CARTESIAN_POINT('',(0.0345000000000001,-0.137793310385148,-0.058)); +#11792=CARTESIAN_POINT('',(0.0345000000000001,0.03,-0.058)); +#11793=CARTESIAN_POINT('',(0.3655,0.03,-0.058)); +#11794=CARTESIAN_POINT('',(-0.0345,0.03,-0.058)); +#11795=CARTESIAN_POINT('',(-0.0345,-0.137793310385148,-0.058)); +#11796=CARTESIAN_POINT('',(-0.0655,-0.137793310385148,-0.058)); +#11797=CARTESIAN_POINT('',(-0.0654999999999999,0.03,-0.058)); +#11798=CARTESIAN_POINT('',(0.3655,0.03,-0.058)); +#11799=CARTESIAN_POINT('',(-0.1345,0.03,-0.058)); +#11800=CARTESIAN_POINT('',(-0.1345,-0.137793310385148,-0.058)); +#11801=CARTESIAN_POINT('',(-0.1655,-0.137793310385148,-0.058)); +#11802=CARTESIAN_POINT('',(-0.1655,0.03,-0.058)); +#11803=CARTESIAN_POINT('',(0.3655,0.03,-0.058)); +#11804=CARTESIAN_POINT('',(-0.2345,0.03,-0.058)); +#11805=CARTESIAN_POINT('',(-0.2345,-0.137793310385148,-0.058)); +#11806=CARTESIAN_POINT('',(-0.2655,-0.137793310385148,-0.058)); +#11807=CARTESIAN_POINT('',(-0.2655,0.03,-0.058)); +#11808=CARTESIAN_POINT('',(0.3655,0.03,-0.058)); +#11809=CARTESIAN_POINT('',(-0.3345,0.03,-0.058)); +#11810=CARTESIAN_POINT('',(-0.3345,-0.137793310385148,-0.058)); +#11811=CARTESIAN_POINT('',(-0.3655,-0.137793310385148,-0.058)); +#11812=CARTESIAN_POINT('',(-0.3605,-0.137793310385148,-0.058)); +#11813=CARTESIAN_POINT('',(-0.3605,0.05,-0.058)); +#11814=CARTESIAN_POINT('',(0.3655,0.05,-0.058)); +#11815=CARTESIAN_POINT('',(-0.3395,0.05,-0.058)); +#11816=CARTESIAN_POINT('',(-0.3395,-0.137793310385148,-0.058)); +#11817=CARTESIAN_POINT('',(-0.3395,0.281,-0.058)); +#11818=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#11819=CARTESIAN_POINT('',(-0.2605,0.281,-0.058)); +#11820=CARTESIAN_POINT('',(-0.2605,-0.137793310385148,-0.058)); +#11821=CARTESIAN_POINT('',(-0.2605,0.05,-0.058)); +#11822=CARTESIAN_POINT('',(0.3655,0.05,-0.058)); +#11823=CARTESIAN_POINT('',(-0.2395,0.05,-0.058)); +#11824=CARTESIAN_POINT('',(-0.2395,-0.137793310385148,-0.058)); +#11825=CARTESIAN_POINT('',(-0.1605,-0.137793310385148,-0.058)); +#11826=CARTESIAN_POINT('',(-0.1605,0.05,-0.058)); +#11827=CARTESIAN_POINT('',(0.3655,0.05,-0.058)); +#11828=CARTESIAN_POINT('',(-0.1395,0.05,-0.058)); +#11829=CARTESIAN_POINT('',(-0.1395,-0.137793310385148,-0.058)); +#11830=CARTESIAN_POINT('',(-0.0605,-0.137793310385148,-0.058)); +#11831=CARTESIAN_POINT('',(-0.0605000000000001,0.05,-0.058)); +#11832=CARTESIAN_POINT('',(0.3655,0.05,-0.058)); +#11833=CARTESIAN_POINT('',(-0.0395,0.05,-0.058)); +#11834=CARTESIAN_POINT('',(-0.0394999999999999,-0.137793310385148,-0.058)); +#11835=CARTESIAN_POINT('',(0.0395,-0.137793310385148,-0.058)); +#11836=CARTESIAN_POINT('',(0.0394999999999999,0.05,-0.058)); +#11837=CARTESIAN_POINT('',(0.3655,0.05,-0.058)); +#11838=CARTESIAN_POINT('',(0.0605,0.05,-0.058)); +#11839=CARTESIAN_POINT('',(0.0605,-0.137793310385148,-0.058)); +#11840=CARTESIAN_POINT('',(0.1395,-0.137793310385148,-0.058)); +#11841=CARTESIAN_POINT('',(0.1395,0.05,-0.058)); +#11842=CARTESIAN_POINT('',(0.3655,0.05,-0.058)); +#11843=CARTESIAN_POINT('',(0.1605,0.05,-0.058)); +#11844=CARTESIAN_POINT('',(0.1605,-0.137793310385148,-0.058)); +#11845=CARTESIAN_POINT('',(0.2395,-0.137793310385148,-0.058)); +#11846=CARTESIAN_POINT('',(0.2395,0.05,-0.058)); +#11847=CARTESIAN_POINT('',(0.3655,0.05,-0.058)); +#11848=CARTESIAN_POINT('',(0.2605,0.05,-0.058)); +#11849=CARTESIAN_POINT('',(0.2605,-0.137793310385148,-0.058)); +#11850=CARTESIAN_POINT('',(0.3395,-0.137793310385148,-0.058)); +#11851=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11852=CARTESIAN_POINT('',(0.3345,0.03,-0.042)); +#11853=CARTESIAN_POINT('',(0.3345,-0.13267224134571,-0.042)); +#11854=CARTESIAN_POINT('',(0.3345,0.03,-0.042)); +#11855=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.042)); +#11856=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.042)); +#11857=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11858=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11859=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11860=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11861=CARTESIAN_POINT('',(0.2655,0.03,-0.042)); +#11862=CARTESIAN_POINT('',(0.2655,0.03,-0.042)); +#11863=CARTESIAN_POINT('',(0.2655,-0.13267224134571,-0.042)); +#11864=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11865=CARTESIAN_POINT('',(0.2345,0.03,-0.042)); +#11866=CARTESIAN_POINT('',(0.2345,0.03,-0.042)); +#11867=CARTESIAN_POINT('',(0.2345,-0.13267224134571,-0.042)); +#11868=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.042)); +#11869=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11870=CARTESIAN_POINT('',(0.1655,0.03,-0.042)); +#11871=CARTESIAN_POINT('',(0.1655,0.03,-0.042)); +#11872=CARTESIAN_POINT('',(0.1655,-0.13267224134571,-0.042)); +#11873=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11874=CARTESIAN_POINT('',(0.1345,0.03,-0.042)); +#11875=CARTESIAN_POINT('',(0.1345,0.03,-0.042)); +#11876=CARTESIAN_POINT('',(0.1345,-0.13267224134571,-0.042)); +#11877=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.042)); +#11878=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11879=CARTESIAN_POINT('',(0.0655,0.03,-0.042)); +#11880=CARTESIAN_POINT('',(0.0655,0.03,-0.042)); +#11881=CARTESIAN_POINT('',(0.0655,-0.13267224134571,-0.042)); +#11882=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11883=CARTESIAN_POINT('',(0.0345000000000001,0.03,-0.042)); +#11884=CARTESIAN_POINT('',(0.0345000000000001,0.03,-0.042)); +#11885=CARTESIAN_POINT('',(0.0345000000000001,-0.13267224134571,-0.042)); +#11886=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.042)); +#11887=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11888=CARTESIAN_POINT('',(-0.0345,0.03,-0.042)); +#11889=CARTESIAN_POINT('',(-0.0345,0.03,-0.042)); +#11890=CARTESIAN_POINT('',(-0.0345,-0.13267224134571,-0.042)); +#11891=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11892=CARTESIAN_POINT('',(-0.0654999999999999,0.03,-0.042)); +#11893=CARTESIAN_POINT('',(-0.0655,0.03,-0.042)); +#11894=CARTESIAN_POINT('',(-0.0655,-0.13267224134571,-0.042)); +#11895=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.042)); +#11896=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11897=CARTESIAN_POINT('',(-0.1345,0.03,-0.042)); +#11898=CARTESIAN_POINT('',(-0.1345,0.03,-0.042)); +#11899=CARTESIAN_POINT('',(-0.1345,-0.13267224134571,-0.042)); +#11900=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11901=CARTESIAN_POINT('',(-0.1655,0.03,-0.042)); +#11902=CARTESIAN_POINT('',(-0.1655,0.03,-0.042)); +#11903=CARTESIAN_POINT('',(-0.1655,-0.13267224134571,-0.042)); +#11904=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.042)); +#11905=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11906=CARTESIAN_POINT('',(-0.2345,0.03,-0.042)); +#11907=CARTESIAN_POINT('',(-0.2345,0.03,-0.042)); +#11908=CARTESIAN_POINT('',(-0.2345,-0.13267224134571,-0.042)); +#11909=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11910=CARTESIAN_POINT('',(-0.2655,0.03,-0.042)); +#11911=CARTESIAN_POINT('',(-0.2655,0.03,-0.042)); +#11912=CARTESIAN_POINT('',(-0.2655,-0.13267224134571,-0.042)); +#11913=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.042)); +#11914=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11915=CARTESIAN_POINT('',(-0.3345,0.03,-0.042)); +#11916=CARTESIAN_POINT('',(-0.3345,0.03,-0.042)); +#11917=CARTESIAN_POINT('',(-0.3345,-0.13267224134571,-0.042)); +#11918=CARTESIAN_POINT('',(0.3655,0.03,-0.042)); +#11919=CARTESIAN_POINT('',(-0.3655,0.03,-0.042)); +#11920=CARTESIAN_POINT('',(-0.3655,0.03,-0.042)); +#11921=CARTESIAN_POINT('',(-0.3655,-0.13267224134571,-0.042)); +#11922=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.042)); +#11923=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.037)); +#11924=CARTESIAN_POINT('',(0.3345,-0.13267224134571,-0.037)); +#11925=CARTESIAN_POINT('',(0.3345,-0.136498468293599,-0.0402186934217547)); +#11926=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11927=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11928=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.037)); +#11929=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.037)); +#11930=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11931=CARTESIAN_POINT('',(0.2655,-0.136498468293599,-0.0402186934217547)); +#11932=CARTESIAN_POINT('',(0.2345,-0.136498468293599,-0.0402186934217547)); +#11933=CARTESIAN_POINT('',(0.2655,-0.13267224134571,-0.037)); +#11934=CARTESIAN_POINT('',(0.2345,-0.13267224134571,-0.037)); +#11935=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.037)); +#11936=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11937=CARTESIAN_POINT('',(0.1655,-0.136498468293599,-0.0402186934217547)); +#11938=CARTESIAN_POINT('',(0.1345,-0.136498468293599,-0.0402186934217547)); +#11939=CARTESIAN_POINT('',(0.1655,-0.13267224134571,-0.037)); +#11940=CARTESIAN_POINT('',(0.1345,-0.13267224134571,-0.037)); +#11941=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.037)); +#11942=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11943=CARTESIAN_POINT('',(0.0655000000000001,-0.136498468293599,-0.0402186934217547)); +#11944=CARTESIAN_POINT('',(0.0345000000000001,-0.136498468293599,-0.0402186934217547)); +#11945=CARTESIAN_POINT('',(0.0655,-0.13267224134571,-0.037)); +#11946=CARTESIAN_POINT('',(0.0345000000000001,-0.13267224134571,-0.037)); +#11947=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.037)); +#11948=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11949=CARTESIAN_POINT('',(-0.0344999999999999,-0.136498468293599,-0.0402186934217547)); +#11950=CARTESIAN_POINT('',(-0.0655,-0.136498468293599,-0.0402186934217547)); +#11951=CARTESIAN_POINT('',(-0.0345,-0.13267224134571,-0.037)); +#11952=CARTESIAN_POINT('',(-0.0655,-0.13267224134571,-0.037)); +#11953=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.037)); +#11954=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11955=CARTESIAN_POINT('',(-0.1345,-0.136498468293599,-0.0402186934217547)); +#11956=CARTESIAN_POINT('',(-0.1655,-0.136498468293599,-0.0402186934217547)); +#11957=CARTESIAN_POINT('',(-0.1345,-0.13267224134571,-0.037)); +#11958=CARTESIAN_POINT('',(-0.1655,-0.13267224134571,-0.037)); +#11959=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.037)); +#11960=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11961=CARTESIAN_POINT('',(-0.2345,-0.136498468293599,-0.0402186934217547)); +#11962=CARTESIAN_POINT('',(-0.2655,-0.136498468293599,-0.0402186934217547)); +#11963=CARTESIAN_POINT('',(-0.2345,-0.13267224134571,-0.037)); +#11964=CARTESIAN_POINT('',(-0.2655,-0.13267224134571,-0.037)); +#11965=CARTESIAN_POINT('',(0.3655,-0.13267224134571,-0.037)); +#11966=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11967=CARTESIAN_POINT('',(-0.3345,-0.136498468293599,-0.0402186934217547)); +#11968=CARTESIAN_POINT('',(-0.3655,-0.136498468293599,-0.0402186934217547)); +#11969=CARTESIAN_POINT('',(-0.3345,-0.13267224134571,-0.037)); +#11970=CARTESIAN_POINT('',(-0.3655,-0.13267224134571,-0.037)); +#11971=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11972=CARTESIAN_POINT('',(0.3345,-0.136498468293599,-0.0402186934217547)); +#11973=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11974=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11975=CARTESIAN_POINT('',(0.2655,-0.136498468293599,-0.0402186934217547)); +#11976=CARTESIAN_POINT('',(0.2345,-0.136498468293599,-0.0402186934217547)); +#11977=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11978=CARTESIAN_POINT('',(0.1655,-0.136498468293599,-0.0402186934217547)); +#11979=CARTESIAN_POINT('',(0.1345,-0.136498468293599,-0.0402186934217547)); +#11980=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11981=CARTESIAN_POINT('',(0.0655,-0.136498468293599,-0.0402186934217547)); +#11982=CARTESIAN_POINT('',(0.0345000000000001,-0.136498468293599,-0.0402186934217547)); +#11983=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11984=CARTESIAN_POINT('',(-0.0345,-0.136498468293599,-0.0402186934217547)); +#11985=CARTESIAN_POINT('',(-0.0655,-0.136498468293599,-0.0402186934217547)); +#11986=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11987=CARTESIAN_POINT('',(-0.1345,-0.136498468293599,-0.0402186934217547)); +#11988=CARTESIAN_POINT('',(-0.1655,-0.136498468293599,-0.0402186934217547)); +#11989=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11990=CARTESIAN_POINT('',(-0.2345,-0.136498468293599,-0.0402186934217547)); +#11991=CARTESIAN_POINT('',(-0.2655,-0.136498468293599,-0.0402186934217547)); +#11992=CARTESIAN_POINT('',(0.3655,-0.136498468293599,-0.0402186934217547)); +#11993=CARTESIAN_POINT('',(-0.3345,-0.136498468293599,-0.0402186934217547)); +#11994=CARTESIAN_POINT('',(-0.3655,-0.136498468293599,-0.0402186934217547)); +#11995=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#11996=CARTESIAN_POINT('',(0.3345,-0.0474069312180434,0.042)); +#11997=CARTESIAN_POINT('',(0.3345,0.03,0.042)); +#11998=CARTESIAN_POINT('',(0.3345,-0.0474069312180434,0.042)); +#11999=CARTESIAN_POINT('',(0.3655,0.03,0.042)); +#12000=CARTESIAN_POINT('',(0.3655,0.03,0.042)); +#12001=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12002=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12003=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12004=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12005=CARTESIAN_POINT('',(0.2655,-0.0474069312180434,0.042)); +#12006=CARTESIAN_POINT('',(0.2655,-0.0474069312180434,0.042)); +#12007=CARTESIAN_POINT('',(0.2655,0.03,0.042)); +#12008=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12009=CARTESIAN_POINT('',(0.2345,-0.0474069312180434,0.042)); +#12010=CARTESIAN_POINT('',(0.2345,-0.0474069312180434,0.042)); +#12011=CARTESIAN_POINT('',(0.2345,0.03,0.042)); +#12012=CARTESIAN_POINT('',(0.3655,0.03,0.042)); +#12013=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12014=CARTESIAN_POINT('',(0.1655,-0.0474069312180434,0.042)); +#12015=CARTESIAN_POINT('',(0.1655,-0.0474069312180434,0.042)); +#12016=CARTESIAN_POINT('',(0.1655,0.03,0.042)); +#12017=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12018=CARTESIAN_POINT('',(0.1345,-0.0474069312180434,0.042)); +#12019=CARTESIAN_POINT('',(0.1345,-0.0474069312180434,0.042)); +#12020=CARTESIAN_POINT('',(0.1345,0.03,0.042)); +#12021=CARTESIAN_POINT('',(0.3655,0.03,0.042)); +#12022=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12023=CARTESIAN_POINT('',(0.0655,-0.0474069312180434,0.042)); +#12024=CARTESIAN_POINT('',(0.0655,-0.0474069312180434,0.042)); +#12025=CARTESIAN_POINT('',(0.0655,0.03,0.042)); +#12026=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12027=CARTESIAN_POINT('',(0.0345000000000001,-0.0474069312180434,0.042)); +#12028=CARTESIAN_POINT('',(0.0345000000000001,-0.0474069312180434,0.042)); +#12029=CARTESIAN_POINT('',(0.0345000000000001,0.03,0.042)); +#12030=CARTESIAN_POINT('',(0.3655,0.03,0.042)); +#12031=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12032=CARTESIAN_POINT('',(-0.0345,-0.0474069312180434,0.042)); +#12033=CARTESIAN_POINT('',(-0.0345,-0.0474069312180434,0.042)); +#12034=CARTESIAN_POINT('',(-0.0345,0.03,0.042)); +#12035=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12036=CARTESIAN_POINT('',(-0.0655,-0.0474069312180434,0.042)); +#12037=CARTESIAN_POINT('',(-0.0655,-0.0474069312180434,0.042)); +#12038=CARTESIAN_POINT('',(-0.0654999999999999,0.03,0.042)); +#12039=CARTESIAN_POINT('',(0.3655,0.03,0.042)); +#12040=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12041=CARTESIAN_POINT('',(-0.1345,-0.0474069312180434,0.042)); +#12042=CARTESIAN_POINT('',(-0.1345,-0.0474069312180434,0.042)); +#12043=CARTESIAN_POINT('',(-0.1345,0.03,0.042)); +#12044=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12045=CARTESIAN_POINT('',(-0.1655,-0.0474069312180434,0.042)); +#12046=CARTESIAN_POINT('',(-0.1655,-0.0474069312180434,0.042)); +#12047=CARTESIAN_POINT('',(-0.1655,0.03,0.042)); +#12048=CARTESIAN_POINT('',(0.3655,0.03,0.042)); +#12049=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12050=CARTESIAN_POINT('',(-0.2345,-0.0474069312180434,0.042)); +#12051=CARTESIAN_POINT('',(-0.2345,-0.0474069312180434,0.042)); +#12052=CARTESIAN_POINT('',(-0.2345,0.03,0.042)); +#12053=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12054=CARTESIAN_POINT('',(-0.2655,-0.0474069312180434,0.042)); +#12055=CARTESIAN_POINT('',(-0.2655,-0.0474069312180434,0.042)); +#12056=CARTESIAN_POINT('',(-0.2655,0.03,0.042)); +#12057=CARTESIAN_POINT('',(0.3655,0.03,0.042)); +#12058=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12059=CARTESIAN_POINT('',(-0.3345,-0.0474069312180434,0.042)); +#12060=CARTESIAN_POINT('',(-0.3345,-0.0474069312180434,0.042)); +#12061=CARTESIAN_POINT('',(-0.3345,0.03,0.042)); +#12062=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12063=CARTESIAN_POINT('',(-0.3655,-0.0474069312180434,0.042)); +#12064=CARTESIAN_POINT('',(-0.3655,-0.0474069312180434,0.042)); +#12065=CARTESIAN_POINT('',(-0.3655,0.03,0.042)); +#12066=CARTESIAN_POINT('',(0.3655,0.03,0.042)); +#12067=CARTESIAN_POINT('',(0.3655,-0.0903215520300724,0.0875000000000002)); +#12068=CARTESIAN_POINT('',(0.3345,-0.0903215520300724,0.0875000000000002)); +#12069=CARTESIAN_POINT('',(0.3345,-0.0903215520300724,0.0975)); +#12070=CARTESIAN_POINT('',(0.3345,-0.0834105968640688,0.0947276343774079)); +#12071=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12072=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12073=CARTESIAN_POINT('',(0.3655,-0.0903215520300724,0.0875000000000002)); +#12074=CARTESIAN_POINT('',(0.3655,-0.0834105968640688,0.0947276343774079)); +#12075=CARTESIAN_POINT('',(0.3655,-0.0834105968640688,0.0947276343774079)); +#12076=CARTESIAN_POINT('',(0.3655,-0.0903215520300724,0.0875000000000002)); +#12077=CARTESIAN_POINT('',(0.2655,-0.0903215520300724,0.0875000000000002)); +#12078=CARTESIAN_POINT('',(0.2655,-0.0834105968640688,0.0947276343774079)); +#12079=CARTESIAN_POINT('',(0.2655,-0.0903215520300725,0.0975)); +#12080=CARTESIAN_POINT('',(0.3655,-0.0834105968640688,0.0947276343774079)); +#12081=CARTESIAN_POINT('',(0.2345,-0.0834105968640688,0.0947276343774079)); +#12082=CARTESIAN_POINT('',(0.2345,-0.0903215520300724,0.0875000000000002)); +#12083=CARTESIAN_POINT('',(0.2345,-0.0903215520300724,0.0975)); +#12084=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12085=CARTESIAN_POINT('',(0.3655,-0.0903215520300724,0.0875000000000002)); +#12086=CARTESIAN_POINT('',(0.1655,-0.0903215520300724,0.0875000000000002)); +#12087=CARTESIAN_POINT('',(0.1655,-0.0834105968640688,0.0947276343774079)); +#12088=CARTESIAN_POINT('',(0.1655,-0.0903215520300725,0.0975)); +#12089=CARTESIAN_POINT('',(0.3655,-0.0834105968640688,0.0947276343774079)); +#12090=CARTESIAN_POINT('',(0.1345,-0.0834105968640688,0.0947276343774079)); +#12091=CARTESIAN_POINT('',(0.1345,-0.0903215520300724,0.0875000000000002)); +#12092=CARTESIAN_POINT('',(0.1345,-0.0903215520300724,0.0975)); +#12093=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12094=CARTESIAN_POINT('',(0.3655,-0.0903215520300724,0.0875000000000002)); +#12095=CARTESIAN_POINT('',(0.0655,-0.0903215520300724,0.0875000000000002)); +#12096=CARTESIAN_POINT('',(0.0655,-0.0834105968640688,0.0947276343774079)); +#12097=CARTESIAN_POINT('',(0.0655,-0.0903215520300725,0.0975)); +#12098=CARTESIAN_POINT('',(0.3655,-0.0834105968640688,0.0947276343774079)); +#12099=CARTESIAN_POINT('',(0.0345000000000001,-0.0834105968640688,0.0947276343774079)); +#12100=CARTESIAN_POINT('',(0.0345000000000001,-0.0903215520300724,0.0875000000000002)); +#12101=CARTESIAN_POINT('',(0.0345000000000001,-0.0903215520300724,0.0975)); +#12102=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12103=CARTESIAN_POINT('',(0.3655,-0.0903215520300724,0.0875000000000002)); +#12104=CARTESIAN_POINT('',(-0.0345,-0.0903215520300724,0.0875000000000002)); +#12105=CARTESIAN_POINT('',(-0.0345,-0.0834105968640688,0.0947276343774079)); +#12106=CARTESIAN_POINT('',(-0.0345,-0.0903215520300725,0.0975)); +#12107=CARTESIAN_POINT('',(0.3655,-0.0834105968640688,0.0947276343774079)); +#12108=CARTESIAN_POINT('',(-0.0655,-0.0834105968640688,0.0947276343774079)); +#12109=CARTESIAN_POINT('',(-0.0655,-0.0903215520300724,0.0875000000000002)); +#12110=CARTESIAN_POINT('',(-0.0655,-0.0903215520300724,0.0975)); +#12111=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12112=CARTESIAN_POINT('',(0.3655,-0.0903215520300724,0.0875000000000002)); +#12113=CARTESIAN_POINT('',(-0.1345,-0.0903215520300724,0.0875000000000002)); +#12114=CARTESIAN_POINT('',(-0.1345,-0.0834105968640688,0.0947276343774079)); +#12115=CARTESIAN_POINT('',(-0.1345,-0.0903215520300725,0.0975)); +#12116=CARTESIAN_POINT('',(0.3655,-0.0834105968640688,0.0947276343774079)); +#12117=CARTESIAN_POINT('',(-0.1655,-0.0834105968640688,0.0947276343774079)); +#12118=CARTESIAN_POINT('',(-0.1655,-0.0903215520300724,0.0875000000000002)); +#12119=CARTESIAN_POINT('',(-0.1655,-0.0903215520300724,0.0975)); +#12120=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12121=CARTESIAN_POINT('',(0.3655,-0.0903215520300724,0.0875000000000002)); +#12122=CARTESIAN_POINT('',(-0.2345,-0.0903215520300724,0.0875000000000002)); +#12123=CARTESIAN_POINT('',(-0.2345,-0.0834105968640688,0.0947276343774079)); +#12124=CARTESIAN_POINT('',(-0.2345,-0.0903215520300725,0.0975)); +#12125=CARTESIAN_POINT('',(0.3655,-0.0834105968640688,0.0947276343774079)); +#12126=CARTESIAN_POINT('',(-0.2655,-0.0834105968640688,0.0947276343774079)); +#12127=CARTESIAN_POINT('',(-0.2655,-0.0903215520300724,0.0875000000000002)); +#12128=CARTESIAN_POINT('',(-0.2655,-0.0903215520300724,0.0975)); +#12129=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12130=CARTESIAN_POINT('',(0.3655,-0.0903215520300724,0.0875000000000002)); +#12131=CARTESIAN_POINT('',(-0.3345,-0.0903215520300724,0.0875000000000002)); +#12132=CARTESIAN_POINT('',(-0.3345,-0.0834105968640688,0.0947276343774079)); +#12133=CARTESIAN_POINT('',(-0.3345,-0.0903215520300725,0.0975)); +#12134=CARTESIAN_POINT('',(0.3655,-0.0834105968640688,0.0947276343774079)); +#12135=CARTESIAN_POINT('',(-0.3655,-0.0834105968640688,0.0947276343774079)); +#12136=CARTESIAN_POINT('',(-0.3655,-0.0903215520300724,0.0875000000000002)); +#12137=CARTESIAN_POINT('',(-0.3655,-0.0903215520300725,0.0975)); +#12138=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12139=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12140=CARTESIAN_POINT('',(0.3345,-0.0903215520300725,0.0975)); +#12141=CARTESIAN_POINT('',(0.3345,-0.135,0.0975)); +#12142=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12143=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12144=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12145=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12146=CARTESIAN_POINT('',(0.2655,-0.0903215520300725,0.0975)); +#12147=CARTESIAN_POINT('',(0.2655,-0.135,0.0975)); +#12148=CARTESIAN_POINT('',(0.2345,-0.0903215520300725,0.0975)); +#12149=CARTESIAN_POINT('',(0.2345,-0.135,0.0975)); +#12150=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12151=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12152=CARTESIAN_POINT('',(0.1655,-0.0903215520300725,0.0975)); +#12153=CARTESIAN_POINT('',(0.1655,-0.135,0.0975)); +#12154=CARTESIAN_POINT('',(0.1345,-0.0903215520300725,0.0975)); +#12155=CARTESIAN_POINT('',(0.1345,-0.135,0.0975)); +#12156=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12157=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12158=CARTESIAN_POINT('',(0.0655,-0.0903215520300725,0.0975)); +#12159=CARTESIAN_POINT('',(0.0655,-0.135,0.0975)); +#12160=CARTESIAN_POINT('',(0.0345000000000001,-0.0903215520300725,0.0975)); +#12161=CARTESIAN_POINT('',(0.0345000000000001,-0.135,0.0975)); +#12162=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12163=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12164=CARTESIAN_POINT('',(-0.0345,-0.0903215520300725,0.0975)); +#12165=CARTESIAN_POINT('',(-0.0345,-0.135,0.0975)); +#12166=CARTESIAN_POINT('',(-0.0655,-0.0903215520300725,0.0975)); +#12167=CARTESIAN_POINT('',(-0.0655,-0.135,0.0975)); +#12168=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12169=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12170=CARTESIAN_POINT('',(-0.1345,-0.0903215520300725,0.0975)); +#12171=CARTESIAN_POINT('',(-0.1345,-0.135,0.0975)); +#12172=CARTESIAN_POINT('',(-0.1655,-0.0903215520300725,0.0975)); +#12173=CARTESIAN_POINT('',(-0.1655,-0.135,0.0975)); +#12174=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12175=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12176=CARTESIAN_POINT('',(-0.2345,-0.0903215520300725,0.0975)); +#12177=CARTESIAN_POINT('',(-0.2345,-0.135,0.0975)); +#12178=CARTESIAN_POINT('',(-0.2655,-0.0903215520300725,0.0975)); +#12179=CARTESIAN_POINT('',(-0.2655,-0.135,0.0975)); +#12180=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12181=CARTESIAN_POINT('',(0.3655,-0.0903215520300725,0.0975)); +#12182=CARTESIAN_POINT('',(-0.3345,-0.0903215520300725,0.0975)); +#12183=CARTESIAN_POINT('',(-0.3345,-0.135,0.0975)); +#12184=CARTESIAN_POINT('',(-0.3655,-0.0903215520300725,0.0975)); +#12185=CARTESIAN_POINT('',(-0.3655,-0.135,0.0975)); +#12186=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12187=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12188=CARTESIAN_POINT('',(0.3345,-0.135,0.0975)); +#12189=CARTESIAN_POINT('',(0.3345,-0.135,0.0815)); +#12190=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12191=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12192=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12193=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12194=CARTESIAN_POINT('',(0.2655,-0.135,0.0975)); +#12195=CARTESIAN_POINT('',(0.2655,-0.135,0.0815)); +#12196=CARTESIAN_POINT('',(0.2345,-0.135,0.0975)); +#12197=CARTESIAN_POINT('',(0.2345,-0.135,0.0815)); +#12198=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12199=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12200=CARTESIAN_POINT('',(0.1655,-0.135,0.0975)); +#12201=CARTESIAN_POINT('',(0.1655,-0.135,0.0815)); +#12202=CARTESIAN_POINT('',(0.1345,-0.135,0.0975)); +#12203=CARTESIAN_POINT('',(0.1345,-0.135,0.0815)); +#12204=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12205=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12206=CARTESIAN_POINT('',(0.0655,-0.135,0.0975)); +#12207=CARTESIAN_POINT('',(0.0655,-0.135,0.0815)); +#12208=CARTESIAN_POINT('',(0.0345000000000001,-0.135,0.0975)); +#12209=CARTESIAN_POINT('',(0.0345000000000001,-0.135,0.0815)); +#12210=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12211=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12212=CARTESIAN_POINT('',(-0.0345,-0.135,0.0975)); +#12213=CARTESIAN_POINT('',(-0.0345,-0.135,0.0815)); +#12214=CARTESIAN_POINT('',(-0.0655,-0.135,0.0975)); +#12215=CARTESIAN_POINT('',(-0.0655,-0.135,0.0815)); +#12216=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12217=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12218=CARTESIAN_POINT('',(-0.1345,-0.135,0.0975)); +#12219=CARTESIAN_POINT('',(-0.1345,-0.135,0.0815)); +#12220=CARTESIAN_POINT('',(-0.1655,-0.135,0.0975)); +#12221=CARTESIAN_POINT('',(-0.1655,-0.135,0.0815)); +#12222=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12223=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12224=CARTESIAN_POINT('',(-0.2345,-0.135,0.0975)); +#12225=CARTESIAN_POINT('',(-0.2345,-0.135,0.0815)); +#12226=CARTESIAN_POINT('',(-0.2655,-0.135,0.0975)); +#12227=CARTESIAN_POINT('',(-0.2655,-0.135,0.0815)); +#12228=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12229=CARTESIAN_POINT('',(0.3655,-0.135,0.0975)); +#12230=CARTESIAN_POINT('',(-0.3345,-0.135,0.0975)); +#12231=CARTESIAN_POINT('',(-0.3345,-0.135,0.0815)); +#12232=CARTESIAN_POINT('',(-0.3655,-0.135,0.0975)); +#12233=CARTESIAN_POINT('',(-0.3655,-0.135,0.0815)); +#12234=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12235=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12236=CARTESIAN_POINT('',(0.3345,-0.135,0.0815)); +#12237=CARTESIAN_POINT('',(0.3345,-0.0947342592631521,0.0815)); +#12238=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0815)); +#12239=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0815)); +#12240=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12241=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12242=CARTESIAN_POINT('',(0.2655,-0.135,0.0815)); +#12243=CARTESIAN_POINT('',(0.2655,-0.0947342592631521,0.0815)); +#12244=CARTESIAN_POINT('',(0.2345,-0.135,0.0815)); +#12245=CARTESIAN_POINT('',(0.2345,-0.0947342592631521,0.0815)); +#12246=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0815)); +#12247=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12248=CARTESIAN_POINT('',(0.1655,-0.135,0.0815)); +#12249=CARTESIAN_POINT('',(0.1655,-0.0947342592631521,0.0815)); +#12250=CARTESIAN_POINT('',(0.1345,-0.135,0.0815)); +#12251=CARTESIAN_POINT('',(0.1345,-0.0947342592631521,0.0815)); +#12252=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0815)); +#12253=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12254=CARTESIAN_POINT('',(0.0655,-0.135,0.0815)); +#12255=CARTESIAN_POINT('',(0.0655,-0.0947342592631521,0.0815)); +#12256=CARTESIAN_POINT('',(0.0345000000000001,-0.135,0.0815)); +#12257=CARTESIAN_POINT('',(0.0345000000000001,-0.0947342592631521,0.0815)); +#12258=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0815)); +#12259=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12260=CARTESIAN_POINT('',(-0.0345,-0.135,0.0815)); +#12261=CARTESIAN_POINT('',(-0.0345,-0.0947342592631521,0.0815)); +#12262=CARTESIAN_POINT('',(-0.0655,-0.135,0.0815)); +#12263=CARTESIAN_POINT('',(-0.0655,-0.0947342592631521,0.0815)); +#12264=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0815)); +#12265=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12266=CARTESIAN_POINT('',(-0.1345,-0.135,0.0815)); +#12267=CARTESIAN_POINT('',(-0.1345,-0.0947342592631521,0.0815)); +#12268=CARTESIAN_POINT('',(-0.1655,-0.135,0.0815)); +#12269=CARTESIAN_POINT('',(-0.1655,-0.0947342592631521,0.0815)); +#12270=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0815)); +#12271=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12272=CARTESIAN_POINT('',(-0.2345,-0.135,0.0815)); +#12273=CARTESIAN_POINT('',(-0.2345,-0.0947342592631521,0.0815)); +#12274=CARTESIAN_POINT('',(-0.2655,-0.135,0.0815)); +#12275=CARTESIAN_POINT('',(-0.2655,-0.0947342592631521,0.0815)); +#12276=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0815)); +#12277=CARTESIAN_POINT('',(0.3655,-0.135,0.0815)); +#12278=CARTESIAN_POINT('',(-0.3345,-0.135,0.0815)); +#12279=CARTESIAN_POINT('',(-0.3345,-0.0947342592631521,0.0815)); +#12280=CARTESIAN_POINT('',(-0.3655,-0.135,0.0815)); +#12281=CARTESIAN_POINT('',(-0.3655,-0.0947342592631521,0.0815)); +#12282=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0815)); +#12283=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0765)); +#12284=CARTESIAN_POINT('',(0.3345,-0.0947342592631521,0.0765)); +#12285=CARTESIAN_POINT('',(0.3345,-0.0912787816801503,0.0801138171887039)); +#12286=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12287=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12288=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0765)); +#12289=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0765)); +#12290=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12291=CARTESIAN_POINT('',(0.2655,-0.0912787816801503,0.0801138171887039)); +#12292=CARTESIAN_POINT('',(0.2345,-0.0912787816801503,0.0801138171887039)); +#12293=CARTESIAN_POINT('',(0.2655,-0.0947342592631521,0.0765)); +#12294=CARTESIAN_POINT('',(0.2345,-0.0947342592631521,0.0765)); +#12295=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0765)); +#12296=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12297=CARTESIAN_POINT('',(0.1655,-0.0912787816801503,0.0801138171887039)); +#12298=CARTESIAN_POINT('',(0.1345,-0.0912787816801503,0.0801138171887039)); +#12299=CARTESIAN_POINT('',(0.1655,-0.0947342592631521,0.0765)); +#12300=CARTESIAN_POINT('',(0.1345,-0.0947342592631521,0.0765)); +#12301=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0765)); +#12302=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12303=CARTESIAN_POINT('',(0.0655,-0.0912787816801503,0.0801138171887039)); +#12304=CARTESIAN_POINT('',(0.0345000000000001,-0.0912787816801503,0.0801138171887039)); +#12305=CARTESIAN_POINT('',(0.0655,-0.0947342592631521,0.0765)); +#12306=CARTESIAN_POINT('',(0.0345000000000001,-0.0947342592631521,0.0765)); +#12307=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0765)); +#12308=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12309=CARTESIAN_POINT('',(-0.0345,-0.0912787816801503,0.0801138171887039)); +#12310=CARTESIAN_POINT('',(-0.0655,-0.0912787816801503,0.0801138171887039)); +#12311=CARTESIAN_POINT('',(-0.0345,-0.0947342592631521,0.0765)); +#12312=CARTESIAN_POINT('',(-0.0655,-0.0947342592631521,0.0765)); +#12313=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0765)); +#12314=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12315=CARTESIAN_POINT('',(-0.1345,-0.0912787816801503,0.0801138171887039)); +#12316=CARTESIAN_POINT('',(-0.1655,-0.0912787816801503,0.0801138171887039)); +#12317=CARTESIAN_POINT('',(-0.1345,-0.0947342592631521,0.0765)); +#12318=CARTESIAN_POINT('',(-0.1655,-0.0947342592631521,0.0765)); +#12319=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0765)); +#12320=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12321=CARTESIAN_POINT('',(-0.2345,-0.0912787816801503,0.0801138171887039)); +#12322=CARTESIAN_POINT('',(-0.2655,-0.0912787816801503,0.0801138171887039)); +#12323=CARTESIAN_POINT('',(-0.2345,-0.0947342592631521,0.0765)); +#12324=CARTESIAN_POINT('',(-0.2655,-0.0947342592631521,0.0765)); +#12325=CARTESIAN_POINT('',(0.3655,-0.0947342592631521,0.0765)); +#12326=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12327=CARTESIAN_POINT('',(-0.3345,-0.0912787816801503,0.0801138171887039)); +#12328=CARTESIAN_POINT('',(-0.3655,-0.0912787816801503,0.0801138171887039)); +#12329=CARTESIAN_POINT('',(-0.3345,-0.0947342592631521,0.0765)); +#12330=CARTESIAN_POINT('',(-0.3655,-0.0947342592631521,0.0765)); +#12331=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12332=CARTESIAN_POINT('',(0.3345,-0.0912787816801503,0.0801138171887039)); +#12333=CARTESIAN_POINT('',(0.3345,-0.054317886384047,0.0447723656225921)); +#12334=CARTESIAN_POINT('',(0.3655,-0.054317886384047,0.0447723656225921)); +#12335=CARTESIAN_POINT('',(0.3655,-0.054317886384047,0.0447723656225921)); +#12336=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12337=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12338=CARTESIAN_POINT('',(0.2655,-0.0912787816801503,0.0801138171887039)); +#12339=CARTESIAN_POINT('',(0.2655,-0.054317886384047,0.0447723656225921)); +#12340=CARTESIAN_POINT('',(0.2345,-0.0912787816801503,0.0801138171887039)); +#12341=CARTESIAN_POINT('',(0.2345,-0.054317886384047,0.0447723656225921)); +#12342=CARTESIAN_POINT('',(0.3655,-0.054317886384047,0.0447723656225921)); +#12343=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12344=CARTESIAN_POINT('',(0.1655,-0.0912787816801503,0.0801138171887039)); +#12345=CARTESIAN_POINT('',(0.1655,-0.054317886384047,0.0447723656225921)); +#12346=CARTESIAN_POINT('',(0.1345,-0.0912787816801503,0.0801138171887039)); +#12347=CARTESIAN_POINT('',(0.1345,-0.054317886384047,0.0447723656225921)); +#12348=CARTESIAN_POINT('',(0.3655,-0.054317886384047,0.0447723656225921)); +#12349=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12350=CARTESIAN_POINT('',(0.0655,-0.0912787816801503,0.0801138171887039)); +#12351=CARTESIAN_POINT('',(0.0655,-0.054317886384047,0.0447723656225921)); +#12352=CARTESIAN_POINT('',(0.0345000000000001,-0.0912787816801503,0.0801138171887039)); +#12353=CARTESIAN_POINT('',(0.0345000000000001,-0.054317886384047,0.0447723656225921)); +#12354=CARTESIAN_POINT('',(0.3655,-0.054317886384047,0.0447723656225921)); +#12355=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12356=CARTESIAN_POINT('',(-0.0345,-0.0912787816801503,0.0801138171887039)); +#12357=CARTESIAN_POINT('',(-0.0345,-0.054317886384047,0.0447723656225921)); +#12358=CARTESIAN_POINT('',(-0.0655,-0.0912787816801503,0.0801138171887039)); +#12359=CARTESIAN_POINT('',(-0.0655,-0.054317886384047,0.0447723656225921)); +#12360=CARTESIAN_POINT('',(0.3655,-0.054317886384047,0.0447723656225921)); +#12361=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12362=CARTESIAN_POINT('',(-0.1345,-0.0912787816801503,0.0801138171887039)); +#12363=CARTESIAN_POINT('',(-0.1345,-0.054317886384047,0.0447723656225921)); +#12364=CARTESIAN_POINT('',(-0.1655,-0.0912787816801503,0.0801138171887039)); +#12365=CARTESIAN_POINT('',(-0.1655,-0.054317886384047,0.0447723656225921)); +#12366=CARTESIAN_POINT('',(0.3655,-0.054317886384047,0.0447723656225921)); +#12367=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12368=CARTESIAN_POINT('',(-0.2345,-0.0912787816801503,0.0801138171887039)); +#12369=CARTESIAN_POINT('',(-0.2345,-0.054317886384047,0.0447723656225921)); +#12370=CARTESIAN_POINT('',(-0.2655,-0.0912787816801503,0.0801138171887039)); +#12371=CARTESIAN_POINT('',(-0.2655,-0.054317886384047,0.0447723656225921)); +#12372=CARTESIAN_POINT('',(0.3655,-0.054317886384047,0.0447723656225921)); +#12373=CARTESIAN_POINT('',(0.3655,-0.0912787816801503,0.0801138171887039)); +#12374=CARTESIAN_POINT('',(-0.3345,-0.0912787816801503,0.0801138171887039)); +#12375=CARTESIAN_POINT('',(-0.3345,-0.054317886384047,0.0447723656225921)); +#12376=CARTESIAN_POINT('',(-0.3655,-0.0912787816801503,0.0801138171887039)); +#12377=CARTESIAN_POINT('',(-0.3655,-0.054317886384047,0.0447723656225921)); +#12378=CARTESIAN_POINT('',(0.3655,-0.054317886384047,0.0447723656225921)); +#12379=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.052)); +#12380=CARTESIAN_POINT('',(0.3345,-0.0474069312180434,0.052)); +#12381=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.052)); +#12382=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.052)); +#12383=CARTESIAN_POINT('',(0.2655,-0.0474069312180434,0.052)); +#12384=CARTESIAN_POINT('',(0.2345,-0.0474069312180434,0.052)); +#12385=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.052)); +#12386=CARTESIAN_POINT('',(0.1655,-0.0474069312180434,0.052)); +#12387=CARTESIAN_POINT('',(0.1345,-0.0474069312180434,0.052)); +#12388=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.052)); +#12389=CARTESIAN_POINT('',(0.0655,-0.0474069312180434,0.052)); +#12390=CARTESIAN_POINT('',(0.0345000000000001,-0.0474069312180434,0.052)); +#12391=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.052)); +#12392=CARTESIAN_POINT('',(-0.0345,-0.0474069312180434,0.052)); +#12393=CARTESIAN_POINT('',(-0.0655,-0.0474069312180434,0.052)); +#12394=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.052)); +#12395=CARTESIAN_POINT('',(-0.1345,-0.0474069312180434,0.052)); +#12396=CARTESIAN_POINT('',(-0.1655,-0.0474069312180434,0.052)); +#12397=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.052)); +#12398=CARTESIAN_POINT('',(-0.2345,-0.0474069312180434,0.052)); +#12399=CARTESIAN_POINT('',(-0.2655,-0.0474069312180434,0.052)); +#12400=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.052)); +#12401=CARTESIAN_POINT('',(-0.3345,-0.0474069312180434,0.052)); +#12402=CARTESIAN_POINT('',(-0.3655,-0.0474069312180434,0.052)); +#12403=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#12404=CARTESIAN_POINT('',(0.3655,0.05,0.058)); +#12405=CARTESIAN_POINT('',(-0.3605,0.05,0.058)); +#12406=CARTESIAN_POINT('',(-0.3395,0.05,0.058)); +#12407=CARTESIAN_POINT('',(-0.3605,0.281,0.058)); +#12408=CARTESIAN_POINT('',(-0.3655,0.281,0.058)); +#12409=CARTESIAN_POINT('',(-0.3655,-0.0429942239849637,0.058)); +#12410=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.058)); +#12411=CARTESIAN_POINT('',(-0.3345,-0.0429942239849637,0.058)); +#12412=CARTESIAN_POINT('',(-0.3345,0.281,0.058)); +#12413=CARTESIAN_POINT('',(-0.3345,0.03,0.058)); +#12414=CARTESIAN_POINT('',(0.3655,0.03,0.058)); +#12415=CARTESIAN_POINT('',(-0.2655,0.03,0.058)); +#12416=CARTESIAN_POINT('',(-0.2655,0.281,0.058)); +#12417=CARTESIAN_POINT('',(-0.2655,-0.0429942239849637,0.058)); +#12418=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.058)); +#12419=CARTESIAN_POINT('',(-0.2345,-0.0429942239849637,0.058)); +#12420=CARTESIAN_POINT('',(-0.2345,0.281,0.058)); +#12421=CARTESIAN_POINT('',(-0.2345,0.03,0.058)); +#12422=CARTESIAN_POINT('',(0.3655,0.03,0.058)); +#12423=CARTESIAN_POINT('',(-0.1655,0.03,0.058)); +#12424=CARTESIAN_POINT('',(-0.1655,0.281,0.058)); +#12425=CARTESIAN_POINT('',(-0.1655,-0.0429942239849637,0.058)); +#12426=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.058)); +#12427=CARTESIAN_POINT('',(-0.1345,-0.0429942239849637,0.058)); +#12428=CARTESIAN_POINT('',(-0.1345,0.281,0.058)); +#12429=CARTESIAN_POINT('',(-0.1345,0.03,0.058)); +#12430=CARTESIAN_POINT('',(0.3655,0.03,0.058)); +#12431=CARTESIAN_POINT('',(-0.0654999999999999,0.03,0.058)); +#12432=CARTESIAN_POINT('',(-0.0655,0.281,0.058)); +#12433=CARTESIAN_POINT('',(-0.0655,-0.0429942239849637,0.058)); +#12434=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.058)); +#12435=CARTESIAN_POINT('',(-0.0345,-0.0429942239849637,0.058)); +#12436=CARTESIAN_POINT('',(-0.0345,0.281,0.058)); +#12437=CARTESIAN_POINT('',(-0.0345,0.03,0.058)); +#12438=CARTESIAN_POINT('',(0.3655,0.03,0.058)); +#12439=CARTESIAN_POINT('',(0.0345000000000001,0.03,0.058)); +#12440=CARTESIAN_POINT('',(0.0345000000000001,0.281,0.058)); +#12441=CARTESIAN_POINT('',(0.0345000000000001,-0.0429942239849637,0.058)); +#12442=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.058)); +#12443=CARTESIAN_POINT('',(0.0655,-0.0429942239849637,0.058)); +#12444=CARTESIAN_POINT('',(0.0655,0.281,0.058)); +#12445=CARTESIAN_POINT('',(0.0655,0.03,0.058)); +#12446=CARTESIAN_POINT('',(0.3655,0.03,0.058)); +#12447=CARTESIAN_POINT('',(0.1345,0.03,0.058)); +#12448=CARTESIAN_POINT('',(0.1345,0.281,0.058)); +#12449=CARTESIAN_POINT('',(0.1345,-0.0429942239849637,0.058)); +#12450=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.058)); +#12451=CARTESIAN_POINT('',(0.1655,-0.0429942239849637,0.058)); +#12452=CARTESIAN_POINT('',(0.1655,0.281,0.058)); +#12453=CARTESIAN_POINT('',(0.1655,0.03,0.058)); +#12454=CARTESIAN_POINT('',(0.3655,0.03,0.058)); +#12455=CARTESIAN_POINT('',(0.2345,0.03,0.058)); +#12456=CARTESIAN_POINT('',(0.2345,0.281,0.058)); +#12457=CARTESIAN_POINT('',(0.2345,-0.0429942239849637,0.058)); +#12458=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.058)); +#12459=CARTESIAN_POINT('',(0.2655,-0.0429942239849637,0.058)); +#12460=CARTESIAN_POINT('',(0.2655,0.281,0.058)); +#12461=CARTESIAN_POINT('',(0.2655,0.03,0.058)); +#12462=CARTESIAN_POINT('',(0.3655,0.03,0.058)); +#12463=CARTESIAN_POINT('',(0.3345,0.03,0.058)); +#12464=CARTESIAN_POINT('',(0.3345,0.281,0.058)); +#12465=CARTESIAN_POINT('',(0.3345,-0.0429942239849637,0.058)); +#12466=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.058)); +#12467=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.058)); +#12468=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#12469=CARTESIAN_POINT('',(0.3605,0.281,0.058)); +#12470=CARTESIAN_POINT('',(0.3605,0.05,0.058)); +#12471=CARTESIAN_POINT('',(0.3655,0.05,0.058)); +#12472=CARTESIAN_POINT('',(0.3395,0.05,0.058)); +#12473=CARTESIAN_POINT('',(0.3395,0.281,0.058)); +#12474=CARTESIAN_POINT('',(0.2605,0.281,0.058)); +#12475=CARTESIAN_POINT('',(0.2605,0.05,0.058)); +#12476=CARTESIAN_POINT('',(0.3655,0.05,0.058)); +#12477=CARTESIAN_POINT('',(0.2395,0.05,0.058)); +#12478=CARTESIAN_POINT('',(0.2395,0.281,0.058)); +#12479=CARTESIAN_POINT('',(0.1605,0.281,0.058)); +#12480=CARTESIAN_POINT('',(0.1605,0.05,0.058)); +#12481=CARTESIAN_POINT('',(0.3655,0.05,0.058)); +#12482=CARTESIAN_POINT('',(0.1395,0.05,0.058)); +#12483=CARTESIAN_POINT('',(0.1395,0.281,0.058)); +#12484=CARTESIAN_POINT('',(0.0605,0.281,0.058)); +#12485=CARTESIAN_POINT('',(0.0605,0.05,0.058)); +#12486=CARTESIAN_POINT('',(0.3655,0.05,0.058)); +#12487=CARTESIAN_POINT('',(0.0394999999999999,0.05,0.058)); +#12488=CARTESIAN_POINT('',(0.0395,0.281,0.058)); +#12489=CARTESIAN_POINT('',(-0.0394999999999999,0.281,0.058)); +#12490=CARTESIAN_POINT('',(-0.0395,0.05,0.058)); +#12491=CARTESIAN_POINT('',(0.3655,0.05,0.058)); +#12492=CARTESIAN_POINT('',(-0.0605000000000001,0.05,0.058)); +#12493=CARTESIAN_POINT('',(-0.0605,0.281,0.058)); +#12494=CARTESIAN_POINT('',(-0.1395,0.281,0.058)); +#12495=CARTESIAN_POINT('',(-0.1395,0.05,0.058)); +#12496=CARTESIAN_POINT('',(0.3655,0.05,0.058)); +#12497=CARTESIAN_POINT('',(-0.1605,0.05,0.058)); +#12498=CARTESIAN_POINT('',(-0.1605,0.281,0.058)); +#12499=CARTESIAN_POINT('',(-0.2395,0.281,0.058)); +#12500=CARTESIAN_POINT('',(-0.2395,0.05,0.058)); +#12501=CARTESIAN_POINT('',(0.3655,0.05,0.058)); +#12502=CARTESIAN_POINT('',(-0.2605,0.05,0.058)); +#12503=CARTESIAN_POINT('',(-0.2605,0.281,0.058)); +#12504=CARTESIAN_POINT('',(-0.2605,0.281,0.058)); +#12505=CARTESIAN_POINT('',(0.3655,0.281,0.058)); +#12506=CARTESIAN_POINT('',(-0.3395,0.281,0.058)); +#12507=CARTESIAN_POINT('',(-0.3395,0.281,0.058)); +#12508=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.0629999999999999)); +#12509=CARTESIAN_POINT('',(0.3345,-0.0429942239849637,0.0629999999999999)); +#12510=CARTESIAN_POINT('',(0.3345,-0.0464497015679655,0.0593861828112961)); +#12511=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12512=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12513=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.0629999999999999)); +#12514=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.0629999999999999)); +#12515=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12516=CARTESIAN_POINT('',(0.2655,-0.0464497015679655,0.0593861828112961)); +#12517=CARTESIAN_POINT('',(0.2345,-0.0464497015679655,0.0593861828112961)); +#12518=CARTESIAN_POINT('',(0.2655,-0.0429942239849637,0.0629999999999999)); +#12519=CARTESIAN_POINT('',(0.2345,-0.0429942239849637,0.0629999999999999)); +#12520=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.0629999999999999)); +#12521=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12522=CARTESIAN_POINT('',(0.1655,-0.0464497015679655,0.0593861828112961)); +#12523=CARTESIAN_POINT('',(0.1345,-0.0464497015679655,0.0593861828112961)); +#12524=CARTESIAN_POINT('',(0.1655,-0.0429942239849637,0.0629999999999999)); +#12525=CARTESIAN_POINT('',(0.1345,-0.0429942239849637,0.0629999999999999)); +#12526=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.0629999999999999)); +#12527=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12528=CARTESIAN_POINT('',(0.0655000000000001,-0.0464497015679655,0.0593861828112961)); +#12529=CARTESIAN_POINT('',(0.0345000000000001,-0.0464497015679655,0.0593861828112961)); +#12530=CARTESIAN_POINT('',(0.0655,-0.0429942239849637,0.0629999999999999)); +#12531=CARTESIAN_POINT('',(0.0345000000000001,-0.0429942239849637,0.0629999999999999)); +#12532=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.0629999999999999)); +#12533=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12534=CARTESIAN_POINT('',(-0.0344999999999999,-0.0464497015679655,0.0593861828112961)); +#12535=CARTESIAN_POINT('',(-0.0655,-0.0464497015679655,0.0593861828112961)); +#12536=CARTESIAN_POINT('',(-0.0345,-0.0429942239849637,0.0629999999999999)); +#12537=CARTESIAN_POINT('',(-0.0655,-0.0429942239849637,0.0629999999999999)); +#12538=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.0629999999999999)); +#12539=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12540=CARTESIAN_POINT('',(-0.1345,-0.0464497015679655,0.0593861828112961)); +#12541=CARTESIAN_POINT('',(-0.1655,-0.0464497015679655,0.0593861828112961)); +#12542=CARTESIAN_POINT('',(-0.1345,-0.0429942239849637,0.0629999999999999)); +#12543=CARTESIAN_POINT('',(-0.1655,-0.0429942239849637,0.0629999999999999)); +#12544=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.0629999999999999)); +#12545=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12546=CARTESIAN_POINT('',(-0.2345,-0.0464497015679655,0.0593861828112961)); +#12547=CARTESIAN_POINT('',(-0.2655,-0.0464497015679655,0.0593861828112961)); +#12548=CARTESIAN_POINT('',(-0.2345,-0.0429942239849637,0.0629999999999999)); +#12549=CARTESIAN_POINT('',(-0.2655,-0.0429942239849637,0.0629999999999999)); +#12550=CARTESIAN_POINT('',(0.3655,-0.0429942239849637,0.0629999999999999)); +#12551=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12552=CARTESIAN_POINT('',(-0.3345,-0.0464497015679655,0.0593861828112961)); +#12553=CARTESIAN_POINT('',(-0.3655,-0.0464497015679655,0.0593861828112961)); +#12554=CARTESIAN_POINT('',(-0.3345,-0.0429942239849637,0.0629999999999999)); +#12555=CARTESIAN_POINT('',(-0.3655,-0.0429942239849637,0.0629999999999999)); +#12556=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12557=CARTESIAN_POINT('',(0.3345,-0.0464497015679655,0.0593861828112961)); +#12558=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12559=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12560=CARTESIAN_POINT('',(0.2655,-0.0464497015679655,0.0593861828112961)); +#12561=CARTESIAN_POINT('',(0.2345,-0.0464497015679655,0.0593861828112961)); +#12562=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12563=CARTESIAN_POINT('',(0.1655,-0.0464497015679655,0.0593861828112961)); +#12564=CARTESIAN_POINT('',(0.1345,-0.0464497015679655,0.0593861828112961)); +#12565=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12566=CARTESIAN_POINT('',(0.0655,-0.0464497015679655,0.0593861828112961)); +#12567=CARTESIAN_POINT('',(0.0345000000000001,-0.0464497015679655,0.0593861828112961)); +#12568=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12569=CARTESIAN_POINT('',(-0.0345,-0.0464497015679655,0.0593861828112961)); +#12570=CARTESIAN_POINT('',(-0.0655,-0.0464497015679655,0.0593861828112961)); +#12571=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12572=CARTESIAN_POINT('',(-0.1345,-0.0464497015679655,0.0593861828112961)); +#12573=CARTESIAN_POINT('',(-0.1655,-0.0464497015679655,0.0593861828112961)); +#12574=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12575=CARTESIAN_POINT('',(-0.2345,-0.0464497015679655,0.0593861828112961)); +#12576=CARTESIAN_POINT('',(-0.2655,-0.0464497015679655,0.0593861828112961)); +#12577=CARTESIAN_POINT('',(0.3655,-0.0464497015679655,0.0593861828112961)); +#12578=CARTESIAN_POINT('',(-0.3345,-0.0464497015679655,0.0593861828112961)); +#12579=CARTESIAN_POINT('',(-0.3655,-0.0464497015679655,0.0593861828112961)); +#12580=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#12581=CARTESIAN_POINT('',(0.3655,0.05,0.042)); +#12582=CARTESIAN_POINT('',(-0.2605,0.05,0.042)); +#12583=CARTESIAN_POINT('',(-0.3395,0.05,0.042)); +#12584=CARTESIAN_POINT('',(-0.2605,0.05,-0.195)); +#12585=CARTESIAN_POINT('',(-0.2395,0.05,0.195)); +#12586=CARTESIAN_POINT('',(-0.1605,0.05,-0.195)); +#12587=CARTESIAN_POINT('',(-0.1395,0.05,0.195)); +#12588=CARTESIAN_POINT('',(-0.0605000000000001,0.05,-0.195)); +#12589=CARTESIAN_POINT('',(-0.0395,0.05,0.195)); +#12590=CARTESIAN_POINT('',(0.0394999999999999,0.05,-0.195)); +#12591=CARTESIAN_POINT('',(0.0605,0.05,0.195)); +#12592=CARTESIAN_POINT('',(0.1395,0.05,-0.195)); +#12593=CARTESIAN_POINT('',(0.1605,0.05,0.195)); +#12594=CARTESIAN_POINT('',(0.2395,0.05,-0.195)); +#12595=CARTESIAN_POINT('',(0.2605,0.05,0.195)); +#12596=CARTESIAN_POINT('',(0.3395,0.05,-0.195)); +#12597=CARTESIAN_POINT('',(0.3605,0.05,0.195)); +#12598=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#12599=CARTESIAN_POINT('',(0.3605,0.05,0.195)); +#12600=CARTESIAN_POINT('',(0.3395,0.05,-0.195)); +#12601=CARTESIAN_POINT('',(0.2605,0.05,0.195)); +#12602=CARTESIAN_POINT('',(0.2395,0.05,-0.195)); +#12603=CARTESIAN_POINT('',(0.1605,0.05,0.195)); +#12604=CARTESIAN_POINT('',(0.1395,0.05,-0.195)); +#12605=CARTESIAN_POINT('',(0.0605,0.05,0.195)); +#12606=CARTESIAN_POINT('',(0.0394999999999999,0.05,-0.195)); +#12607=CARTESIAN_POINT('',(-0.0395,0.05,0.195)); +#12608=CARTESIAN_POINT('',(-0.0605000000000001,0.05,-0.195)); +#12609=CARTESIAN_POINT('',(-0.1395,0.05,0.195)); +#12610=CARTESIAN_POINT('',(-0.1605,0.05,-0.195)); +#12611=CARTESIAN_POINT('',(-0.2395,0.05,0.195)); +#12612=CARTESIAN_POINT('',(-0.2605,0.05,-0.195)); +#12613=CARTESIAN_POINT('',(-0.2605,0.05,-0.042)); +#12614=CARTESIAN_POINT('',(0.3655,0.05,-0.042)); +#12615=CARTESIAN_POINT('',(-0.3395,0.05,-0.042)); +#12616=CARTESIAN_POINT('',(-0.3395,0.05,0.195)); +#12617=CARTESIAN_POINT('',(-0.3605,0.05,-0.195)); +#12618=CARTESIAN_POINT('',(-0.3655,0.05,-0.042)); +#12619=CARTESIAN_POINT('',(-0.3605,0.05,-0.195)); +#12620=CARTESIAN_POINT('',(-0.3395,0.05,0.195)); +#12621=CARTESIAN_POINT('',(0.3655,0.03,0.05)); +#12622=CARTESIAN_POINT('',(-0.3345,0.03,1.)); +#12623=CARTESIAN_POINT('',(-0.3655,0.03,0.05)); +#12624=CARTESIAN_POINT('',(-0.3345,0.03,1.)); +#12625=CARTESIAN_POINT('',(-0.2655,0.03,1.)); +#12626=CARTESIAN_POINT('',(-0.2345,0.03,1.)); +#12627=CARTESIAN_POINT('',(-0.1655,0.03,1.)); +#12628=CARTESIAN_POINT('',(-0.1345,0.03,1.)); +#12629=CARTESIAN_POINT('',(-0.0654999999999999,0.03,1.)); +#12630=CARTESIAN_POINT('',(-0.0345,0.03,1.)); +#12631=CARTESIAN_POINT('',(0.0345000000000001,0.03,1.)); +#12632=CARTESIAN_POINT('',(0.0655,0.03,1.)); +#12633=CARTESIAN_POINT('',(0.1345,0.03,1.)); +#12634=CARTESIAN_POINT('',(0.1655,0.03,1.)); +#12635=CARTESIAN_POINT('',(0.2345,0.03,1.)); +#12636=CARTESIAN_POINT('',(0.2655,0.03,1.)); +#12637=CARTESIAN_POINT('',(0.3345,0.03,1.)); +#12638=CARTESIAN_POINT('',(0.3655,0.03,0.05)); +#12639=CARTESIAN_POINT('',(0.3345,0.03,1.)); +#12640=CARTESIAN_POINT('',(0.2655,0.03,1.)); +#12641=CARTESIAN_POINT('',(0.2345,0.03,1.)); +#12642=CARTESIAN_POINT('',(0.1655,0.03,1.)); +#12643=CARTESIAN_POINT('',(0.1345,0.03,1.)); +#12644=CARTESIAN_POINT('',(0.0655,0.03,1.)); +#12645=CARTESIAN_POINT('',(0.0345000000000001,0.03,1.)); +#12646=CARTESIAN_POINT('',(-0.0345,0.03,1.)); +#12647=CARTESIAN_POINT('',(-0.0654999999999999,0.03,1.)); +#12648=CARTESIAN_POINT('',(-0.1345,0.03,1.)); +#12649=CARTESIAN_POINT('',(-0.1655,0.03,1.)); +#12650=CARTESIAN_POINT('',(-0.2345,0.03,1.)); +#12651=CARTESIAN_POINT('',(-0.2655,0.03,1.)); +#12652=CARTESIAN_POINT('',(0.3655,0.281,-0.058)); +#12653=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#12654=CARTESIAN_POINT('',(-0.2605,0.285,-0.054)); +#12655=CARTESIAN_POINT('',(-0.3395,0.285,-0.054)); +#12656=CARTESIAN_POINT('',(-0.2605,0.281,-0.058)); +#12657=CARTESIAN_POINT('',(-0.3395,0.281,-0.058)); +#12658=CARTESIAN_POINT('',(0.3655,0.285,-0.054)); +#12659=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#12660=CARTESIAN_POINT('',(-0.2605,0.285,-0.046)); +#12661=CARTESIAN_POINT('',(-0.3395,0.285,-0.046)); +#12662=CARTESIAN_POINT('',(-0.2605,0.285,-0.054)); +#12663=CARTESIAN_POINT('',(-0.3395,0.285,-0.054)); +#12664=CARTESIAN_POINT('',(0.3655,0.285,-0.046)); +#12665=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#12666=CARTESIAN_POINT('',(-0.2605,0.281,-0.042)); +#12667=CARTESIAN_POINT('',(-0.3395,0.281,-0.042)); +#12668=CARTESIAN_POINT('',(-0.2605,0.285,-0.046)); +#12669=CARTESIAN_POINT('',(-0.3395,0.285,-0.046)); +#12670=CARTESIAN_POINT('',(0.3655,0.281,-0.042)); +#12671=CARTESIAN_POINT('',(-0.2605,0.281,-0.042)); +#12672=CARTESIAN_POINT('',(-0.3395,0.281,-0.042)); +#12673=CARTESIAN_POINT('',(0.3655,-0.25700551730858,0.0875000000000002)); +#12674=CARTESIAN_POINT('',(-0.3655,-0.25700551730858,0.0875000000000002)); +#12675=CARTESIAN_POINT('',(0.3655,-0.0474069312180434,0.042)); +#12676=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#12677=CARTESIAN_POINT('',(-0.2605,0.281,0.0420000000000001)); +#12678=CARTESIAN_POINT('',(-0.3395,0.281,0.0420000000000001)); +#12679=CARTESIAN_POINT('',(-0.2605,-0.0474069312180434,0.042)); +#12680=CARTESIAN_POINT('',(-0.3395,-0.0474069312180434,0.042)); +#12681=CARTESIAN_POINT('',(0.3655,0.281,0.042)); +#12682=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#12683=CARTESIAN_POINT('',(-0.2605,0.285,0.046)); +#12684=CARTESIAN_POINT('',(-0.3395,0.285,0.046)); +#12685=CARTESIAN_POINT('',(-0.2605,0.281,0.042)); +#12686=CARTESIAN_POINT('',(-0.3395,0.281,0.042)); +#12687=CARTESIAN_POINT('',(0.3655,0.285,0.046)); +#12688=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#12689=CARTESIAN_POINT('',(-0.2605,0.285,0.054)); +#12690=CARTESIAN_POINT('',(-0.3395,0.285,0.054)); +#12691=CARTESIAN_POINT('',(-0.2605,0.285,0.046)); +#12692=CARTESIAN_POINT('',(-0.3395,0.285,0.046)); +#12693=CARTESIAN_POINT('',(0.3655,0.285,0.054)); +#12694=CARTESIAN_POINT('',(-0.2605,0.285,0.054)); +#12695=CARTESIAN_POINT('',(-0.3395,0.285,0.054)); +#12696=CARTESIAN_POINT('',(-0.2655,-0.285,1.)); +#12697=CARTESIAN_POINT('',(-0.3345,0.03,1.)); +#12698=CARTESIAN_POINT('',(-0.1655,-0.285,1.)); +#12699=CARTESIAN_POINT('',(-0.2345,0.03,1.)); +#12700=CARTESIAN_POINT('',(-0.0654999999999999,-0.285,1.)); +#12701=CARTESIAN_POINT('',(-0.1345,0.03,1.)); +#12702=CARTESIAN_POINT('',(0.0345000000000001,-0.285,1.)); +#12703=CARTESIAN_POINT('',(-0.0345,0.03,1.)); +#12704=CARTESIAN_POINT('',(0.1345,-0.285,1.)); +#12705=CARTESIAN_POINT('',(0.0655,0.03,1.)); +#12706=CARTESIAN_POINT('',(0.2345,-0.285,1.)); +#12707=CARTESIAN_POINT('',(0.1655,0.03,1.)); +#12708=CARTESIAN_POINT('',(0.3345,-0.285,1.)); +#12709=CARTESIAN_POINT('',(0.2655,0.03,1.)); +#12710=CARTESIAN_POINT('',(-0.2655,-0.285,1.)); +#12711=CARTESIAN_POINT('',(-0.3345,0.03,1.)); +#12712=CARTESIAN_POINT('',(-0.1655,-0.285,1.)); +#12713=CARTESIAN_POINT('',(-0.2345,0.03,1.)); +#12714=CARTESIAN_POINT('',(-0.0654999999999999,-0.285,1.)); +#12715=CARTESIAN_POINT('',(-0.1345,0.03,1.)); +#12716=CARTESIAN_POINT('',(0.0345000000000001,-0.285,1.)); +#12717=CARTESIAN_POINT('',(-0.0345,0.03,1.)); +#12718=CARTESIAN_POINT('',(0.1345,-0.285,1.)); +#12719=CARTESIAN_POINT('',(0.0655,0.03,1.)); +#12720=CARTESIAN_POINT('',(0.2345,-0.285,1.)); +#12721=CARTESIAN_POINT('',(0.1655,0.03,1.)); +#12722=CARTESIAN_POINT('',(0.3345,-0.285,1.)); +#12723=CARTESIAN_POINT('',(0.2655,0.03,1.)); +#12724=CARTESIAN_POINT('',(-0.3395,0.05,0.195)); +#12725=CARTESIAN_POINT('',(-0.3605,0.05,-0.195)); +#12726=CARTESIAN_POINT('',(-0.2395,0.05,0.195)); +#12727=CARTESIAN_POINT('',(-0.2605,0.05,-0.195)); +#12728=CARTESIAN_POINT('',(-0.1395,0.05,0.195)); +#12729=CARTESIAN_POINT('',(-0.1605,0.05,-0.195)); +#12730=CARTESIAN_POINT('',(-0.0395,0.05,0.195)); +#12731=CARTESIAN_POINT('',(-0.0605000000000001,0.05,-0.195)); +#12732=CARTESIAN_POINT('',(0.0605,0.05,0.195)); +#12733=CARTESIAN_POINT('',(0.0394999999999999,0.05,-0.195)); +#12734=CARTESIAN_POINT('',(0.1605,0.05,0.195)); +#12735=CARTESIAN_POINT('',(0.1395,0.05,-0.195)); +#12736=CARTESIAN_POINT('',(0.2605,0.05,0.195)); +#12737=CARTESIAN_POINT('',(0.2395,0.05,-0.195)); +#12738=CARTESIAN_POINT('',(0.3605,0.05,0.195)); +#12739=CARTESIAN_POINT('',(0.3395,0.05,-0.195)); +#12740=CARTESIAN_POINT('',(0.3395,0.05,-0.195)); +#12741=CARTESIAN_POINT('',(0.3605,0.05,0.195)); +#12742=CARTESIAN_POINT('',(0.2395,0.05,-0.195)); +#12743=CARTESIAN_POINT('',(0.2605,0.05,0.195)); +#12744=CARTESIAN_POINT('',(0.1395,0.05,-0.195)); +#12745=CARTESIAN_POINT('',(0.1605,0.05,0.195)); +#12746=CARTESIAN_POINT('',(0.0394999999999999,0.05,-0.195)); +#12747=CARTESIAN_POINT('',(0.0605,0.05,0.195)); +#12748=CARTESIAN_POINT('',(-0.0605000000000001,0.05,-0.195)); +#12749=CARTESIAN_POINT('',(-0.0395,0.05,0.195)); +#12750=CARTESIAN_POINT('',(-0.1605,0.05,-0.195)); +#12751=CARTESIAN_POINT('',(-0.1395,0.05,0.195)); +#12752=CARTESIAN_POINT('',(-0.2605,0.05,-0.195)); +#12753=CARTESIAN_POINT('',(-0.2395,0.05,0.195)); +#12754=CARTESIAN_POINT('',(-0.3605,0.05,-0.195)); +#12755=CARTESIAN_POINT('',(-0.3395,0.05,0.195)); +#12756=CARTESIAN_POINT('',(0.,0.,0.)); +#12757=CARTESIAN_POINT('',(0.41,0.335,-0.0975)); +#12758=CARTESIAN_POINT('',(0.3875,0.,-0.0975)); +#12759=CARTESIAN_POINT('',(0.3875,0.,-0.0975)); +#12760=CARTESIAN_POINT('',(0.3875,0.02,-0.0975)); +#12761=CARTESIAN_POINT('',(0.3875,0.02,-0.0975)); +#12762=CARTESIAN_POINT('',(0.3125,0.02,-0.0975)); +#12763=CARTESIAN_POINT('',(0.3125,0.02,-0.0975)); +#12764=CARTESIAN_POINT('',(0.3125,0.,-0.0975)); +#12765=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12766=CARTESIAN_POINT('',(0.2875,0.,-0.0975)); +#12767=CARTESIAN_POINT('',(0.2875,0.,-0.0975)); +#12768=CARTESIAN_POINT('',(0.2875,0.02,-0.0975)); +#12769=CARTESIAN_POINT('',(0.2875,0.02,-0.0975)); +#12770=CARTESIAN_POINT('',(0.2125,0.02,-0.0975)); +#12771=CARTESIAN_POINT('',(0.2125,0.02,-0.0975)); +#12772=CARTESIAN_POINT('',(0.2125,0.,-0.0975)); +#12773=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12774=CARTESIAN_POINT('',(0.1875,0.,-0.0975)); +#12775=CARTESIAN_POINT('',(0.1875,0.,-0.0975)); +#12776=CARTESIAN_POINT('',(0.1875,0.02,-0.0975)); +#12777=CARTESIAN_POINT('',(0.1875,0.02,-0.0975)); +#12778=CARTESIAN_POINT('',(0.1125,0.02,-0.0975)); +#12779=CARTESIAN_POINT('',(0.1125,0.02,-0.0975)); +#12780=CARTESIAN_POINT('',(0.1125,0.,-0.0975)); +#12781=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12782=CARTESIAN_POINT('',(0.0875,0.,-0.0975)); +#12783=CARTESIAN_POINT('',(0.0875,0.,-0.0975)); +#12784=CARTESIAN_POINT('',(0.0875,0.02,-0.0975)); +#12785=CARTESIAN_POINT('',(0.0875,0.02,-0.0975)); +#12786=CARTESIAN_POINT('',(0.0124999999999999,0.02,-0.0975)); +#12787=CARTESIAN_POINT('',(0.0124999999999999,0.02,-0.0975)); +#12788=CARTESIAN_POINT('',(0.0124999999999999,0.,-0.0975)); +#12789=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12790=CARTESIAN_POINT('',(-0.0125,0.,-0.0975)); +#12791=CARTESIAN_POINT('',(-0.0125,0.,-0.0975)); +#12792=CARTESIAN_POINT('',(-0.0125,0.02,-0.0975)); +#12793=CARTESIAN_POINT('',(-0.0125,0.02,-0.0975)); +#12794=CARTESIAN_POINT('',(-0.0875000000000001,0.02,-0.0975)); +#12795=CARTESIAN_POINT('',(-0.0875000000000001,0.02,-0.0975)); +#12796=CARTESIAN_POINT('',(-0.0875000000000001,0.,-0.0975)); +#12797=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12798=CARTESIAN_POINT('',(-0.1125,0.,-0.0975)); +#12799=CARTESIAN_POINT('',(-0.1125,0.,-0.0975)); +#12800=CARTESIAN_POINT('',(-0.1125,0.02,-0.0975)); +#12801=CARTESIAN_POINT('',(-0.1125,0.02,-0.0975)); +#12802=CARTESIAN_POINT('',(-0.1875,0.02,-0.0975)); +#12803=CARTESIAN_POINT('',(-0.1875,0.02,-0.0975)); +#12804=CARTESIAN_POINT('',(-0.1875,0.,-0.0975)); +#12805=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12806=CARTESIAN_POINT('',(-0.2125,0.,-0.0975)); +#12807=CARTESIAN_POINT('',(-0.2125,0.,-0.0975)); +#12808=CARTESIAN_POINT('',(-0.2125,0.02,-0.0975)); +#12809=CARTESIAN_POINT('',(-0.2125,0.02,-0.0975)); +#12810=CARTESIAN_POINT('',(-0.2875,0.02,-0.0975)); +#12811=CARTESIAN_POINT('',(-0.2875,0.02,-0.0975)); +#12812=CARTESIAN_POINT('',(-0.2875,0.,-0.0975)); +#12813=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12814=CARTESIAN_POINT('',(-0.3125,0.,-0.0975)); +#12815=CARTESIAN_POINT('',(-0.3125,0.,-0.0975)); +#12816=CARTESIAN_POINT('',(-0.3125,0.02,-0.0975)); +#12817=CARTESIAN_POINT('',(-0.3125,0.02,-0.0975)); +#12818=CARTESIAN_POINT('',(-0.3875,0.02,-0.0975)); +#12819=CARTESIAN_POINT('',(-0.3875,0.02,-0.0975)); +#12820=CARTESIAN_POINT('',(-0.3875,0.,-0.0975)); +#12821=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12822=CARTESIAN_POINT('',(-0.41,0.,-0.0975)); +#12823=CARTESIAN_POINT('',(-0.41,0.335,-0.0975)); +#12824=CARTESIAN_POINT('',(-0.41,0.335,-0.0975)); +#12825=CARTESIAN_POINT('',(0.41,0.335,-0.0975)); +#12826=CARTESIAN_POINT('',(0.41,0.335,-0.0975)); +#12827=CARTESIAN_POINT('',(0.41,0.335,-0.0975)); +#12828=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12829=CARTESIAN_POINT('',(0.41,0.,-0.0975)); +#12830=CARTESIAN_POINT('',(-0.41,0.335,0.0975)); +#12831=CARTESIAN_POINT('',(0.3875,0.,0.0975)); +#12832=CARTESIAN_POINT('',(0.3875,0.,0.0975)); +#12833=CARTESIAN_POINT('',(0.3875,0.02,0.0975)); +#12834=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12835=CARTESIAN_POINT('',(0.41,0.,0.0975)); +#12836=CARTESIAN_POINT('',(0.41,0.335,0.0975)); +#12837=CARTESIAN_POINT('',(0.41,0.335,0.0975)); +#12838=CARTESIAN_POINT('',(-0.41,0.335,0.0975)); +#12839=CARTESIAN_POINT('',(-0.41,0.335,0.0975)); +#12840=CARTESIAN_POINT('',(-0.41,0.335,0.0975)); +#12841=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12842=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12843=CARTESIAN_POINT('',(-0.3875,0.,0.0975)); +#12844=CARTESIAN_POINT('',(-0.3875,0.02,0.0975)); +#12845=CARTESIAN_POINT('',(-0.3875,0.02,0.0975)); +#12846=CARTESIAN_POINT('',(-0.3125,0.02,0.0975)); +#12847=CARTESIAN_POINT('',(-0.3125,0.02,0.0975)); +#12848=CARTESIAN_POINT('',(-0.3125,0.,0.0975)); +#12849=CARTESIAN_POINT('',(-0.3125,0.,0.0975)); +#12850=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12851=CARTESIAN_POINT('',(-0.2875,0.,0.0975)); +#12852=CARTESIAN_POINT('',(-0.2875,0.02,0.0975)); +#12853=CARTESIAN_POINT('',(-0.2875,0.02,0.0975)); +#12854=CARTESIAN_POINT('',(-0.2125,0.02,0.0975)); +#12855=CARTESIAN_POINT('',(-0.2125,0.02,0.0975)); +#12856=CARTESIAN_POINT('',(-0.2125,0.,0.0975)); +#12857=CARTESIAN_POINT('',(-0.2125,0.,0.0975)); +#12858=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12859=CARTESIAN_POINT('',(-0.1875,0.,0.0975)); +#12860=CARTESIAN_POINT('',(-0.1875,0.02,0.0975)); +#12861=CARTESIAN_POINT('',(-0.1875,0.02,0.0975)); +#12862=CARTESIAN_POINT('',(-0.1125,0.02,0.0975)); +#12863=CARTESIAN_POINT('',(-0.1125,0.02,0.0975)); +#12864=CARTESIAN_POINT('',(-0.1125,0.,0.0975)); +#12865=CARTESIAN_POINT('',(-0.1125,0.,0.0975)); +#12866=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12867=CARTESIAN_POINT('',(-0.0875000000000001,0.,0.0975)); +#12868=CARTESIAN_POINT('',(-0.0875000000000001,0.02,0.0975)); +#12869=CARTESIAN_POINT('',(-0.0875000000000001,0.02,0.0975)); +#12870=CARTESIAN_POINT('',(-0.0125,0.02,0.0975)); +#12871=CARTESIAN_POINT('',(-0.0125,0.02,0.0975)); +#12872=CARTESIAN_POINT('',(-0.0125,0.,0.0975)); +#12873=CARTESIAN_POINT('',(-0.0125,0.,0.0975)); +#12874=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12875=CARTESIAN_POINT('',(0.0124999999999999,0.,0.0975)); +#12876=CARTESIAN_POINT('',(0.0124999999999999,0.02,0.0975)); +#12877=CARTESIAN_POINT('',(0.0124999999999999,0.02,0.0975)); +#12878=CARTESIAN_POINT('',(0.0875,0.02,0.0975)); +#12879=CARTESIAN_POINT('',(0.0875,0.02,0.0975)); +#12880=CARTESIAN_POINT('',(0.0875,0.,0.0975)); +#12881=CARTESIAN_POINT('',(0.0875,0.,0.0975)); +#12882=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12883=CARTESIAN_POINT('',(0.1125,0.,0.0975)); +#12884=CARTESIAN_POINT('',(0.1125,0.02,0.0975)); +#12885=CARTESIAN_POINT('',(0.1125,0.02,0.0975)); +#12886=CARTESIAN_POINT('',(0.1875,0.02,0.0975)); +#12887=CARTESIAN_POINT('',(0.1875,0.02,0.0975)); +#12888=CARTESIAN_POINT('',(0.1875,0.,0.0975)); +#12889=CARTESIAN_POINT('',(0.1875,0.,0.0975)); +#12890=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12891=CARTESIAN_POINT('',(0.2125,0.,0.0975)); +#12892=CARTESIAN_POINT('',(0.2125,0.02,0.0975)); +#12893=CARTESIAN_POINT('',(0.2125,0.02,0.0975)); +#12894=CARTESIAN_POINT('',(0.2875,0.02,0.0975)); +#12895=CARTESIAN_POINT('',(0.2875,0.02,0.0975)); +#12896=CARTESIAN_POINT('',(0.2875,0.,0.0975)); +#12897=CARTESIAN_POINT('',(0.2875,0.,0.0975)); +#12898=CARTESIAN_POINT('',(-0.41,0.,0.0975)); +#12899=CARTESIAN_POINT('',(0.3125,0.,0.0975)); +#12900=CARTESIAN_POINT('',(0.3125,0.02,0.0975)); +#12901=CARTESIAN_POINT('',(0.3125,0.02,0.0975)); +#12902=CARTESIAN_POINT('',(0.3875,0.02,0.0975)); +#12903=CARTESIAN_POINT('',(0.3655,0.335,0.0345)); +#12904=CARTESIAN_POINT('',(0.3345,0.335,0.0345)); +#12905=CARTESIAN_POINT('',(0.3345,0.335,0.0345)); +#12906=CARTESIAN_POINT('',(0.3345,0.02,0.0345)); +#12907=CARTESIAN_POINT('',(0.3655,0.02,0.0345)); +#12908=CARTESIAN_POINT('',(0.3655,0.02,0.0345)); +#12909=CARTESIAN_POINT('',(0.3655,0.335,0.0345)); +#12910=CARTESIAN_POINT('',(0.3655,0.335,0.0345)); +#12911=CARTESIAN_POINT('',(0.3655,0.335,0.0345)); +#12912=CARTESIAN_POINT('',(0.3655,0.335,0.0655)); +#12913=CARTESIAN_POINT('',(0.3655,0.02,0.0655)); +#12914=CARTESIAN_POINT('',(0.3655,0.02,0.0655)); +#12915=CARTESIAN_POINT('',(0.3655,0.335,0.0655)); +#12916=CARTESIAN_POINT('',(0.3655,0.335,0.0655)); +#12917=CARTESIAN_POINT('',(0.3655,0.335,0.0655)); +#12918=CARTESIAN_POINT('',(0.3345,0.335,0.0655)); +#12919=CARTESIAN_POINT('',(0.3345,0.02,0.0655)); +#12920=CARTESIAN_POINT('',(0.3345,0.02,0.0655)); +#12921=CARTESIAN_POINT('',(0.3345,0.335,0.0655)); +#12922=CARTESIAN_POINT('',(0.3345,0.335,0.0655)); +#12923=CARTESIAN_POINT('',(0.3345,0.335,0.0655)); +#12924=CARTESIAN_POINT('',(0.3655,0.335,-0.0655)); +#12925=CARTESIAN_POINT('',(0.3345,0.335,-0.0655)); +#12926=CARTESIAN_POINT('',(0.3345,0.335,-0.0655)); +#12927=CARTESIAN_POINT('',(0.3345,0.02,-0.0655)); +#12928=CARTESIAN_POINT('',(0.3655,0.02,-0.0655)); +#12929=CARTESIAN_POINT('',(0.3655,0.02,-0.0655)); +#12930=CARTESIAN_POINT('',(0.3655,0.335,-0.0655)); +#12931=CARTESIAN_POINT('',(0.3655,0.335,-0.0655)); +#12932=CARTESIAN_POINT('',(0.3655,0.335,-0.0655)); +#12933=CARTESIAN_POINT('',(0.3655,0.335,-0.0345)); +#12934=CARTESIAN_POINT('',(0.3655,0.02,-0.0345)); +#12935=CARTESIAN_POINT('',(0.3655,0.02,-0.0345)); +#12936=CARTESIAN_POINT('',(0.3655,0.335,-0.0345)); +#12937=CARTESIAN_POINT('',(0.3655,0.335,-0.0345)); +#12938=CARTESIAN_POINT('',(0.3655,0.335,-0.0345)); +#12939=CARTESIAN_POINT('',(0.3345,0.335,-0.0345)); +#12940=CARTESIAN_POINT('',(0.3345,0.02,-0.0345)); +#12941=CARTESIAN_POINT('',(0.3345,0.02,-0.0345)); +#12942=CARTESIAN_POINT('',(0.3345,0.335,-0.0345)); +#12943=CARTESIAN_POINT('',(0.3345,0.335,-0.0345)); +#12944=CARTESIAN_POINT('',(0.3345,0.335,-0.0345)); +#12945=CARTESIAN_POINT('',(0.2655,0.335,0.0345)); +#12946=CARTESIAN_POINT('',(0.2345,0.335,0.0345)); +#12947=CARTESIAN_POINT('',(0.2345,0.335,0.0345)); +#12948=CARTESIAN_POINT('',(0.2345,0.02,0.0345)); +#12949=CARTESIAN_POINT('',(0.2655,0.02,0.0345)); +#12950=CARTESIAN_POINT('',(0.2655,0.02,0.0345)); +#12951=CARTESIAN_POINT('',(0.2655,0.335,0.0345)); +#12952=CARTESIAN_POINT('',(0.2655,0.335,0.0345)); +#12953=CARTESIAN_POINT('',(0.2655,0.335,0.0345)); +#12954=CARTESIAN_POINT('',(0.2655,0.335,0.0655)); +#12955=CARTESIAN_POINT('',(0.2655,0.02,0.0655)); +#12956=CARTESIAN_POINT('',(0.2655,0.02,0.0655)); +#12957=CARTESIAN_POINT('',(0.2655,0.335,0.0655)); +#12958=CARTESIAN_POINT('',(0.2655,0.335,0.0655)); +#12959=CARTESIAN_POINT('',(0.2655,0.335,0.0655)); +#12960=CARTESIAN_POINT('',(0.2345,0.335,0.0655)); +#12961=CARTESIAN_POINT('',(0.2345,0.02,0.0655)); +#12962=CARTESIAN_POINT('',(0.2345,0.02,0.0655)); +#12963=CARTESIAN_POINT('',(0.2345,0.335,0.0655)); +#12964=CARTESIAN_POINT('',(0.2345,0.335,0.0655)); +#12965=CARTESIAN_POINT('',(0.2345,0.335,0.0655)); +#12966=CARTESIAN_POINT('',(0.2655,0.335,-0.0655)); +#12967=CARTESIAN_POINT('',(0.2345,0.335,-0.0655)); +#12968=CARTESIAN_POINT('',(0.2345,0.335,-0.0655)); +#12969=CARTESIAN_POINT('',(0.2345,0.02,-0.0655)); +#12970=CARTESIAN_POINT('',(0.2655,0.02,-0.0655)); +#12971=CARTESIAN_POINT('',(0.2655,0.02,-0.0655)); +#12972=CARTESIAN_POINT('',(0.2655,0.335,-0.0655)); +#12973=CARTESIAN_POINT('',(0.2655,0.335,-0.0655)); +#12974=CARTESIAN_POINT('',(0.2655,0.335,-0.0655)); +#12975=CARTESIAN_POINT('',(0.2655,0.335,-0.0345)); +#12976=CARTESIAN_POINT('',(0.2655,0.02,-0.0345)); +#12977=CARTESIAN_POINT('',(0.2655,0.02,-0.0345)); +#12978=CARTESIAN_POINT('',(0.2655,0.335,-0.0345)); +#12979=CARTESIAN_POINT('',(0.2655,0.335,-0.0345)); +#12980=CARTESIAN_POINT('',(0.2655,0.335,-0.0345)); +#12981=CARTESIAN_POINT('',(0.2345,0.335,-0.0345)); +#12982=CARTESIAN_POINT('',(0.2345,0.02,-0.0345)); +#12983=CARTESIAN_POINT('',(0.2345,0.02,-0.0345)); +#12984=CARTESIAN_POINT('',(0.2345,0.335,-0.0345)); +#12985=CARTESIAN_POINT('',(0.2345,0.335,-0.0345)); +#12986=CARTESIAN_POINT('',(0.2345,0.335,-0.0345)); +#12987=CARTESIAN_POINT('',(0.1655,0.335,0.0345)); +#12988=CARTESIAN_POINT('',(0.1345,0.335,0.0345)); +#12989=CARTESIAN_POINT('',(0.1345,0.335,0.0345)); +#12990=CARTESIAN_POINT('',(0.1345,0.02,0.0345)); +#12991=CARTESIAN_POINT('',(0.1655,0.02,0.0345)); +#12992=CARTESIAN_POINT('',(0.1655,0.02,0.0345)); +#12993=CARTESIAN_POINT('',(0.1655,0.335,0.0345)); +#12994=CARTESIAN_POINT('',(0.1655,0.335,0.0345)); +#12995=CARTESIAN_POINT('',(0.1655,0.335,0.0345)); +#12996=CARTESIAN_POINT('',(0.1655,0.335,0.0655)); +#12997=CARTESIAN_POINT('',(0.1655,0.02,0.0655)); +#12998=CARTESIAN_POINT('',(0.1655,0.02,0.0655)); +#12999=CARTESIAN_POINT('',(0.1655,0.335,0.0655)); +#13000=CARTESIAN_POINT('',(0.1655,0.335,0.0655)); +#13001=CARTESIAN_POINT('',(0.1655,0.335,0.0655)); +#13002=CARTESIAN_POINT('',(0.1345,0.335,0.0655)); +#13003=CARTESIAN_POINT('',(0.1345,0.02,0.0655)); +#13004=CARTESIAN_POINT('',(0.1345,0.02,0.0655)); +#13005=CARTESIAN_POINT('',(0.1345,0.335,0.0655)); +#13006=CARTESIAN_POINT('',(0.1345,0.335,0.0655)); +#13007=CARTESIAN_POINT('',(0.1345,0.335,0.0655)); +#13008=CARTESIAN_POINT('',(0.1655,0.335,-0.0655)); +#13009=CARTESIAN_POINT('',(0.1345,0.335,-0.0655)); +#13010=CARTESIAN_POINT('',(0.1345,0.335,-0.0655)); +#13011=CARTESIAN_POINT('',(0.1345,0.02,-0.0655)); +#13012=CARTESIAN_POINT('',(0.1655,0.02,-0.0655)); +#13013=CARTESIAN_POINT('',(0.1655,0.02,-0.0655)); +#13014=CARTESIAN_POINT('',(0.1655,0.335,-0.0655)); +#13015=CARTESIAN_POINT('',(0.1655,0.335,-0.0655)); +#13016=CARTESIAN_POINT('',(0.1655,0.335,-0.0655)); +#13017=CARTESIAN_POINT('',(0.1655,0.335,-0.0345)); +#13018=CARTESIAN_POINT('',(0.1655,0.02,-0.0345)); +#13019=CARTESIAN_POINT('',(0.1655,0.02,-0.0345)); +#13020=CARTESIAN_POINT('',(0.1655,0.335,-0.0345)); +#13021=CARTESIAN_POINT('',(0.1655,0.335,-0.0345)); +#13022=CARTESIAN_POINT('',(0.1655,0.335,-0.0345)); +#13023=CARTESIAN_POINT('',(0.1345,0.335,-0.0345)); +#13024=CARTESIAN_POINT('',(0.1345,0.02,-0.0345)); +#13025=CARTESIAN_POINT('',(0.1345,0.02,-0.0345)); +#13026=CARTESIAN_POINT('',(0.1345,0.335,-0.0345)); +#13027=CARTESIAN_POINT('',(0.1345,0.335,-0.0345)); +#13028=CARTESIAN_POINT('',(0.1345,0.335,-0.0345)); +#13029=CARTESIAN_POINT('',(0.0655,0.335,0.0345)); +#13030=CARTESIAN_POINT('',(0.0344999999999999,0.335,0.0345)); +#13031=CARTESIAN_POINT('',(0.0344999999999999,0.335,0.0345)); +#13032=CARTESIAN_POINT('',(0.0344999999999999,0.02,0.0345)); +#13033=CARTESIAN_POINT('',(0.0655,0.02,0.0345)); +#13034=CARTESIAN_POINT('',(0.0655,0.02,0.0345)); +#13035=CARTESIAN_POINT('',(0.0655,0.335,0.0345)); +#13036=CARTESIAN_POINT('',(0.0655,0.335,0.0345)); +#13037=CARTESIAN_POINT('',(0.0655,0.335,0.0345)); +#13038=CARTESIAN_POINT('',(0.0655,0.335,0.0655)); +#13039=CARTESIAN_POINT('',(0.0655,0.02,0.0655)); +#13040=CARTESIAN_POINT('',(0.0655,0.02,0.0655)); +#13041=CARTESIAN_POINT('',(0.0655,0.335,0.0655)); +#13042=CARTESIAN_POINT('',(0.0655,0.335,0.0655)); +#13043=CARTESIAN_POINT('',(0.0655,0.335,0.0655)); +#13044=CARTESIAN_POINT('',(0.0344999999999999,0.335,0.0655)); +#13045=CARTESIAN_POINT('',(0.0344999999999999,0.02,0.0655)); +#13046=CARTESIAN_POINT('',(0.0344999999999999,0.02,0.0655)); +#13047=CARTESIAN_POINT('',(0.0344999999999999,0.335,0.0655)); +#13048=CARTESIAN_POINT('',(0.0344999999999999,0.335,0.0655)); +#13049=CARTESIAN_POINT('',(0.0344999999999999,0.335,0.0655)); +#13050=CARTESIAN_POINT('',(0.0655,0.335,-0.0655)); +#13051=CARTESIAN_POINT('',(0.0344999999999999,0.335,-0.0655)); +#13052=CARTESIAN_POINT('',(0.0344999999999999,0.335,-0.0655)); +#13053=CARTESIAN_POINT('',(0.0344999999999999,0.02,-0.0655)); +#13054=CARTESIAN_POINT('',(0.0655,0.02,-0.0655)); +#13055=CARTESIAN_POINT('',(0.0655,0.02,-0.0655)); +#13056=CARTESIAN_POINT('',(0.0655,0.335,-0.0655)); +#13057=CARTESIAN_POINT('',(0.0655,0.335,-0.0655)); +#13058=CARTESIAN_POINT('',(0.0655,0.335,-0.0655)); +#13059=CARTESIAN_POINT('',(0.0655,0.335,-0.0345)); +#13060=CARTESIAN_POINT('',(0.0655,0.02,-0.0345)); +#13061=CARTESIAN_POINT('',(0.0655,0.02,-0.0345)); +#13062=CARTESIAN_POINT('',(0.0655,0.335,-0.0345)); +#13063=CARTESIAN_POINT('',(0.0655,0.335,-0.0345)); +#13064=CARTESIAN_POINT('',(0.0655,0.335,-0.0345)); +#13065=CARTESIAN_POINT('',(0.0344999999999999,0.335,-0.0345)); +#13066=CARTESIAN_POINT('',(0.0344999999999999,0.02,-0.0345)); +#13067=CARTESIAN_POINT('',(0.0344999999999999,0.02,-0.0345)); +#13068=CARTESIAN_POINT('',(0.0344999999999999,0.335,-0.0345)); +#13069=CARTESIAN_POINT('',(0.0344999999999999,0.335,-0.0345)); +#13070=CARTESIAN_POINT('',(0.0344999999999999,0.335,-0.0345)); +#13071=CARTESIAN_POINT('',(-0.0345,0.335,0.0345)); +#13072=CARTESIAN_POINT('',(-0.0655000000000001,0.335,0.0345)); +#13073=CARTESIAN_POINT('',(-0.0655000000000001,0.335,0.0345)); +#13074=CARTESIAN_POINT('',(-0.0655000000000001,0.02,0.0345)); +#13075=CARTESIAN_POINT('',(-0.0345,0.02,0.0345)); +#13076=CARTESIAN_POINT('',(-0.0345,0.02,0.0345)); +#13077=CARTESIAN_POINT('',(-0.0345,0.335,0.0345)); +#13078=CARTESIAN_POINT('',(-0.0345,0.335,0.0345)); +#13079=CARTESIAN_POINT('',(-0.0345,0.335,0.0345)); +#13080=CARTESIAN_POINT('',(-0.0345,0.335,0.0655)); +#13081=CARTESIAN_POINT('',(-0.0345,0.02,0.0655)); +#13082=CARTESIAN_POINT('',(-0.0345,0.02,0.0655)); +#13083=CARTESIAN_POINT('',(-0.0345,0.335,0.0655)); +#13084=CARTESIAN_POINT('',(-0.0345,0.335,0.0655)); +#13085=CARTESIAN_POINT('',(-0.0345,0.335,0.0655)); +#13086=CARTESIAN_POINT('',(-0.0655000000000001,0.335,0.0655)); +#13087=CARTESIAN_POINT('',(-0.0655000000000001,0.02,0.0655)); +#13088=CARTESIAN_POINT('',(-0.0655000000000001,0.02,0.0655)); +#13089=CARTESIAN_POINT('',(-0.0655000000000001,0.335,0.0655)); +#13090=CARTESIAN_POINT('',(-0.0655000000000001,0.335,0.0655)); +#13091=CARTESIAN_POINT('',(-0.0655000000000001,0.335,0.0655)); +#13092=CARTESIAN_POINT('',(-0.0345,0.335,-0.0655)); +#13093=CARTESIAN_POINT('',(-0.0655000000000001,0.335,-0.0655)); +#13094=CARTESIAN_POINT('',(-0.0655000000000001,0.335,-0.0655)); +#13095=CARTESIAN_POINT('',(-0.0655000000000001,0.02,-0.0655)); +#13096=CARTESIAN_POINT('',(-0.0345,0.02,-0.0655)); +#13097=CARTESIAN_POINT('',(-0.0345,0.02,-0.0655)); +#13098=CARTESIAN_POINT('',(-0.0345,0.335,-0.0655)); +#13099=CARTESIAN_POINT('',(-0.0345,0.335,-0.0655)); +#13100=CARTESIAN_POINT('',(-0.0345,0.335,-0.0655)); +#13101=CARTESIAN_POINT('',(-0.0345,0.335,-0.0345)); +#13102=CARTESIAN_POINT('',(-0.0345,0.02,-0.0345)); +#13103=CARTESIAN_POINT('',(-0.0345,0.02,-0.0345)); +#13104=CARTESIAN_POINT('',(-0.0345,0.335,-0.0345)); +#13105=CARTESIAN_POINT('',(-0.0345,0.335,-0.0345)); +#13106=CARTESIAN_POINT('',(-0.0345,0.335,-0.0345)); +#13107=CARTESIAN_POINT('',(-0.0655000000000001,0.335,-0.0345)); +#13108=CARTESIAN_POINT('',(-0.0655000000000001,0.02,-0.0345)); +#13109=CARTESIAN_POINT('',(-0.0655000000000001,0.02,-0.0345)); +#13110=CARTESIAN_POINT('',(-0.0655000000000001,0.335,-0.0345)); +#13111=CARTESIAN_POINT('',(-0.0655000000000001,0.335,-0.0345)); +#13112=CARTESIAN_POINT('',(-0.0655000000000001,0.335,-0.0345)); +#13113=CARTESIAN_POINT('',(-0.1345,0.335,0.0345)); +#13114=CARTESIAN_POINT('',(-0.1655,0.335,0.0345)); +#13115=CARTESIAN_POINT('',(-0.1655,0.335,0.0345)); +#13116=CARTESIAN_POINT('',(-0.1655,0.02,0.0345)); +#13117=CARTESIAN_POINT('',(-0.1345,0.02,0.0345)); +#13118=CARTESIAN_POINT('',(-0.1345,0.02,0.0345)); +#13119=CARTESIAN_POINT('',(-0.1345,0.335,0.0345)); +#13120=CARTESIAN_POINT('',(-0.1345,0.335,0.0345)); +#13121=CARTESIAN_POINT('',(-0.1345,0.335,0.0345)); +#13122=CARTESIAN_POINT('',(-0.1345,0.335,0.0655)); +#13123=CARTESIAN_POINT('',(-0.1345,0.02,0.0655)); +#13124=CARTESIAN_POINT('',(-0.1345,0.02,0.0655)); +#13125=CARTESIAN_POINT('',(-0.1345,0.335,0.0655)); +#13126=CARTESIAN_POINT('',(-0.1345,0.335,0.0655)); +#13127=CARTESIAN_POINT('',(-0.1345,0.335,0.0655)); +#13128=CARTESIAN_POINT('',(-0.1655,0.335,0.0655)); +#13129=CARTESIAN_POINT('',(-0.1655,0.02,0.0655)); +#13130=CARTESIAN_POINT('',(-0.1655,0.02,0.0655)); +#13131=CARTESIAN_POINT('',(-0.1655,0.335,0.0655)); +#13132=CARTESIAN_POINT('',(-0.1655,0.335,0.0655)); +#13133=CARTESIAN_POINT('',(-0.1655,0.335,0.0655)); +#13134=CARTESIAN_POINT('',(-0.1345,0.335,-0.0655)); +#13135=CARTESIAN_POINT('',(-0.1655,0.335,-0.0655)); +#13136=CARTESIAN_POINT('',(-0.1655,0.335,-0.0655)); +#13137=CARTESIAN_POINT('',(-0.1655,0.02,-0.0655)); +#13138=CARTESIAN_POINT('',(-0.1345,0.02,-0.0655)); +#13139=CARTESIAN_POINT('',(-0.1345,0.02,-0.0655)); +#13140=CARTESIAN_POINT('',(-0.1345,0.335,-0.0655)); +#13141=CARTESIAN_POINT('',(-0.1345,0.335,-0.0655)); +#13142=CARTESIAN_POINT('',(-0.1345,0.335,-0.0655)); +#13143=CARTESIAN_POINT('',(-0.1345,0.335,-0.0345)); +#13144=CARTESIAN_POINT('',(-0.1345,0.02,-0.0345)); +#13145=CARTESIAN_POINT('',(-0.1345,0.02,-0.0345)); +#13146=CARTESIAN_POINT('',(-0.1345,0.335,-0.0345)); +#13147=CARTESIAN_POINT('',(-0.1345,0.335,-0.0345)); +#13148=CARTESIAN_POINT('',(-0.1345,0.335,-0.0345)); +#13149=CARTESIAN_POINT('',(-0.1655,0.335,-0.0345)); +#13150=CARTESIAN_POINT('',(-0.1655,0.02,-0.0345)); +#13151=CARTESIAN_POINT('',(-0.1655,0.02,-0.0345)); +#13152=CARTESIAN_POINT('',(-0.1655,0.335,-0.0345)); +#13153=CARTESIAN_POINT('',(-0.1655,0.335,-0.0345)); +#13154=CARTESIAN_POINT('',(-0.1655,0.335,-0.0345)); +#13155=CARTESIAN_POINT('',(-0.2345,0.335,0.0345)); +#13156=CARTESIAN_POINT('',(-0.2655,0.335,0.0345)); +#13157=CARTESIAN_POINT('',(-0.2655,0.335,0.0345)); +#13158=CARTESIAN_POINT('',(-0.2655,0.02,0.0345)); +#13159=CARTESIAN_POINT('',(-0.2345,0.02,0.0345)); +#13160=CARTESIAN_POINT('',(-0.2345,0.02,0.0345)); +#13161=CARTESIAN_POINT('',(-0.2345,0.335,0.0345)); +#13162=CARTESIAN_POINT('',(-0.2345,0.335,0.0345)); +#13163=CARTESIAN_POINT('',(-0.2345,0.335,0.0345)); +#13164=CARTESIAN_POINT('',(-0.2345,0.335,0.0655)); +#13165=CARTESIAN_POINT('',(-0.2345,0.02,0.0655)); +#13166=CARTESIAN_POINT('',(-0.2345,0.02,0.0655)); +#13167=CARTESIAN_POINT('',(-0.2345,0.335,0.0655)); +#13168=CARTESIAN_POINT('',(-0.2345,0.335,0.0655)); +#13169=CARTESIAN_POINT('',(-0.2345,0.335,0.0655)); +#13170=CARTESIAN_POINT('',(-0.2655,0.335,0.0655)); +#13171=CARTESIAN_POINT('',(-0.2655,0.02,0.0655)); +#13172=CARTESIAN_POINT('',(-0.2655,0.02,0.0655)); +#13173=CARTESIAN_POINT('',(-0.2655,0.335,0.0655)); +#13174=CARTESIAN_POINT('',(-0.2655,0.335,0.0655)); +#13175=CARTESIAN_POINT('',(-0.2655,0.335,0.0655)); +#13176=CARTESIAN_POINT('',(-0.2345,0.335,-0.0655)); +#13177=CARTESIAN_POINT('',(-0.2655,0.335,-0.0655)); +#13178=CARTESIAN_POINT('',(-0.2655,0.335,-0.0655)); +#13179=CARTESIAN_POINT('',(-0.2655,0.02,-0.0655)); +#13180=CARTESIAN_POINT('',(-0.2345,0.02,-0.0655)); +#13181=CARTESIAN_POINT('',(-0.2345,0.02,-0.0655)); +#13182=CARTESIAN_POINT('',(-0.2345,0.335,-0.0655)); +#13183=CARTESIAN_POINT('',(-0.2345,0.335,-0.0655)); +#13184=CARTESIAN_POINT('',(-0.2345,0.335,-0.0655)); +#13185=CARTESIAN_POINT('',(-0.2345,0.335,-0.0345)); +#13186=CARTESIAN_POINT('',(-0.2345,0.02,-0.0345)); +#13187=CARTESIAN_POINT('',(-0.2345,0.02,-0.0345)); +#13188=CARTESIAN_POINT('',(-0.2345,0.335,-0.0345)); +#13189=CARTESIAN_POINT('',(-0.2345,0.335,-0.0345)); +#13190=CARTESIAN_POINT('',(-0.2345,0.335,-0.0345)); +#13191=CARTESIAN_POINT('',(-0.2655,0.335,-0.0345)); +#13192=CARTESIAN_POINT('',(-0.2655,0.02,-0.0345)); +#13193=CARTESIAN_POINT('',(-0.2655,0.02,-0.0345)); +#13194=CARTESIAN_POINT('',(-0.2655,0.335,-0.0345)); +#13195=CARTESIAN_POINT('',(-0.2655,0.335,-0.0345)); +#13196=CARTESIAN_POINT('',(-0.2655,0.335,-0.0345)); +#13197=CARTESIAN_POINT('',(-0.3345,0.335,0.0345)); +#13198=CARTESIAN_POINT('',(-0.3655,0.335,0.0345)); +#13199=CARTESIAN_POINT('',(-0.3655,0.335,0.0345)); +#13200=CARTESIAN_POINT('',(-0.3655,0.02,0.0345)); +#13201=CARTESIAN_POINT('',(-0.3345,0.02,0.0345)); +#13202=CARTESIAN_POINT('',(-0.3345,0.02,0.0345)); +#13203=CARTESIAN_POINT('',(-0.3345,0.335,0.0345)); +#13204=CARTESIAN_POINT('',(-0.3345,0.335,0.0345)); +#13205=CARTESIAN_POINT('',(-0.3345,0.335,0.0345)); +#13206=CARTESIAN_POINT('',(-0.3345,0.335,0.0655)); +#13207=CARTESIAN_POINT('',(-0.3345,0.02,0.0655)); +#13208=CARTESIAN_POINT('',(-0.3345,0.02,0.0655)); +#13209=CARTESIAN_POINT('',(-0.3345,0.335,0.0655)); +#13210=CARTESIAN_POINT('',(-0.3345,0.335,0.0655)); +#13211=CARTESIAN_POINT('',(-0.3345,0.335,0.0655)); +#13212=CARTESIAN_POINT('',(-0.3655,0.335,0.0655)); +#13213=CARTESIAN_POINT('',(-0.3655,0.02,0.0655)); +#13214=CARTESIAN_POINT('',(-0.3655,0.02,0.0655)); +#13215=CARTESIAN_POINT('',(-0.3655,0.335,0.0655)); +#13216=CARTESIAN_POINT('',(-0.3655,0.335,0.0655)); +#13217=CARTESIAN_POINT('',(-0.3655,0.335,0.0655)); +#13218=CARTESIAN_POINT('',(-0.3345,0.335,-0.0655)); +#13219=CARTESIAN_POINT('',(-0.3655,0.335,-0.0655)); +#13220=CARTESIAN_POINT('',(-0.3655,0.335,-0.0655)); +#13221=CARTESIAN_POINT('',(-0.3655,0.02,-0.0655)); +#13222=CARTESIAN_POINT('',(-0.3345,0.02,-0.0655)); +#13223=CARTESIAN_POINT('',(-0.3345,0.02,-0.0655)); +#13224=CARTESIAN_POINT('',(-0.3345,0.335,-0.0655)); +#13225=CARTESIAN_POINT('',(-0.3345,0.335,-0.0655)); +#13226=CARTESIAN_POINT('',(-0.3345,0.335,-0.0655)); +#13227=CARTESIAN_POINT('',(-0.3345,0.335,-0.0345)); +#13228=CARTESIAN_POINT('',(-0.3345,0.02,-0.0345)); +#13229=CARTESIAN_POINT('',(-0.3345,0.02,-0.0345)); +#13230=CARTESIAN_POINT('',(-0.3345,0.335,-0.0345)); +#13231=CARTESIAN_POINT('',(-0.3345,0.335,-0.0345)); +#13232=CARTESIAN_POINT('',(-0.3345,0.335,-0.0345)); +#13233=CARTESIAN_POINT('',(-0.3655,0.335,-0.0345)); +#13234=CARTESIAN_POINT('',(-0.3655,0.02,-0.0345)); +#13235=CARTESIAN_POINT('',(-0.3655,0.02,-0.0345)); +#13236=CARTESIAN_POINT('',(-0.3655,0.335,-0.0345)); +#13237=CARTESIAN_POINT('',(-0.3655,0.335,-0.0345)); +#13238=CARTESIAN_POINT('',(-0.3655,0.335,-0.0345)); +#13239=CARTESIAN_POINT('',(0.3345,0.335,0.0345)); +#13240=CARTESIAN_POINT('',(0.3345,0.02,0.0345)); +#13241=CARTESIAN_POINT('',(0.3345,0.335,0.0345)); +#13242=CARTESIAN_POINT('',(0.3345,0.335,-0.0655)); +#13243=CARTESIAN_POINT('',(0.3345,0.02,-0.0655)); +#13244=CARTESIAN_POINT('',(0.3345,0.335,-0.0655)); +#13245=CARTESIAN_POINT('',(0.2345,0.335,0.0345)); +#13246=CARTESIAN_POINT('',(0.2345,0.02,0.0345)); +#13247=CARTESIAN_POINT('',(0.2345,0.335,0.0345)); +#13248=CARTESIAN_POINT('',(0.2345,0.335,-0.0655)); +#13249=CARTESIAN_POINT('',(0.2345,0.02,-0.0655)); +#13250=CARTESIAN_POINT('',(0.2345,0.335,-0.0655)); +#13251=CARTESIAN_POINT('',(0.1345,0.335,0.0345)); +#13252=CARTESIAN_POINT('',(0.1345,0.02,0.0345)); +#13253=CARTESIAN_POINT('',(0.1345,0.335,0.0345)); +#13254=CARTESIAN_POINT('',(0.1345,0.335,-0.0655)); +#13255=CARTESIAN_POINT('',(0.1345,0.02,-0.0655)); +#13256=CARTESIAN_POINT('',(0.1345,0.335,-0.0655)); +#13257=CARTESIAN_POINT('',(0.0344999999999999,0.335,0.0345)); +#13258=CARTESIAN_POINT('',(0.0344999999999999,0.02,0.0345)); +#13259=CARTESIAN_POINT('',(0.0344999999999999,0.335,0.0345)); +#13260=CARTESIAN_POINT('',(0.0344999999999999,0.335,-0.0655)); +#13261=CARTESIAN_POINT('',(0.0344999999999999,0.02,-0.0655)); +#13262=CARTESIAN_POINT('',(0.0344999999999999,0.335,-0.0655)); +#13263=CARTESIAN_POINT('',(-0.0655000000000001,0.335,0.0345)); +#13264=CARTESIAN_POINT('',(-0.0655000000000001,0.02,0.0345)); +#13265=CARTESIAN_POINT('',(-0.0655000000000001,0.335,0.0345)); +#13266=CARTESIAN_POINT('',(-0.0655000000000001,0.335,-0.0655)); +#13267=CARTESIAN_POINT('',(-0.0655000000000001,0.02,-0.0655)); +#13268=CARTESIAN_POINT('',(-0.0655000000000001,0.335,-0.0655)); +#13269=CARTESIAN_POINT('',(-0.1655,0.335,0.0345)); +#13270=CARTESIAN_POINT('',(-0.1655,0.02,0.0345)); +#13271=CARTESIAN_POINT('',(-0.1655,0.335,0.0345)); +#13272=CARTESIAN_POINT('',(-0.1655,0.335,-0.0655)); +#13273=CARTESIAN_POINT('',(-0.1655,0.02,-0.0655)); +#13274=CARTESIAN_POINT('',(-0.1655,0.335,-0.0655)); +#13275=CARTESIAN_POINT('',(-0.2655,0.335,0.0345)); +#13276=CARTESIAN_POINT('',(-0.2655,0.02,0.0345)); +#13277=CARTESIAN_POINT('',(-0.2655,0.335,0.0345)); +#13278=CARTESIAN_POINT('',(-0.2655,0.335,-0.0655)); +#13279=CARTESIAN_POINT('',(-0.2655,0.02,-0.0655)); +#13280=CARTESIAN_POINT('',(-0.2655,0.335,-0.0655)); +#13281=CARTESIAN_POINT('',(-0.3655,0.335,0.0345)); +#13282=CARTESIAN_POINT('',(-0.3655,0.02,0.0345)); +#13283=CARTESIAN_POINT('',(-0.3655,0.335,0.0345)); +#13284=CARTESIAN_POINT('',(-0.3655,0.335,-0.0655)); +#13285=CARTESIAN_POINT('',(-0.3655,0.02,-0.0655)); +#13286=CARTESIAN_POINT('',(-0.3655,0.335,-0.0655)); +#13287=CARTESIAN_POINT('',(0.,0.,0.)); +#13288=CARTESIAN_POINT('',(0.3875,0.,0.0975)); +#13289=CARTESIAN_POINT('',(0.41,0.,0.0975)); +#13290=CARTESIAN_POINT('',(0.,0.,0.)); +#13291=CARTESIAN_POINT('',(0.3125,0.,0.0975)); +#13292=CARTESIAN_POINT('',(0.2875,0.,0.0975)); +#13293=CARTESIAN_POINT('',(0.,0.,0.)); +#13294=CARTESIAN_POINT('',(0.2125,0.,0.0975)); +#13295=CARTESIAN_POINT('',(0.1875,0.,0.0975)); +#13296=CARTESIAN_POINT('',(0.,0.,0.)); +#13297=CARTESIAN_POINT('',(0.1125,0.,0.0975)); +#13298=CARTESIAN_POINT('',(0.0875,0.,0.0975)); +#13299=CARTESIAN_POINT('',(0.,0.,0.)); +#13300=CARTESIAN_POINT('',(0.0124999999999999,0.,0.0975)); +#13301=CARTESIAN_POINT('',(-0.0125,0.,0.0975)); +#13302=CARTESIAN_POINT('',(0.,0.,0.)); +#13303=CARTESIAN_POINT('',(-0.0875000000000001,0.,0.0975)); +#13304=CARTESIAN_POINT('',(-0.1125,0.,0.0975)); +#13305=CARTESIAN_POINT('',(0.,0.,0.)); +#13306=CARTESIAN_POINT('',(-0.1875,0.,0.0975)); +#13307=CARTESIAN_POINT('',(-0.2125,0.,0.0975)); +#13308=CARTESIAN_POINT('',(0.,0.,0.)); +#13309=CARTESIAN_POINT('',(-0.2875,0.,0.0975)); +#13310=CARTESIAN_POINT('',(-0.3125,0.,0.0975)); +#13311=CARTESIAN_POINT('',(0.,0.,0.)); +#13312=CARTESIAN_POINT('',(-0.3875,0.,0.0975)); +#13313=CARTESIAN_POINT('',(-0.41,0.,-0.0975)); +#13314=CARTESIAN_POINT('',(-0.41,0.335,-0.0975)); +#13315=CARTESIAN_POINT('',(-0.41,0.335,-0.0975)); +#13316=CARTESIAN_POINT('',(0.41,0.335,0.0975)); +#13317=CARTESIAN_POINT('',(0.41,0.335,0.0975)); +#13318=CARTESIAN_POINT('',(0.,0.335,0.)); +#13319=CARTESIAN_POINT('',(-0.3125,0.,0.0975)); +#13320=CARTESIAN_POINT('',(-0.3125,0.02,0.0975)); +#13321=CARTESIAN_POINT('',(-0.3875,0.02,0.0975)); +#13322=CARTESIAN_POINT('',(-0.3875,0.02,0.0975)); +#13323=CARTESIAN_POINT('',(-0.3125,0.02,0.0975)); +#13324=CARTESIAN_POINT('',(-0.2125,0.,0.0975)); +#13325=CARTESIAN_POINT('',(-0.2125,0.02,0.0975)); +#13326=CARTESIAN_POINT('',(-0.2875,0.02,0.0975)); +#13327=CARTESIAN_POINT('',(-0.2875,0.02,0.0975)); +#13328=CARTESIAN_POINT('',(-0.2125,0.02,0.0975)); +#13329=CARTESIAN_POINT('',(-0.1125,0.,0.0975)); +#13330=CARTESIAN_POINT('',(-0.1125,0.02,0.0975)); +#13331=CARTESIAN_POINT('',(-0.1875,0.02,0.0975)); +#13332=CARTESIAN_POINT('',(-0.1875,0.02,0.0975)); +#13333=CARTESIAN_POINT('',(-0.1125,0.02,0.0975)); +#13334=CARTESIAN_POINT('',(-0.0125,0.,0.0975)); +#13335=CARTESIAN_POINT('',(-0.0125,0.02,0.0975)); +#13336=CARTESIAN_POINT('',(-0.0875000000000001,0.02,0.0975)); +#13337=CARTESIAN_POINT('',(-0.0875000000000001,0.02,0.0975)); +#13338=CARTESIAN_POINT('',(-0.0125,0.02,0.0975)); +#13339=CARTESIAN_POINT('',(0.0875,0.,0.0975)); +#13340=CARTESIAN_POINT('',(0.0875,0.02,0.0975)); +#13341=CARTESIAN_POINT('',(0.0124999999999999,0.02,0.0975)); +#13342=CARTESIAN_POINT('',(0.0124999999999999,0.02,0.0975)); +#13343=CARTESIAN_POINT('',(0.0875,0.02,0.0975)); +#13344=CARTESIAN_POINT('',(0.1875,0.,0.0975)); +#13345=CARTESIAN_POINT('',(0.1875,0.02,0.0975)); +#13346=CARTESIAN_POINT('',(0.1125,0.02,0.0975)); +#13347=CARTESIAN_POINT('',(0.1125,0.02,0.0975)); +#13348=CARTESIAN_POINT('',(0.1875,0.02,0.0975)); +#13349=CARTESIAN_POINT('',(0.2875,0.,0.0975)); +#13350=CARTESIAN_POINT('',(0.2875,0.02,0.0975)); +#13351=CARTESIAN_POINT('',(0.2125,0.02,0.0975)); +#13352=CARTESIAN_POINT('',(0.2125,0.02,0.0975)); +#13353=CARTESIAN_POINT('',(0.2875,0.02,0.0975)); +#13354=CARTESIAN_POINT('',(0.3875,0.,0.0975)); +#13355=CARTESIAN_POINT('',(0.3875,0.02,0.0975)); +#13356=CARTESIAN_POINT('',(0.3875,0.02,0.0975)); +#13357=CARTESIAN_POINT('',(0.3125,0.02,0.0975)); +#13358=CARTESIAN_POINT('',(0.3125,0.02,0.0975)); +#13359=CARTESIAN_POINT('',(0.,0.,0.)); +#13360=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#8301, +#8302),#13361); +#13361=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#13364)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#13369,#13368,#13367)) +REPRESENTATION_CONTEXT('SMH-108-02-L-D','TOP_LEVEL_ASSEMBLY_PART') +); +#13362=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#13365)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#13369,#13368,#13367)) +REPRESENTATION_CONTEXT('C-08-03-08-D_SMH','COMPONENT_PART') +); +#13363=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#13366)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#13369,#13368,#13367)) +REPRESENTATION_CONTEXT('SMH-108-D_socket','COMPONENT_PART') +); +#13364=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#13369,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#13365=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#13369,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#13366=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#13369,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#13367=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#13368=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#13369=( +CONVERSION_BASED_UNIT('INCH',#13371) +LENGTH_UNIT() +NAMED_UNIT(#13370) +); +#13370=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.); +#13371=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#13372); +#13372=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#13373=PRODUCT_DEFINITION_SHAPE('','',#13378); +#13374=PRODUCT_DEFINITION_SHAPE('','',#13379); +#13375=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#21); +#13376=PRODUCT_DEFINITION_SHAPE('','',#13380); +#13377=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#22); +#13378=PRODUCT_DEFINITION('','',#13384,#13381); +#13379=PRODUCT_DEFINITION('','',#13385,#13382); +#13380=PRODUCT_DEFINITION('','',#13386,#13383); +#13381=PRODUCT_DEFINITION_CONTEXT('',#13400,'design'); +#13382=PRODUCT_DEFINITION_CONTEXT('',#13400,'design'); +#13383=PRODUCT_DEFINITION_CONTEXT('',#13400,'design'); +#13384=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#13390, + .NOT_KNOWN.); +#13385=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#13391, + .NOT_KNOWN.); +#13386=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#13392, + .NOT_KNOWN.); +#13387=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#13390)); +#13388=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#13391)); +#13389=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#13392)); +#13390=PRODUCT('SMH-108-02-L-D','SMH-108-02-L-D','SMH-108-02-L-D',(#13396)); +#13391=PRODUCT('C-08-03-08-D_SMH','C-08-03-08-D_SMH','C-08-03-08-D_SMH', +(#13397)); +#13392=PRODUCT('SMH-108-D_socket','SMH-108-D_socket','SMH-108-D_socket', +(#13398)); +#13393=PRODUCT_CATEGORY('',''); +#13394=PRODUCT_CATEGORY('',''); +#13395=PRODUCT_CATEGORY('',''); +#13396=PRODUCT_CONTEXT('',#13400,'mechanical'); +#13397=PRODUCT_CONTEXT('',#13400,'mechanical'); +#13398=PRODUCT_CONTEXT('',#13400,'mechanical'); +#13399=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2010,#13400); +#13400=APPLICATION_CONTEXT( +'core data for automotive mechanical design processes'); +ENDSEC; +END-ISO-10303-21; diff --git a/nubus-to-ztex/TSM-106-01-L-SH.lib b/nubus-to-ztex/TSM-106-01-L-SH.lib new file mode 100644 index 0000000..6d0e267 --- /dev/null +++ b/nubus-to-ztex/TSM-106-01-L-SH.lib @@ -0,0 +1,27 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +#(c) SnapEDA 2016 (snapeda.com) +#This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License (CC BY-SA) with Design Exception 1.0 +# +# TSM-106-01-L-SH +# +DEF TSM-106-01-L-SH J 0 40 Y Y 1 L N +F0 "J" -320 400 50 H V L BNN +F1 "TSM-106-01-L-SH" -300 -400 50 H V L BNN +F2 "SAMTEC_TSM-106-01-L-SH" 0 0 50 H I L BNN +F3 "" 0 0 50 H I L BNN +F4 "R" 0 0 50 H I L BNN "PARTREV" +F5 "Samtec" 0 0 50 H I L BNN "MANUFACTURER" +F6 "Manufacturer Recommendations" 0 0 50 H I L BNN "STANDARD" +DRAW +S -300 -300 300 400 0 0 10 f +X 01 01 -500 300 200 R 40 40 0 0 P +X 02 02 -500 200 200 R 40 40 0 0 P +X 03 03 -500 100 200 R 40 40 0 0 P +X 04 04 -500 0 200 R 40 40 0 0 P +X 05 05 -500 -100 200 R 40 40 0 0 P +X 06 06 -500 -200 200 R 40 40 0 0 P +ENDDRAW +ENDDEF +# +# End Library \ No newline at end of file diff --git a/nubus-to-ztex/TSM-106-01-L-SH.step b/nubus-to-ztex/TSM-106-01-L-SH.step new file mode 100644 index 0000000..a404e34 --- /dev/null +++ b/nubus-to-ztex/TSM-106-01-L-SH.step @@ -0,0 +1,6752 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ +/* OPTION: using custom renumber hook */ + +FILE_DESCRIPTION( +/* description */ ('STEP AP214'), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ 'TSM-106-01-L-SH', +/* time_stamp */ '2022-11-13T09:21:56+01:00', +/* author */ ('License CC BY-ND 4.0'), +/* organization */ ('CADENAS'), +/* preprocessor_version */ 'ST-DEVELOPER v18.102', +/* originating_system */ 'PARTsolutions', +/* authorisation */ ' '); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN {1 0 10303 214 3 1 1}')); +ENDSEC; + +DATA; +#10=PROPERTY_DEFINITION_REPRESENTATION(#14,#12); +#11=PROPERTY_DEFINITION_REPRESENTATION(#15,#13); +#12=REPRESENTATION('',(#16),#6605); +#13=REPRESENTATION('',(#17),#6605); +#14=PROPERTY_DEFINITION('pmi validation property','',#6617); +#15=PROPERTY_DEFINITION('pmi validation property','',#6617); +#16=VALUE_REPRESENTATION_ITEM('number of annotations',COUNT_MEASURE(0.)); +#17=VALUE_REPRESENTATION_ITEM('number of views',COUNT_MEASURE(0.)); +#18=COLOUR_RGB('',0.5,0.5,0.5); +#19=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#23,#6619); +#20=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#24,#6621); +#21=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO1','T-1S6-08(-01-6-SH)', +'T-1S6-08(-01-6-SH)',#6622,#6623,''); +#22=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO2','TSM-106-01-SH_body', +'TSM-106-01-SH_body',#6622,#6624,''); +#23=( +REPRESENTATION_RELATIONSHIP(' ',' ',#4126,#4125) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#24=( +REPRESENTATION_RELATIONSHIP(' ',' ',#4127,#4125) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#26) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#25=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4128,#4319); +#26=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4128,#4390); +#27=SHAPE_REPRESENTATION_RELATIONSHIP('','',#4126,#29); +#28=SHAPE_REPRESENTATION_RELATIONSHIP('','',#4127,#30); +#29=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4120),#6606); +#30=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4121),#6607); +#31=CIRCLE('',#4138,0.025); +#32=CIRCLE('',#4139,0.025); +#33=CIRCLE('',#4141,0.025); +#34=CIRCLE('',#4142,0.025); +#35=CIRCLE('',#4144,0.025); +#36=CIRCLE('',#4145,0.025); +#37=CIRCLE('',#4147,0.025); +#38=CIRCLE('',#4148,0.025); +#39=CIRCLE('',#4154,0.0500000000000001); +#40=CIRCLE('',#4155,0.0500000000000001); +#41=CIRCLE('',#4157,0.0500000000000001); +#42=CIRCLE('',#4158,0.0500000000000001); +#43=CIRCLE('',#4160,0.0500000000000001); +#44=CIRCLE('',#4161,0.0500000000000001); +#45=CIRCLE('',#4163,0.0500000000000001); +#46=CIRCLE('',#4164,0.0500000000000001); +#47=CIRCLE('',#4166,0.025); +#48=CIRCLE('',#4167,0.025); +#49=CIRCLE('',#4169,0.025); +#50=CIRCLE('',#4170,0.025); +#51=CIRCLE('',#4172,0.025); +#52=CIRCLE('',#4173,0.025); +#53=CIRCLE('',#4175,0.025); +#54=CIRCLE('',#4176,0.025); +#55=CIRCLE('',#4182,0.0500000000000001); +#56=CIRCLE('',#4183,0.0500000000000001); +#57=CIRCLE('',#4185,0.0500000000000001); +#58=CIRCLE('',#4186,0.0500000000000001); +#59=CIRCLE('',#4188,0.0500000000000001); +#60=CIRCLE('',#4189,0.0500000000000001); +#61=CIRCLE('',#4191,0.0500000000000001); +#62=CIRCLE('',#4192,0.0500000000000001); +#63=CIRCLE('',#4196,0.025); +#64=CIRCLE('',#4197,0.025); +#65=CIRCLE('',#4200,0.0500000000000001); +#66=CIRCLE('',#4201,0.0500000000000001); +#67=CIRCLE('',#4203,0.025); +#68=CIRCLE('',#4204,0.025); +#69=CIRCLE('',#4207,0.0500000000000001); +#70=CIRCLE('',#4208,0.0500000000000001); +#71=CIRCLE('',#4212,0.025); +#72=CIRCLE('',#4213,0.025); +#73=CIRCLE('',#4216,0.0500000000000001); +#74=CIRCLE('',#4217,0.0500000000000001); +#75=CIRCLE('',#4221,0.025); +#76=CIRCLE('',#4222,0.025); +#77=CIRCLE('',#4225,0.0500000000000001); +#78=CIRCLE('',#4226,0.0500000000000001); +#79=CYLINDRICAL_SURFACE('',#4137,0.025); +#80=CYLINDRICAL_SURFACE('',#4140,0.025); +#81=CYLINDRICAL_SURFACE('',#4143,0.025); +#82=CYLINDRICAL_SURFACE('',#4146,0.025); +#83=CYLINDRICAL_SURFACE('',#4153,0.0500000000000001); +#84=CYLINDRICAL_SURFACE('',#4156,0.0500000000000001); +#85=CYLINDRICAL_SURFACE('',#4159,0.0500000000000001); +#86=CYLINDRICAL_SURFACE('',#4162,0.0500000000000001); +#87=CYLINDRICAL_SURFACE('',#4165,0.025); +#88=CYLINDRICAL_SURFACE('',#4168,0.025); +#89=CYLINDRICAL_SURFACE('',#4171,0.025); +#90=CYLINDRICAL_SURFACE('',#4174,0.025); +#91=CYLINDRICAL_SURFACE('',#4181,0.0500000000000001); +#92=CYLINDRICAL_SURFACE('',#4184,0.0500000000000001); +#93=CYLINDRICAL_SURFACE('',#4187,0.0500000000000001); +#94=CYLINDRICAL_SURFACE('',#4190,0.0500000000000001); +#95=CYLINDRICAL_SURFACE('',#4195,0.025); +#96=CYLINDRICAL_SURFACE('',#4199,0.0500000000000001); +#97=CYLINDRICAL_SURFACE('',#4202,0.025); +#98=CYLINDRICAL_SURFACE('',#4206,0.0500000000000001); +#99=CYLINDRICAL_SURFACE('',#4211,0.025); +#100=CYLINDRICAL_SURFACE('',#4215,0.0500000000000001); +#101=CYLINDRICAL_SURFACE('',#4220,0.025); +#102=CYLINDRICAL_SURFACE('',#4224,0.0500000000000001); +#103=ORIENTED_EDGE('',*,*,#1255,.F.); +#104=ORIENTED_EDGE('',*,*,#1256,.F.); +#105=ORIENTED_EDGE('',*,*,#1257,.T.); +#106=ORIENTED_EDGE('',*,*,#1258,.F.); +#107=ORIENTED_EDGE('',*,*,#1259,.T.); +#108=ORIENTED_EDGE('',*,*,#1260,.F.); +#109=ORIENTED_EDGE('',*,*,#1261,.F.); +#110=ORIENTED_EDGE('',*,*,#1262,.F.); +#111=ORIENTED_EDGE('',*,*,#1263,.T.); +#112=ORIENTED_EDGE('',*,*,#1264,.F.); +#113=ORIENTED_EDGE('',*,*,#1265,.F.); +#114=ORIENTED_EDGE('',*,*,#1266,.F.); +#115=ORIENTED_EDGE('',*,*,#1267,.T.); +#116=ORIENTED_EDGE('',*,*,#1268,.F.); +#117=ORIENTED_EDGE('',*,*,#1269,.F.); +#118=ORIENTED_EDGE('',*,*,#1270,.F.); +#119=ORIENTED_EDGE('',*,*,#1271,.F.); +#120=ORIENTED_EDGE('',*,*,#1272,.T.); +#121=ORIENTED_EDGE('',*,*,#1273,.T.); +#122=ORIENTED_EDGE('',*,*,#1274,.T.); +#123=ORIENTED_EDGE('',*,*,#1275,.T.); +#124=ORIENTED_EDGE('',*,*,#1276,.T.); +#125=ORIENTED_EDGE('',*,*,#1277,.F.); +#126=ORIENTED_EDGE('',*,*,#1278,.T.); +#127=ORIENTED_EDGE('',*,*,#1279,.T.); +#128=ORIENTED_EDGE('',*,*,#1280,.T.); +#129=ORIENTED_EDGE('',*,*,#1281,.F.); +#130=ORIENTED_EDGE('',*,*,#1282,.T.); +#131=ORIENTED_EDGE('',*,*,#1283,.T.); +#132=ORIENTED_EDGE('',*,*,#1284,.T.); +#133=ORIENTED_EDGE('',*,*,#1285,.F.); +#134=ORIENTED_EDGE('',*,*,#1286,.T.); +#135=ORIENTED_EDGE('',*,*,#1287,.F.); +#136=ORIENTED_EDGE('',*,*,#1271,.T.); +#137=ORIENTED_EDGE('',*,*,#1288,.F.); +#138=ORIENTED_EDGE('',*,*,#1289,.F.); +#139=ORIENTED_EDGE('',*,*,#1290,.F.); +#140=ORIENTED_EDGE('',*,*,#1291,.F.); +#141=ORIENTED_EDGE('',*,*,#1292,.F.); +#142=ORIENTED_EDGE('',*,*,#1277,.T.); +#143=ORIENTED_EDGE('',*,*,#1293,.F.); +#144=ORIENTED_EDGE('',*,*,#1294,.F.); +#145=ORIENTED_EDGE('',*,*,#1295,.F.); +#146=ORIENTED_EDGE('',*,*,#1281,.T.); +#147=ORIENTED_EDGE('',*,*,#1296,.F.); +#148=ORIENTED_EDGE('',*,*,#1297,.F.); +#149=ORIENTED_EDGE('',*,*,#1298,.F.); +#150=ORIENTED_EDGE('',*,*,#1285,.T.); +#151=ORIENTED_EDGE('',*,*,#1299,.F.); +#152=ORIENTED_EDGE('',*,*,#1300,.T.); +#153=ORIENTED_EDGE('',*,*,#1289,.T.); +#154=ORIENTED_EDGE('',*,*,#1301,.T.); +#155=ORIENTED_EDGE('',*,*,#1291,.T.); +#156=ORIENTED_EDGE('',*,*,#1302,.T.); +#157=ORIENTED_EDGE('',*,*,#1303,.F.); +#158=ORIENTED_EDGE('',*,*,#1304,.T.); +#159=ORIENTED_EDGE('',*,*,#1294,.T.); +#160=ORIENTED_EDGE('',*,*,#1305,.T.); +#161=ORIENTED_EDGE('',*,*,#1306,.F.); +#162=ORIENTED_EDGE('',*,*,#1307,.T.); +#163=ORIENTED_EDGE('',*,*,#1297,.T.); +#164=ORIENTED_EDGE('',*,*,#1308,.T.); +#165=ORIENTED_EDGE('',*,*,#1309,.F.); +#166=ORIENTED_EDGE('',*,*,#1310,.T.); +#167=ORIENTED_EDGE('',*,*,#1311,.F.); +#168=ORIENTED_EDGE('',*,*,#1312,.T.); +#169=ORIENTED_EDGE('',*,*,#1299,.T.); +#170=ORIENTED_EDGE('',*,*,#1313,.T.); +#171=ORIENTED_EDGE('',*,*,#1303,.T.); +#172=ORIENTED_EDGE('',*,*,#1314,.T.); +#173=ORIENTED_EDGE('',*,*,#1315,.F.); +#174=ORIENTED_EDGE('',*,*,#1316,.T.); +#175=ORIENTED_EDGE('',*,*,#1306,.T.); +#176=ORIENTED_EDGE('',*,*,#1317,.T.); +#177=ORIENTED_EDGE('',*,*,#1318,.F.); +#178=ORIENTED_EDGE('',*,*,#1319,.T.); +#179=ORIENTED_EDGE('',*,*,#1309,.T.); +#180=ORIENTED_EDGE('',*,*,#1320,.T.); +#181=ORIENTED_EDGE('',*,*,#1321,.F.); +#182=ORIENTED_EDGE('',*,*,#1322,.T.); +#183=ORIENTED_EDGE('',*,*,#1323,.F.); +#184=ORIENTED_EDGE('',*,*,#1324,.T.); +#185=ORIENTED_EDGE('',*,*,#1325,.F.); +#186=ORIENTED_EDGE('',*,*,#1326,.F.); +#187=ORIENTED_EDGE('',*,*,#1327,.F.); +#188=ORIENTED_EDGE('',*,*,#1328,.F.); +#189=ORIENTED_EDGE('',*,*,#1329,.F.); +#190=ORIENTED_EDGE('',*,*,#1330,.T.); +#191=ORIENTED_EDGE('',*,*,#1331,.F.); +#192=ORIENTED_EDGE('',*,*,#1332,.F.); +#193=ORIENTED_EDGE('',*,*,#1333,.F.); +#194=ORIENTED_EDGE('',*,*,#1334,.T.); +#195=ORIENTED_EDGE('',*,*,#1335,.F.); +#196=ORIENTED_EDGE('',*,*,#1336,.F.); +#197=ORIENTED_EDGE('',*,*,#1337,.F.); +#198=ORIENTED_EDGE('',*,*,#1338,.T.); +#199=ORIENTED_EDGE('',*,*,#1339,.F.); +#200=ORIENTED_EDGE('',*,*,#1340,.T.); +#201=ORIENTED_EDGE('',*,*,#1326,.T.); +#202=ORIENTED_EDGE('',*,*,#1341,.T.); +#203=ORIENTED_EDGE('',*,*,#1328,.T.); +#204=ORIENTED_EDGE('',*,*,#1342,.T.); +#205=ORIENTED_EDGE('',*,*,#1343,.F.); +#206=ORIENTED_EDGE('',*,*,#1344,.T.); +#207=ORIENTED_EDGE('',*,*,#1332,.T.); +#208=ORIENTED_EDGE('',*,*,#1345,.T.); +#209=ORIENTED_EDGE('',*,*,#1346,.F.); +#210=ORIENTED_EDGE('',*,*,#1347,.T.); +#211=ORIENTED_EDGE('',*,*,#1336,.T.); +#212=ORIENTED_EDGE('',*,*,#1348,.T.); +#213=ORIENTED_EDGE('',*,*,#1349,.F.); +#214=ORIENTED_EDGE('',*,*,#1350,.T.); +#215=ORIENTED_EDGE('',*,*,#1257,.F.); +#216=ORIENTED_EDGE('',*,*,#1351,.T.); +#217=ORIENTED_EDGE('',*,*,#1339,.T.); +#218=ORIENTED_EDGE('',*,*,#1352,.T.); +#219=ORIENTED_EDGE('',*,*,#1343,.T.); +#220=ORIENTED_EDGE('',*,*,#1353,.T.); +#221=ORIENTED_EDGE('',*,*,#1259,.F.); +#222=ORIENTED_EDGE('',*,*,#1354,.T.); +#223=ORIENTED_EDGE('',*,*,#1346,.T.); +#224=ORIENTED_EDGE('',*,*,#1355,.T.); +#225=ORIENTED_EDGE('',*,*,#1263,.F.); +#226=ORIENTED_EDGE('',*,*,#1356,.T.); +#227=ORIENTED_EDGE('',*,*,#1349,.T.); +#228=ORIENTED_EDGE('',*,*,#1357,.T.); +#229=ORIENTED_EDGE('',*,*,#1267,.F.); +#230=ORIENTED_EDGE('',*,*,#1358,.T.); +#231=ORIENTED_EDGE('',*,*,#1359,.F.); +#232=ORIENTED_EDGE('',*,*,#1360,.F.); +#233=ORIENTED_EDGE('',*,*,#1361,.T.); +#234=ORIENTED_EDGE('',*,*,#1362,.T.); +#235=ORIENTED_EDGE('',*,*,#1363,.F.); +#236=ORIENTED_EDGE('',*,*,#1364,.T.); +#237=ORIENTED_EDGE('',*,*,#1365,.T.); +#238=ORIENTED_EDGE('',*,*,#1366,.T.); +#239=ORIENTED_EDGE('',*,*,#1367,.F.); +#240=ORIENTED_EDGE('',*,*,#1363,.T.); +#241=ORIENTED_EDGE('',*,*,#1368,.F.); +#242=ORIENTED_EDGE('',*,*,#1369,.F.); +#243=ORIENTED_EDGE('',*,*,#1370,.F.); +#244=ORIENTED_EDGE('',*,*,#1371,.T.); +#245=ORIENTED_EDGE('',*,*,#1369,.T.); +#246=ORIENTED_EDGE('',*,*,#1372,.T.); +#247=ORIENTED_EDGE('',*,*,#1373,.F.); +#248=ORIENTED_EDGE('',*,*,#1374,.T.); +#249=ORIENTED_EDGE('',*,*,#1370,.T.); +#250=ORIENTED_EDGE('',*,*,#1375,.T.); +#251=ORIENTED_EDGE('',*,*,#1376,.F.); +#252=ORIENTED_EDGE('',*,*,#1377,.T.); +#253=ORIENTED_EDGE('',*,*,#1378,.F.); +#254=ORIENTED_EDGE('',*,*,#1379,.F.); +#255=ORIENTED_EDGE('',*,*,#1380,.F.); +#256=ORIENTED_EDGE('',*,*,#1381,.T.); +#257=ORIENTED_EDGE('',*,*,#1379,.T.); +#258=ORIENTED_EDGE('',*,*,#1382,.T.); +#259=ORIENTED_EDGE('',*,*,#1361,.F.); +#260=ORIENTED_EDGE('',*,*,#1383,.T.); +#261=ORIENTED_EDGE('',*,*,#1380,.T.); +#262=ORIENTED_EDGE('',*,*,#1384,.T.); +#263=ORIENTED_EDGE('',*,*,#1385,.T.); +#264=ORIENTED_EDGE('',*,*,#1386,.F.); +#265=ORIENTED_EDGE('',*,*,#1387,.F.); +#266=ORIENTED_EDGE('',*,*,#1388,.F.); +#267=ORIENTED_EDGE('',*,*,#1389,.T.); +#268=ORIENTED_EDGE('',*,*,#1390,.T.); +#269=ORIENTED_EDGE('',*,*,#1391,.F.); +#270=ORIENTED_EDGE('',*,*,#1392,.F.); +#271=ORIENTED_EDGE('',*,*,#1393,.F.); +#272=ORIENTED_EDGE('',*,*,#1394,.F.); +#273=ORIENTED_EDGE('',*,*,#1395,.T.); +#274=ORIENTED_EDGE('',*,*,#1391,.T.); +#275=ORIENTED_EDGE('',*,*,#1394,.T.); +#276=ORIENTED_EDGE('',*,*,#1396,.T.); +#277=ORIENTED_EDGE('',*,*,#1397,.F.); +#278=ORIENTED_EDGE('',*,*,#1398,.F.); +#279=ORIENTED_EDGE('',*,*,#1397,.T.); +#280=ORIENTED_EDGE('',*,*,#1399,.T.); +#281=ORIENTED_EDGE('',*,*,#1400,.F.); +#282=ORIENTED_EDGE('',*,*,#1401,.F.); +#283=ORIENTED_EDGE('',*,*,#1402,.T.); +#284=ORIENTED_EDGE('',*,*,#1403,.T.); +#285=ORIENTED_EDGE('',*,*,#1311,.T.); +#286=ORIENTED_EDGE('',*,*,#1404,.T.); +#287=ORIENTED_EDGE('',*,*,#1405,.T.); +#288=ORIENTED_EDGE('',*,*,#1406,.T.); +#289=ORIENTED_EDGE('',*,*,#1373,.T.); +#290=ORIENTED_EDGE('',*,*,#1407,.T.); +#291=ORIENTED_EDGE('',*,*,#1408,.F.); +#292=ORIENTED_EDGE('',*,*,#1409,.T.); +#293=ORIENTED_EDGE('',*,*,#1410,.T.); +#294=ORIENTED_EDGE('',*,*,#1411,.T.); +#295=ORIENTED_EDGE('',*,*,#1412,.F.); +#296=ORIENTED_EDGE('',*,*,#1413,.T.); +#297=ORIENTED_EDGE('',*,*,#1414,.T.); +#298=ORIENTED_EDGE('',*,*,#1415,.T.); +#299=ORIENTED_EDGE('',*,*,#1416,.F.); +#300=ORIENTED_EDGE('',*,*,#1417,.T.); +#301=ORIENTED_EDGE('',*,*,#1418,.T.); +#302=ORIENTED_EDGE('',*,*,#1419,.T.); +#303=ORIENTED_EDGE('',*,*,#1420,.F.); +#304=ORIENTED_EDGE('',*,*,#1421,.T.); +#305=ORIENTED_EDGE('',*,*,#1422,.T.); +#306=ORIENTED_EDGE('',*,*,#1423,.T.); +#307=ORIENTED_EDGE('',*,*,#1424,.F.); +#308=ORIENTED_EDGE('',*,*,#1425,.T.); +#309=ORIENTED_EDGE('',*,*,#1426,.T.); +#310=ORIENTED_EDGE('',*,*,#1427,.T.); +#311=ORIENTED_EDGE('',*,*,#1428,.F.); +#312=ORIENTED_EDGE('',*,*,#1429,.F.); +#313=ORIENTED_EDGE('',*,*,#1400,.T.); +#314=ORIENTED_EDGE('',*,*,#1430,.T.); +#315=ORIENTED_EDGE('',*,*,#1431,.T.); +#316=ORIENTED_EDGE('',*,*,#1432,.T.); +#317=ORIENTED_EDGE('',*,*,#1321,.T.); +#318=ORIENTED_EDGE('',*,*,#1433,.T.); +#319=ORIENTED_EDGE('',*,*,#1434,.T.); +#320=ORIENTED_EDGE('',*,*,#1435,.T.); +#321=ORIENTED_EDGE('',*,*,#1318,.T.); +#322=ORIENTED_EDGE('',*,*,#1436,.T.); +#323=ORIENTED_EDGE('',*,*,#1437,.T.); +#324=ORIENTED_EDGE('',*,*,#1438,.T.); +#325=ORIENTED_EDGE('',*,*,#1315,.T.); +#326=ORIENTED_EDGE('',*,*,#1439,.T.); +#327=ORIENTED_EDGE('',*,*,#1324,.F.); +#328=ORIENTED_EDGE('',*,*,#1440,.T.); +#329=ORIENTED_EDGE('',*,*,#1441,.T.); +#330=ORIENTED_EDGE('',*,*,#1442,.T.); +#331=ORIENTED_EDGE('',*,*,#1330,.F.); +#332=ORIENTED_EDGE('',*,*,#1443,.T.); +#333=ORIENTED_EDGE('',*,*,#1444,.T.); +#334=ORIENTED_EDGE('',*,*,#1445,.T.); +#335=ORIENTED_EDGE('',*,*,#1334,.F.); +#336=ORIENTED_EDGE('',*,*,#1446,.T.); +#337=ORIENTED_EDGE('',*,*,#1447,.T.); +#338=ORIENTED_EDGE('',*,*,#1448,.T.); +#339=ORIENTED_EDGE('',*,*,#1338,.F.); +#340=ORIENTED_EDGE('',*,*,#1449,.T.); +#341=ORIENTED_EDGE('',*,*,#1450,.T.); +#342=ORIENTED_EDGE('',*,*,#1451,.T.); +#343=ORIENTED_EDGE('',*,*,#1452,.F.); +#344=ORIENTED_EDGE('',*,*,#1453,.F.); +#345=ORIENTED_EDGE('',*,*,#1454,.T.); +#346=ORIENTED_EDGE('',*,*,#1455,.T.); +#347=ORIENTED_EDGE('',*,*,#1456,.T.); +#348=ORIENTED_EDGE('',*,*,#1457,.T.); +#349=ORIENTED_EDGE('',*,*,#1458,.T.); +#350=ORIENTED_EDGE('',*,*,#1459,.T.); +#351=ORIENTED_EDGE('',*,*,#1460,.T.); +#352=ORIENTED_EDGE('',*,*,#1461,.T.); +#353=ORIENTED_EDGE('',*,*,#1462,.T.); +#354=ORIENTED_EDGE('',*,*,#1463,.T.); +#355=ORIENTED_EDGE('',*,*,#1464,.T.); +#356=ORIENTED_EDGE('',*,*,#1465,.T.); +#357=ORIENTED_EDGE('',*,*,#1466,.T.); +#358=ORIENTED_EDGE('',*,*,#1467,.T.); +#359=ORIENTED_EDGE('',*,*,#1468,.T.); +#360=ORIENTED_EDGE('',*,*,#1469,.T.); +#361=ORIENTED_EDGE('',*,*,#1470,.T.); +#362=ORIENTED_EDGE('',*,*,#1471,.T.); +#363=ORIENTED_EDGE('',*,*,#1472,.T.); +#364=ORIENTED_EDGE('',*,*,#1473,.T.); +#365=ORIENTED_EDGE('',*,*,#1474,.T.); +#366=ORIENTED_EDGE('',*,*,#1475,.T.); +#367=ORIENTED_EDGE('',*,*,#1377,.F.); +#368=ORIENTED_EDGE('',*,*,#1476,.T.); +#369=ORIENTED_EDGE('',*,*,#1477,.T.); +#370=ORIENTED_EDGE('',*,*,#1478,.T.); +#371=ORIENTED_EDGE('',*,*,#1479,.F.); +#372=ORIENTED_EDGE('',*,*,#1480,.F.); +#373=ORIENTED_EDGE('',*,*,#1481,.T.); +#374=ORIENTED_EDGE('',*,*,#1452,.T.); +#375=ORIENTED_EDGE('',*,*,#1480,.T.); +#376=ORIENTED_EDGE('',*,*,#1482,.T.); +#377=ORIENTED_EDGE('',*,*,#1483,.F.); +#378=ORIENTED_EDGE('',*,*,#1484,.F.); +#379=ORIENTED_EDGE('',*,*,#1483,.T.); +#380=ORIENTED_EDGE('',*,*,#1485,.T.); +#381=ORIENTED_EDGE('',*,*,#1385,.F.); +#382=ORIENTED_EDGE('',*,*,#1486,.F.); +#383=ORIENTED_EDGE('',*,*,#1453,.T.); +#384=ORIENTED_EDGE('',*,*,#1481,.F.); +#385=ORIENTED_EDGE('',*,*,#1484,.T.); +#386=ORIENTED_EDGE('',*,*,#1486,.T.); +#387=ORIENTED_EDGE('',*,*,#1388,.T.); +#388=ORIENTED_EDGE('',*,*,#1487,.T.); +#389=ORIENTED_EDGE('',*,*,#1392,.T.); +#390=ORIENTED_EDGE('',*,*,#1395,.F.); +#391=ORIENTED_EDGE('',*,*,#1398,.T.); +#392=ORIENTED_EDGE('',*,*,#1401,.T.); +#393=ORIENTED_EDGE('',*,*,#1429,.T.); +#394=ORIENTED_EDGE('',*,*,#1488,.T.); +#395=ORIENTED_EDGE('',*,*,#1362,.F.); +#396=ORIENTED_EDGE('',*,*,#1384,.F.); +#397=ORIENTED_EDGE('',*,*,#1382,.F.); +#398=ORIENTED_EDGE('',*,*,#1378,.T.); +#399=ORIENTED_EDGE('',*,*,#1475,.F.); +#400=ORIENTED_EDGE('',*,*,#1489,.F.); +#401=ORIENTED_EDGE('',*,*,#1407,.F.); +#402=ORIENTED_EDGE('',*,*,#1375,.F.); +#403=ORIENTED_EDGE('',*,*,#1372,.F.); +#404=ORIENTED_EDGE('',*,*,#1368,.T.); +#405=ORIENTED_EDGE('',*,*,#1366,.F.); +#406=ORIENTED_EDGE('',*,*,#1490,.F.); +#407=ORIENTED_EDGE('',*,*,#1491,.F.); +#408=ORIENTED_EDGE('',*,*,#1473,.F.); +#409=ORIENTED_EDGE('',*,*,#1492,.F.); +#410=ORIENTED_EDGE('',*,*,#1409,.F.); +#411=ORIENTED_EDGE('',*,*,#1492,.T.); +#412=ORIENTED_EDGE('',*,*,#1472,.F.); +#413=ORIENTED_EDGE('',*,*,#1493,.F.); +#414=ORIENTED_EDGE('',*,*,#1410,.F.); +#415=ORIENTED_EDGE('',*,*,#1493,.T.); +#416=ORIENTED_EDGE('',*,*,#1471,.F.); +#417=ORIENTED_EDGE('',*,*,#1494,.F.); +#418=ORIENTED_EDGE('',*,*,#1411,.F.); +#419=ORIENTED_EDGE('',*,*,#1495,.F.); +#420=ORIENTED_EDGE('',*,*,#1457,.F.); +#421=ORIENTED_EDGE('',*,*,#1496,.F.); +#422=ORIENTED_EDGE('',*,*,#1425,.F.); +#423=ORIENTED_EDGE('',*,*,#1496,.T.); +#424=ORIENTED_EDGE('',*,*,#1456,.F.); +#425=ORIENTED_EDGE('',*,*,#1497,.F.); +#426=ORIENTED_EDGE('',*,*,#1426,.F.); +#427=ORIENTED_EDGE('',*,*,#1497,.T.); +#428=ORIENTED_EDGE('',*,*,#1455,.F.); +#429=ORIENTED_EDGE('',*,*,#1498,.F.); +#430=ORIENTED_EDGE('',*,*,#1427,.F.); +#431=ORIENTED_EDGE('',*,*,#1499,.F.); +#432=ORIENTED_EDGE('',*,*,#1461,.F.); +#433=ORIENTED_EDGE('',*,*,#1500,.F.); +#434=ORIENTED_EDGE('',*,*,#1421,.F.); +#435=ORIENTED_EDGE('',*,*,#1500,.T.); +#436=ORIENTED_EDGE('',*,*,#1460,.F.); +#437=ORIENTED_EDGE('',*,*,#1501,.F.); +#438=ORIENTED_EDGE('',*,*,#1422,.F.); +#439=ORIENTED_EDGE('',*,*,#1501,.T.); +#440=ORIENTED_EDGE('',*,*,#1459,.F.); +#441=ORIENTED_EDGE('',*,*,#1502,.F.); +#442=ORIENTED_EDGE('',*,*,#1423,.F.); +#443=ORIENTED_EDGE('',*,*,#1503,.F.); +#444=ORIENTED_EDGE('',*,*,#1465,.F.); +#445=ORIENTED_EDGE('',*,*,#1504,.F.); +#446=ORIENTED_EDGE('',*,*,#1417,.F.); +#447=ORIENTED_EDGE('',*,*,#1504,.T.); +#448=ORIENTED_EDGE('',*,*,#1464,.F.); +#449=ORIENTED_EDGE('',*,*,#1505,.F.); +#450=ORIENTED_EDGE('',*,*,#1418,.F.); +#451=ORIENTED_EDGE('',*,*,#1505,.T.); +#452=ORIENTED_EDGE('',*,*,#1463,.F.); +#453=ORIENTED_EDGE('',*,*,#1506,.F.); +#454=ORIENTED_EDGE('',*,*,#1419,.F.); +#455=ORIENTED_EDGE('',*,*,#1507,.F.); +#456=ORIENTED_EDGE('',*,*,#1469,.F.); +#457=ORIENTED_EDGE('',*,*,#1508,.F.); +#458=ORIENTED_EDGE('',*,*,#1413,.F.); +#459=ORIENTED_EDGE('',*,*,#1508,.T.); +#460=ORIENTED_EDGE('',*,*,#1468,.F.); +#461=ORIENTED_EDGE('',*,*,#1509,.F.); +#462=ORIENTED_EDGE('',*,*,#1414,.F.); +#463=ORIENTED_EDGE('',*,*,#1509,.T.); +#464=ORIENTED_EDGE('',*,*,#1467,.F.); +#465=ORIENTED_EDGE('',*,*,#1510,.F.); +#466=ORIENTED_EDGE('',*,*,#1415,.F.); +#467=ORIENTED_EDGE('',*,*,#1511,.T.); +#468=ORIENTED_EDGE('',*,*,#1477,.F.); +#469=ORIENTED_EDGE('',*,*,#1512,.F.); +#470=ORIENTED_EDGE('',*,*,#1405,.F.); +#471=ORIENTED_EDGE('',*,*,#1364,.F.); +#472=ORIENTED_EDGE('',*,*,#1367,.T.); +#473=ORIENTED_EDGE('',*,*,#1371,.F.); +#474=ORIENTED_EDGE('',*,*,#1374,.F.); +#475=ORIENTED_EDGE('',*,*,#1406,.F.); +#476=ORIENTED_EDGE('',*,*,#1512,.T.); +#477=ORIENTED_EDGE('',*,*,#1476,.F.); +#478=ORIENTED_EDGE('',*,*,#1376,.T.); +#479=ORIENTED_EDGE('',*,*,#1381,.F.); +#480=ORIENTED_EDGE('',*,*,#1383,.F.); +#481=ORIENTED_EDGE('',*,*,#1360,.T.); +#482=ORIENTED_EDGE('',*,*,#1513,.F.); +#483=ORIENTED_EDGE('',*,*,#1258,.T.); +#484=ORIENTED_EDGE('',*,*,#1352,.F.); +#485=ORIENTED_EDGE('',*,*,#1341,.F.); +#486=ORIENTED_EDGE('',*,*,#1325,.T.); +#487=ORIENTED_EDGE('',*,*,#1478,.F.); +#488=ORIENTED_EDGE('',*,*,#1511,.F.); +#489=ORIENTED_EDGE('',*,*,#1404,.F.); +#490=ORIENTED_EDGE('',*,*,#1313,.F.); +#491=ORIENTED_EDGE('',*,*,#1301,.F.); +#492=ORIENTED_EDGE('',*,*,#1288,.T.); +#493=ORIENTED_EDGE('',*,*,#1274,.F.); +#494=ORIENTED_EDGE('',*,*,#1514,.F.); +#495=ORIENTED_EDGE('',*,*,#1515,.T.); +#496=ORIENTED_EDGE('',*,*,#1450,.F.); +#497=ORIENTED_EDGE('',*,*,#1516,.F.); +#498=ORIENTED_EDGE('',*,*,#1431,.F.); +#499=ORIENTED_EDGE('',*,*,#1286,.F.); +#500=ORIENTED_EDGE('',*,*,#1298,.T.); +#501=ORIENTED_EDGE('',*,*,#1310,.F.); +#502=ORIENTED_EDGE('',*,*,#1322,.F.); +#503=ORIENTED_EDGE('',*,*,#1432,.F.); +#504=ORIENTED_EDGE('',*,*,#1516,.T.); +#505=ORIENTED_EDGE('',*,*,#1449,.F.); +#506=ORIENTED_EDGE('',*,*,#1337,.T.); +#507=ORIENTED_EDGE('',*,*,#1350,.F.); +#508=ORIENTED_EDGE('',*,*,#1358,.F.); +#509=ORIENTED_EDGE('',*,*,#1270,.T.); +#510=ORIENTED_EDGE('',*,*,#1517,.F.); +#511=ORIENTED_EDGE('',*,*,#1386,.T.); +#512=ORIENTED_EDGE('',*,*,#1485,.F.); +#513=ORIENTED_EDGE('',*,*,#1482,.F.); +#514=ORIENTED_EDGE('',*,*,#1479,.T.); +#515=ORIENTED_EDGE('',*,*,#1451,.F.); +#516=ORIENTED_EDGE('',*,*,#1515,.F.); +#517=ORIENTED_EDGE('',*,*,#1430,.F.); +#518=ORIENTED_EDGE('',*,*,#1399,.F.); +#519=ORIENTED_EDGE('',*,*,#1396,.F.); +#520=ORIENTED_EDGE('',*,*,#1393,.T.); +#521=ORIENTED_EDGE('',*,*,#1390,.F.); +#522=ORIENTED_EDGE('',*,*,#1518,.F.); +#523=ORIENTED_EDGE('',*,*,#1519,.T.); +#524=ORIENTED_EDGE('',*,*,#1447,.F.); +#525=ORIENTED_EDGE('',*,*,#1520,.F.); +#526=ORIENTED_EDGE('',*,*,#1434,.F.); +#527=ORIENTED_EDGE('',*,*,#1282,.F.); +#528=ORIENTED_EDGE('',*,*,#1295,.T.); +#529=ORIENTED_EDGE('',*,*,#1307,.F.); +#530=ORIENTED_EDGE('',*,*,#1319,.F.); +#531=ORIENTED_EDGE('',*,*,#1435,.F.); +#532=ORIENTED_EDGE('',*,*,#1520,.T.); +#533=ORIENTED_EDGE('',*,*,#1446,.F.); +#534=ORIENTED_EDGE('',*,*,#1333,.T.); +#535=ORIENTED_EDGE('',*,*,#1347,.F.); +#536=ORIENTED_EDGE('',*,*,#1356,.F.); +#537=ORIENTED_EDGE('',*,*,#1266,.T.); +#538=ORIENTED_EDGE('',*,*,#1521,.F.); +#539=ORIENTED_EDGE('',*,*,#1268,.T.); +#540=ORIENTED_EDGE('',*,*,#1357,.F.); +#541=ORIENTED_EDGE('',*,*,#1348,.F.); +#542=ORIENTED_EDGE('',*,*,#1335,.T.); +#543=ORIENTED_EDGE('',*,*,#1448,.F.); +#544=ORIENTED_EDGE('',*,*,#1519,.F.); +#545=ORIENTED_EDGE('',*,*,#1433,.F.); +#546=ORIENTED_EDGE('',*,*,#1320,.F.); +#547=ORIENTED_EDGE('',*,*,#1308,.F.); +#548=ORIENTED_EDGE('',*,*,#1296,.T.); +#549=ORIENTED_EDGE('',*,*,#1284,.F.); +#550=ORIENTED_EDGE('',*,*,#1522,.F.); +#551=ORIENTED_EDGE('',*,*,#1523,.T.); +#552=ORIENTED_EDGE('',*,*,#1444,.F.); +#553=ORIENTED_EDGE('',*,*,#1524,.F.); +#554=ORIENTED_EDGE('',*,*,#1437,.F.); +#555=ORIENTED_EDGE('',*,*,#1278,.F.); +#556=ORIENTED_EDGE('',*,*,#1292,.T.); +#557=ORIENTED_EDGE('',*,*,#1304,.F.); +#558=ORIENTED_EDGE('',*,*,#1316,.F.); +#559=ORIENTED_EDGE('',*,*,#1438,.F.); +#560=ORIENTED_EDGE('',*,*,#1524,.T.); +#561=ORIENTED_EDGE('',*,*,#1443,.F.); +#562=ORIENTED_EDGE('',*,*,#1329,.T.); +#563=ORIENTED_EDGE('',*,*,#1344,.F.); +#564=ORIENTED_EDGE('',*,*,#1354,.F.); +#565=ORIENTED_EDGE('',*,*,#1262,.T.); +#566=ORIENTED_EDGE('',*,*,#1525,.F.); +#567=ORIENTED_EDGE('',*,*,#1264,.T.); +#568=ORIENTED_EDGE('',*,*,#1355,.F.); +#569=ORIENTED_EDGE('',*,*,#1345,.F.); +#570=ORIENTED_EDGE('',*,*,#1331,.T.); +#571=ORIENTED_EDGE('',*,*,#1445,.F.); +#572=ORIENTED_EDGE('',*,*,#1523,.F.); +#573=ORIENTED_EDGE('',*,*,#1436,.F.); +#574=ORIENTED_EDGE('',*,*,#1317,.F.); +#575=ORIENTED_EDGE('',*,*,#1305,.F.); +#576=ORIENTED_EDGE('',*,*,#1293,.T.); +#577=ORIENTED_EDGE('',*,*,#1280,.F.); +#578=ORIENTED_EDGE('',*,*,#1526,.F.); +#579=ORIENTED_EDGE('',*,*,#1527,.T.); +#580=ORIENTED_EDGE('',*,*,#1441,.F.); +#581=ORIENTED_EDGE('',*,*,#1528,.F.); +#582=ORIENTED_EDGE('',*,*,#1402,.F.); +#583=ORIENTED_EDGE('',*,*,#1272,.F.); +#584=ORIENTED_EDGE('',*,*,#1287,.T.); +#585=ORIENTED_EDGE('',*,*,#1300,.F.); +#586=ORIENTED_EDGE('',*,*,#1312,.F.); +#587=ORIENTED_EDGE('',*,*,#1403,.F.); +#588=ORIENTED_EDGE('',*,*,#1528,.T.); +#589=ORIENTED_EDGE('',*,*,#1440,.F.); +#590=ORIENTED_EDGE('',*,*,#1323,.T.); +#591=ORIENTED_EDGE('',*,*,#1340,.F.); +#592=ORIENTED_EDGE('',*,*,#1351,.F.); +#593=ORIENTED_EDGE('',*,*,#1256,.T.); +#594=ORIENTED_EDGE('',*,*,#1529,.F.); +#595=ORIENTED_EDGE('',*,*,#1260,.T.); +#596=ORIENTED_EDGE('',*,*,#1353,.F.); +#597=ORIENTED_EDGE('',*,*,#1342,.F.); +#598=ORIENTED_EDGE('',*,*,#1327,.T.); +#599=ORIENTED_EDGE('',*,*,#1442,.F.); +#600=ORIENTED_EDGE('',*,*,#1527,.F.); +#601=ORIENTED_EDGE('',*,*,#1439,.F.); +#602=ORIENTED_EDGE('',*,*,#1314,.F.); +#603=ORIENTED_EDGE('',*,*,#1302,.F.); +#604=ORIENTED_EDGE('',*,*,#1290,.T.); +#605=ORIENTED_EDGE('',*,*,#1276,.F.); +#606=ORIENTED_EDGE('',*,*,#1530,.F.); +#607=ORIENTED_EDGE('',*,*,#1489,.T.); +#608=ORIENTED_EDGE('',*,*,#1531,.F.); +#609=ORIENTED_EDGE('',*,*,#1532,.F.); +#610=ORIENTED_EDGE('',*,*,#1533,.T.); +#611=ORIENTED_EDGE('',*,*,#1474,.F.); +#612=ORIENTED_EDGE('',*,*,#1534,.F.); +#613=ORIENTED_EDGE('',*,*,#1535,.F.); +#614=ORIENTED_EDGE('',*,*,#1531,.T.); +#615=ORIENTED_EDGE('',*,*,#1491,.T.); +#616=ORIENTED_EDGE('',*,*,#1536,.F.); +#617=ORIENTED_EDGE('',*,*,#1537,.F.); +#618=ORIENTED_EDGE('',*,*,#1534,.T.); +#619=ORIENTED_EDGE('',*,*,#1408,.T.); +#620=ORIENTED_EDGE('',*,*,#1533,.F.); +#621=ORIENTED_EDGE('',*,*,#1538,.F.); +#622=ORIENTED_EDGE('',*,*,#1536,.T.); +#623=ORIENTED_EDGE('',*,*,#1532,.T.); +#624=ORIENTED_EDGE('',*,*,#1535,.T.); +#625=ORIENTED_EDGE('',*,*,#1537,.T.); +#626=ORIENTED_EDGE('',*,*,#1538,.T.); +#627=ORIENTED_EDGE('',*,*,#1494,.T.); +#628=ORIENTED_EDGE('',*,*,#1539,.F.); +#629=ORIENTED_EDGE('',*,*,#1540,.F.); +#630=ORIENTED_EDGE('',*,*,#1541,.T.); +#631=ORIENTED_EDGE('',*,*,#1470,.F.); +#632=ORIENTED_EDGE('',*,*,#1542,.F.); +#633=ORIENTED_EDGE('',*,*,#1543,.F.); +#634=ORIENTED_EDGE('',*,*,#1539,.T.); +#635=ORIENTED_EDGE('',*,*,#1507,.T.); +#636=ORIENTED_EDGE('',*,*,#1544,.F.); +#637=ORIENTED_EDGE('',*,*,#1545,.F.); +#638=ORIENTED_EDGE('',*,*,#1542,.T.); +#639=ORIENTED_EDGE('',*,*,#1412,.T.); +#640=ORIENTED_EDGE('',*,*,#1541,.F.); +#641=ORIENTED_EDGE('',*,*,#1546,.F.); +#642=ORIENTED_EDGE('',*,*,#1544,.T.); +#643=ORIENTED_EDGE('',*,*,#1540,.T.); +#644=ORIENTED_EDGE('',*,*,#1543,.T.); +#645=ORIENTED_EDGE('',*,*,#1545,.T.); +#646=ORIENTED_EDGE('',*,*,#1546,.T.); +#647=ORIENTED_EDGE('',*,*,#1510,.T.); +#648=ORIENTED_EDGE('',*,*,#1547,.F.); +#649=ORIENTED_EDGE('',*,*,#1548,.F.); +#650=ORIENTED_EDGE('',*,*,#1549,.T.); +#651=ORIENTED_EDGE('',*,*,#1466,.F.); +#652=ORIENTED_EDGE('',*,*,#1550,.F.); +#653=ORIENTED_EDGE('',*,*,#1551,.F.); +#654=ORIENTED_EDGE('',*,*,#1547,.T.); +#655=ORIENTED_EDGE('',*,*,#1503,.T.); +#656=ORIENTED_EDGE('',*,*,#1552,.F.); +#657=ORIENTED_EDGE('',*,*,#1553,.F.); +#658=ORIENTED_EDGE('',*,*,#1550,.T.); +#659=ORIENTED_EDGE('',*,*,#1416,.T.); +#660=ORIENTED_EDGE('',*,*,#1549,.F.); +#661=ORIENTED_EDGE('',*,*,#1554,.F.); +#662=ORIENTED_EDGE('',*,*,#1552,.T.); +#663=ORIENTED_EDGE('',*,*,#1548,.T.); +#664=ORIENTED_EDGE('',*,*,#1551,.T.); +#665=ORIENTED_EDGE('',*,*,#1553,.T.); +#666=ORIENTED_EDGE('',*,*,#1554,.T.); +#667=ORIENTED_EDGE('',*,*,#1506,.T.); +#668=ORIENTED_EDGE('',*,*,#1555,.F.); +#669=ORIENTED_EDGE('',*,*,#1556,.F.); +#670=ORIENTED_EDGE('',*,*,#1557,.T.); +#671=ORIENTED_EDGE('',*,*,#1462,.F.); +#672=ORIENTED_EDGE('',*,*,#1558,.F.); +#673=ORIENTED_EDGE('',*,*,#1559,.F.); +#674=ORIENTED_EDGE('',*,*,#1555,.T.); +#675=ORIENTED_EDGE('',*,*,#1499,.T.); +#676=ORIENTED_EDGE('',*,*,#1560,.F.); +#677=ORIENTED_EDGE('',*,*,#1561,.F.); +#678=ORIENTED_EDGE('',*,*,#1558,.T.); +#679=ORIENTED_EDGE('',*,*,#1420,.T.); +#680=ORIENTED_EDGE('',*,*,#1557,.F.); +#681=ORIENTED_EDGE('',*,*,#1562,.F.); +#682=ORIENTED_EDGE('',*,*,#1560,.T.); +#683=ORIENTED_EDGE('',*,*,#1556,.T.); +#684=ORIENTED_EDGE('',*,*,#1559,.T.); +#685=ORIENTED_EDGE('',*,*,#1561,.T.); +#686=ORIENTED_EDGE('',*,*,#1562,.T.); +#687=ORIENTED_EDGE('',*,*,#1502,.T.); +#688=ORIENTED_EDGE('',*,*,#1563,.F.); +#689=ORIENTED_EDGE('',*,*,#1564,.F.); +#690=ORIENTED_EDGE('',*,*,#1565,.T.); +#691=ORIENTED_EDGE('',*,*,#1458,.F.); +#692=ORIENTED_EDGE('',*,*,#1566,.F.); +#693=ORIENTED_EDGE('',*,*,#1567,.F.); +#694=ORIENTED_EDGE('',*,*,#1563,.T.); +#695=ORIENTED_EDGE('',*,*,#1495,.T.); +#696=ORIENTED_EDGE('',*,*,#1568,.F.); +#697=ORIENTED_EDGE('',*,*,#1569,.F.); +#698=ORIENTED_EDGE('',*,*,#1566,.T.); +#699=ORIENTED_EDGE('',*,*,#1424,.T.); +#700=ORIENTED_EDGE('',*,*,#1565,.F.); +#701=ORIENTED_EDGE('',*,*,#1570,.F.); +#702=ORIENTED_EDGE('',*,*,#1568,.T.); +#703=ORIENTED_EDGE('',*,*,#1564,.T.); +#704=ORIENTED_EDGE('',*,*,#1567,.T.); +#705=ORIENTED_EDGE('',*,*,#1569,.T.); +#706=ORIENTED_EDGE('',*,*,#1570,.T.); +#707=ORIENTED_EDGE('',*,*,#1498,.T.); +#708=ORIENTED_EDGE('',*,*,#1571,.F.); +#709=ORIENTED_EDGE('',*,*,#1572,.F.); +#710=ORIENTED_EDGE('',*,*,#1573,.T.); +#711=ORIENTED_EDGE('',*,*,#1454,.F.); +#712=ORIENTED_EDGE('',*,*,#1574,.F.); +#713=ORIENTED_EDGE('',*,*,#1575,.F.); +#714=ORIENTED_EDGE('',*,*,#1571,.T.); +#715=ORIENTED_EDGE('',*,*,#1488,.F.); +#716=ORIENTED_EDGE('',*,*,#1576,.F.); +#717=ORIENTED_EDGE('',*,*,#1577,.F.); +#718=ORIENTED_EDGE('',*,*,#1574,.T.); +#719=ORIENTED_EDGE('',*,*,#1428,.T.); +#720=ORIENTED_EDGE('',*,*,#1573,.F.); +#721=ORIENTED_EDGE('',*,*,#1578,.F.); +#722=ORIENTED_EDGE('',*,*,#1576,.T.); +#723=ORIENTED_EDGE('',*,*,#1572,.T.); +#724=ORIENTED_EDGE('',*,*,#1575,.T.); +#725=ORIENTED_EDGE('',*,*,#1577,.T.); +#726=ORIENTED_EDGE('',*,*,#1578,.T.); +#727=ORIENTED_EDGE('',*,*,#1490,.T.); +#728=ORIENTED_EDGE('',*,*,#1579,.F.); +#729=ORIENTED_EDGE('',*,*,#1580,.T.); +#730=ORIENTED_EDGE('',*,*,#1581,.T.); +#731=ORIENTED_EDGE('',*,*,#1359,.T.); +#732=ORIENTED_EDGE('',*,*,#1581,.F.); +#733=ORIENTED_EDGE('',*,*,#1582,.T.); +#734=ORIENTED_EDGE('',*,*,#1583,.T.); +#735=ORIENTED_EDGE('',*,*,#1513,.T.); +#736=ORIENTED_EDGE('',*,*,#1583,.F.); +#737=ORIENTED_EDGE('',*,*,#1584,.T.); +#738=ORIENTED_EDGE('',*,*,#1585,.T.); +#739=ORIENTED_EDGE('',*,*,#1365,.F.); +#740=ORIENTED_EDGE('',*,*,#1585,.F.); +#741=ORIENTED_EDGE('',*,*,#1586,.T.); +#742=ORIENTED_EDGE('',*,*,#1579,.T.); +#743=ORIENTED_EDGE('',*,*,#1580,.F.); +#744=ORIENTED_EDGE('',*,*,#1586,.F.); +#745=ORIENTED_EDGE('',*,*,#1584,.F.); +#746=ORIENTED_EDGE('',*,*,#1582,.F.); +#747=ORIENTED_EDGE('',*,*,#1514,.T.); +#748=ORIENTED_EDGE('',*,*,#1587,.F.); +#749=ORIENTED_EDGE('',*,*,#1588,.T.); +#750=ORIENTED_EDGE('',*,*,#1589,.T.); +#751=ORIENTED_EDGE('',*,*,#1255,.T.); +#752=ORIENTED_EDGE('',*,*,#1589,.F.); +#753=ORIENTED_EDGE('',*,*,#1590,.T.); +#754=ORIENTED_EDGE('',*,*,#1591,.T.); +#755=ORIENTED_EDGE('',*,*,#1529,.T.); +#756=ORIENTED_EDGE('',*,*,#1591,.F.); +#757=ORIENTED_EDGE('',*,*,#1592,.T.); +#758=ORIENTED_EDGE('',*,*,#1593,.T.); +#759=ORIENTED_EDGE('',*,*,#1273,.F.); +#760=ORIENTED_EDGE('',*,*,#1593,.F.); +#761=ORIENTED_EDGE('',*,*,#1594,.T.); +#762=ORIENTED_EDGE('',*,*,#1587,.T.); +#763=ORIENTED_EDGE('',*,*,#1588,.F.); +#764=ORIENTED_EDGE('',*,*,#1594,.F.); +#765=ORIENTED_EDGE('',*,*,#1592,.F.); +#766=ORIENTED_EDGE('',*,*,#1590,.F.); +#767=ORIENTED_EDGE('',*,*,#1530,.T.); +#768=ORIENTED_EDGE('',*,*,#1595,.F.); +#769=ORIENTED_EDGE('',*,*,#1596,.T.); +#770=ORIENTED_EDGE('',*,*,#1597,.T.); +#771=ORIENTED_EDGE('',*,*,#1261,.T.); +#772=ORIENTED_EDGE('',*,*,#1597,.F.); +#773=ORIENTED_EDGE('',*,*,#1598,.T.); +#774=ORIENTED_EDGE('',*,*,#1599,.T.); +#775=ORIENTED_EDGE('',*,*,#1525,.T.); +#776=ORIENTED_EDGE('',*,*,#1599,.F.); +#777=ORIENTED_EDGE('',*,*,#1600,.T.); +#778=ORIENTED_EDGE('',*,*,#1601,.T.); +#779=ORIENTED_EDGE('',*,*,#1275,.F.); +#780=ORIENTED_EDGE('',*,*,#1601,.F.); +#781=ORIENTED_EDGE('',*,*,#1602,.T.); +#782=ORIENTED_EDGE('',*,*,#1595,.T.); +#783=ORIENTED_EDGE('',*,*,#1596,.F.); +#784=ORIENTED_EDGE('',*,*,#1602,.F.); +#785=ORIENTED_EDGE('',*,*,#1600,.F.); +#786=ORIENTED_EDGE('',*,*,#1598,.F.); +#787=ORIENTED_EDGE('',*,*,#1526,.T.); +#788=ORIENTED_EDGE('',*,*,#1603,.F.); +#789=ORIENTED_EDGE('',*,*,#1604,.T.); +#790=ORIENTED_EDGE('',*,*,#1605,.T.); +#791=ORIENTED_EDGE('',*,*,#1265,.T.); +#792=ORIENTED_EDGE('',*,*,#1605,.F.); +#793=ORIENTED_EDGE('',*,*,#1606,.T.); +#794=ORIENTED_EDGE('',*,*,#1607,.T.); +#795=ORIENTED_EDGE('',*,*,#1521,.T.); +#796=ORIENTED_EDGE('',*,*,#1607,.F.); +#797=ORIENTED_EDGE('',*,*,#1608,.T.); +#798=ORIENTED_EDGE('',*,*,#1609,.T.); +#799=ORIENTED_EDGE('',*,*,#1279,.F.); +#800=ORIENTED_EDGE('',*,*,#1609,.F.); +#801=ORIENTED_EDGE('',*,*,#1610,.T.); +#802=ORIENTED_EDGE('',*,*,#1603,.T.); +#803=ORIENTED_EDGE('',*,*,#1604,.F.); +#804=ORIENTED_EDGE('',*,*,#1610,.F.); +#805=ORIENTED_EDGE('',*,*,#1608,.F.); +#806=ORIENTED_EDGE('',*,*,#1606,.F.); +#807=ORIENTED_EDGE('',*,*,#1522,.T.); +#808=ORIENTED_EDGE('',*,*,#1611,.F.); +#809=ORIENTED_EDGE('',*,*,#1612,.T.); +#810=ORIENTED_EDGE('',*,*,#1613,.T.); +#811=ORIENTED_EDGE('',*,*,#1269,.T.); +#812=ORIENTED_EDGE('',*,*,#1613,.F.); +#813=ORIENTED_EDGE('',*,*,#1614,.T.); +#814=ORIENTED_EDGE('',*,*,#1615,.T.); +#815=ORIENTED_EDGE('',*,*,#1517,.T.); +#816=ORIENTED_EDGE('',*,*,#1615,.F.); +#817=ORIENTED_EDGE('',*,*,#1616,.T.); +#818=ORIENTED_EDGE('',*,*,#1617,.T.); +#819=ORIENTED_EDGE('',*,*,#1283,.F.); +#820=ORIENTED_EDGE('',*,*,#1617,.F.); +#821=ORIENTED_EDGE('',*,*,#1618,.T.); +#822=ORIENTED_EDGE('',*,*,#1611,.T.); +#823=ORIENTED_EDGE('',*,*,#1612,.F.); +#824=ORIENTED_EDGE('',*,*,#1618,.F.); +#825=ORIENTED_EDGE('',*,*,#1616,.F.); +#826=ORIENTED_EDGE('',*,*,#1614,.F.); +#827=ORIENTED_EDGE('',*,*,#1518,.T.); +#828=ORIENTED_EDGE('',*,*,#1619,.F.); +#829=ORIENTED_EDGE('',*,*,#1620,.T.); +#830=ORIENTED_EDGE('',*,*,#1621,.T.); +#831=ORIENTED_EDGE('',*,*,#1387,.T.); +#832=ORIENTED_EDGE('',*,*,#1621,.F.); +#833=ORIENTED_EDGE('',*,*,#1622,.T.); +#834=ORIENTED_EDGE('',*,*,#1623,.T.); +#835=ORIENTED_EDGE('',*,*,#1487,.F.); +#836=ORIENTED_EDGE('',*,*,#1623,.F.); +#837=ORIENTED_EDGE('',*,*,#1624,.T.); +#838=ORIENTED_EDGE('',*,*,#1625,.T.); +#839=ORIENTED_EDGE('',*,*,#1389,.F.); +#840=ORIENTED_EDGE('',*,*,#1625,.F.); +#841=ORIENTED_EDGE('',*,*,#1626,.T.); +#842=ORIENTED_EDGE('',*,*,#1619,.T.); +#843=ORIENTED_EDGE('',*,*,#1620,.F.); +#844=ORIENTED_EDGE('',*,*,#1626,.F.); +#845=ORIENTED_EDGE('',*,*,#1624,.F.); +#846=ORIENTED_EDGE('',*,*,#1622,.F.); +#847=ORIENTED_EDGE('',*,*,#1627,.F.); +#848=ORIENTED_EDGE('',*,*,#1628,.F.); +#849=ORIENTED_EDGE('',*,*,#1629,.F.); +#850=ORIENTED_EDGE('',*,*,#1630,.F.); +#851=ORIENTED_EDGE('',*,*,#1631,.F.); +#852=ORIENTED_EDGE('',*,*,#1632,.F.); +#853=ORIENTED_EDGE('',*,*,#1633,.F.); +#854=ORIENTED_EDGE('',*,*,#1634,.F.); +#855=ORIENTED_EDGE('',*,*,#1635,.F.); +#856=ORIENTED_EDGE('',*,*,#1636,.F.); +#857=ORIENTED_EDGE('',*,*,#1637,.F.); +#858=ORIENTED_EDGE('',*,*,#1638,.F.); +#859=ORIENTED_EDGE('',*,*,#1639,.F.); +#860=ORIENTED_EDGE('',*,*,#1640,.F.); +#861=ORIENTED_EDGE('',*,*,#1641,.F.); +#862=ORIENTED_EDGE('',*,*,#1642,.F.); +#863=ORIENTED_EDGE('',*,*,#1643,.F.); +#864=ORIENTED_EDGE('',*,*,#1644,.F.); +#865=ORIENTED_EDGE('',*,*,#1645,.F.); +#866=ORIENTED_EDGE('',*,*,#1646,.F.); +#867=ORIENTED_EDGE('',*,*,#1647,.T.); +#868=ORIENTED_EDGE('',*,*,#1648,.T.); +#869=ORIENTED_EDGE('',*,*,#1649,.F.); +#870=ORIENTED_EDGE('',*,*,#1650,.F.); +#871=ORIENTED_EDGE('',*,*,#1651,.F.); +#872=ORIENTED_EDGE('',*,*,#1652,.T.); +#873=ORIENTED_EDGE('',*,*,#1653,.F.); +#874=ORIENTED_EDGE('',*,*,#1654,.F.); +#875=ORIENTED_EDGE('',*,*,#1655,.F.); +#876=ORIENTED_EDGE('',*,*,#1656,.T.); +#877=ORIENTED_EDGE('',*,*,#1657,.F.); +#878=ORIENTED_EDGE('',*,*,#1658,.F.); +#879=ORIENTED_EDGE('',*,*,#1659,.F.); +#880=ORIENTED_EDGE('',*,*,#1660,.T.); +#881=ORIENTED_EDGE('',*,*,#1661,.F.); +#882=ORIENTED_EDGE('',*,*,#1662,.F.); +#883=ORIENTED_EDGE('',*,*,#1663,.F.); +#884=ORIENTED_EDGE('',*,*,#1664,.T.); +#885=ORIENTED_EDGE('',*,*,#1665,.F.); +#886=ORIENTED_EDGE('',*,*,#1666,.F.); +#887=ORIENTED_EDGE('',*,*,#1667,.F.); +#888=ORIENTED_EDGE('',*,*,#1668,.T.); +#889=ORIENTED_EDGE('',*,*,#1669,.F.); +#890=ORIENTED_EDGE('',*,*,#1670,.F.); +#891=ORIENTED_EDGE('',*,*,#1671,.F.); +#892=ORIENTED_EDGE('',*,*,#1672,.T.); +#893=ORIENTED_EDGE('',*,*,#1673,.T.); +#894=ORIENTED_EDGE('',*,*,#1674,.T.); +#895=ORIENTED_EDGE('',*,*,#1675,.F.); +#896=ORIENTED_EDGE('',*,*,#1676,.T.); +#897=ORIENTED_EDGE('',*,*,#1677,.T.); +#898=ORIENTED_EDGE('',*,*,#1678,.T.); +#899=ORIENTED_EDGE('',*,*,#1679,.F.); +#900=ORIENTED_EDGE('',*,*,#1680,.T.); +#901=ORIENTED_EDGE('',*,*,#1681,.T.); +#902=ORIENTED_EDGE('',*,*,#1682,.T.); +#903=ORIENTED_EDGE('',*,*,#1683,.F.); +#904=ORIENTED_EDGE('',*,*,#1684,.T.); +#905=ORIENTED_EDGE('',*,*,#1685,.T.); +#906=ORIENTED_EDGE('',*,*,#1686,.T.); +#907=ORIENTED_EDGE('',*,*,#1687,.F.); +#908=ORIENTED_EDGE('',*,*,#1688,.T.); +#909=ORIENTED_EDGE('',*,*,#1689,.T.); +#910=ORIENTED_EDGE('',*,*,#1690,.T.); +#911=ORIENTED_EDGE('',*,*,#1691,.F.); +#912=ORIENTED_EDGE('',*,*,#1692,.F.); +#913=ORIENTED_EDGE('',*,*,#1693,.F.); +#914=ORIENTED_EDGE('',*,*,#1694,.F.); +#915=ORIENTED_EDGE('',*,*,#1646,.T.); +#916=ORIENTED_EDGE('',*,*,#1695,.F.); +#917=ORIENTED_EDGE('',*,*,#1696,.T.); +#918=ORIENTED_EDGE('',*,*,#1697,.T.); +#919=ORIENTED_EDGE('',*,*,#1698,.F.); +#920=ORIENTED_EDGE('',*,*,#1699,.F.); +#921=ORIENTED_EDGE('',*,*,#1700,.F.); +#922=ORIENTED_EDGE('',*,*,#1701,.F.); +#923=ORIENTED_EDGE('',*,*,#1702,.F.); +#924=ORIENTED_EDGE('',*,*,#1670,.T.); +#925=ORIENTED_EDGE('',*,*,#1703,.T.); +#926=ORIENTED_EDGE('',*,*,#1704,.T.); +#927=ORIENTED_EDGE('',*,*,#1705,.F.); +#928=ORIENTED_EDGE('',*,*,#1706,.F.); +#929=ORIENTED_EDGE('',*,*,#1707,.F.); +#930=ORIENTED_EDGE('',*,*,#1708,.F.); +#931=ORIENTED_EDGE('',*,*,#1630,.T.); +#932=ORIENTED_EDGE('',*,*,#1709,.F.); +#933=ORIENTED_EDGE('',*,*,#1710,.T.); +#934=ORIENTED_EDGE('',*,*,#1711,.F.); +#935=ORIENTED_EDGE('',*,*,#1712,.F.); +#936=ORIENTED_EDGE('',*,*,#1713,.F.); +#937=ORIENTED_EDGE('',*,*,#1714,.F.); +#938=ORIENTED_EDGE('',*,*,#1715,.F.); +#939=ORIENTED_EDGE('',*,*,#1634,.T.); +#940=ORIENTED_EDGE('',*,*,#1716,.F.); +#941=ORIENTED_EDGE('',*,*,#1717,.T.); +#942=ORIENTED_EDGE('',*,*,#1718,.F.); +#943=ORIENTED_EDGE('',*,*,#1719,.F.); +#944=ORIENTED_EDGE('',*,*,#1720,.F.); +#945=ORIENTED_EDGE('',*,*,#1721,.F.); +#946=ORIENTED_EDGE('',*,*,#1722,.F.); +#947=ORIENTED_EDGE('',*,*,#1638,.T.); +#948=ORIENTED_EDGE('',*,*,#1723,.F.); +#949=ORIENTED_EDGE('',*,*,#1724,.T.); +#950=ORIENTED_EDGE('',*,*,#1725,.F.); +#951=ORIENTED_EDGE('',*,*,#1726,.F.); +#952=ORIENTED_EDGE('',*,*,#1727,.F.); +#953=ORIENTED_EDGE('',*,*,#1728,.F.); +#954=ORIENTED_EDGE('',*,*,#1729,.F.); +#955=ORIENTED_EDGE('',*,*,#1642,.T.); +#956=ORIENTED_EDGE('',*,*,#1730,.F.); +#957=ORIENTED_EDGE('',*,*,#1731,.T.); +#958=ORIENTED_EDGE('',*,*,#1732,.F.); +#959=ORIENTED_EDGE('',*,*,#1733,.T.); +#960=ORIENTED_EDGE('',*,*,#1734,.T.); +#961=ORIENTED_EDGE('',*,*,#1735,.T.); +#962=ORIENTED_EDGE('',*,*,#1736,.T.); +#963=ORIENTED_EDGE('',*,*,#1737,.T.); +#964=ORIENTED_EDGE('',*,*,#1648,.F.); +#965=ORIENTED_EDGE('',*,*,#1738,.F.); +#966=ORIENTED_EDGE('',*,*,#1739,.F.); +#967=ORIENTED_EDGE('',*,*,#1740,.T.); +#968=ORIENTED_EDGE('',*,*,#1741,.T.); +#969=ORIENTED_EDGE('',*,*,#1742,.T.); +#970=ORIENTED_EDGE('',*,*,#1743,.T.); +#971=ORIENTED_EDGE('',*,*,#1652,.F.); +#972=ORIENTED_EDGE('',*,*,#1744,.T.); +#973=ORIENTED_EDGE('',*,*,#1745,.F.); +#974=ORIENTED_EDGE('',*,*,#1746,.T.); +#975=ORIENTED_EDGE('',*,*,#1747,.T.); +#976=ORIENTED_EDGE('',*,*,#1748,.T.); +#977=ORIENTED_EDGE('',*,*,#1749,.T.); +#978=ORIENTED_EDGE('',*,*,#1750,.T.); +#979=ORIENTED_EDGE('',*,*,#1656,.F.); +#980=ORIENTED_EDGE('',*,*,#1751,.T.); +#981=ORIENTED_EDGE('',*,*,#1752,.F.); +#982=ORIENTED_EDGE('',*,*,#1753,.T.); +#983=ORIENTED_EDGE('',*,*,#1754,.T.); +#984=ORIENTED_EDGE('',*,*,#1755,.T.); +#985=ORIENTED_EDGE('',*,*,#1756,.T.); +#986=ORIENTED_EDGE('',*,*,#1757,.T.); +#987=ORIENTED_EDGE('',*,*,#1660,.F.); +#988=ORIENTED_EDGE('',*,*,#1758,.T.); +#989=ORIENTED_EDGE('',*,*,#1759,.F.); +#990=ORIENTED_EDGE('',*,*,#1760,.T.); +#991=ORIENTED_EDGE('',*,*,#1761,.T.); +#992=ORIENTED_EDGE('',*,*,#1762,.T.); +#993=ORIENTED_EDGE('',*,*,#1763,.T.); +#994=ORIENTED_EDGE('',*,*,#1764,.T.); +#995=ORIENTED_EDGE('',*,*,#1664,.F.); +#996=ORIENTED_EDGE('',*,*,#1765,.T.); +#997=ORIENTED_EDGE('',*,*,#1766,.F.); +#998=ORIENTED_EDGE('',*,*,#1767,.T.); +#999=ORIENTED_EDGE('',*,*,#1768,.T.); +#1000=ORIENTED_EDGE('',*,*,#1769,.T.); +#1001=ORIENTED_EDGE('',*,*,#1770,.T.); +#1002=ORIENTED_EDGE('',*,*,#1771,.T.); +#1003=ORIENTED_EDGE('',*,*,#1668,.F.); +#1004=ORIENTED_EDGE('',*,*,#1772,.T.); +#1005=ORIENTED_EDGE('',*,*,#1773,.F.); +#1006=ORIENTED_EDGE('',*,*,#1774,.F.); +#1007=ORIENTED_EDGE('',*,*,#1775,.F.); +#1008=ORIENTED_EDGE('',*,*,#1739,.T.); +#1009=ORIENTED_EDGE('',*,*,#1776,.F.); +#1010=ORIENTED_EDGE('',*,*,#1696,.F.); +#1011=ORIENTED_EDGE('',*,*,#1777,.F.); +#1012=ORIENTED_EDGE('',*,*,#1731,.F.); +#1013=ORIENTED_EDGE('',*,*,#1778,.F.); +#1014=ORIENTED_EDGE('',*,*,#1745,.T.); +#1015=ORIENTED_EDGE('',*,*,#1779,.F.); +#1016=ORIENTED_EDGE('',*,*,#1724,.F.); +#1017=ORIENTED_EDGE('',*,*,#1780,.F.); +#1018=ORIENTED_EDGE('',*,*,#1752,.T.); +#1019=ORIENTED_EDGE('',*,*,#1781,.F.); +#1020=ORIENTED_EDGE('',*,*,#1717,.F.); +#1021=ORIENTED_EDGE('',*,*,#1782,.F.); +#1022=ORIENTED_EDGE('',*,*,#1759,.T.); +#1023=ORIENTED_EDGE('',*,*,#1783,.F.); +#1024=ORIENTED_EDGE('',*,*,#1710,.F.); +#1025=ORIENTED_EDGE('',*,*,#1784,.F.); +#1026=ORIENTED_EDGE('',*,*,#1766,.T.); +#1027=ORIENTED_EDGE('',*,*,#1785,.F.); +#1028=ORIENTED_EDGE('',*,*,#1704,.F.); +#1029=ORIENTED_EDGE('',*,*,#1786,.T.); +#1030=ORIENTED_EDGE('',*,*,#1773,.T.); +#1031=ORIENTED_EDGE('',*,*,#1738,.T.); +#1032=ORIENTED_EDGE('',*,*,#1647,.F.); +#1033=ORIENTED_EDGE('',*,*,#1697,.F.); +#1034=ORIENTED_EDGE('',*,*,#1776,.T.); +#1035=ORIENTED_EDGE('',*,*,#1768,.F.); +#1036=ORIENTED_EDGE('',*,*,#1787,.F.); +#1037=ORIENTED_EDGE('',*,*,#1698,.T.); +#1038=ORIENTED_EDGE('',*,*,#1788,.T.); +#1039=ORIENTED_EDGE('',*,*,#1771,.F.); +#1040=ORIENTED_EDGE('',*,*,#1789,.F.); +#1041=ORIENTED_EDGE('',*,*,#1699,.T.); +#1042=ORIENTED_EDGE('',*,*,#1787,.T.); +#1043=ORIENTED_EDGE('',*,*,#1770,.F.); +#1044=ORIENTED_EDGE('',*,*,#1790,.F.); +#1045=ORIENTED_EDGE('',*,*,#1700,.T.); +#1046=ORIENTED_EDGE('',*,*,#1789,.T.); +#1047=ORIENTED_EDGE('',*,*,#1761,.F.); +#1048=ORIENTED_EDGE('',*,*,#1791,.F.); +#1049=ORIENTED_EDGE('',*,*,#1705,.T.); +#1050=ORIENTED_EDGE('',*,*,#1792,.T.); +#1051=ORIENTED_EDGE('',*,*,#1764,.F.); +#1052=ORIENTED_EDGE('',*,*,#1793,.F.); +#1053=ORIENTED_EDGE('',*,*,#1706,.T.); +#1054=ORIENTED_EDGE('',*,*,#1791,.T.); +#1055=ORIENTED_EDGE('',*,*,#1763,.F.); +#1056=ORIENTED_EDGE('',*,*,#1794,.F.); +#1057=ORIENTED_EDGE('',*,*,#1707,.T.); +#1058=ORIENTED_EDGE('',*,*,#1793,.T.); +#1059=ORIENTED_EDGE('',*,*,#1754,.F.); +#1060=ORIENTED_EDGE('',*,*,#1795,.F.); +#1061=ORIENTED_EDGE('',*,*,#1712,.T.); +#1062=ORIENTED_EDGE('',*,*,#1796,.T.); +#1063=ORIENTED_EDGE('',*,*,#1757,.F.); +#1064=ORIENTED_EDGE('',*,*,#1797,.F.); +#1065=ORIENTED_EDGE('',*,*,#1713,.T.); +#1066=ORIENTED_EDGE('',*,*,#1795,.T.); +#1067=ORIENTED_EDGE('',*,*,#1756,.F.); +#1068=ORIENTED_EDGE('',*,*,#1798,.F.); +#1069=ORIENTED_EDGE('',*,*,#1714,.T.); +#1070=ORIENTED_EDGE('',*,*,#1797,.T.); +#1071=ORIENTED_EDGE('',*,*,#1747,.F.); +#1072=ORIENTED_EDGE('',*,*,#1799,.F.); +#1073=ORIENTED_EDGE('',*,*,#1719,.T.); +#1074=ORIENTED_EDGE('',*,*,#1800,.T.); +#1075=ORIENTED_EDGE('',*,*,#1750,.F.); +#1076=ORIENTED_EDGE('',*,*,#1801,.F.); +#1077=ORIENTED_EDGE('',*,*,#1720,.T.); +#1078=ORIENTED_EDGE('',*,*,#1799,.T.); +#1079=ORIENTED_EDGE('',*,*,#1749,.F.); +#1080=ORIENTED_EDGE('',*,*,#1802,.F.); +#1081=ORIENTED_EDGE('',*,*,#1721,.T.); +#1082=ORIENTED_EDGE('',*,*,#1801,.T.); +#1083=ORIENTED_EDGE('',*,*,#1740,.F.); +#1084=ORIENTED_EDGE('',*,*,#1803,.F.); +#1085=ORIENTED_EDGE('',*,*,#1726,.T.); +#1086=ORIENTED_EDGE('',*,*,#1804,.T.); +#1087=ORIENTED_EDGE('',*,*,#1743,.F.); +#1088=ORIENTED_EDGE('',*,*,#1805,.F.); +#1089=ORIENTED_EDGE('',*,*,#1727,.T.); +#1090=ORIENTED_EDGE('',*,*,#1803,.T.); +#1091=ORIENTED_EDGE('',*,*,#1742,.F.); +#1092=ORIENTED_EDGE('',*,*,#1806,.F.); +#1093=ORIENTED_EDGE('',*,*,#1728,.T.); +#1094=ORIENTED_EDGE('',*,*,#1805,.T.); +#1095=ORIENTED_EDGE('',*,*,#1733,.F.); +#1096=ORIENTED_EDGE('',*,*,#1807,.F.); +#1097=ORIENTED_EDGE('',*,*,#1691,.T.); +#1098=ORIENTED_EDGE('',*,*,#1808,.T.); +#1099=ORIENTED_EDGE('',*,*,#1736,.F.); +#1100=ORIENTED_EDGE('',*,*,#1809,.F.); +#1101=ORIENTED_EDGE('',*,*,#1692,.T.); +#1102=ORIENTED_EDGE('',*,*,#1807,.T.); +#1103=ORIENTED_EDGE('',*,*,#1735,.F.); +#1104=ORIENTED_EDGE('',*,*,#1810,.F.); +#1105=ORIENTED_EDGE('',*,*,#1693,.T.); +#1106=ORIENTED_EDGE('',*,*,#1809,.T.); +#1107=ORIENTED_EDGE('',*,*,#1769,.F.); +#1108=ORIENTED_EDGE('',*,*,#1788,.F.); +#1109=ORIENTED_EDGE('',*,*,#1701,.T.); +#1110=ORIENTED_EDGE('',*,*,#1790,.T.); +#1111=ORIENTED_EDGE('',*,*,#1762,.F.); +#1112=ORIENTED_EDGE('',*,*,#1792,.F.); +#1113=ORIENTED_EDGE('',*,*,#1708,.T.); +#1114=ORIENTED_EDGE('',*,*,#1794,.T.); +#1115=ORIENTED_EDGE('',*,*,#1755,.F.); +#1116=ORIENTED_EDGE('',*,*,#1796,.F.); +#1117=ORIENTED_EDGE('',*,*,#1715,.T.); +#1118=ORIENTED_EDGE('',*,*,#1798,.T.); +#1119=ORIENTED_EDGE('',*,*,#1748,.F.); +#1120=ORIENTED_EDGE('',*,*,#1800,.F.); +#1121=ORIENTED_EDGE('',*,*,#1722,.T.); +#1122=ORIENTED_EDGE('',*,*,#1802,.T.); +#1123=ORIENTED_EDGE('',*,*,#1741,.F.); +#1124=ORIENTED_EDGE('',*,*,#1804,.F.); +#1125=ORIENTED_EDGE('',*,*,#1729,.T.); +#1126=ORIENTED_EDGE('',*,*,#1806,.T.); +#1127=ORIENTED_EDGE('',*,*,#1734,.F.); +#1128=ORIENTED_EDGE('',*,*,#1808,.F.); +#1129=ORIENTED_EDGE('',*,*,#1694,.T.); +#1130=ORIENTED_EDGE('',*,*,#1810,.T.); +#1131=ORIENTED_EDGE('',*,*,#1774,.T.); +#1132=ORIENTED_EDGE('',*,*,#1786,.F.); +#1133=ORIENTED_EDGE('',*,*,#1703,.F.); +#1134=ORIENTED_EDGE('',*,*,#1669,.T.); +#1135=ORIENTED_EDGE('',*,*,#1785,.T.); +#1136=ORIENTED_EDGE('',*,*,#1772,.F.); +#1137=ORIENTED_EDGE('',*,*,#1667,.T.); +#1138=ORIENTED_EDGE('',*,*,#1811,.F.); +#1139=ORIENTED_EDGE('',*,*,#1673,.F.); +#1140=ORIENTED_EDGE('',*,*,#1812,.T.); +#1141=ORIENTED_EDGE('',*,*,#1627,.T.); +#1142=ORIENTED_EDGE('',*,*,#1702,.T.); +#1143=ORIENTED_EDGE('',*,*,#1709,.T.); +#1144=ORIENTED_EDGE('',*,*,#1629,.T.); +#1145=ORIENTED_EDGE('',*,*,#1813,.F.); +#1146=ORIENTED_EDGE('',*,*,#1671,.T.); +#1147=ORIENTED_EDGE('',*,*,#1814,.T.); +#1148=ORIENTED_EDGE('',*,*,#1665,.T.); +#1149=ORIENTED_EDGE('',*,*,#1767,.F.); +#1150=ORIENTED_EDGE('',*,*,#1784,.T.); +#1151=ORIENTED_EDGE('',*,*,#1783,.T.); +#1152=ORIENTED_EDGE('',*,*,#1765,.F.); +#1153=ORIENTED_EDGE('',*,*,#1663,.T.); +#1154=ORIENTED_EDGE('',*,*,#1815,.F.); +#1155=ORIENTED_EDGE('',*,*,#1677,.F.); +#1156=ORIENTED_EDGE('',*,*,#1816,.T.); +#1157=ORIENTED_EDGE('',*,*,#1631,.T.); +#1158=ORIENTED_EDGE('',*,*,#1711,.T.); +#1159=ORIENTED_EDGE('',*,*,#1716,.T.); +#1160=ORIENTED_EDGE('',*,*,#1633,.T.); +#1161=ORIENTED_EDGE('',*,*,#1817,.F.); +#1162=ORIENTED_EDGE('',*,*,#1675,.T.); +#1163=ORIENTED_EDGE('',*,*,#1818,.T.); +#1164=ORIENTED_EDGE('',*,*,#1661,.T.); +#1165=ORIENTED_EDGE('',*,*,#1760,.F.); +#1166=ORIENTED_EDGE('',*,*,#1782,.T.); +#1167=ORIENTED_EDGE('',*,*,#1781,.T.); +#1168=ORIENTED_EDGE('',*,*,#1758,.F.); +#1169=ORIENTED_EDGE('',*,*,#1659,.T.); +#1170=ORIENTED_EDGE('',*,*,#1819,.F.); +#1171=ORIENTED_EDGE('',*,*,#1681,.F.); +#1172=ORIENTED_EDGE('',*,*,#1820,.T.); +#1173=ORIENTED_EDGE('',*,*,#1635,.T.); +#1174=ORIENTED_EDGE('',*,*,#1718,.T.); +#1175=ORIENTED_EDGE('',*,*,#1723,.T.); +#1176=ORIENTED_EDGE('',*,*,#1637,.T.); +#1177=ORIENTED_EDGE('',*,*,#1821,.F.); +#1178=ORIENTED_EDGE('',*,*,#1679,.T.); +#1179=ORIENTED_EDGE('',*,*,#1822,.T.); +#1180=ORIENTED_EDGE('',*,*,#1657,.T.); +#1181=ORIENTED_EDGE('',*,*,#1753,.F.); +#1182=ORIENTED_EDGE('',*,*,#1780,.T.); +#1183=ORIENTED_EDGE('',*,*,#1779,.T.); +#1184=ORIENTED_EDGE('',*,*,#1751,.F.); +#1185=ORIENTED_EDGE('',*,*,#1655,.T.); +#1186=ORIENTED_EDGE('',*,*,#1823,.F.); +#1187=ORIENTED_EDGE('',*,*,#1685,.F.); +#1188=ORIENTED_EDGE('',*,*,#1824,.T.); +#1189=ORIENTED_EDGE('',*,*,#1639,.T.); +#1190=ORIENTED_EDGE('',*,*,#1725,.T.); +#1191=ORIENTED_EDGE('',*,*,#1730,.T.); +#1192=ORIENTED_EDGE('',*,*,#1641,.T.); +#1193=ORIENTED_EDGE('',*,*,#1825,.F.); +#1194=ORIENTED_EDGE('',*,*,#1683,.T.); +#1195=ORIENTED_EDGE('',*,*,#1826,.T.); +#1196=ORIENTED_EDGE('',*,*,#1653,.T.); +#1197=ORIENTED_EDGE('',*,*,#1746,.F.); +#1198=ORIENTED_EDGE('',*,*,#1778,.T.); +#1199=ORIENTED_EDGE('',*,*,#1695,.T.); +#1200=ORIENTED_EDGE('',*,*,#1645,.T.); +#1201=ORIENTED_EDGE('',*,*,#1827,.F.); +#1202=ORIENTED_EDGE('',*,*,#1687,.T.); +#1203=ORIENTED_EDGE('',*,*,#1828,.T.); +#1204=ORIENTED_EDGE('',*,*,#1649,.T.); +#1205=ORIENTED_EDGE('',*,*,#1737,.F.); +#1206=ORIENTED_EDGE('',*,*,#1775,.T.); +#1207=ORIENTED_EDGE('',*,*,#1777,.T.); +#1208=ORIENTED_EDGE('',*,*,#1744,.F.); +#1209=ORIENTED_EDGE('',*,*,#1651,.T.); +#1210=ORIENTED_EDGE('',*,*,#1829,.F.); +#1211=ORIENTED_EDGE('',*,*,#1689,.F.); +#1212=ORIENTED_EDGE('',*,*,#1830,.T.); +#1213=ORIENTED_EDGE('',*,*,#1643,.T.); +#1214=ORIENTED_EDGE('',*,*,#1732,.T.); +#1215=ORIENTED_EDGE('',*,*,#1650,.T.); +#1216=ORIENTED_EDGE('',*,*,#1828,.F.); +#1217=ORIENTED_EDGE('',*,*,#1690,.F.); +#1218=ORIENTED_EDGE('',*,*,#1829,.T.); +#1219=ORIENTED_EDGE('',*,*,#1654,.T.); +#1220=ORIENTED_EDGE('',*,*,#1826,.F.); +#1221=ORIENTED_EDGE('',*,*,#1686,.F.); +#1222=ORIENTED_EDGE('',*,*,#1823,.T.); +#1223=ORIENTED_EDGE('',*,*,#1658,.T.); +#1224=ORIENTED_EDGE('',*,*,#1822,.F.); +#1225=ORIENTED_EDGE('',*,*,#1682,.F.); +#1226=ORIENTED_EDGE('',*,*,#1819,.T.); +#1227=ORIENTED_EDGE('',*,*,#1662,.T.); +#1228=ORIENTED_EDGE('',*,*,#1818,.F.); +#1229=ORIENTED_EDGE('',*,*,#1678,.F.); +#1230=ORIENTED_EDGE('',*,*,#1815,.T.); +#1231=ORIENTED_EDGE('',*,*,#1666,.T.); +#1232=ORIENTED_EDGE('',*,*,#1814,.F.); +#1233=ORIENTED_EDGE('',*,*,#1674,.F.); +#1234=ORIENTED_EDGE('',*,*,#1811,.T.); +#1235=ORIENTED_EDGE('',*,*,#1644,.T.); +#1236=ORIENTED_EDGE('',*,*,#1830,.F.); +#1237=ORIENTED_EDGE('',*,*,#1688,.F.); +#1238=ORIENTED_EDGE('',*,*,#1827,.T.); +#1239=ORIENTED_EDGE('',*,*,#1640,.T.); +#1240=ORIENTED_EDGE('',*,*,#1824,.F.); +#1241=ORIENTED_EDGE('',*,*,#1684,.F.); +#1242=ORIENTED_EDGE('',*,*,#1825,.T.); +#1243=ORIENTED_EDGE('',*,*,#1636,.T.); +#1244=ORIENTED_EDGE('',*,*,#1820,.F.); +#1245=ORIENTED_EDGE('',*,*,#1680,.F.); +#1246=ORIENTED_EDGE('',*,*,#1821,.T.); +#1247=ORIENTED_EDGE('',*,*,#1632,.T.); +#1248=ORIENTED_EDGE('',*,*,#1816,.F.); +#1249=ORIENTED_EDGE('',*,*,#1676,.F.); +#1250=ORIENTED_EDGE('',*,*,#1817,.T.); +#1251=ORIENTED_EDGE('',*,*,#1628,.T.); +#1252=ORIENTED_EDGE('',*,*,#1812,.F.); +#1253=ORIENTED_EDGE('',*,*,#1672,.F.); +#1254=ORIENTED_EDGE('',*,*,#1813,.T.); +#1255=EDGE_CURVE('',#1831,#1832,#2199,.T.); +#1256=EDGE_CURVE('',#1833,#1831,#2200,.T.); +#1257=EDGE_CURVE('',#1833,#1834,#2201,.T.); +#1258=EDGE_CURVE('',#1832,#1834,#2202,.T.); +#1259=EDGE_CURVE('',#1835,#1836,#2203,.T.); +#1260=EDGE_CURVE('',#1837,#1836,#2204,.T.); +#1261=EDGE_CURVE('',#1838,#1837,#2205,.T.); +#1262=EDGE_CURVE('',#1835,#1838,#2206,.T.); +#1263=EDGE_CURVE('',#1839,#1840,#2207,.T.); +#1264=EDGE_CURVE('',#1841,#1840,#2208,.T.); +#1265=EDGE_CURVE('',#1842,#1841,#2209,.T.); +#1266=EDGE_CURVE('',#1839,#1842,#2210,.T.); +#1267=EDGE_CURVE('',#1843,#1844,#2211,.T.); +#1268=EDGE_CURVE('',#1845,#1844,#2212,.T.); +#1269=EDGE_CURVE('',#1846,#1845,#2213,.T.); +#1270=EDGE_CURVE('',#1843,#1846,#2214,.T.); +#1271=EDGE_CURVE('',#1847,#1848,#2215,.T.); +#1272=EDGE_CURVE('',#1847,#1849,#2216,.T.); +#1273=EDGE_CURVE('',#1849,#1850,#2217,.T.); +#1274=EDGE_CURVE('',#1850,#1848,#2218,.T.); +#1275=EDGE_CURVE('',#1851,#1852,#2219,.T.); +#1276=EDGE_CURVE('',#1852,#1853,#2220,.T.); +#1277=EDGE_CURVE('',#1854,#1853,#2221,.T.); +#1278=EDGE_CURVE('',#1854,#1851,#2222,.T.); +#1279=EDGE_CURVE('',#1855,#1856,#2223,.T.); +#1280=EDGE_CURVE('',#1856,#1857,#2224,.T.); +#1281=EDGE_CURVE('',#1858,#1857,#2225,.T.); +#1282=EDGE_CURVE('',#1858,#1855,#2226,.T.); +#1283=EDGE_CURVE('',#1859,#1860,#2227,.T.); +#1284=EDGE_CURVE('',#1860,#1861,#2228,.T.); +#1285=EDGE_CURVE('',#1862,#1861,#2229,.T.); +#1286=EDGE_CURVE('',#1862,#1859,#2230,.T.); +#1287=EDGE_CURVE('',#1847,#1863,#31,.T.); +#1288=EDGE_CURVE('',#1864,#1848,#32,.T.); +#1289=EDGE_CURVE('',#1863,#1864,#2231,.T.); +#1290=EDGE_CURVE('',#1865,#1853,#33,.T.); +#1291=EDGE_CURVE('',#1866,#1865,#2232,.T.); +#1292=EDGE_CURVE('',#1854,#1866,#34,.T.); +#1293=EDGE_CURVE('',#1867,#1857,#35,.T.); +#1294=EDGE_CURVE('',#1868,#1867,#2233,.T.); +#1295=EDGE_CURVE('',#1858,#1868,#36,.T.); +#1296=EDGE_CURVE('',#1869,#1861,#37,.T.); +#1297=EDGE_CURVE('',#1870,#1869,#2234,.T.); +#1298=EDGE_CURVE('',#1862,#1870,#38,.T.); +#1299=EDGE_CURVE('',#1871,#1872,#2235,.T.); +#1300=EDGE_CURVE('',#1871,#1863,#2236,.T.); +#1301=EDGE_CURVE('',#1864,#1872,#2237,.T.); +#1302=EDGE_CURVE('',#1865,#1873,#2238,.T.); +#1303=EDGE_CURVE('',#1874,#1873,#2239,.T.); +#1304=EDGE_CURVE('',#1874,#1866,#2240,.T.); +#1305=EDGE_CURVE('',#1867,#1875,#2241,.T.); +#1306=EDGE_CURVE('',#1876,#1875,#2242,.T.); +#1307=EDGE_CURVE('',#1876,#1868,#2243,.T.); +#1308=EDGE_CURVE('',#1869,#1877,#2244,.T.); +#1309=EDGE_CURVE('',#1878,#1877,#2245,.T.); +#1310=EDGE_CURVE('',#1878,#1870,#2246,.T.); +#1311=EDGE_CURVE('',#1879,#1880,#2247,.T.); +#1312=EDGE_CURVE('',#1879,#1871,#39,.T.); +#1313=EDGE_CURVE('',#1872,#1880,#40,.T.); +#1314=EDGE_CURVE('',#1873,#1881,#41,.T.); +#1315=EDGE_CURVE('',#1882,#1881,#2248,.T.); +#1316=EDGE_CURVE('',#1882,#1874,#42,.T.); +#1317=EDGE_CURVE('',#1875,#1883,#43,.T.); +#1318=EDGE_CURVE('',#1884,#1883,#2249,.T.); +#1319=EDGE_CURVE('',#1884,#1876,#44,.T.); +#1320=EDGE_CURVE('',#1877,#1885,#45,.T.); +#1321=EDGE_CURVE('',#1886,#1885,#2250,.T.); +#1322=EDGE_CURVE('',#1886,#1878,#46,.T.); +#1323=EDGE_CURVE('',#1887,#1888,#47,.T.); +#1324=EDGE_CURVE('',#1887,#1889,#2251,.T.); +#1325=EDGE_CURVE('',#1890,#1889,#48,.T.); +#1326=EDGE_CURVE('',#1888,#1890,#2252,.T.); +#1327=EDGE_CURVE('',#1891,#1892,#49,.T.); +#1328=EDGE_CURVE('',#1893,#1891,#2253,.T.); +#1329=EDGE_CURVE('',#1894,#1893,#50,.T.); +#1330=EDGE_CURVE('',#1894,#1892,#2254,.T.); +#1331=EDGE_CURVE('',#1895,#1896,#51,.T.); +#1332=EDGE_CURVE('',#1897,#1895,#2255,.T.); +#1333=EDGE_CURVE('',#1898,#1897,#52,.T.); +#1334=EDGE_CURVE('',#1898,#1896,#2256,.T.); +#1335=EDGE_CURVE('',#1899,#1900,#53,.T.); +#1336=EDGE_CURVE('',#1901,#1899,#2257,.T.); +#1337=EDGE_CURVE('',#1902,#1901,#54,.T.); +#1338=EDGE_CURVE('',#1902,#1900,#2258,.T.); +#1339=EDGE_CURVE('',#1903,#1904,#2259,.T.); +#1340=EDGE_CURVE('',#1903,#1888,#2260,.T.); +#1341=EDGE_CURVE('',#1890,#1904,#2261,.T.); +#1342=EDGE_CURVE('',#1891,#1905,#2262,.T.); +#1343=EDGE_CURVE('',#1906,#1905,#2263,.T.); +#1344=EDGE_CURVE('',#1906,#1893,#2264,.T.); +#1345=EDGE_CURVE('',#1895,#1907,#2265,.T.); +#1346=EDGE_CURVE('',#1908,#1907,#2266,.T.); +#1347=EDGE_CURVE('',#1908,#1897,#2267,.T.); +#1348=EDGE_CURVE('',#1899,#1909,#2268,.T.); +#1349=EDGE_CURVE('',#1910,#1909,#2269,.T.); +#1350=EDGE_CURVE('',#1910,#1901,#2270,.T.); +#1351=EDGE_CURVE('',#1833,#1903,#55,.T.); +#1352=EDGE_CURVE('',#1904,#1834,#56,.T.); +#1353=EDGE_CURVE('',#1905,#1836,#57,.T.); +#1354=EDGE_CURVE('',#1835,#1906,#58,.T.); +#1355=EDGE_CURVE('',#1907,#1840,#59,.T.); +#1356=EDGE_CURVE('',#1839,#1908,#60,.T.); +#1357=EDGE_CURVE('',#1909,#1844,#61,.T.); +#1358=EDGE_CURVE('',#1843,#1910,#62,.T.); +#1359=EDGE_CURVE('',#1911,#1912,#2271,.T.); +#1360=EDGE_CURVE('',#1913,#1911,#2272,.T.); +#1361=EDGE_CURVE('',#1913,#1914,#2273,.T.); +#1362=EDGE_CURVE('',#1914,#1912,#2274,.T.); +#1363=EDGE_CURVE('',#1915,#1916,#2275,.T.); +#1364=EDGE_CURVE('',#1915,#1917,#2276,.T.); +#1365=EDGE_CURVE('',#1917,#1918,#2277,.T.); +#1366=EDGE_CURVE('',#1918,#1916,#2278,.T.); +#1367=EDGE_CURVE('',#1915,#1919,#63,.T.); +#1368=EDGE_CURVE('',#1920,#1916,#64,.T.); +#1369=EDGE_CURVE('',#1919,#1920,#2279,.T.); +#1370=EDGE_CURVE('',#1921,#1922,#2280,.T.); +#1371=EDGE_CURVE('',#1921,#1919,#2281,.T.); +#1372=EDGE_CURVE('',#1920,#1922,#2282,.T.); +#1373=EDGE_CURVE('',#1923,#1924,#2283,.T.); +#1374=EDGE_CURVE('',#1923,#1921,#65,.T.); +#1375=EDGE_CURVE('',#1922,#1924,#66,.T.); +#1376=EDGE_CURVE('',#1925,#1926,#67,.T.); +#1377=EDGE_CURVE('',#1925,#1927,#2284,.T.); +#1378=EDGE_CURVE('',#1928,#1927,#68,.T.); +#1379=EDGE_CURVE('',#1926,#1928,#2285,.T.); +#1380=EDGE_CURVE('',#1929,#1930,#2286,.T.); +#1381=EDGE_CURVE('',#1929,#1926,#2287,.T.); +#1382=EDGE_CURVE('',#1928,#1930,#2288,.T.); +#1383=EDGE_CURVE('',#1913,#1929,#69,.T.); +#1384=EDGE_CURVE('',#1930,#1914,#70,.T.); +#1385=EDGE_CURVE('',#1931,#1932,#2289,.T.); +#1386=EDGE_CURVE('',#1933,#1932,#2290,.T.); +#1387=EDGE_CURVE('',#1934,#1933,#2291,.T.); +#1388=EDGE_CURVE('',#1931,#1934,#2292,.T.); +#1389=EDGE_CURVE('',#1935,#1936,#2293,.T.); +#1390=EDGE_CURVE('',#1936,#1937,#2294,.T.); +#1391=EDGE_CURVE('',#1938,#1937,#2295,.T.); +#1392=EDGE_CURVE('',#1935,#1938,#2296,.T.); +#1393=EDGE_CURVE('',#1939,#1937,#71,.T.); +#1394=EDGE_CURVE('',#1940,#1939,#2297,.T.); +#1395=EDGE_CURVE('',#1940,#1938,#72,.T.); +#1396=EDGE_CURVE('',#1939,#1941,#2298,.T.); +#1397=EDGE_CURVE('',#1942,#1941,#2299,.T.); +#1398=EDGE_CURVE('',#1940,#1942,#2300,.T.); +#1399=EDGE_CURVE('',#1941,#1943,#73,.T.); +#1400=EDGE_CURVE('',#1944,#1943,#2301,.T.); +#1401=EDGE_CURVE('',#1942,#1944,#74,.T.); +#1402=EDGE_CURVE('',#1945,#1946,#2302,.T.); +#1403=EDGE_CURVE('',#1946,#1879,#2303,.T.); +#1404=EDGE_CURVE('',#1880,#1947,#2304,.T.); +#1405=EDGE_CURVE('',#1947,#1948,#2305,.T.); +#1406=EDGE_CURVE('',#1948,#1923,#2306,.T.); +#1407=EDGE_CURVE('',#1924,#1949,#2307,.T.); +#1408=EDGE_CURVE('',#1950,#1949,#2308,.T.); +#1409=EDGE_CURVE('',#1950,#1951,#2309,.T.); +#1410=EDGE_CURVE('',#1951,#1952,#2310,.T.); +#1411=EDGE_CURVE('',#1952,#1953,#2311,.T.); +#1412=EDGE_CURVE('',#1954,#1953,#2312,.T.); +#1413=EDGE_CURVE('',#1954,#1955,#2313,.T.); +#1414=EDGE_CURVE('',#1955,#1956,#2314,.T.); +#1415=EDGE_CURVE('',#1956,#1957,#2315,.T.); +#1416=EDGE_CURVE('',#1958,#1957,#2316,.T.); +#1417=EDGE_CURVE('',#1958,#1959,#2317,.T.); +#1418=EDGE_CURVE('',#1959,#1960,#2318,.T.); +#1419=EDGE_CURVE('',#1960,#1961,#2319,.T.); +#1420=EDGE_CURVE('',#1962,#1961,#2320,.T.); +#1421=EDGE_CURVE('',#1962,#1963,#2321,.T.); +#1422=EDGE_CURVE('',#1963,#1964,#2322,.T.); +#1423=EDGE_CURVE('',#1964,#1965,#2323,.T.); +#1424=EDGE_CURVE('',#1966,#1965,#2324,.T.); +#1425=EDGE_CURVE('',#1966,#1967,#2325,.T.); +#1426=EDGE_CURVE('',#1967,#1968,#2326,.T.); +#1427=EDGE_CURVE('',#1968,#1969,#2327,.T.); +#1428=EDGE_CURVE('',#1970,#1969,#2328,.T.); +#1429=EDGE_CURVE('',#1944,#1970,#2329,.T.); +#1430=EDGE_CURVE('',#1943,#1971,#2330,.T.); +#1431=EDGE_CURVE('',#1971,#1972,#2331,.T.); +#1432=EDGE_CURVE('',#1972,#1886,#2332,.T.); +#1433=EDGE_CURVE('',#1885,#1973,#2333,.T.); +#1434=EDGE_CURVE('',#1973,#1974,#2334,.T.); +#1435=EDGE_CURVE('',#1974,#1884,#2335,.T.); +#1436=EDGE_CURVE('',#1883,#1975,#2336,.T.); +#1437=EDGE_CURVE('',#1975,#1976,#2337,.T.); +#1438=EDGE_CURVE('',#1976,#1882,#2338,.T.); +#1439=EDGE_CURVE('',#1881,#1945,#2339,.T.); +#1440=EDGE_CURVE('',#1887,#1977,#2340,.T.); +#1441=EDGE_CURVE('',#1977,#1978,#2341,.T.); +#1442=EDGE_CURVE('',#1978,#1892,#2342,.T.); +#1443=EDGE_CURVE('',#1894,#1979,#2343,.T.); +#1444=EDGE_CURVE('',#1979,#1980,#2344,.T.); +#1445=EDGE_CURVE('',#1980,#1896,#2345,.T.); +#1446=EDGE_CURVE('',#1898,#1981,#2346,.T.); +#1447=EDGE_CURVE('',#1981,#1982,#2347,.T.); +#1448=EDGE_CURVE('',#1982,#1900,#2348,.T.); +#1449=EDGE_CURVE('',#1902,#1983,#2349,.T.); +#1450=EDGE_CURVE('',#1983,#1984,#2350,.T.); +#1451=EDGE_CURVE('',#1984,#1985,#2351,.T.); +#1452=EDGE_CURVE('',#1986,#1985,#2352,.T.); +#1453=EDGE_CURVE('',#1987,#1986,#2353,.T.); +#1454=EDGE_CURVE('',#1987,#1988,#2354,.T.); +#1455=EDGE_CURVE('',#1988,#1989,#2355,.T.); +#1456=EDGE_CURVE('',#1989,#1990,#2356,.T.); +#1457=EDGE_CURVE('',#1990,#1991,#2357,.T.); +#1458=EDGE_CURVE('',#1991,#1992,#2358,.T.); +#1459=EDGE_CURVE('',#1992,#1993,#2359,.T.); +#1460=EDGE_CURVE('',#1993,#1994,#2360,.T.); +#1461=EDGE_CURVE('',#1994,#1995,#2361,.T.); +#1462=EDGE_CURVE('',#1995,#1996,#2362,.T.); +#1463=EDGE_CURVE('',#1996,#1997,#2363,.T.); +#1464=EDGE_CURVE('',#1997,#1998,#2364,.T.); +#1465=EDGE_CURVE('',#1998,#1999,#2365,.T.); +#1466=EDGE_CURVE('',#1999,#2000,#2366,.T.); +#1467=EDGE_CURVE('',#2000,#2001,#2367,.T.); +#1468=EDGE_CURVE('',#2001,#2002,#2368,.T.); +#1469=EDGE_CURVE('',#2002,#2003,#2369,.T.); +#1470=EDGE_CURVE('',#2003,#2004,#2370,.T.); +#1471=EDGE_CURVE('',#2004,#2005,#2371,.T.); +#1472=EDGE_CURVE('',#2005,#2006,#2372,.T.); +#1473=EDGE_CURVE('',#2006,#2007,#2373,.T.); +#1474=EDGE_CURVE('',#2007,#2008,#2374,.T.); +#1475=EDGE_CURVE('',#2008,#1927,#2375,.T.); +#1476=EDGE_CURVE('',#1925,#2009,#2376,.T.); +#1477=EDGE_CURVE('',#2009,#2010,#2377,.T.); +#1478=EDGE_CURVE('',#2010,#1889,#2378,.T.); +#1479=EDGE_CURVE('',#2011,#1985,#75,.T.); +#1480=EDGE_CURVE('',#2012,#2011,#2379,.T.); +#1481=EDGE_CURVE('',#2012,#1986,#76,.T.); +#1482=EDGE_CURVE('',#2011,#2013,#2380,.T.); +#1483=EDGE_CURVE('',#2014,#2013,#2381,.T.); +#1484=EDGE_CURVE('',#2012,#2014,#2382,.T.); +#1485=EDGE_CURVE('',#2013,#1932,#77,.T.); +#1486=EDGE_CURVE('',#2014,#1931,#78,.T.); +#1487=EDGE_CURVE('',#1934,#1935,#2383,.T.); +#1488=EDGE_CURVE('',#1970,#1987,#2384,.T.); +#1489=EDGE_CURVE('',#1949,#2008,#2385,.T.); +#1490=EDGE_CURVE('',#1912,#1918,#2386,.T.); +#1491=EDGE_CURVE('',#2007,#1950,#2387,.T.); +#1492=EDGE_CURVE('',#1951,#2006,#2388,.T.); +#1493=EDGE_CURVE('',#1952,#2005,#2389,.T.); +#1494=EDGE_CURVE('',#1953,#2004,#2390,.T.); +#1495=EDGE_CURVE('',#1991,#1966,#2391,.T.); +#1496=EDGE_CURVE('',#1967,#1990,#2392,.T.); +#1497=EDGE_CURVE('',#1968,#1989,#2393,.T.); +#1498=EDGE_CURVE('',#1969,#1988,#2394,.T.); +#1499=EDGE_CURVE('',#1995,#1962,#2395,.T.); +#1500=EDGE_CURVE('',#1963,#1994,#2396,.T.); +#1501=EDGE_CURVE('',#1964,#1993,#2397,.T.); +#1502=EDGE_CURVE('',#1965,#1992,#2398,.T.); +#1503=EDGE_CURVE('',#1999,#1958,#2399,.T.); +#1504=EDGE_CURVE('',#1959,#1998,#2400,.T.); +#1505=EDGE_CURVE('',#1960,#1997,#2401,.T.); +#1506=EDGE_CURVE('',#1961,#1996,#2402,.T.); +#1507=EDGE_CURVE('',#2003,#1954,#2403,.T.); +#1508=EDGE_CURVE('',#1955,#2002,#2404,.T.); +#1509=EDGE_CURVE('',#1956,#2001,#2405,.T.); +#1510=EDGE_CURVE('',#1957,#2000,#2406,.T.); +#1511=EDGE_CURVE('',#1947,#2010,#2407,.T.); +#1512=EDGE_CURVE('',#1948,#2009,#2408,.T.); +#1513=EDGE_CURVE('',#1917,#1911,#2409,.T.); +#1514=EDGE_CURVE('',#1832,#1850,#2410,.T.); +#1515=EDGE_CURVE('',#1971,#1984,#2411,.T.); +#1516=EDGE_CURVE('',#1972,#1983,#2412,.T.); +#1517=EDGE_CURVE('',#1859,#1846,#2413,.T.); +#1518=EDGE_CURVE('',#1933,#1936,#2414,.T.); +#1519=EDGE_CURVE('',#1973,#1982,#2415,.T.); +#1520=EDGE_CURVE('',#1974,#1981,#2416,.T.); +#1521=EDGE_CURVE('',#1855,#1842,#2417,.T.); +#1522=EDGE_CURVE('',#1845,#1860,#2418,.T.); +#1523=EDGE_CURVE('',#1975,#1980,#2419,.T.); +#1524=EDGE_CURVE('',#1976,#1979,#2420,.T.); +#1525=EDGE_CURVE('',#1851,#1838,#2421,.T.); +#1526=EDGE_CURVE('',#1841,#1856,#2422,.T.); +#1527=EDGE_CURVE('',#1945,#1978,#2423,.T.); +#1528=EDGE_CURVE('',#1946,#1977,#2424,.T.); +#1529=EDGE_CURVE('',#1849,#1831,#2425,.T.); +#1530=EDGE_CURVE('',#1837,#1852,#2426,.T.); +#1531=EDGE_CURVE('',#2015,#2008,#2427,.T.); +#1532=EDGE_CURVE('',#2016,#2015,#2428,.F.); +#1533=EDGE_CURVE('',#2016,#1949,#2429,.T.); +#1534=EDGE_CURVE('',#2017,#2007,#2430,.T.); +#1535=EDGE_CURVE('',#2015,#2017,#2431,.F.); +#1536=EDGE_CURVE('',#2018,#1950,#2432,.T.); +#1537=EDGE_CURVE('',#2017,#2018,#2433,.F.); +#1538=EDGE_CURVE('',#2018,#2016,#2434,.F.); +#1539=EDGE_CURVE('',#2019,#2004,#2435,.T.); +#1540=EDGE_CURVE('',#2020,#2019,#2436,.F.); +#1541=EDGE_CURVE('',#2020,#1953,#2437,.T.); +#1542=EDGE_CURVE('',#2021,#2003,#2438,.T.); +#1543=EDGE_CURVE('',#2019,#2021,#2439,.F.); +#1544=EDGE_CURVE('',#2022,#1954,#2440,.T.); +#1545=EDGE_CURVE('',#2021,#2022,#2441,.F.); +#1546=EDGE_CURVE('',#2022,#2020,#2442,.F.); +#1547=EDGE_CURVE('',#2023,#2000,#2443,.T.); +#1548=EDGE_CURVE('',#2024,#2023,#2444,.F.); +#1549=EDGE_CURVE('',#2024,#1957,#2445,.T.); +#1550=EDGE_CURVE('',#2025,#1999,#2446,.T.); +#1551=EDGE_CURVE('',#2023,#2025,#2447,.F.); +#1552=EDGE_CURVE('',#2026,#1958,#2448,.T.); +#1553=EDGE_CURVE('',#2025,#2026,#2449,.F.); +#1554=EDGE_CURVE('',#2026,#2024,#2450,.F.); +#1555=EDGE_CURVE('',#2027,#1996,#2451,.T.); +#1556=EDGE_CURVE('',#2028,#2027,#2452,.F.); +#1557=EDGE_CURVE('',#2028,#1961,#2453,.T.); +#1558=EDGE_CURVE('',#2029,#1995,#2454,.T.); +#1559=EDGE_CURVE('',#2027,#2029,#2455,.F.); +#1560=EDGE_CURVE('',#2030,#1962,#2456,.T.); +#1561=EDGE_CURVE('',#2029,#2030,#2457,.F.); +#1562=EDGE_CURVE('',#2030,#2028,#2458,.F.); +#1563=EDGE_CURVE('',#2031,#1992,#2459,.T.); +#1564=EDGE_CURVE('',#2032,#2031,#2460,.F.); +#1565=EDGE_CURVE('',#2032,#1965,#2461,.T.); +#1566=EDGE_CURVE('',#2033,#1991,#2462,.T.); +#1567=EDGE_CURVE('',#2031,#2033,#2463,.F.); +#1568=EDGE_CURVE('',#2034,#1966,#2464,.T.); +#1569=EDGE_CURVE('',#2033,#2034,#2465,.F.); +#1570=EDGE_CURVE('',#2034,#2032,#2466,.F.); +#1571=EDGE_CURVE('',#2035,#1988,#2467,.T.); +#1572=EDGE_CURVE('',#2036,#2035,#2468,.F.); +#1573=EDGE_CURVE('',#2036,#1969,#2469,.T.); +#1574=EDGE_CURVE('',#2037,#1987,#2470,.T.); +#1575=EDGE_CURVE('',#2035,#2037,#2471,.F.); +#1576=EDGE_CURVE('',#2038,#1970,#2472,.T.); +#1577=EDGE_CURVE('',#2037,#2038,#2473,.F.); +#1578=EDGE_CURVE('',#2038,#2036,#2474,.F.); +#1579=EDGE_CURVE('',#2039,#1918,#2475,.T.); +#1580=EDGE_CURVE('',#2039,#2040,#2476,.T.); +#1581=EDGE_CURVE('',#2040,#1912,#2477,.T.); +#1582=EDGE_CURVE('',#2040,#2041,#2478,.T.); +#1583=EDGE_CURVE('',#2041,#1911,#2479,.T.); +#1584=EDGE_CURVE('',#2041,#2042,#2480,.T.); +#1585=EDGE_CURVE('',#2042,#1917,#2481,.T.); +#1586=EDGE_CURVE('',#2042,#2039,#2482,.T.); +#1587=EDGE_CURVE('',#2043,#1850,#2483,.T.); +#1588=EDGE_CURVE('',#2043,#2044,#2484,.T.); +#1589=EDGE_CURVE('',#2044,#1832,#2485,.T.); +#1590=EDGE_CURVE('',#2044,#2045,#2486,.T.); +#1591=EDGE_CURVE('',#2045,#1831,#2487,.T.); +#1592=EDGE_CURVE('',#2045,#2046,#2488,.T.); +#1593=EDGE_CURVE('',#2046,#1849,#2489,.T.); +#1594=EDGE_CURVE('',#2046,#2043,#2490,.T.); +#1595=EDGE_CURVE('',#2047,#1852,#2491,.T.); +#1596=EDGE_CURVE('',#2047,#2048,#2492,.T.); +#1597=EDGE_CURVE('',#2048,#1837,#2493,.T.); +#1598=EDGE_CURVE('',#2048,#2049,#2494,.T.); +#1599=EDGE_CURVE('',#2049,#1838,#2495,.T.); +#1600=EDGE_CURVE('',#2049,#2050,#2496,.T.); +#1601=EDGE_CURVE('',#2050,#1851,#2497,.T.); +#1602=EDGE_CURVE('',#2050,#2047,#2498,.T.); +#1603=EDGE_CURVE('',#2051,#1856,#2499,.T.); +#1604=EDGE_CURVE('',#2051,#2052,#2500,.T.); +#1605=EDGE_CURVE('',#2052,#1841,#2501,.T.); +#1606=EDGE_CURVE('',#2052,#2053,#2502,.T.); +#1607=EDGE_CURVE('',#2053,#1842,#2503,.T.); +#1608=EDGE_CURVE('',#2053,#2054,#2504,.T.); +#1609=EDGE_CURVE('',#2054,#1855,#2505,.T.); +#1610=EDGE_CURVE('',#2054,#2051,#2506,.T.); +#1611=EDGE_CURVE('',#2055,#1860,#2507,.T.); +#1612=EDGE_CURVE('',#2055,#2056,#2508,.T.); +#1613=EDGE_CURVE('',#2056,#1845,#2509,.T.); +#1614=EDGE_CURVE('',#2056,#2057,#2510,.T.); +#1615=EDGE_CURVE('',#2057,#1846,#2511,.T.); +#1616=EDGE_CURVE('',#2057,#2058,#2512,.T.); +#1617=EDGE_CURVE('',#2058,#1859,#2513,.T.); +#1618=EDGE_CURVE('',#2058,#2055,#2514,.T.); +#1619=EDGE_CURVE('',#2059,#1936,#2515,.T.); +#1620=EDGE_CURVE('',#2059,#2060,#2516,.T.); +#1621=EDGE_CURVE('',#2060,#1933,#2517,.T.); +#1622=EDGE_CURVE('',#2060,#2061,#2518,.T.); +#1623=EDGE_CURVE('',#2061,#1934,#2519,.T.); +#1624=EDGE_CURVE('',#2061,#2062,#2520,.T.); +#1625=EDGE_CURVE('',#2062,#1935,#2521,.T.); +#1626=EDGE_CURVE('',#2062,#2059,#2522,.T.); +#1627=EDGE_CURVE('',#2063,#2064,#2523,.T.); +#1628=EDGE_CURVE('',#2065,#2063,#2524,.T.); +#1629=EDGE_CURVE('',#2066,#2065,#2525,.T.); +#1630=EDGE_CURVE('',#2067,#2066,#2526,.T.); +#1631=EDGE_CURVE('',#2068,#2067,#2527,.T.); +#1632=EDGE_CURVE('',#2069,#2068,#2528,.T.); +#1633=EDGE_CURVE('',#2070,#2069,#2529,.T.); +#1634=EDGE_CURVE('',#2071,#2070,#2530,.T.); +#1635=EDGE_CURVE('',#2072,#2071,#2531,.T.); +#1636=EDGE_CURVE('',#2073,#2072,#2532,.T.); +#1637=EDGE_CURVE('',#2074,#2073,#2533,.T.); +#1638=EDGE_CURVE('',#2075,#2074,#2534,.T.); +#1639=EDGE_CURVE('',#2076,#2075,#2535,.T.); +#1640=EDGE_CURVE('',#2077,#2076,#2536,.T.); +#1641=EDGE_CURVE('',#2078,#2077,#2537,.T.); +#1642=EDGE_CURVE('',#2079,#2078,#2538,.T.); +#1643=EDGE_CURVE('',#2080,#2079,#2539,.T.); +#1644=EDGE_CURVE('',#2081,#2080,#2540,.T.); +#1645=EDGE_CURVE('',#2082,#2081,#2541,.T.); +#1646=EDGE_CURVE('',#2083,#2082,#2542,.T.); +#1647=EDGE_CURVE('',#2083,#2084,#2543,.T.); +#1648=EDGE_CURVE('',#2084,#2085,#2544,.T.); +#1649=EDGE_CURVE('',#2086,#2085,#2545,.T.); +#1650=EDGE_CURVE('',#2087,#2086,#2546,.T.); +#1651=EDGE_CURVE('',#2088,#2087,#2547,.T.); +#1652=EDGE_CURVE('',#2088,#2089,#2548,.T.); +#1653=EDGE_CURVE('',#2090,#2089,#2549,.T.); +#1654=EDGE_CURVE('',#2091,#2090,#2550,.T.); +#1655=EDGE_CURVE('',#2092,#2091,#2551,.T.); +#1656=EDGE_CURVE('',#2092,#2093,#2552,.T.); +#1657=EDGE_CURVE('',#2094,#2093,#2553,.T.); +#1658=EDGE_CURVE('',#2095,#2094,#2554,.T.); +#1659=EDGE_CURVE('',#2096,#2095,#2555,.T.); +#1660=EDGE_CURVE('',#2096,#2097,#2556,.T.); +#1661=EDGE_CURVE('',#2098,#2097,#2557,.T.); +#1662=EDGE_CURVE('',#2099,#2098,#2558,.T.); +#1663=EDGE_CURVE('',#2100,#2099,#2559,.T.); +#1664=EDGE_CURVE('',#2100,#2101,#2560,.T.); +#1665=EDGE_CURVE('',#2102,#2101,#2561,.T.); +#1666=EDGE_CURVE('',#2103,#2102,#2562,.T.); +#1667=EDGE_CURVE('',#2104,#2103,#2563,.T.); +#1668=EDGE_CURVE('',#2104,#2105,#2564,.T.); +#1669=EDGE_CURVE('',#2106,#2105,#2565,.T.); +#1670=EDGE_CURVE('',#2064,#2106,#2566,.T.); +#1671=EDGE_CURVE('',#2107,#2108,#2567,.T.); +#1672=EDGE_CURVE('',#2107,#2109,#2568,.T.); +#1673=EDGE_CURVE('',#2109,#2110,#2569,.T.); +#1674=EDGE_CURVE('',#2110,#2108,#2570,.T.); +#1675=EDGE_CURVE('',#2111,#2112,#2571,.T.); +#1676=EDGE_CURVE('',#2111,#2113,#2572,.T.); +#1677=EDGE_CURVE('',#2113,#2114,#2573,.T.); +#1678=EDGE_CURVE('',#2114,#2112,#2574,.T.); +#1679=EDGE_CURVE('',#2115,#2116,#2575,.T.); +#1680=EDGE_CURVE('',#2115,#2117,#2576,.T.); +#1681=EDGE_CURVE('',#2117,#2118,#2577,.T.); +#1682=EDGE_CURVE('',#2118,#2116,#2578,.T.); +#1683=EDGE_CURVE('',#2119,#2120,#2579,.T.); +#1684=EDGE_CURVE('',#2119,#2121,#2580,.T.); +#1685=EDGE_CURVE('',#2121,#2122,#2581,.T.); +#1686=EDGE_CURVE('',#2122,#2120,#2582,.T.); +#1687=EDGE_CURVE('',#2123,#2124,#2583,.T.); +#1688=EDGE_CURVE('',#2123,#2125,#2584,.T.); +#1689=EDGE_CURVE('',#2125,#2126,#2585,.T.); +#1690=EDGE_CURVE('',#2126,#2124,#2586,.T.); +#1691=EDGE_CURVE('',#2127,#2128,#2587,.T.); +#1692=EDGE_CURVE('',#2129,#2127,#2588,.T.); +#1693=EDGE_CURVE('',#2130,#2129,#2589,.T.); +#1694=EDGE_CURVE('',#2128,#2130,#2590,.T.); +#1695=EDGE_CURVE('',#2131,#2082,#2591,.T.); +#1696=EDGE_CURVE('',#2131,#2132,#2592,.T.); +#1697=EDGE_CURVE('',#2132,#2083,#2593,.T.); +#1698=EDGE_CURVE('',#2133,#2134,#2594,.T.); +#1699=EDGE_CURVE('',#2135,#2133,#2595,.T.); +#1700=EDGE_CURVE('',#2136,#2135,#2596,.T.); +#1701=EDGE_CURVE('',#2134,#2136,#2597,.T.); +#1702=EDGE_CURVE('',#2064,#2137,#2598,.T.); +#1703=EDGE_CURVE('',#2106,#2138,#2599,.T.); +#1704=EDGE_CURVE('',#2138,#2137,#2600,.T.); +#1705=EDGE_CURVE('',#2139,#2140,#2601,.T.); +#1706=EDGE_CURVE('',#2141,#2139,#2602,.T.); +#1707=EDGE_CURVE('',#2142,#2141,#2603,.T.); +#1708=EDGE_CURVE('',#2140,#2142,#2604,.T.); +#1709=EDGE_CURVE('',#2143,#2066,#2605,.T.); +#1710=EDGE_CURVE('',#2143,#2144,#2606,.T.); +#1711=EDGE_CURVE('',#2067,#2144,#2607,.T.); +#1712=EDGE_CURVE('',#2145,#2146,#2608,.T.); +#1713=EDGE_CURVE('',#2147,#2145,#2609,.T.); +#1714=EDGE_CURVE('',#2148,#2147,#2610,.T.); +#1715=EDGE_CURVE('',#2146,#2148,#2611,.T.); +#1716=EDGE_CURVE('',#2149,#2070,#2612,.T.); +#1717=EDGE_CURVE('',#2149,#2150,#2613,.T.); +#1718=EDGE_CURVE('',#2071,#2150,#2614,.T.); +#1719=EDGE_CURVE('',#2151,#2152,#2615,.T.); +#1720=EDGE_CURVE('',#2153,#2151,#2616,.T.); +#1721=EDGE_CURVE('',#2154,#2153,#2617,.T.); +#1722=EDGE_CURVE('',#2152,#2154,#2618,.T.); +#1723=EDGE_CURVE('',#2155,#2074,#2619,.T.); +#1724=EDGE_CURVE('',#2155,#2156,#2620,.T.); +#1725=EDGE_CURVE('',#2075,#2156,#2621,.T.); +#1726=EDGE_CURVE('',#2157,#2158,#2622,.T.); +#1727=EDGE_CURVE('',#2159,#2157,#2623,.T.); +#1728=EDGE_CURVE('',#2160,#2159,#2624,.T.); +#1729=EDGE_CURVE('',#2158,#2160,#2625,.T.); +#1730=EDGE_CURVE('',#2161,#2078,#2626,.T.); +#1731=EDGE_CURVE('',#2161,#2162,#2627,.T.); +#1732=EDGE_CURVE('',#2079,#2162,#2628,.T.); +#1733=EDGE_CURVE('',#2163,#2164,#2629,.T.); +#1734=EDGE_CURVE('',#2164,#2165,#2630,.T.); +#1735=EDGE_CURVE('',#2165,#2166,#2631,.T.); +#1736=EDGE_CURVE('',#2166,#2163,#2632,.T.); +#1737=EDGE_CURVE('',#2167,#2085,#2633,.T.); +#1738=EDGE_CURVE('',#2168,#2084,#2634,.T.); +#1739=EDGE_CURVE('',#2167,#2168,#2635,.T.); +#1740=EDGE_CURVE('',#2169,#2170,#2636,.T.); +#1741=EDGE_CURVE('',#2170,#2171,#2637,.T.); +#1742=EDGE_CURVE('',#2171,#2172,#2638,.T.); +#1743=EDGE_CURVE('',#2172,#2169,#2639,.T.); +#1744=EDGE_CURVE('',#2088,#2173,#2640,.T.); +#1745=EDGE_CURVE('',#2174,#2173,#2641,.T.); +#1746=EDGE_CURVE('',#2174,#2089,#2642,.T.); +#1747=EDGE_CURVE('',#2175,#2176,#2643,.T.); +#1748=EDGE_CURVE('',#2176,#2177,#2644,.T.); +#1749=EDGE_CURVE('',#2177,#2178,#2645,.T.); +#1750=EDGE_CURVE('',#2178,#2175,#2646,.T.); +#1751=EDGE_CURVE('',#2092,#2179,#2647,.T.); +#1752=EDGE_CURVE('',#2180,#2179,#2648,.T.); +#1753=EDGE_CURVE('',#2180,#2093,#2649,.T.); +#1754=EDGE_CURVE('',#2181,#2182,#2650,.T.); +#1755=EDGE_CURVE('',#2182,#2183,#2651,.T.); +#1756=EDGE_CURVE('',#2183,#2184,#2652,.T.); +#1757=EDGE_CURVE('',#2184,#2181,#2653,.T.); +#1758=EDGE_CURVE('',#2096,#2185,#2654,.T.); +#1759=EDGE_CURVE('',#2186,#2185,#2655,.T.); +#1760=EDGE_CURVE('',#2186,#2097,#2656,.T.); +#1761=EDGE_CURVE('',#2187,#2188,#2657,.T.); +#1762=EDGE_CURVE('',#2188,#2189,#2658,.T.); +#1763=EDGE_CURVE('',#2189,#2190,#2659,.T.); +#1764=EDGE_CURVE('',#2190,#2187,#2660,.T.); +#1765=EDGE_CURVE('',#2100,#2191,#2661,.T.); +#1766=EDGE_CURVE('',#2192,#2191,#2662,.T.); +#1767=EDGE_CURVE('',#2192,#2101,#2663,.T.); +#1768=EDGE_CURVE('',#2193,#2194,#2664,.T.); +#1769=EDGE_CURVE('',#2194,#2195,#2665,.T.); +#1770=EDGE_CURVE('',#2195,#2196,#2666,.T.); +#1771=EDGE_CURVE('',#2196,#2193,#2667,.T.); +#1772=EDGE_CURVE('',#2104,#2197,#2668,.T.); +#1773=EDGE_CURVE('',#2198,#2197,#2669,.T.); +#1774=EDGE_CURVE('',#2105,#2198,#2670,.T.); +#1775=EDGE_CURVE('',#2167,#2131,#2671,.T.); +#1776=EDGE_CURVE('',#2132,#2168,#2672,.T.); +#1777=EDGE_CURVE('',#2162,#2173,#2673,.T.); +#1778=EDGE_CURVE('',#2174,#2161,#2674,.T.); +#1779=EDGE_CURVE('',#2156,#2179,#2675,.T.); +#1780=EDGE_CURVE('',#2180,#2155,#2676,.T.); +#1781=EDGE_CURVE('',#2150,#2185,#2677,.T.); +#1782=EDGE_CURVE('',#2186,#2149,#2678,.T.); +#1783=EDGE_CURVE('',#2144,#2191,#2679,.T.); +#1784=EDGE_CURVE('',#2192,#2143,#2680,.T.); +#1785=EDGE_CURVE('',#2137,#2197,#2681,.T.); +#1786=EDGE_CURVE('',#2138,#2198,#2682,.T.); +#1787=EDGE_CURVE('',#2133,#2193,#2683,.T.); +#1788=EDGE_CURVE('',#2134,#2194,#2684,.T.); +#1789=EDGE_CURVE('',#2135,#2196,#2685,.T.); +#1790=EDGE_CURVE('',#2136,#2195,#2686,.T.); +#1791=EDGE_CURVE('',#2139,#2187,#2687,.T.); +#1792=EDGE_CURVE('',#2140,#2188,#2688,.T.); +#1793=EDGE_CURVE('',#2141,#2190,#2689,.T.); +#1794=EDGE_CURVE('',#2142,#2189,#2690,.T.); +#1795=EDGE_CURVE('',#2145,#2181,#2691,.T.); +#1796=EDGE_CURVE('',#2146,#2182,#2692,.T.); +#1797=EDGE_CURVE('',#2147,#2184,#2693,.T.); +#1798=EDGE_CURVE('',#2148,#2183,#2694,.T.); +#1799=EDGE_CURVE('',#2151,#2175,#2695,.T.); +#1800=EDGE_CURVE('',#2152,#2176,#2696,.T.); +#1801=EDGE_CURVE('',#2153,#2178,#2697,.T.); +#1802=EDGE_CURVE('',#2154,#2177,#2698,.T.); +#1803=EDGE_CURVE('',#2157,#2169,#2699,.T.); +#1804=EDGE_CURVE('',#2158,#2170,#2700,.T.); +#1805=EDGE_CURVE('',#2159,#2172,#2701,.T.); +#1806=EDGE_CURVE('',#2160,#2171,#2702,.T.); +#1807=EDGE_CURVE('',#2127,#2163,#2703,.T.); +#1808=EDGE_CURVE('',#2128,#2164,#2704,.T.); +#1809=EDGE_CURVE('',#2129,#2166,#2705,.T.); +#1810=EDGE_CURVE('',#2130,#2165,#2706,.T.); +#1811=EDGE_CURVE('',#2110,#2103,#2707,.T.); +#1812=EDGE_CURVE('',#2109,#2063,#2708,.T.); +#1813=EDGE_CURVE('',#2107,#2065,#2709,.T.); +#1814=EDGE_CURVE('',#2108,#2102,#2710,.T.); +#1815=EDGE_CURVE('',#2114,#2099,#2711,.T.); +#1816=EDGE_CURVE('',#2113,#2068,#2712,.T.); +#1817=EDGE_CURVE('',#2111,#2069,#2713,.T.); +#1818=EDGE_CURVE('',#2112,#2098,#2714,.T.); +#1819=EDGE_CURVE('',#2118,#2095,#2715,.T.); +#1820=EDGE_CURVE('',#2117,#2072,#2716,.T.); +#1821=EDGE_CURVE('',#2115,#2073,#2717,.T.); +#1822=EDGE_CURVE('',#2116,#2094,#2718,.T.); +#1823=EDGE_CURVE('',#2122,#2091,#2719,.T.); +#1824=EDGE_CURVE('',#2121,#2076,#2720,.T.); +#1825=EDGE_CURVE('',#2119,#2077,#2721,.T.); +#1826=EDGE_CURVE('',#2120,#2090,#2722,.T.); +#1827=EDGE_CURVE('',#2123,#2081,#2723,.T.); +#1828=EDGE_CURVE('',#2124,#2086,#2724,.T.); +#1829=EDGE_CURVE('',#2126,#2087,#2725,.T.); +#1830=EDGE_CURVE('',#2125,#2080,#2726,.T.); +#1831=VERTEX_POINT('',#5448); +#1832=VERTEX_POINT('',#5449); +#1833=VERTEX_POINT('',#5451); +#1834=VERTEX_POINT('',#5453); +#1835=VERTEX_POINT('',#5457); +#1836=VERTEX_POINT('',#5458); +#1837=VERTEX_POINT('',#5460); +#1838=VERTEX_POINT('',#5462); +#1839=VERTEX_POINT('',#5466); +#1840=VERTEX_POINT('',#5467); +#1841=VERTEX_POINT('',#5469); +#1842=VERTEX_POINT('',#5471); +#1843=VERTEX_POINT('',#5475); +#1844=VERTEX_POINT('',#5476); +#1845=VERTEX_POINT('',#5478); +#1846=VERTEX_POINT('',#5480); +#1847=VERTEX_POINT('',#5484); +#1848=VERTEX_POINT('',#5485); +#1849=VERTEX_POINT('',#5487); +#1850=VERTEX_POINT('',#5489); +#1851=VERTEX_POINT('',#5493); +#1852=VERTEX_POINT('',#5494); +#1853=VERTEX_POINT('',#5496); +#1854=VERTEX_POINT('',#5498); +#1855=VERTEX_POINT('',#5502); +#1856=VERTEX_POINT('',#5503); +#1857=VERTEX_POINT('',#5505); +#1858=VERTEX_POINT('',#5507); +#1859=VERTEX_POINT('',#5511); +#1860=VERTEX_POINT('',#5512); +#1861=VERTEX_POINT('',#5514); +#1862=VERTEX_POINT('',#5516); +#1863=VERTEX_POINT('',#5520); +#1864=VERTEX_POINT('',#5522); +#1865=VERTEX_POINT('',#5526); +#1866=VERTEX_POINT('',#5528); +#1867=VERTEX_POINT('',#5532); +#1868=VERTEX_POINT('',#5534); +#1869=VERTEX_POINT('',#5538); +#1870=VERTEX_POINT('',#5540); +#1871=VERTEX_POINT('',#5544); +#1872=VERTEX_POINT('',#5545); +#1873=VERTEX_POINT('',#5550); +#1874=VERTEX_POINT('',#5552); +#1875=VERTEX_POINT('',#5556); +#1876=VERTEX_POINT('',#5558); +#1877=VERTEX_POINT('',#5562); +#1878=VERTEX_POINT('',#5564); +#1879=VERTEX_POINT('',#5568); +#1880=VERTEX_POINT('',#5569); +#1881=VERTEX_POINT('',#5574); +#1882=VERTEX_POINT('',#5576); +#1883=VERTEX_POINT('',#5580); +#1884=VERTEX_POINT('',#5582); +#1885=VERTEX_POINT('',#5586); +#1886=VERTEX_POINT('',#5588); +#1887=VERTEX_POINT('',#5592); +#1888=VERTEX_POINT('',#5593); +#1889=VERTEX_POINT('',#5595); +#1890=VERTEX_POINT('',#5597); +#1891=VERTEX_POINT('',#5601); +#1892=VERTEX_POINT('',#5602); +#1893=VERTEX_POINT('',#5604); +#1894=VERTEX_POINT('',#5606); +#1895=VERTEX_POINT('',#5610); +#1896=VERTEX_POINT('',#5611); +#1897=VERTEX_POINT('',#5613); +#1898=VERTEX_POINT('',#5615); +#1899=VERTEX_POINT('',#5619); +#1900=VERTEX_POINT('',#5620); +#1901=VERTEX_POINT('',#5622); +#1902=VERTEX_POINT('',#5624); +#1903=VERTEX_POINT('',#5628); +#1904=VERTEX_POINT('',#5629); +#1905=VERTEX_POINT('',#5634); +#1906=VERTEX_POINT('',#5636); +#1907=VERTEX_POINT('',#5640); +#1908=VERTEX_POINT('',#5642); +#1909=VERTEX_POINT('',#5646); +#1910=VERTEX_POINT('',#5648); +#1911=VERTEX_POINT('',#5664); +#1912=VERTEX_POINT('',#5665); +#1913=VERTEX_POINT('',#5667); +#1914=VERTEX_POINT('',#5669); +#1915=VERTEX_POINT('',#5673); +#1916=VERTEX_POINT('',#5674); +#1917=VERTEX_POINT('',#5676); +#1918=VERTEX_POINT('',#5678); +#1919=VERTEX_POINT('',#5682); +#1920=VERTEX_POINT('',#5684); +#1921=VERTEX_POINT('',#5688); +#1922=VERTEX_POINT('',#5689); +#1923=VERTEX_POINT('',#5694); +#1924=VERTEX_POINT('',#5695); +#1925=VERTEX_POINT('',#5700); +#1926=VERTEX_POINT('',#5701); +#1927=VERTEX_POINT('',#5703); +#1928=VERTEX_POINT('',#5705); +#1929=VERTEX_POINT('',#5709); +#1930=VERTEX_POINT('',#5710); +#1931=VERTEX_POINT('',#5718); +#1932=VERTEX_POINT('',#5719); +#1933=VERTEX_POINT('',#5721); +#1934=VERTEX_POINT('',#5723); +#1935=VERTEX_POINT('',#5727); +#1936=VERTEX_POINT('',#5728); +#1937=VERTEX_POINT('',#5730); +#1938=VERTEX_POINT('',#5732); +#1939=VERTEX_POINT('',#5736); +#1940=VERTEX_POINT('',#5738); +#1941=VERTEX_POINT('',#5742); +#1942=VERTEX_POINT('',#5744); +#1943=VERTEX_POINT('',#5748); +#1944=VERTEX_POINT('',#5750); +#1945=VERTEX_POINT('',#5754); +#1946=VERTEX_POINT('',#5755); +#1947=VERTEX_POINT('',#5758); +#1948=VERTEX_POINT('',#5760); +#1949=VERTEX_POINT('',#5763); +#1950=VERTEX_POINT('',#5765); +#1951=VERTEX_POINT('',#5767); +#1952=VERTEX_POINT('',#5769); +#1953=VERTEX_POINT('',#5771); +#1954=VERTEX_POINT('',#5773); +#1955=VERTEX_POINT('',#5775); +#1956=VERTEX_POINT('',#5777); +#1957=VERTEX_POINT('',#5779); +#1958=VERTEX_POINT('',#5781); +#1959=VERTEX_POINT('',#5783); +#1960=VERTEX_POINT('',#5785); +#1961=VERTEX_POINT('',#5787); +#1962=VERTEX_POINT('',#5789); +#1963=VERTEX_POINT('',#5791); +#1964=VERTEX_POINT('',#5793); +#1965=VERTEX_POINT('',#5795); +#1966=VERTEX_POINT('',#5797); +#1967=VERTEX_POINT('',#5799); +#1968=VERTEX_POINT('',#5801); +#1969=VERTEX_POINT('',#5803); +#1970=VERTEX_POINT('',#5805); +#1971=VERTEX_POINT('',#5808); +#1972=VERTEX_POINT('',#5810); +#1973=VERTEX_POINT('',#5813); +#1974=VERTEX_POINT('',#5815); +#1975=VERTEX_POINT('',#5818); +#1976=VERTEX_POINT('',#5820); +#1977=VERTEX_POINT('',#5825); +#1978=VERTEX_POINT('',#5827); +#1979=VERTEX_POINT('',#5830); +#1980=VERTEX_POINT('',#5832); +#1981=VERTEX_POINT('',#5835); +#1982=VERTEX_POINT('',#5837); +#1983=VERTEX_POINT('',#5840); +#1984=VERTEX_POINT('',#5842); +#1985=VERTEX_POINT('',#5844); +#1986=VERTEX_POINT('',#5846); +#1987=VERTEX_POINT('',#5848); +#1988=VERTEX_POINT('',#5850); +#1989=VERTEX_POINT('',#5852); +#1990=VERTEX_POINT('',#5854); +#1991=VERTEX_POINT('',#5856); +#1992=VERTEX_POINT('',#5858); +#1993=VERTEX_POINT('',#5860); +#1994=VERTEX_POINT('',#5862); +#1995=VERTEX_POINT('',#5864); +#1996=VERTEX_POINT('',#5866); +#1997=VERTEX_POINT('',#5868); +#1998=VERTEX_POINT('',#5870); +#1999=VERTEX_POINT('',#5872); +#2000=VERTEX_POINT('',#5874); +#2001=VERTEX_POINT('',#5876); +#2002=VERTEX_POINT('',#5878); +#2003=VERTEX_POINT('',#5880); +#2004=VERTEX_POINT('',#5882); +#2005=VERTEX_POINT('',#5884); +#2006=VERTEX_POINT('',#5886); +#2007=VERTEX_POINT('',#5888); +#2008=VERTEX_POINT('',#5890); +#2009=VERTEX_POINT('',#5893); +#2010=VERTEX_POINT('',#5895); +#2011=VERTEX_POINT('',#5899); +#2012=VERTEX_POINT('',#5901); +#2013=VERTEX_POINT('',#5905); +#2014=VERTEX_POINT('',#5907); +#2015=VERTEX_POINT('',#5990); +#2016=VERTEX_POINT('',#5992); +#2017=VERTEX_POINT('',#5996); +#2018=VERTEX_POINT('',#6000); +#2019=VERTEX_POINT('',#6007); +#2020=VERTEX_POINT('',#6009); +#2021=VERTEX_POINT('',#6013); +#2022=VERTEX_POINT('',#6017); +#2023=VERTEX_POINT('',#6024); +#2024=VERTEX_POINT('',#6026); +#2025=VERTEX_POINT('',#6030); +#2026=VERTEX_POINT('',#6034); +#2027=VERTEX_POINT('',#6041); +#2028=VERTEX_POINT('',#6043); +#2029=VERTEX_POINT('',#6047); +#2030=VERTEX_POINT('',#6051); +#2031=VERTEX_POINT('',#6058); +#2032=VERTEX_POINT('',#6060); +#2033=VERTEX_POINT('',#6064); +#2034=VERTEX_POINT('',#6068); +#2035=VERTEX_POINT('',#6075); +#2036=VERTEX_POINT('',#6077); +#2037=VERTEX_POINT('',#6081); +#2038=VERTEX_POINT('',#6085); +#2039=VERTEX_POINT('',#6092); +#2040=VERTEX_POINT('',#6094); +#2041=VERTEX_POINT('',#6098); +#2042=VERTEX_POINT('',#6102); +#2043=VERTEX_POINT('',#6109); +#2044=VERTEX_POINT('',#6111); +#2045=VERTEX_POINT('',#6115); +#2046=VERTEX_POINT('',#6119); +#2047=VERTEX_POINT('',#6126); +#2048=VERTEX_POINT('',#6128); +#2049=VERTEX_POINT('',#6132); +#2050=VERTEX_POINT('',#6136); +#2051=VERTEX_POINT('',#6143); +#2052=VERTEX_POINT('',#6145); +#2053=VERTEX_POINT('',#6149); +#2054=VERTEX_POINT('',#6153); +#2055=VERTEX_POINT('',#6160); +#2056=VERTEX_POINT('',#6162); +#2057=VERTEX_POINT('',#6166); +#2058=VERTEX_POINT('',#6170); +#2059=VERTEX_POINT('',#6177); +#2060=VERTEX_POINT('',#6179); +#2061=VERTEX_POINT('',#6183); +#2062=VERTEX_POINT('',#6187); +#2063=VERTEX_POINT('',#6195); +#2064=VERTEX_POINT('',#6196); +#2065=VERTEX_POINT('',#6198); +#2066=VERTEX_POINT('',#6200); +#2067=VERTEX_POINT('',#6202); +#2068=VERTEX_POINT('',#6204); +#2069=VERTEX_POINT('',#6206); +#2070=VERTEX_POINT('',#6208); +#2071=VERTEX_POINT('',#6210); +#2072=VERTEX_POINT('',#6212); +#2073=VERTEX_POINT('',#6214); +#2074=VERTEX_POINT('',#6216); +#2075=VERTEX_POINT('',#6218); +#2076=VERTEX_POINT('',#6220); +#2077=VERTEX_POINT('',#6222); +#2078=VERTEX_POINT('',#6224); +#2079=VERTEX_POINT('',#6226); +#2080=VERTEX_POINT('',#6228); +#2081=VERTEX_POINT('',#6230); +#2082=VERTEX_POINT('',#6232); +#2083=VERTEX_POINT('',#6234); +#2084=VERTEX_POINT('',#6236); +#2085=VERTEX_POINT('',#6238); +#2086=VERTEX_POINT('',#6240); +#2087=VERTEX_POINT('',#6242); +#2088=VERTEX_POINT('',#6244); +#2089=VERTEX_POINT('',#6246); +#2090=VERTEX_POINT('',#6248); +#2091=VERTEX_POINT('',#6250); +#2092=VERTEX_POINT('',#6252); +#2093=VERTEX_POINT('',#6254); +#2094=VERTEX_POINT('',#6256); +#2095=VERTEX_POINT('',#6258); +#2096=VERTEX_POINT('',#6260); +#2097=VERTEX_POINT('',#6262); +#2098=VERTEX_POINT('',#6264); +#2099=VERTEX_POINT('',#6266); +#2100=VERTEX_POINT('',#6268); +#2101=VERTEX_POINT('',#6270); +#2102=VERTEX_POINT('',#6272); +#2103=VERTEX_POINT('',#6274); +#2104=VERTEX_POINT('',#6276); +#2105=VERTEX_POINT('',#6278); +#2106=VERTEX_POINT('',#6280); +#2107=VERTEX_POINT('',#6284); +#2108=VERTEX_POINT('',#6285); +#2109=VERTEX_POINT('',#6287); +#2110=VERTEX_POINT('',#6289); +#2111=VERTEX_POINT('',#6293); +#2112=VERTEX_POINT('',#6294); +#2113=VERTEX_POINT('',#6296); +#2114=VERTEX_POINT('',#6298); +#2115=VERTEX_POINT('',#6302); +#2116=VERTEX_POINT('',#6303); +#2117=VERTEX_POINT('',#6305); +#2118=VERTEX_POINT('',#6307); +#2119=VERTEX_POINT('',#6311); +#2120=VERTEX_POINT('',#6312); +#2121=VERTEX_POINT('',#6314); +#2122=VERTEX_POINT('',#6316); +#2123=VERTEX_POINT('',#6320); +#2124=VERTEX_POINT('',#6321); +#2125=VERTEX_POINT('',#6323); +#2126=VERTEX_POINT('',#6325); +#2127=VERTEX_POINT('',#6329); +#2128=VERTEX_POINT('',#6330); +#2129=VERTEX_POINT('',#6332); +#2130=VERTEX_POINT('',#6334); +#2131=VERTEX_POINT('',#6337); +#2132=VERTEX_POINT('',#6339); +#2133=VERTEX_POINT('',#6343); +#2134=VERTEX_POINT('',#6344); +#2135=VERTEX_POINT('',#6346); +#2136=VERTEX_POINT('',#6348); +#2137=VERTEX_POINT('',#6351); +#2138=VERTEX_POINT('',#6353); +#2139=VERTEX_POINT('',#6357); +#2140=VERTEX_POINT('',#6358); +#2141=VERTEX_POINT('',#6360); +#2142=VERTEX_POINT('',#6362); +#2143=VERTEX_POINT('',#6365); +#2144=VERTEX_POINT('',#6367); +#2145=VERTEX_POINT('',#6371); +#2146=VERTEX_POINT('',#6372); +#2147=VERTEX_POINT('',#6374); +#2148=VERTEX_POINT('',#6376); +#2149=VERTEX_POINT('',#6379); +#2150=VERTEX_POINT('',#6381); +#2151=VERTEX_POINT('',#6385); +#2152=VERTEX_POINT('',#6386); +#2153=VERTEX_POINT('',#6388); +#2154=VERTEX_POINT('',#6390); +#2155=VERTEX_POINT('',#6393); +#2156=VERTEX_POINT('',#6395); +#2157=VERTEX_POINT('',#6399); +#2158=VERTEX_POINT('',#6400); +#2159=VERTEX_POINT('',#6402); +#2160=VERTEX_POINT('',#6404); +#2161=VERTEX_POINT('',#6407); +#2162=VERTEX_POINT('',#6409); +#2163=VERTEX_POINT('',#6413); +#2164=VERTEX_POINT('',#6414); +#2165=VERTEX_POINT('',#6416); +#2166=VERTEX_POINT('',#6418); +#2167=VERTEX_POINT('',#6421); +#2168=VERTEX_POINT('',#6423); +#2169=VERTEX_POINT('',#6427); +#2170=VERTEX_POINT('',#6428); +#2171=VERTEX_POINT('',#6430); +#2172=VERTEX_POINT('',#6432); +#2173=VERTEX_POINT('',#6435); +#2174=VERTEX_POINT('',#6437); +#2175=VERTEX_POINT('',#6441); +#2176=VERTEX_POINT('',#6442); +#2177=VERTEX_POINT('',#6444); +#2178=VERTEX_POINT('',#6446); +#2179=VERTEX_POINT('',#6449); +#2180=VERTEX_POINT('',#6451); +#2181=VERTEX_POINT('',#6455); +#2182=VERTEX_POINT('',#6456); +#2183=VERTEX_POINT('',#6458); +#2184=VERTEX_POINT('',#6460); +#2185=VERTEX_POINT('',#6463); +#2186=VERTEX_POINT('',#6465); +#2187=VERTEX_POINT('',#6469); +#2188=VERTEX_POINT('',#6470); +#2189=VERTEX_POINT('',#6472); +#2190=VERTEX_POINT('',#6474); +#2191=VERTEX_POINT('',#6477); +#2192=VERTEX_POINT('',#6479); +#2193=VERTEX_POINT('',#6483); +#2194=VERTEX_POINT('',#6484); +#2195=VERTEX_POINT('',#6486); +#2196=VERTEX_POINT('',#6488); +#2197=VERTEX_POINT('',#6491); +#2198=VERTEX_POINT('',#6493); +#2199=LINE('',#5447,#2727); +#2200=LINE('',#5450,#2728); +#2201=LINE('',#5452,#2729); +#2202=LINE('',#5454,#2730); +#2203=LINE('',#5456,#2731); +#2204=LINE('',#5459,#2732); +#2205=LINE('',#5461,#2733); +#2206=LINE('',#5463,#2734); +#2207=LINE('',#5465,#2735); +#2208=LINE('',#5468,#2736); +#2209=LINE('',#5470,#2737); +#2210=LINE('',#5472,#2738); +#2211=LINE('',#5474,#2739); +#2212=LINE('',#5477,#2740); +#2213=LINE('',#5479,#2741); +#2214=LINE('',#5481,#2742); +#2215=LINE('',#5483,#2743); +#2216=LINE('',#5486,#2744); +#2217=LINE('',#5488,#2745); +#2218=LINE('',#5490,#2746); +#2219=LINE('',#5492,#2747); +#2220=LINE('',#5495,#2748); +#2221=LINE('',#5497,#2749); +#2222=LINE('',#5499,#2750); +#2223=LINE('',#5501,#2751); +#2224=LINE('',#5504,#2752); +#2225=LINE('',#5506,#2753); +#2226=LINE('',#5508,#2754); +#2227=LINE('',#5510,#2755); +#2228=LINE('',#5513,#2756); +#2229=LINE('',#5515,#2757); +#2230=LINE('',#5517,#2758); +#2231=LINE('',#5523,#2759); +#2232=LINE('',#5527,#2760); +#2233=LINE('',#5533,#2761); +#2234=LINE('',#5539,#2762); +#2235=LINE('',#5543,#2763); +#2236=LINE('',#5546,#2764); +#2237=LINE('',#5547,#2765); +#2238=LINE('',#5549,#2766); +#2239=LINE('',#5551,#2767); +#2240=LINE('',#5553,#2768); +#2241=LINE('',#5555,#2769); +#2242=LINE('',#5557,#2770); +#2243=LINE('',#5559,#2771); +#2244=LINE('',#5561,#2772); +#2245=LINE('',#5563,#2773); +#2246=LINE('',#5565,#2774); +#2247=LINE('',#5567,#2775); +#2248=LINE('',#5575,#2776); +#2249=LINE('',#5581,#2777); +#2250=LINE('',#5587,#2778); +#2251=LINE('',#5594,#2779); +#2252=LINE('',#5598,#2780); +#2253=LINE('',#5603,#2781); +#2254=LINE('',#5607,#2782); +#2255=LINE('',#5612,#2783); +#2256=LINE('',#5616,#2784); +#2257=LINE('',#5621,#2785); +#2258=LINE('',#5625,#2786); +#2259=LINE('',#5627,#2787); +#2260=LINE('',#5630,#2788); +#2261=LINE('',#5631,#2789); +#2262=LINE('',#5633,#2790); +#2263=LINE('',#5635,#2791); +#2264=LINE('',#5637,#2792); +#2265=LINE('',#5639,#2793); +#2266=LINE('',#5641,#2794); +#2267=LINE('',#5643,#2795); +#2268=LINE('',#5645,#2796); +#2269=LINE('',#5647,#2797); +#2270=LINE('',#5649,#2798); +#2271=LINE('',#5663,#2799); +#2272=LINE('',#5666,#2800); +#2273=LINE('',#5668,#2801); +#2274=LINE('',#5670,#2802); +#2275=LINE('',#5672,#2803); +#2276=LINE('',#5675,#2804); +#2277=LINE('',#5677,#2805); +#2278=LINE('',#5679,#2806); +#2279=LINE('',#5685,#2807); +#2280=LINE('',#5687,#2808); +#2281=LINE('',#5690,#2809); +#2282=LINE('',#5691,#2810); +#2283=LINE('',#5693,#2811); +#2284=LINE('',#5702,#2812); +#2285=LINE('',#5706,#2813); +#2286=LINE('',#5708,#2814); +#2287=LINE('',#5711,#2815); +#2288=LINE('',#5712,#2816); +#2289=LINE('',#5717,#2817); +#2290=LINE('',#5720,#2818); +#2291=LINE('',#5722,#2819); +#2292=LINE('',#5724,#2820); +#2293=LINE('',#5726,#2821); +#2294=LINE('',#5729,#2822); +#2295=LINE('',#5731,#2823); +#2296=LINE('',#5733,#2824); +#2297=LINE('',#5737,#2825); +#2298=LINE('',#5741,#2826); +#2299=LINE('',#5743,#2827); +#2300=LINE('',#5745,#2828); +#2301=LINE('',#5749,#2829); +#2302=LINE('',#5753,#2830); +#2303=LINE('',#5756,#2831); +#2304=LINE('',#5757,#2832); +#2305=LINE('',#5759,#2833); +#2306=LINE('',#5761,#2834); +#2307=LINE('',#5762,#2835); +#2308=LINE('',#5764,#2836); +#2309=LINE('',#5766,#2837); +#2310=LINE('',#5768,#2838); +#2311=LINE('',#5770,#2839); +#2312=LINE('',#5772,#2840); +#2313=LINE('',#5774,#2841); +#2314=LINE('',#5776,#2842); +#2315=LINE('',#5778,#2843); +#2316=LINE('',#5780,#2844); +#2317=LINE('',#5782,#2845); +#2318=LINE('',#5784,#2846); +#2319=LINE('',#5786,#2847); +#2320=LINE('',#5788,#2848); +#2321=LINE('',#5790,#2849); +#2322=LINE('',#5792,#2850); +#2323=LINE('',#5794,#2851); +#2324=LINE('',#5796,#2852); +#2325=LINE('',#5798,#2853); +#2326=LINE('',#5800,#2854); +#2327=LINE('',#5802,#2855); +#2328=LINE('',#5804,#2856); +#2329=LINE('',#5806,#2857); +#2330=LINE('',#5807,#2858); +#2331=LINE('',#5809,#2859); +#2332=LINE('',#5811,#2860); +#2333=LINE('',#5812,#2861); +#2334=LINE('',#5814,#2862); +#2335=LINE('',#5816,#2863); +#2336=LINE('',#5817,#2864); +#2337=LINE('',#5819,#2865); +#2338=LINE('',#5821,#2866); +#2339=LINE('',#5822,#2867); +#2340=LINE('',#5824,#2868); +#2341=LINE('',#5826,#2869); +#2342=LINE('',#5828,#2870); +#2343=LINE('',#5829,#2871); +#2344=LINE('',#5831,#2872); +#2345=LINE('',#5833,#2873); +#2346=LINE('',#5834,#2874); +#2347=LINE('',#5836,#2875); +#2348=LINE('',#5838,#2876); +#2349=LINE('',#5839,#2877); +#2350=LINE('',#5841,#2878); +#2351=LINE('',#5843,#2879); +#2352=LINE('',#5845,#2880); +#2353=LINE('',#5847,#2881); +#2354=LINE('',#5849,#2882); +#2355=LINE('',#5851,#2883); +#2356=LINE('',#5853,#2884); +#2357=LINE('',#5855,#2885); +#2358=LINE('',#5857,#2886); +#2359=LINE('',#5859,#2887); +#2360=LINE('',#5861,#2888); +#2361=LINE('',#5863,#2889); +#2362=LINE('',#5865,#2890); +#2363=LINE('',#5867,#2891); +#2364=LINE('',#5869,#2892); +#2365=LINE('',#5871,#2893); +#2366=LINE('',#5873,#2894); +#2367=LINE('',#5875,#2895); +#2368=LINE('',#5877,#2896); +#2369=LINE('',#5879,#2897); +#2370=LINE('',#5881,#2898); +#2371=LINE('',#5883,#2899); +#2372=LINE('',#5885,#2900); +#2373=LINE('',#5887,#2901); +#2374=LINE('',#5889,#2902); +#2375=LINE('',#5891,#2903); +#2376=LINE('',#5892,#2904); +#2377=LINE('',#5894,#2905); +#2378=LINE('',#5896,#2906); +#2379=LINE('',#5900,#2907); +#2380=LINE('',#5904,#2908); +#2381=LINE('',#5906,#2909); +#2382=LINE('',#5908,#2910); +#2383=LINE('',#5913,#2911); +#2384=LINE('',#5914,#2912); +#2385=LINE('',#5916,#2913); +#2386=LINE('',#5917,#2914); +#2387=LINE('',#5919,#2915); +#2388=LINE('',#5920,#2916); +#2389=LINE('',#5922,#2917); +#2390=LINE('',#5924,#2918); +#2391=LINE('',#5926,#2919); +#2392=LINE('',#5927,#2920); +#2393=LINE('',#5929,#2921); +#2394=LINE('',#5931,#2922); +#2395=LINE('',#5933,#2923); +#2396=LINE('',#5934,#2924); +#2397=LINE('',#5936,#2925); +#2398=LINE('',#5938,#2926); +#2399=LINE('',#5940,#2927); +#2400=LINE('',#5941,#2928); +#2401=LINE('',#5943,#2929); +#2402=LINE('',#5945,#2930); +#2403=LINE('',#5947,#2931); +#2404=LINE('',#5948,#2932); +#2405=LINE('',#5950,#2933); +#2406=LINE('',#5952,#2934); +#2407=LINE('',#5954,#2935); +#2408=LINE('',#5955,#2936); +#2409=LINE('',#5957,#2937); +#2410=LINE('',#5959,#2938); +#2411=LINE('',#5961,#2939); +#2412=LINE('',#5962,#2940); +#2413=LINE('',#5964,#2941); +#2414=LINE('',#5966,#2942); +#2415=LINE('',#5968,#2943); +#2416=LINE('',#5969,#2944); +#2417=LINE('',#5971,#2945); +#2418=LINE('',#5973,#2946); +#2419=LINE('',#5975,#2947); +#2420=LINE('',#5976,#2948); +#2421=LINE('',#5978,#2949); +#2422=LINE('',#5980,#2950); +#2423=LINE('',#5982,#2951); +#2424=LINE('',#5983,#2952); +#2425=LINE('',#5985,#2953); +#2426=LINE('',#5987,#2954); +#2427=LINE('',#5989,#2955); +#2428=LINE('',#5991,#2956); +#2429=LINE('',#5993,#2957); +#2430=LINE('',#5995,#2958); +#2431=LINE('',#5997,#2959); +#2432=LINE('',#5999,#2960); +#2433=LINE('',#6001,#2961); +#2434=LINE('',#6003,#2962); +#2435=LINE('',#6006,#2963); +#2436=LINE('',#6008,#2964); +#2437=LINE('',#6010,#2965); +#2438=LINE('',#6012,#2966); +#2439=LINE('',#6014,#2967); +#2440=LINE('',#6016,#2968); +#2441=LINE('',#6018,#2969); +#2442=LINE('',#6020,#2970); +#2443=LINE('',#6023,#2971); +#2444=LINE('',#6025,#2972); +#2445=LINE('',#6027,#2973); +#2446=LINE('',#6029,#2974); +#2447=LINE('',#6031,#2975); +#2448=LINE('',#6033,#2976); +#2449=LINE('',#6035,#2977); +#2450=LINE('',#6037,#2978); +#2451=LINE('',#6040,#2979); +#2452=LINE('',#6042,#2980); +#2453=LINE('',#6044,#2981); +#2454=LINE('',#6046,#2982); +#2455=LINE('',#6048,#2983); +#2456=LINE('',#6050,#2984); +#2457=LINE('',#6052,#2985); +#2458=LINE('',#6054,#2986); +#2459=LINE('',#6057,#2987); +#2460=LINE('',#6059,#2988); +#2461=LINE('',#6061,#2989); +#2462=LINE('',#6063,#2990); +#2463=LINE('',#6065,#2991); +#2464=LINE('',#6067,#2992); +#2465=LINE('',#6069,#2993); +#2466=LINE('',#6071,#2994); +#2467=LINE('',#6074,#2995); +#2468=LINE('',#6076,#2996); +#2469=LINE('',#6078,#2997); +#2470=LINE('',#6080,#2998); +#2471=LINE('',#6082,#2999); +#2472=LINE('',#6084,#3000); +#2473=LINE('',#6086,#3001); +#2474=LINE('',#6088,#3002); +#2475=LINE('',#6091,#3003); +#2476=LINE('',#6093,#3004); +#2477=LINE('',#6095,#3005); +#2478=LINE('',#6097,#3006); +#2479=LINE('',#6099,#3007); +#2480=LINE('',#6101,#3008); +#2481=LINE('',#6103,#3009); +#2482=LINE('',#6105,#3010); +#2483=LINE('',#6108,#3011); +#2484=LINE('',#6110,#3012); +#2485=LINE('',#6112,#3013); +#2486=LINE('',#6114,#3014); +#2487=LINE('',#6116,#3015); +#2488=LINE('',#6118,#3016); +#2489=LINE('',#6120,#3017); +#2490=LINE('',#6122,#3018); +#2491=LINE('',#6125,#3019); +#2492=LINE('',#6127,#3020); +#2493=LINE('',#6129,#3021); +#2494=LINE('',#6131,#3022); +#2495=LINE('',#6133,#3023); +#2496=LINE('',#6135,#3024); +#2497=LINE('',#6137,#3025); +#2498=LINE('',#6139,#3026); +#2499=LINE('',#6142,#3027); +#2500=LINE('',#6144,#3028); +#2501=LINE('',#6146,#3029); +#2502=LINE('',#6148,#3030); +#2503=LINE('',#6150,#3031); +#2504=LINE('',#6152,#3032); +#2505=LINE('',#6154,#3033); +#2506=LINE('',#6156,#3034); +#2507=LINE('',#6159,#3035); +#2508=LINE('',#6161,#3036); +#2509=LINE('',#6163,#3037); +#2510=LINE('',#6165,#3038); +#2511=LINE('',#6167,#3039); +#2512=LINE('',#6169,#3040); +#2513=LINE('',#6171,#3041); +#2514=LINE('',#6173,#3042); +#2515=LINE('',#6176,#3043); +#2516=LINE('',#6178,#3044); +#2517=LINE('',#6180,#3045); +#2518=LINE('',#6182,#3046); +#2519=LINE('',#6184,#3047); +#2520=LINE('',#6186,#3048); +#2521=LINE('',#6188,#3049); +#2522=LINE('',#6190,#3050); +#2523=LINE('',#6194,#3051); +#2524=LINE('',#6197,#3052); +#2525=LINE('',#6199,#3053); +#2526=LINE('',#6201,#3054); +#2527=LINE('',#6203,#3055); +#2528=LINE('',#6205,#3056); +#2529=LINE('',#6207,#3057); +#2530=LINE('',#6209,#3058); +#2531=LINE('',#6211,#3059); +#2532=LINE('',#6213,#3060); +#2533=LINE('',#6215,#3061); +#2534=LINE('',#6217,#3062); +#2535=LINE('',#6219,#3063); +#2536=LINE('',#6221,#3064); +#2537=LINE('',#6223,#3065); +#2538=LINE('',#6225,#3066); +#2539=LINE('',#6227,#3067); +#2540=LINE('',#6229,#3068); +#2541=LINE('',#6231,#3069); +#2542=LINE('',#6233,#3070); +#2543=LINE('',#6235,#3071); +#2544=LINE('',#6237,#3072); +#2545=LINE('',#6239,#3073); +#2546=LINE('',#6241,#3074); +#2547=LINE('',#6243,#3075); +#2548=LINE('',#6245,#3076); +#2549=LINE('',#6247,#3077); +#2550=LINE('',#6249,#3078); +#2551=LINE('',#6251,#3079); +#2552=LINE('',#6253,#3080); +#2553=LINE('',#6255,#3081); +#2554=LINE('',#6257,#3082); +#2555=LINE('',#6259,#3083); +#2556=LINE('',#6261,#3084); +#2557=LINE('',#6263,#3085); +#2558=LINE('',#6265,#3086); +#2559=LINE('',#6267,#3087); +#2560=LINE('',#6269,#3088); +#2561=LINE('',#6271,#3089); +#2562=LINE('',#6273,#3090); +#2563=LINE('',#6275,#3091); +#2564=LINE('',#6277,#3092); +#2565=LINE('',#6279,#3093); +#2566=LINE('',#6281,#3094); +#2567=LINE('',#6283,#3095); +#2568=LINE('',#6286,#3096); +#2569=LINE('',#6288,#3097); +#2570=LINE('',#6290,#3098); +#2571=LINE('',#6292,#3099); +#2572=LINE('',#6295,#3100); +#2573=LINE('',#6297,#3101); +#2574=LINE('',#6299,#3102); +#2575=LINE('',#6301,#3103); +#2576=LINE('',#6304,#3104); +#2577=LINE('',#6306,#3105); +#2578=LINE('',#6308,#3106); +#2579=LINE('',#6310,#3107); +#2580=LINE('',#6313,#3108); +#2581=LINE('',#6315,#3109); +#2582=LINE('',#6317,#3110); +#2583=LINE('',#6319,#3111); +#2584=LINE('',#6322,#3112); +#2585=LINE('',#6324,#3113); +#2586=LINE('',#6326,#3114); +#2587=LINE('',#6328,#3115); +#2588=LINE('',#6331,#3116); +#2589=LINE('',#6333,#3117); +#2590=LINE('',#6335,#3118); +#2591=LINE('',#6336,#3119); +#2592=LINE('',#6338,#3120); +#2593=LINE('',#6340,#3121); +#2594=LINE('',#6342,#3122); +#2595=LINE('',#6345,#3123); +#2596=LINE('',#6347,#3124); +#2597=LINE('',#6349,#3125); +#2598=LINE('',#6350,#3126); +#2599=LINE('',#6352,#3127); +#2600=LINE('',#6354,#3128); +#2601=LINE('',#6356,#3129); +#2602=LINE('',#6359,#3130); +#2603=LINE('',#6361,#3131); +#2604=LINE('',#6363,#3132); +#2605=LINE('',#6364,#3133); +#2606=LINE('',#6366,#3134); +#2607=LINE('',#6368,#3135); +#2608=LINE('',#6370,#3136); +#2609=LINE('',#6373,#3137); +#2610=LINE('',#6375,#3138); +#2611=LINE('',#6377,#3139); +#2612=LINE('',#6378,#3140); +#2613=LINE('',#6380,#3141); +#2614=LINE('',#6382,#3142); +#2615=LINE('',#6384,#3143); +#2616=LINE('',#6387,#3144); +#2617=LINE('',#6389,#3145); +#2618=LINE('',#6391,#3146); +#2619=LINE('',#6392,#3147); +#2620=LINE('',#6394,#3148); +#2621=LINE('',#6396,#3149); +#2622=LINE('',#6398,#3150); +#2623=LINE('',#6401,#3151); +#2624=LINE('',#6403,#3152); +#2625=LINE('',#6405,#3153); +#2626=LINE('',#6406,#3154); +#2627=LINE('',#6408,#3155); +#2628=LINE('',#6410,#3156); +#2629=LINE('',#6412,#3157); +#2630=LINE('',#6415,#3158); +#2631=LINE('',#6417,#3159); +#2632=LINE('',#6419,#3160); +#2633=LINE('',#6420,#3161); +#2634=LINE('',#6422,#3162); +#2635=LINE('',#6424,#3163); +#2636=LINE('',#6426,#3164); +#2637=LINE('',#6429,#3165); +#2638=LINE('',#6431,#3166); +#2639=LINE('',#6433,#3167); +#2640=LINE('',#6434,#3168); +#2641=LINE('',#6436,#3169); +#2642=LINE('',#6438,#3170); +#2643=LINE('',#6440,#3171); +#2644=LINE('',#6443,#3172); +#2645=LINE('',#6445,#3173); +#2646=LINE('',#6447,#3174); +#2647=LINE('',#6448,#3175); +#2648=LINE('',#6450,#3176); +#2649=LINE('',#6452,#3177); +#2650=LINE('',#6454,#3178); +#2651=LINE('',#6457,#3179); +#2652=LINE('',#6459,#3180); +#2653=LINE('',#6461,#3181); +#2654=LINE('',#6462,#3182); +#2655=LINE('',#6464,#3183); +#2656=LINE('',#6466,#3184); +#2657=LINE('',#6468,#3185); +#2658=LINE('',#6471,#3186); +#2659=LINE('',#6473,#3187); +#2660=LINE('',#6475,#3188); +#2661=LINE('',#6476,#3189); +#2662=LINE('',#6478,#3190); +#2663=LINE('',#6480,#3191); +#2664=LINE('',#6482,#3192); +#2665=LINE('',#6485,#3193); +#2666=LINE('',#6487,#3194); +#2667=LINE('',#6489,#3195); +#2668=LINE('',#6490,#3196); +#2669=LINE('',#6492,#3197); +#2670=LINE('',#6494,#3198); +#2671=LINE('',#6496,#3199); +#2672=LINE('',#6497,#3200); +#2673=LINE('',#6499,#3201); +#2674=LINE('',#6500,#3202); +#2675=LINE('',#6502,#3203); +#2676=LINE('',#6503,#3204); +#2677=LINE('',#6505,#3205); +#2678=LINE('',#6506,#3206); +#2679=LINE('',#6508,#3207); +#2680=LINE('',#6509,#3208); +#2681=LINE('',#6511,#3209); +#2682=LINE('',#6512,#3210); +#2683=LINE('',#6515,#3211); +#2684=LINE('',#6516,#3212); +#2685=LINE('',#6518,#3213); +#2686=LINE('',#6520,#3214); +#2687=LINE('',#6522,#3215); +#2688=LINE('',#6523,#3216); +#2689=LINE('',#6525,#3217); +#2690=LINE('',#6527,#3218); +#2691=LINE('',#6529,#3219); +#2692=LINE('',#6530,#3220); +#2693=LINE('',#6532,#3221); +#2694=LINE('',#6534,#3222); +#2695=LINE('',#6536,#3223); +#2696=LINE('',#6537,#3224); +#2697=LINE('',#6539,#3225); +#2698=LINE('',#6541,#3226); +#2699=LINE('',#6543,#3227); +#2700=LINE('',#6544,#3228); +#2701=LINE('',#6546,#3229); +#2702=LINE('',#6548,#3230); +#2703=LINE('',#6550,#3231); +#2704=LINE('',#6551,#3232); +#2705=LINE('',#6553,#3233); +#2706=LINE('',#6555,#3234); +#2707=LINE('',#6564,#3235); +#2708=LINE('',#6565,#3236); +#2709=LINE('',#6567,#3237); +#2710=LINE('',#6568,#3238); +#2711=LINE('',#6570,#3239); +#2712=LINE('',#6571,#3240); +#2713=LINE('',#6573,#3241); +#2714=LINE('',#6574,#3242); +#2715=LINE('',#6576,#3243); +#2716=LINE('',#6577,#3244); +#2717=LINE('',#6579,#3245); +#2718=LINE('',#6580,#3246); +#2719=LINE('',#6582,#3247); +#2720=LINE('',#6583,#3248); +#2721=LINE('',#6585,#3249); +#2722=LINE('',#6586,#3250); +#2723=LINE('',#6588,#3251); +#2724=LINE('',#6589,#3252); +#2725=LINE('',#6591,#3253); +#2726=LINE('',#6592,#3254); +#2727=VECTOR('',#4395,39.3700787401575); +#2728=VECTOR('',#4396,39.3700787401575); +#2729=VECTOR('',#4397,39.3700787401575); +#2730=VECTOR('',#4398,39.3700787401575); +#2731=VECTOR('',#4401,39.3700787401575); +#2732=VECTOR('',#4402,39.3700787401575); +#2733=VECTOR('',#4403,39.3700787401575); +#2734=VECTOR('',#4404,39.3700787401575); +#2735=VECTOR('',#4407,39.3700787401575); +#2736=VECTOR('',#4408,39.3700787401575); +#2737=VECTOR('',#4409,39.3700787401575); +#2738=VECTOR('',#4410,39.3700787401575); +#2739=VECTOR('',#4413,39.3700787401575); +#2740=VECTOR('',#4414,39.3700787401575); +#2741=VECTOR('',#4415,39.3700787401575); +#2742=VECTOR('',#4416,39.3700787401575); +#2743=VECTOR('',#4419,39.3700787401575); +#2744=VECTOR('',#4420,39.3700787401575); +#2745=VECTOR('',#4421,39.3700787401575); +#2746=VECTOR('',#4422,39.3700787401575); +#2747=VECTOR('',#4425,39.3700787401575); +#2748=VECTOR('',#4426,39.3700787401575); +#2749=VECTOR('',#4427,39.3700787401575); +#2750=VECTOR('',#4428,39.3700787401575); +#2751=VECTOR('',#4431,39.3700787401575); +#2752=VECTOR('',#4432,39.3700787401575); +#2753=VECTOR('',#4433,39.3700787401575); +#2754=VECTOR('',#4434,39.3700787401575); +#2755=VECTOR('',#4437,39.3700787401575); +#2756=VECTOR('',#4438,39.3700787401575); +#2757=VECTOR('',#4439,39.3700787401575); +#2758=VECTOR('',#4440,39.3700787401575); +#2759=VECTOR('',#4447,39.3700787401575); +#2760=VECTOR('',#4452,39.3700787401575); +#2761=VECTOR('',#4459,39.3700787401575); +#2762=VECTOR('',#4466,39.3700787401575); +#2763=VECTOR('',#4471,39.3700787401575); +#2764=VECTOR('',#4472,39.3700787401575); +#2765=VECTOR('',#4473,39.3700787401575); +#2766=VECTOR('',#4476,39.3700787401575); +#2767=VECTOR('',#4477,39.3700787401575); +#2768=VECTOR('',#4478,39.3700787401575); +#2769=VECTOR('',#4481,39.3700787401575); +#2770=VECTOR('',#4482,39.3700787401575); +#2771=VECTOR('',#4483,39.3700787401575); +#2772=VECTOR('',#4486,39.3700787401575); +#2773=VECTOR('',#4487,39.3700787401575); +#2774=VECTOR('',#4488,39.3700787401575); +#2775=VECTOR('',#4491,39.3700787401575); +#2776=VECTOR('',#4500,39.3700787401575); +#2777=VECTOR('',#4507,39.3700787401575); +#2778=VECTOR('',#4514,39.3700787401575); +#2779=VECTOR('',#4521,39.3700787401575); +#2780=VECTOR('',#4524,39.3700787401575); +#2781=VECTOR('',#4529,39.3700787401575); +#2782=VECTOR('',#4532,39.3700787401575); +#2783=VECTOR('',#4537,39.3700787401575); +#2784=VECTOR('',#4540,39.3700787401575); +#2785=VECTOR('',#4545,39.3700787401575); +#2786=VECTOR('',#4548,39.3700787401575); +#2787=VECTOR('',#4551,39.3700787401575); +#2788=VECTOR('',#4552,39.3700787401575); +#2789=VECTOR('',#4553,39.3700787401575); +#2790=VECTOR('',#4556,39.3700787401575); +#2791=VECTOR('',#4557,39.3700787401575); +#2792=VECTOR('',#4558,39.3700787401575); +#2793=VECTOR('',#4561,39.3700787401575); +#2794=VECTOR('',#4562,39.3700787401575); +#2795=VECTOR('',#4563,39.3700787401575); +#2796=VECTOR('',#4566,39.3700787401575); +#2797=VECTOR('',#4567,39.3700787401575); +#2798=VECTOR('',#4568,39.3700787401575); +#2799=VECTOR('',#4595,39.3700787401575); +#2800=VECTOR('',#4596,39.3700787401575); +#2801=VECTOR('',#4597,39.3700787401575); +#2802=VECTOR('',#4598,39.3700787401575); +#2803=VECTOR('',#4601,39.3700787401575); +#2804=VECTOR('',#4602,39.3700787401575); +#2805=VECTOR('',#4603,39.3700787401575); +#2806=VECTOR('',#4604,39.3700787401575); +#2807=VECTOR('',#4611,39.3700787401575); +#2808=VECTOR('',#4614,39.3700787401575); +#2809=VECTOR('',#4615,39.3700787401575); +#2810=VECTOR('',#4616,39.3700787401575); +#2811=VECTOR('',#4619,39.3700787401575); +#2812=VECTOR('',#4628,39.3700787401575); +#2813=VECTOR('',#4631,39.3700787401575); +#2814=VECTOR('',#4634,39.3700787401575); +#2815=VECTOR('',#4635,39.3700787401575); +#2816=VECTOR('',#4636,39.3700787401575); +#2817=VECTOR('',#4645,39.3700787401575); +#2818=VECTOR('',#4646,39.3700787401575); +#2819=VECTOR('',#4647,39.3700787401575); +#2820=VECTOR('',#4648,39.3700787401575); +#2821=VECTOR('',#4651,39.3700787401575); +#2822=VECTOR('',#4652,39.3700787401575); +#2823=VECTOR('',#4653,39.3700787401575); +#2824=VECTOR('',#4654,39.3700787401575); +#2825=VECTOR('',#4659,39.3700787401575); +#2826=VECTOR('',#4664,39.3700787401575); +#2827=VECTOR('',#4665,39.3700787401575); +#2828=VECTOR('',#4666,39.3700787401575); +#2829=VECTOR('',#4671,39.3700787401575); +#2830=VECTOR('',#4676,39.3700787401575); +#2831=VECTOR('',#4677,39.3700787401575); +#2832=VECTOR('',#4678,39.3700787401575); +#2833=VECTOR('',#4679,39.3700787401575); +#2834=VECTOR('',#4680,39.3700787401575); +#2835=VECTOR('',#4681,39.3700787401575); +#2836=VECTOR('',#4682,39.3700787401575); +#2837=VECTOR('',#4683,39.3700787401575); +#2838=VECTOR('',#4684,39.3700787401575); +#2839=VECTOR('',#4685,39.3700787401575); +#2840=VECTOR('',#4686,39.3700787401575); +#2841=VECTOR('',#4687,39.3700787401575); +#2842=VECTOR('',#4688,39.3700787401575); +#2843=VECTOR('',#4689,39.3700787401575); +#2844=VECTOR('',#4690,39.3700787401575); +#2845=VECTOR('',#4691,39.3700787401575); +#2846=VECTOR('',#4692,39.3700787401575); +#2847=VECTOR('',#4693,39.3700787401575); +#2848=VECTOR('',#4694,39.3700787401575); +#2849=VECTOR('',#4695,39.3700787401575); +#2850=VECTOR('',#4696,39.3700787401575); +#2851=VECTOR('',#4697,39.3700787401575); +#2852=VECTOR('',#4698,39.3700787401575); +#2853=VECTOR('',#4699,39.3700787401575); +#2854=VECTOR('',#4700,39.3700787401575); +#2855=VECTOR('',#4701,39.3700787401575); +#2856=VECTOR('',#4702,39.3700787401575); +#2857=VECTOR('',#4703,39.3700787401575); +#2858=VECTOR('',#4704,39.3700787401575); +#2859=VECTOR('',#4705,39.3700787401575); +#2860=VECTOR('',#4706,39.3700787401575); +#2861=VECTOR('',#4707,39.3700787401575); +#2862=VECTOR('',#4708,39.3700787401575); +#2863=VECTOR('',#4709,39.3700787401575); +#2864=VECTOR('',#4710,39.3700787401575); +#2865=VECTOR('',#4711,39.3700787401575); +#2866=VECTOR('',#4712,39.3700787401575); +#2867=VECTOR('',#4713,39.3700787401575); +#2868=VECTOR('',#4716,39.3700787401575); +#2869=VECTOR('',#4717,39.3700787401575); +#2870=VECTOR('',#4718,39.3700787401575); +#2871=VECTOR('',#4719,39.3700787401575); +#2872=VECTOR('',#4720,39.3700787401575); +#2873=VECTOR('',#4721,39.3700787401575); +#2874=VECTOR('',#4722,39.3700787401575); +#2875=VECTOR('',#4723,39.3700787401575); +#2876=VECTOR('',#4724,39.3700787401575); +#2877=VECTOR('',#4725,39.3700787401575); +#2878=VECTOR('',#4726,39.3700787401575); +#2879=VECTOR('',#4727,39.3700787401575); +#2880=VECTOR('',#4728,39.3700787401575); +#2881=VECTOR('',#4729,39.3700787401575); +#2882=VECTOR('',#4730,39.3700787401575); +#2883=VECTOR('',#4731,39.3700787401575); +#2884=VECTOR('',#4732,39.3700787401575); +#2885=VECTOR('',#4733,39.3700787401575); +#2886=VECTOR('',#4734,39.3700787401575); +#2887=VECTOR('',#4735,39.3700787401575); +#2888=VECTOR('',#4736,39.3700787401575); +#2889=VECTOR('',#4737,39.3700787401575); +#2890=VECTOR('',#4738,39.3700787401575); +#2891=VECTOR('',#4739,39.3700787401575); +#2892=VECTOR('',#4740,39.3700787401575); +#2893=VECTOR('',#4741,39.3700787401575); +#2894=VECTOR('',#4742,39.3700787401575); +#2895=VECTOR('',#4743,39.3700787401575); +#2896=VECTOR('',#4744,39.3700787401575); +#2897=VECTOR('',#4745,39.3700787401575); +#2898=VECTOR('',#4746,39.3700787401575); +#2899=VECTOR('',#4747,39.3700787401575); +#2900=VECTOR('',#4748,39.3700787401575); +#2901=VECTOR('',#4749,39.3700787401575); +#2902=VECTOR('',#4750,39.3700787401575); +#2903=VECTOR('',#4751,39.3700787401575); +#2904=VECTOR('',#4752,39.3700787401575); +#2905=VECTOR('',#4753,39.3700787401575); +#2906=VECTOR('',#4754,39.3700787401575); +#2907=VECTOR('',#4759,39.3700787401575); +#2908=VECTOR('',#4764,39.3700787401575); +#2909=VECTOR('',#4765,39.3700787401575); +#2910=VECTOR('',#4766,39.3700787401575); +#2911=VECTOR('',#4775,39.3700787401575); +#2912=VECTOR('',#4776,39.3700787401575); +#2913=VECTOR('',#4779,39.3700787401575); +#2914=VECTOR('',#4780,39.3700787401575); +#2915=VECTOR('',#4783,39.3700787401575); +#2916=VECTOR('',#4784,39.3700787401575); +#2917=VECTOR('',#4787,39.3700787401575); +#2918=VECTOR('',#4790,39.3700787401575); +#2919=VECTOR('',#4793,39.3700787401575); +#2920=VECTOR('',#4794,39.3700787401575); +#2921=VECTOR('',#4797,39.3700787401575); +#2922=VECTOR('',#4800,39.3700787401575); +#2923=VECTOR('',#4803,39.3700787401575); +#2924=VECTOR('',#4804,39.3700787401575); +#2925=VECTOR('',#4807,39.3700787401575); +#2926=VECTOR('',#4810,39.3700787401575); +#2927=VECTOR('',#4813,39.3700787401575); +#2928=VECTOR('',#4814,39.3700787401575); +#2929=VECTOR('',#4817,39.3700787401575); +#2930=VECTOR('',#4820,39.3700787401575); +#2931=VECTOR('',#4823,39.3700787401575); +#2932=VECTOR('',#4824,39.3700787401575); +#2933=VECTOR('',#4827,39.3700787401575); +#2934=VECTOR('',#4830,39.3700787401575); +#2935=VECTOR('',#4833,39.3700787401575); +#2936=VECTOR('',#4834,39.3700787401575); +#2937=VECTOR('',#4837,39.3700787401575); +#2938=VECTOR('',#4840,39.3700787401575); +#2939=VECTOR('',#4843,39.3700787401575); +#2940=VECTOR('',#4844,39.3700787401575); +#2941=VECTOR('',#4847,39.3700787401575); +#2942=VECTOR('',#4850,39.3700787401575); +#2943=VECTOR('',#4853,39.3700787401575); +#2944=VECTOR('',#4854,39.3700787401575); +#2945=VECTOR('',#4857,39.3700787401575); +#2946=VECTOR('',#4860,39.3700787401575); +#2947=VECTOR('',#4863,39.3700787401575); +#2948=VECTOR('',#4864,39.3700787401575); +#2949=VECTOR('',#4867,39.3700787401575); +#2950=VECTOR('',#4870,39.3700787401575); +#2951=VECTOR('',#4873,39.3700787401575); +#2952=VECTOR('',#4874,39.3700787401575); +#2953=VECTOR('',#4877,39.3700787401575); +#2954=VECTOR('',#4880,39.3700787401575); +#2955=VECTOR('',#4883,39.3700787401575); +#2956=VECTOR('',#4884,39.3700787401575); +#2957=VECTOR('',#4885,39.3700787401575); +#2958=VECTOR('',#4888,39.3700787401575); +#2959=VECTOR('',#4889,39.3700787401575); +#2960=VECTOR('',#4892,39.3700787401575); +#2961=VECTOR('',#4893,39.3700787401575); +#2962=VECTOR('',#4896,39.3700787401575); +#2963=VECTOR('',#4901,39.3700787401575); +#2964=VECTOR('',#4902,39.3700787401575); +#2965=VECTOR('',#4903,39.3700787401575); +#2966=VECTOR('',#4906,39.3700787401575); +#2967=VECTOR('',#4907,39.3700787401575); +#2968=VECTOR('',#4910,39.3700787401575); +#2969=VECTOR('',#4911,39.3700787401575); +#2970=VECTOR('',#4914,39.3700787401575); +#2971=VECTOR('',#4919,39.3700787401575); +#2972=VECTOR('',#4920,39.3700787401575); +#2973=VECTOR('',#4921,39.3700787401575); +#2974=VECTOR('',#4924,39.3700787401575); +#2975=VECTOR('',#4925,39.3700787401575); +#2976=VECTOR('',#4928,39.3700787401575); +#2977=VECTOR('',#4929,39.3700787401575); +#2978=VECTOR('',#4932,39.3700787401575); +#2979=VECTOR('',#4937,39.3700787401575); +#2980=VECTOR('',#4938,39.3700787401575); +#2981=VECTOR('',#4939,39.3700787401575); +#2982=VECTOR('',#4942,39.3700787401575); +#2983=VECTOR('',#4943,39.3700787401575); +#2984=VECTOR('',#4946,39.3700787401575); +#2985=VECTOR('',#4947,39.3700787401575); +#2986=VECTOR('',#4950,39.3700787401575); +#2987=VECTOR('',#4955,39.3700787401575); +#2988=VECTOR('',#4956,39.3700787401575); +#2989=VECTOR('',#4957,39.3700787401575); +#2990=VECTOR('',#4960,39.3700787401575); +#2991=VECTOR('',#4961,39.3700787401575); +#2992=VECTOR('',#4964,39.3700787401575); +#2993=VECTOR('',#4965,39.3700787401575); +#2994=VECTOR('',#4968,39.3700787401575); +#2995=VECTOR('',#4973,39.3700787401575); +#2996=VECTOR('',#4974,39.3700787401575); +#2997=VECTOR('',#4975,39.3700787401575); +#2998=VECTOR('',#4978,39.3700787401575); +#2999=VECTOR('',#4979,39.3700787401575); +#3000=VECTOR('',#4982,39.3700787401575); +#3001=VECTOR('',#4983,39.3700787401575); +#3002=VECTOR('',#4986,39.3700787401575); +#3003=VECTOR('',#4991,39.3700787401575); +#3004=VECTOR('',#4992,39.3700787401575); +#3005=VECTOR('',#4993,39.3700787401575); +#3006=VECTOR('',#4996,39.3700787401575); +#3007=VECTOR('',#4997,39.3700787401575); +#3008=VECTOR('',#5000,39.3700787401575); +#3009=VECTOR('',#5001,39.3700787401575); +#3010=VECTOR('',#5004,39.3700787401575); +#3011=VECTOR('',#5009,39.3700787401575); +#3012=VECTOR('',#5010,39.3700787401575); +#3013=VECTOR('',#5011,39.3700787401575); +#3014=VECTOR('',#5014,39.3700787401575); +#3015=VECTOR('',#5015,39.3700787401575); +#3016=VECTOR('',#5018,39.3700787401575); +#3017=VECTOR('',#5019,39.3700787401575); +#3018=VECTOR('',#5022,39.3700787401575); +#3019=VECTOR('',#5027,39.3700787401575); +#3020=VECTOR('',#5028,39.3700787401575); +#3021=VECTOR('',#5029,39.3700787401575); +#3022=VECTOR('',#5032,39.3700787401575); +#3023=VECTOR('',#5033,39.3700787401575); +#3024=VECTOR('',#5036,39.3700787401575); +#3025=VECTOR('',#5037,39.3700787401575); +#3026=VECTOR('',#5040,39.3700787401575); +#3027=VECTOR('',#5045,39.3700787401575); +#3028=VECTOR('',#5046,39.3700787401575); +#3029=VECTOR('',#5047,39.3700787401575); +#3030=VECTOR('',#5050,39.3700787401575); +#3031=VECTOR('',#5051,39.3700787401575); +#3032=VECTOR('',#5054,39.3700787401575); +#3033=VECTOR('',#5055,39.3700787401575); +#3034=VECTOR('',#5058,39.3700787401575); +#3035=VECTOR('',#5063,39.3700787401575); +#3036=VECTOR('',#5064,39.3700787401575); +#3037=VECTOR('',#5065,39.3700787401575); +#3038=VECTOR('',#5068,39.3700787401575); +#3039=VECTOR('',#5069,39.3700787401575); +#3040=VECTOR('',#5072,39.3700787401575); +#3041=VECTOR('',#5073,39.3700787401575); +#3042=VECTOR('',#5076,39.3700787401575); +#3043=VECTOR('',#5081,39.3700787401575); +#3044=VECTOR('',#5082,39.3700787401575); +#3045=VECTOR('',#5083,39.3700787401575); +#3046=VECTOR('',#5086,39.3700787401575); +#3047=VECTOR('',#5087,39.3700787401575); +#3048=VECTOR('',#5090,39.3700787401575); +#3049=VECTOR('',#5091,39.3700787401575); +#3050=VECTOR('',#5094,39.3700787401575); +#3051=VECTOR('',#5101,39.3700787401575); +#3052=VECTOR('',#5102,39.3700787401575); +#3053=VECTOR('',#5103,39.3700787401575); +#3054=VECTOR('',#5104,39.3700787401575); +#3055=VECTOR('',#5105,39.3700787401575); +#3056=VECTOR('',#5106,39.3700787401575); +#3057=VECTOR('',#5107,39.3700787401575); +#3058=VECTOR('',#5108,39.3700787401575); +#3059=VECTOR('',#5109,39.3700787401575); +#3060=VECTOR('',#5110,39.3700787401575); +#3061=VECTOR('',#5111,39.3700787401575); +#3062=VECTOR('',#5112,39.3700787401575); +#3063=VECTOR('',#5113,39.3700787401575); +#3064=VECTOR('',#5114,39.3700787401575); +#3065=VECTOR('',#5115,39.3700787401575); +#3066=VECTOR('',#5116,39.3700787401575); +#3067=VECTOR('',#5117,39.3700787401575); +#3068=VECTOR('',#5118,39.3700787401575); +#3069=VECTOR('',#5119,39.3700787401575); +#3070=VECTOR('',#5120,39.3700787401575); +#3071=VECTOR('',#5121,39.3700787401575); +#3072=VECTOR('',#5122,39.3700787401575); +#3073=VECTOR('',#5123,39.3700787401575); +#3074=VECTOR('',#5124,39.3700787401575); +#3075=VECTOR('',#5125,39.3700787401575); +#3076=VECTOR('',#5126,39.3700787401575); +#3077=VECTOR('',#5127,39.3700787401575); +#3078=VECTOR('',#5128,39.3700787401575); +#3079=VECTOR('',#5129,39.3700787401575); +#3080=VECTOR('',#5130,39.3700787401575); +#3081=VECTOR('',#5131,39.3700787401575); +#3082=VECTOR('',#5132,39.3700787401575); +#3083=VECTOR('',#5133,39.3700787401575); +#3084=VECTOR('',#5134,39.3700787401575); +#3085=VECTOR('',#5135,39.3700787401575); +#3086=VECTOR('',#5136,39.3700787401575); +#3087=VECTOR('',#5137,39.3700787401575); +#3088=VECTOR('',#5138,39.3700787401575); +#3089=VECTOR('',#5139,39.3700787401575); +#3090=VECTOR('',#5140,39.3700787401575); +#3091=VECTOR('',#5141,39.3700787401575); +#3092=VECTOR('',#5142,39.3700787401575); +#3093=VECTOR('',#5143,39.3700787401575); +#3094=VECTOR('',#5144,39.3700787401575); +#3095=VECTOR('',#5147,39.3700787401575); +#3096=VECTOR('',#5148,39.3700787401575); +#3097=VECTOR('',#5149,39.3700787401575); +#3098=VECTOR('',#5150,39.3700787401575); +#3099=VECTOR('',#5153,39.3700787401575); +#3100=VECTOR('',#5154,39.3700787401575); +#3101=VECTOR('',#5155,39.3700787401575); +#3102=VECTOR('',#5156,39.3700787401575); +#3103=VECTOR('',#5159,39.3700787401575); +#3104=VECTOR('',#5160,39.3700787401575); +#3105=VECTOR('',#5161,39.3700787401575); +#3106=VECTOR('',#5162,39.3700787401575); +#3107=VECTOR('',#5165,39.3700787401575); +#3108=VECTOR('',#5166,39.3700787401575); +#3109=VECTOR('',#5167,39.3700787401575); +#3110=VECTOR('',#5168,39.3700787401575); +#3111=VECTOR('',#5171,39.3700787401575); +#3112=VECTOR('',#5172,39.3700787401575); +#3113=VECTOR('',#5173,39.3700787401575); +#3114=VECTOR('',#5174,39.3700787401575); +#3115=VECTOR('',#5177,39.3700787401575); +#3116=VECTOR('',#5178,39.3700787401575); +#3117=VECTOR('',#5179,39.3700787401575); +#3118=VECTOR('',#5180,39.3700787401575); +#3119=VECTOR('',#5181,39.3700787401575); +#3120=VECTOR('',#5182,39.3700787401575); +#3121=VECTOR('',#5183,39.3700787401575); +#3122=VECTOR('',#5186,39.3700787401575); +#3123=VECTOR('',#5187,39.3700787401575); +#3124=VECTOR('',#5188,39.3700787401575); +#3125=VECTOR('',#5189,39.3700787401575); +#3126=VECTOR('',#5190,39.3700787401575); +#3127=VECTOR('',#5191,39.3700787401575); +#3128=VECTOR('',#5192,39.3700787401575); +#3129=VECTOR('',#5195,39.3700787401575); +#3130=VECTOR('',#5196,39.3700787401575); +#3131=VECTOR('',#5197,39.3700787401575); +#3132=VECTOR('',#5198,39.3700787401575); +#3133=VECTOR('',#5199,39.3700787401575); +#3134=VECTOR('',#5200,39.3700787401575); +#3135=VECTOR('',#5201,39.3700787401575); +#3136=VECTOR('',#5204,39.3700787401575); +#3137=VECTOR('',#5205,39.3700787401575); +#3138=VECTOR('',#5206,39.3700787401575); +#3139=VECTOR('',#5207,39.3700787401575); +#3140=VECTOR('',#5208,39.3700787401575); +#3141=VECTOR('',#5209,39.3700787401575); +#3142=VECTOR('',#5210,39.3700787401575); +#3143=VECTOR('',#5213,39.3700787401575); +#3144=VECTOR('',#5214,39.3700787401575); +#3145=VECTOR('',#5215,39.3700787401575); +#3146=VECTOR('',#5216,39.3700787401575); +#3147=VECTOR('',#5217,39.3700787401575); +#3148=VECTOR('',#5218,39.3700787401575); +#3149=VECTOR('',#5219,39.3700787401575); +#3150=VECTOR('',#5222,39.3700787401575); +#3151=VECTOR('',#5223,39.3700787401575); +#3152=VECTOR('',#5224,39.3700787401575); +#3153=VECTOR('',#5225,39.3700787401575); +#3154=VECTOR('',#5226,39.3700787401575); +#3155=VECTOR('',#5227,39.3700787401575); +#3156=VECTOR('',#5228,39.3700787401575); +#3157=VECTOR('',#5231,39.3700787401575); +#3158=VECTOR('',#5232,39.3700787401575); +#3159=VECTOR('',#5233,39.3700787401575); +#3160=VECTOR('',#5234,39.3700787401575); +#3161=VECTOR('',#5235,39.3700787401575); +#3162=VECTOR('',#5236,39.3700787401575); +#3163=VECTOR('',#5237,39.3700787401575); +#3164=VECTOR('',#5240,39.3700787401575); +#3165=VECTOR('',#5241,39.3700787401575); +#3166=VECTOR('',#5242,39.3700787401575); +#3167=VECTOR('',#5243,39.3700787401575); +#3168=VECTOR('',#5244,39.3700787401575); +#3169=VECTOR('',#5245,39.3700787401575); +#3170=VECTOR('',#5246,39.3700787401575); +#3171=VECTOR('',#5249,39.3700787401575); +#3172=VECTOR('',#5250,39.3700787401575); +#3173=VECTOR('',#5251,39.3700787401575); +#3174=VECTOR('',#5252,39.3700787401575); +#3175=VECTOR('',#5253,39.3700787401575); +#3176=VECTOR('',#5254,39.3700787401575); +#3177=VECTOR('',#5255,39.3700787401575); +#3178=VECTOR('',#5258,39.3700787401575); +#3179=VECTOR('',#5259,39.3700787401575); +#3180=VECTOR('',#5260,39.3700787401575); +#3181=VECTOR('',#5261,39.3700787401575); +#3182=VECTOR('',#5262,39.3700787401575); +#3183=VECTOR('',#5263,39.3700787401575); +#3184=VECTOR('',#5264,39.3700787401575); +#3185=VECTOR('',#5267,39.3700787401575); +#3186=VECTOR('',#5268,39.3700787401575); +#3187=VECTOR('',#5269,39.3700787401575); +#3188=VECTOR('',#5270,39.3700787401575); +#3189=VECTOR('',#5271,39.3700787401575); +#3190=VECTOR('',#5272,39.3700787401575); +#3191=VECTOR('',#5273,39.3700787401575); +#3192=VECTOR('',#5276,39.3700787401575); +#3193=VECTOR('',#5277,39.3700787401575); +#3194=VECTOR('',#5278,39.3700787401575); +#3195=VECTOR('',#5279,39.3700787401575); +#3196=VECTOR('',#5280,39.3700787401575); +#3197=VECTOR('',#5281,39.3700787401575); +#3198=VECTOR('',#5282,39.3700787401575); +#3199=VECTOR('',#5285,39.3700787401575); +#3200=VECTOR('',#5286,39.3700787401575); +#3201=VECTOR('',#5289,39.3700787401575); +#3202=VECTOR('',#5290,39.3700787401575); +#3203=VECTOR('',#5293,39.3700787401575); +#3204=VECTOR('',#5294,39.3700787401575); +#3205=VECTOR('',#5297,39.3700787401575); +#3206=VECTOR('',#5298,39.3700787401575); +#3207=VECTOR('',#5301,39.3700787401575); +#3208=VECTOR('',#5302,39.3700787401575); +#3209=VECTOR('',#5305,39.3700787401575); +#3210=VECTOR('',#5306,39.3700787401575); +#3211=VECTOR('',#5311,39.3700787401575); +#3212=VECTOR('',#5312,39.3700787401575); +#3213=VECTOR('',#5315,39.3700787401575); +#3214=VECTOR('',#5318,39.3700787401575); +#3215=VECTOR('',#5321,39.3700787401575); +#3216=VECTOR('',#5322,39.3700787401575); +#3217=VECTOR('',#5325,39.3700787401575); +#3218=VECTOR('',#5328,39.3700787401575); +#3219=VECTOR('',#5331,39.3700787401575); +#3220=VECTOR('',#5332,39.3700787401575); +#3221=VECTOR('',#5335,39.3700787401575); +#3222=VECTOR('',#5338,39.3700787401575); +#3223=VECTOR('',#5341,39.3700787401575); +#3224=VECTOR('',#5342,39.3700787401575); +#3225=VECTOR('',#5345,39.3700787401575); +#3226=VECTOR('',#5348,39.3700787401575); +#3227=VECTOR('',#5351,39.3700787401575); +#3228=VECTOR('',#5352,39.3700787401575); +#3229=VECTOR('',#5355,39.3700787401575); +#3230=VECTOR('',#5358,39.3700787401575); +#3231=VECTOR('',#5361,39.3700787401575); +#3232=VECTOR('',#5362,39.3700787401575); +#3233=VECTOR('',#5365,39.3700787401575); +#3234=VECTOR('',#5368,39.3700787401575); +#3235=VECTOR('',#5385,39.3700787401575); +#3236=VECTOR('',#5386,39.3700787401575); +#3237=VECTOR('',#5389,39.3700787401575); +#3238=VECTOR('',#5390,39.3700787401575); +#3239=VECTOR('',#5393,39.3700787401575); +#3240=VECTOR('',#5394,39.3700787401575); +#3241=VECTOR('',#5397,39.3700787401575); +#3242=VECTOR('',#5398,39.3700787401575); +#3243=VECTOR('',#5401,39.3700787401575); +#3244=VECTOR('',#5402,39.3700787401575); +#3245=VECTOR('',#5405,39.3700787401575); +#3246=VECTOR('',#5406,39.3700787401575); +#3247=VECTOR('',#5409,39.3700787401575); +#3248=VECTOR('',#5410,39.3700787401575); +#3249=VECTOR('',#5413,39.3700787401575); +#3250=VECTOR('',#5414,39.3700787401575); +#3251=VECTOR('',#5417,39.3700787401575); +#3252=VECTOR('',#5418,39.3700787401575); +#3253=VECTOR('',#5421,39.3700787401575); +#3254=VECTOR('',#5422,39.3700787401575); +#3255=EDGE_LOOP('',(#103,#104,#105,#106)); +#3256=EDGE_LOOP('',(#107,#108,#109,#110)); +#3257=EDGE_LOOP('',(#111,#112,#113,#114)); +#3258=EDGE_LOOP('',(#115,#116,#117,#118)); +#3259=EDGE_LOOP('',(#119,#120,#121,#122)); +#3260=EDGE_LOOP('',(#123,#124,#125,#126)); +#3261=EDGE_LOOP('',(#127,#128,#129,#130)); +#3262=EDGE_LOOP('',(#131,#132,#133,#134)); +#3263=EDGE_LOOP('',(#135,#136,#137,#138)); +#3264=EDGE_LOOP('',(#139,#140,#141,#142)); +#3265=EDGE_LOOP('',(#143,#144,#145,#146)); +#3266=EDGE_LOOP('',(#147,#148,#149,#150)); +#3267=EDGE_LOOP('',(#151,#152,#153,#154)); +#3268=EDGE_LOOP('',(#155,#156,#157,#158)); +#3269=EDGE_LOOP('',(#159,#160,#161,#162)); +#3270=EDGE_LOOP('',(#163,#164,#165,#166)); +#3271=EDGE_LOOP('',(#167,#168,#169,#170)); +#3272=EDGE_LOOP('',(#171,#172,#173,#174)); +#3273=EDGE_LOOP('',(#175,#176,#177,#178)); +#3274=EDGE_LOOP('',(#179,#180,#181,#182)); +#3275=EDGE_LOOP('',(#183,#184,#185,#186)); +#3276=EDGE_LOOP('',(#187,#188,#189,#190)); +#3277=EDGE_LOOP('',(#191,#192,#193,#194)); +#3278=EDGE_LOOP('',(#195,#196,#197,#198)); +#3279=EDGE_LOOP('',(#199,#200,#201,#202)); +#3280=EDGE_LOOP('',(#203,#204,#205,#206)); +#3281=EDGE_LOOP('',(#207,#208,#209,#210)); +#3282=EDGE_LOOP('',(#211,#212,#213,#214)); +#3283=EDGE_LOOP('',(#215,#216,#217,#218)); +#3284=EDGE_LOOP('',(#219,#220,#221,#222)); +#3285=EDGE_LOOP('',(#223,#224,#225,#226)); +#3286=EDGE_LOOP('',(#227,#228,#229,#230)); +#3287=EDGE_LOOP('',(#231,#232,#233,#234)); +#3288=EDGE_LOOP('',(#235,#236,#237,#238)); +#3289=EDGE_LOOP('',(#239,#240,#241,#242)); +#3290=EDGE_LOOP('',(#243,#244,#245,#246)); +#3291=EDGE_LOOP('',(#247,#248,#249,#250)); +#3292=EDGE_LOOP('',(#251,#252,#253,#254)); +#3293=EDGE_LOOP('',(#255,#256,#257,#258)); +#3294=EDGE_LOOP('',(#259,#260,#261,#262)); +#3295=EDGE_LOOP('',(#263,#264,#265,#266)); +#3296=EDGE_LOOP('',(#267,#268,#269,#270)); +#3297=EDGE_LOOP('',(#271,#272,#273,#274)); +#3298=EDGE_LOOP('',(#275,#276,#277,#278)); +#3299=EDGE_LOOP('',(#279,#280,#281,#282)); +#3300=EDGE_LOOP('',(#283,#284,#285,#286,#287,#288,#289,#290,#291,#292,#293, +#294,#295,#296,#297,#298,#299,#300,#301,#302,#303,#304,#305,#306,#307,#308, +#309,#310,#311,#312,#313,#314,#315,#316,#317,#318,#319,#320,#321,#322,#323, +#324,#325,#326)); +#3301=EDGE_LOOP('',(#327,#328,#329,#330,#331,#332,#333,#334,#335,#336,#337, +#338,#339,#340,#341,#342,#343,#344,#345,#346,#347,#348,#349,#350,#351,#352, +#353,#354,#355,#356,#357,#358,#359,#360,#361,#362,#363,#364,#365,#366,#367, +#368,#369,#370)); +#3302=EDGE_LOOP('',(#371,#372,#373,#374)); +#3303=EDGE_LOOP('',(#375,#376,#377,#378)); +#3304=EDGE_LOOP('',(#379,#380,#381,#382)); +#3305=EDGE_LOOP('',(#383,#384,#385,#386,#387,#388,#389,#390,#391,#392,#393, +#394)); +#3306=EDGE_LOOP('',(#395,#396,#397,#398,#399,#400,#401,#402,#403,#404,#405, +#406)); +#3307=EDGE_LOOP('',(#407,#408,#409,#410)); +#3308=EDGE_LOOP('',(#411,#412,#413,#414)); +#3309=EDGE_LOOP('',(#415,#416,#417,#418)); +#3310=EDGE_LOOP('',(#419,#420,#421,#422)); +#3311=EDGE_LOOP('',(#423,#424,#425,#426)); +#3312=EDGE_LOOP('',(#427,#428,#429,#430)); +#3313=EDGE_LOOP('',(#431,#432,#433,#434)); +#3314=EDGE_LOOP('',(#435,#436,#437,#438)); +#3315=EDGE_LOOP('',(#439,#440,#441,#442)); +#3316=EDGE_LOOP('',(#443,#444,#445,#446)); +#3317=EDGE_LOOP('',(#447,#448,#449,#450)); +#3318=EDGE_LOOP('',(#451,#452,#453,#454)); +#3319=EDGE_LOOP('',(#455,#456,#457,#458)); +#3320=EDGE_LOOP('',(#459,#460,#461,#462)); +#3321=EDGE_LOOP('',(#463,#464,#465,#466)); +#3322=EDGE_LOOP('',(#467,#468,#469,#470)); +#3323=EDGE_LOOP('',(#471,#472,#473,#474,#475,#476,#477,#478,#479,#480,#481, +#482)); +#3324=EDGE_LOOP('',(#483,#484,#485,#486,#487,#488,#489,#490,#491,#492,#493, +#494)); +#3325=EDGE_LOOP('',(#495,#496,#497,#498)); +#3326=EDGE_LOOP('',(#499,#500,#501,#502,#503,#504,#505,#506,#507,#508,#509, +#510)); +#3327=EDGE_LOOP('',(#511,#512,#513,#514,#515,#516,#517,#518,#519,#520,#521, +#522)); +#3328=EDGE_LOOP('',(#523,#524,#525,#526)); +#3329=EDGE_LOOP('',(#527,#528,#529,#530,#531,#532,#533,#534,#535,#536,#537, +#538)); +#3330=EDGE_LOOP('',(#539,#540,#541,#542,#543,#544,#545,#546,#547,#548,#549, +#550)); +#3331=EDGE_LOOP('',(#551,#552,#553,#554)); +#3332=EDGE_LOOP('',(#555,#556,#557,#558,#559,#560,#561,#562,#563,#564,#565, +#566)); +#3333=EDGE_LOOP('',(#567,#568,#569,#570,#571,#572,#573,#574,#575,#576,#577, +#578)); +#3334=EDGE_LOOP('',(#579,#580,#581,#582)); +#3335=EDGE_LOOP('',(#583,#584,#585,#586,#587,#588,#589,#590,#591,#592,#593, +#594)); +#3336=EDGE_LOOP('',(#595,#596,#597,#598,#599,#600,#601,#602,#603,#604,#605, +#606)); +#3337=EDGE_LOOP('',(#607,#608,#609,#610)); +#3338=EDGE_LOOP('',(#611,#612,#613,#614)); +#3339=EDGE_LOOP('',(#615,#616,#617,#618)); +#3340=EDGE_LOOP('',(#619,#620,#621,#622)); +#3341=EDGE_LOOP('',(#623,#624,#625,#626)); +#3342=EDGE_LOOP('',(#627,#628,#629,#630)); +#3343=EDGE_LOOP('',(#631,#632,#633,#634)); +#3344=EDGE_LOOP('',(#635,#636,#637,#638)); +#3345=EDGE_LOOP('',(#639,#640,#641,#642)); +#3346=EDGE_LOOP('',(#643,#644,#645,#646)); +#3347=EDGE_LOOP('',(#647,#648,#649,#650)); +#3348=EDGE_LOOP('',(#651,#652,#653,#654)); +#3349=EDGE_LOOP('',(#655,#656,#657,#658)); +#3350=EDGE_LOOP('',(#659,#660,#661,#662)); +#3351=EDGE_LOOP('',(#663,#664,#665,#666)); +#3352=EDGE_LOOP('',(#667,#668,#669,#670)); +#3353=EDGE_LOOP('',(#671,#672,#673,#674)); +#3354=EDGE_LOOP('',(#675,#676,#677,#678)); +#3355=EDGE_LOOP('',(#679,#680,#681,#682)); +#3356=EDGE_LOOP('',(#683,#684,#685,#686)); +#3357=EDGE_LOOP('',(#687,#688,#689,#690)); +#3358=EDGE_LOOP('',(#691,#692,#693,#694)); +#3359=EDGE_LOOP('',(#695,#696,#697,#698)); +#3360=EDGE_LOOP('',(#699,#700,#701,#702)); +#3361=EDGE_LOOP('',(#703,#704,#705,#706)); +#3362=EDGE_LOOP('',(#707,#708,#709,#710)); +#3363=EDGE_LOOP('',(#711,#712,#713,#714)); +#3364=EDGE_LOOP('',(#715,#716,#717,#718)); +#3365=EDGE_LOOP('',(#719,#720,#721,#722)); +#3366=EDGE_LOOP('',(#723,#724,#725,#726)); +#3367=EDGE_LOOP('',(#727,#728,#729,#730)); +#3368=EDGE_LOOP('',(#731,#732,#733,#734)); +#3369=EDGE_LOOP('',(#735,#736,#737,#738)); +#3370=EDGE_LOOP('',(#739,#740,#741,#742)); +#3371=EDGE_LOOP('',(#743,#744,#745,#746)); +#3372=EDGE_LOOP('',(#747,#748,#749,#750)); +#3373=EDGE_LOOP('',(#751,#752,#753,#754)); +#3374=EDGE_LOOP('',(#755,#756,#757,#758)); +#3375=EDGE_LOOP('',(#759,#760,#761,#762)); +#3376=EDGE_LOOP('',(#763,#764,#765,#766)); +#3377=EDGE_LOOP('',(#767,#768,#769,#770)); +#3378=EDGE_LOOP('',(#771,#772,#773,#774)); +#3379=EDGE_LOOP('',(#775,#776,#777,#778)); +#3380=EDGE_LOOP('',(#779,#780,#781,#782)); +#3381=EDGE_LOOP('',(#783,#784,#785,#786)); +#3382=EDGE_LOOP('',(#787,#788,#789,#790)); +#3383=EDGE_LOOP('',(#791,#792,#793,#794)); +#3384=EDGE_LOOP('',(#795,#796,#797,#798)); +#3385=EDGE_LOOP('',(#799,#800,#801,#802)); +#3386=EDGE_LOOP('',(#803,#804,#805,#806)); +#3387=EDGE_LOOP('',(#807,#808,#809,#810)); +#3388=EDGE_LOOP('',(#811,#812,#813,#814)); +#3389=EDGE_LOOP('',(#815,#816,#817,#818)); +#3390=EDGE_LOOP('',(#819,#820,#821,#822)); +#3391=EDGE_LOOP('',(#823,#824,#825,#826)); +#3392=EDGE_LOOP('',(#827,#828,#829,#830)); +#3393=EDGE_LOOP('',(#831,#832,#833,#834)); +#3394=EDGE_LOOP('',(#835,#836,#837,#838)); +#3395=EDGE_LOOP('',(#839,#840,#841,#842)); +#3396=EDGE_LOOP('',(#843,#844,#845,#846)); +#3397=EDGE_LOOP('',(#847,#848,#849,#850,#851,#852,#853,#854,#855,#856,#857, +#858,#859,#860,#861,#862,#863,#864,#865,#866,#867,#868,#869,#870,#871,#872, +#873,#874,#875,#876,#877,#878,#879,#880,#881,#882,#883,#884,#885,#886,#887, +#888,#889,#890)); +#3398=EDGE_LOOP('',(#891,#892,#893,#894)); +#3399=EDGE_LOOP('',(#895,#896,#897,#898)); +#3400=EDGE_LOOP('',(#899,#900,#901,#902)); +#3401=EDGE_LOOP('',(#903,#904,#905,#906)); +#3402=EDGE_LOOP('',(#907,#908,#909,#910)); +#3403=EDGE_LOOP('',(#911,#912,#913,#914)); +#3404=EDGE_LOOP('',(#915,#916,#917,#918)); +#3405=EDGE_LOOP('',(#919,#920,#921,#922)); +#3406=EDGE_LOOP('',(#923,#924,#925,#926)); +#3407=EDGE_LOOP('',(#927,#928,#929,#930)); +#3408=EDGE_LOOP('',(#931,#932,#933,#934)); +#3409=EDGE_LOOP('',(#935,#936,#937,#938)); +#3410=EDGE_LOOP('',(#939,#940,#941,#942)); +#3411=EDGE_LOOP('',(#943,#944,#945,#946)); +#3412=EDGE_LOOP('',(#947,#948,#949,#950)); +#3413=EDGE_LOOP('',(#951,#952,#953,#954)); +#3414=EDGE_LOOP('',(#955,#956,#957,#958)); +#3415=EDGE_LOOP('',(#959,#960,#961,#962)); +#3416=EDGE_LOOP('',(#963,#964,#965,#966)); +#3417=EDGE_LOOP('',(#967,#968,#969,#970)); +#3418=EDGE_LOOP('',(#971,#972,#973,#974)); +#3419=EDGE_LOOP('',(#975,#976,#977,#978)); +#3420=EDGE_LOOP('',(#979,#980,#981,#982)); +#3421=EDGE_LOOP('',(#983,#984,#985,#986)); +#3422=EDGE_LOOP('',(#987,#988,#989,#990)); +#3423=EDGE_LOOP('',(#991,#992,#993,#994)); +#3424=EDGE_LOOP('',(#995,#996,#997,#998)); +#3425=EDGE_LOOP('',(#999,#1000,#1001,#1002)); +#3426=EDGE_LOOP('',(#1003,#1004,#1005,#1006)); +#3427=EDGE_LOOP('',(#1007,#1008,#1009,#1010)); +#3428=EDGE_LOOP('',(#1011,#1012,#1013,#1014)); +#3429=EDGE_LOOP('',(#1015,#1016,#1017,#1018)); +#3430=EDGE_LOOP('',(#1019,#1020,#1021,#1022)); +#3431=EDGE_LOOP('',(#1023,#1024,#1025,#1026)); +#3432=EDGE_LOOP('',(#1027,#1028,#1029,#1030)); +#3433=EDGE_LOOP('',(#1031,#1032,#1033,#1034)); +#3434=EDGE_LOOP('',(#1035,#1036,#1037,#1038)); +#3435=EDGE_LOOP('',(#1039,#1040,#1041,#1042)); +#3436=EDGE_LOOP('',(#1043,#1044,#1045,#1046)); +#3437=EDGE_LOOP('',(#1047,#1048,#1049,#1050)); +#3438=EDGE_LOOP('',(#1051,#1052,#1053,#1054)); +#3439=EDGE_LOOP('',(#1055,#1056,#1057,#1058)); +#3440=EDGE_LOOP('',(#1059,#1060,#1061,#1062)); +#3441=EDGE_LOOP('',(#1063,#1064,#1065,#1066)); +#3442=EDGE_LOOP('',(#1067,#1068,#1069,#1070)); +#3443=EDGE_LOOP('',(#1071,#1072,#1073,#1074)); +#3444=EDGE_LOOP('',(#1075,#1076,#1077,#1078)); +#3445=EDGE_LOOP('',(#1079,#1080,#1081,#1082)); +#3446=EDGE_LOOP('',(#1083,#1084,#1085,#1086)); +#3447=EDGE_LOOP('',(#1087,#1088,#1089,#1090)); +#3448=EDGE_LOOP('',(#1091,#1092,#1093,#1094)); +#3449=EDGE_LOOP('',(#1095,#1096,#1097,#1098)); +#3450=EDGE_LOOP('',(#1099,#1100,#1101,#1102)); +#3451=EDGE_LOOP('',(#1103,#1104,#1105,#1106)); +#3452=EDGE_LOOP('',(#1107,#1108,#1109,#1110)); +#3453=EDGE_LOOP('',(#1111,#1112,#1113,#1114)); +#3454=EDGE_LOOP('',(#1115,#1116,#1117,#1118)); +#3455=EDGE_LOOP('',(#1119,#1120,#1121,#1122)); +#3456=EDGE_LOOP('',(#1123,#1124,#1125,#1126)); +#3457=EDGE_LOOP('',(#1127,#1128,#1129,#1130)); +#3458=EDGE_LOOP('',(#1131,#1132,#1133,#1134)); +#3459=EDGE_LOOP('',(#1135,#1136,#1137,#1138,#1139,#1140,#1141,#1142)); +#3460=EDGE_LOOP('',(#1143,#1144,#1145,#1146,#1147,#1148,#1149,#1150)); +#3461=EDGE_LOOP('',(#1151,#1152,#1153,#1154,#1155,#1156,#1157,#1158)); +#3462=EDGE_LOOP('',(#1159,#1160,#1161,#1162,#1163,#1164,#1165,#1166)); +#3463=EDGE_LOOP('',(#1167,#1168,#1169,#1170,#1171,#1172,#1173,#1174)); +#3464=EDGE_LOOP('',(#1175,#1176,#1177,#1178,#1179,#1180,#1181,#1182)); +#3465=EDGE_LOOP('',(#1183,#1184,#1185,#1186,#1187,#1188,#1189,#1190)); +#3466=EDGE_LOOP('',(#1191,#1192,#1193,#1194,#1195,#1196,#1197,#1198)); +#3467=EDGE_LOOP('',(#1199,#1200,#1201,#1202,#1203,#1204,#1205,#1206)); +#3468=EDGE_LOOP('',(#1207,#1208,#1209,#1210,#1211,#1212,#1213,#1214)); +#3469=EDGE_LOOP('',(#1215,#1216,#1217,#1218)); +#3470=EDGE_LOOP('',(#1219,#1220,#1221,#1222)); +#3471=EDGE_LOOP('',(#1223,#1224,#1225,#1226)); +#3472=EDGE_LOOP('',(#1227,#1228,#1229,#1230)); +#3473=EDGE_LOOP('',(#1231,#1232,#1233,#1234)); +#3474=EDGE_LOOP('',(#1235,#1236,#1237,#1238)); +#3475=EDGE_LOOP('',(#1239,#1240,#1241,#1242)); +#3476=EDGE_LOOP('',(#1243,#1244,#1245,#1246)); +#3477=EDGE_LOOP('',(#1247,#1248,#1249,#1250)); +#3478=EDGE_LOOP('',(#1251,#1252,#1253,#1254)); +#3479=FACE_BOUND('',#3255,.T.); +#3480=FACE_BOUND('',#3256,.T.); +#3481=FACE_BOUND('',#3257,.T.); +#3482=FACE_BOUND('',#3258,.T.); +#3483=FACE_BOUND('',#3259,.T.); +#3484=FACE_BOUND('',#3260,.T.); +#3485=FACE_BOUND('',#3261,.T.); +#3486=FACE_BOUND('',#3262,.T.); +#3487=FACE_BOUND('',#3263,.T.); +#3488=FACE_BOUND('',#3264,.T.); +#3489=FACE_BOUND('',#3265,.T.); +#3490=FACE_BOUND('',#3266,.T.); +#3491=FACE_BOUND('',#3267,.T.); +#3492=FACE_BOUND('',#3268,.T.); +#3493=FACE_BOUND('',#3269,.T.); +#3494=FACE_BOUND('',#3270,.T.); +#3495=FACE_BOUND('',#3271,.T.); +#3496=FACE_BOUND('',#3272,.T.); +#3497=FACE_BOUND('',#3273,.T.); +#3498=FACE_BOUND('',#3274,.T.); +#3499=FACE_BOUND('',#3275,.T.); +#3500=FACE_BOUND('',#3276,.T.); +#3501=FACE_BOUND('',#3277,.T.); +#3502=FACE_BOUND('',#3278,.T.); +#3503=FACE_BOUND('',#3279,.T.); +#3504=FACE_BOUND('',#3280,.T.); +#3505=FACE_BOUND('',#3281,.T.); +#3506=FACE_BOUND('',#3282,.T.); +#3507=FACE_BOUND('',#3283,.T.); +#3508=FACE_BOUND('',#3284,.T.); +#3509=FACE_BOUND('',#3285,.T.); +#3510=FACE_BOUND('',#3286,.T.); +#3511=FACE_BOUND('',#3287,.T.); +#3512=FACE_BOUND('',#3288,.T.); +#3513=FACE_BOUND('',#3289,.T.); +#3514=FACE_BOUND('',#3290,.T.); +#3515=FACE_BOUND('',#3291,.T.); +#3516=FACE_BOUND('',#3292,.T.); +#3517=FACE_BOUND('',#3293,.T.); +#3518=FACE_BOUND('',#3294,.T.); +#3519=FACE_BOUND('',#3295,.T.); +#3520=FACE_BOUND('',#3296,.T.); +#3521=FACE_BOUND('',#3297,.T.); +#3522=FACE_BOUND('',#3298,.T.); +#3523=FACE_BOUND('',#3299,.T.); +#3524=FACE_BOUND('',#3300,.T.); +#3525=FACE_BOUND('',#3301,.T.); +#3526=FACE_BOUND('',#3302,.T.); +#3527=FACE_BOUND('',#3303,.T.); +#3528=FACE_BOUND('',#3304,.T.); +#3529=FACE_BOUND('',#3305,.T.); +#3530=FACE_BOUND('',#3306,.T.); +#3531=FACE_BOUND('',#3307,.T.); +#3532=FACE_BOUND('',#3308,.T.); +#3533=FACE_BOUND('',#3309,.T.); +#3534=FACE_BOUND('',#3310,.T.); +#3535=FACE_BOUND('',#3311,.T.); +#3536=FACE_BOUND('',#3312,.T.); +#3537=FACE_BOUND('',#3313,.T.); +#3538=FACE_BOUND('',#3314,.T.); +#3539=FACE_BOUND('',#3315,.T.); +#3540=FACE_BOUND('',#3316,.T.); +#3541=FACE_BOUND('',#3317,.T.); +#3542=FACE_BOUND('',#3318,.T.); +#3543=FACE_BOUND('',#3319,.T.); +#3544=FACE_BOUND('',#3320,.T.); +#3545=FACE_BOUND('',#3321,.T.); +#3546=FACE_BOUND('',#3322,.T.); +#3547=FACE_BOUND('',#3323,.T.); +#3548=FACE_BOUND('',#3324,.T.); +#3549=FACE_BOUND('',#3325,.T.); +#3550=FACE_BOUND('',#3326,.T.); +#3551=FACE_BOUND('',#3327,.T.); +#3552=FACE_BOUND('',#3328,.T.); +#3553=FACE_BOUND('',#3329,.T.); +#3554=FACE_BOUND('',#3330,.T.); +#3555=FACE_BOUND('',#3331,.T.); +#3556=FACE_BOUND('',#3332,.T.); +#3557=FACE_BOUND('',#3333,.T.); +#3558=FACE_BOUND('',#3334,.T.); +#3559=FACE_BOUND('',#3335,.T.); +#3560=FACE_BOUND('',#3336,.T.); +#3561=FACE_BOUND('',#3337,.T.); +#3562=FACE_BOUND('',#3338,.T.); +#3563=FACE_BOUND('',#3339,.T.); +#3564=FACE_BOUND('',#3340,.T.); +#3565=FACE_BOUND('',#3341,.T.); +#3566=FACE_BOUND('',#3342,.T.); +#3567=FACE_BOUND('',#3343,.T.); +#3568=FACE_BOUND('',#3344,.T.); +#3569=FACE_BOUND('',#3345,.T.); +#3570=FACE_BOUND('',#3346,.T.); +#3571=FACE_BOUND('',#3347,.T.); +#3572=FACE_BOUND('',#3348,.T.); +#3573=FACE_BOUND('',#3349,.T.); +#3574=FACE_BOUND('',#3350,.T.); +#3575=FACE_BOUND('',#3351,.T.); +#3576=FACE_BOUND('',#3352,.T.); +#3577=FACE_BOUND('',#3353,.T.); +#3578=FACE_BOUND('',#3354,.T.); +#3579=FACE_BOUND('',#3355,.T.); +#3580=FACE_BOUND('',#3356,.T.); +#3581=FACE_BOUND('',#3357,.T.); +#3582=FACE_BOUND('',#3358,.T.); +#3583=FACE_BOUND('',#3359,.T.); +#3584=FACE_BOUND('',#3360,.T.); +#3585=FACE_BOUND('',#3361,.T.); +#3586=FACE_BOUND('',#3362,.T.); +#3587=FACE_BOUND('',#3363,.T.); +#3588=FACE_BOUND('',#3364,.T.); +#3589=FACE_BOUND('',#3365,.T.); +#3590=FACE_BOUND('',#3366,.T.); +#3591=FACE_BOUND('',#3367,.T.); +#3592=FACE_BOUND('',#3368,.T.); +#3593=FACE_BOUND('',#3369,.T.); +#3594=FACE_BOUND('',#3370,.T.); +#3595=FACE_BOUND('',#3371,.T.); +#3596=FACE_BOUND('',#3372,.T.); +#3597=FACE_BOUND('',#3373,.T.); +#3598=FACE_BOUND('',#3374,.T.); +#3599=FACE_BOUND('',#3375,.T.); +#3600=FACE_BOUND('',#3376,.T.); +#3601=FACE_BOUND('',#3377,.T.); +#3602=FACE_BOUND('',#3378,.T.); +#3603=FACE_BOUND('',#3379,.T.); +#3604=FACE_BOUND('',#3380,.T.); +#3605=FACE_BOUND('',#3381,.T.); +#3606=FACE_BOUND('',#3382,.T.); +#3607=FACE_BOUND('',#3383,.T.); +#3608=FACE_BOUND('',#3384,.T.); +#3609=FACE_BOUND('',#3385,.T.); +#3610=FACE_BOUND('',#3386,.T.); +#3611=FACE_BOUND('',#3387,.T.); +#3612=FACE_BOUND('',#3388,.T.); +#3613=FACE_BOUND('',#3389,.T.); +#3614=FACE_BOUND('',#3390,.T.); +#3615=FACE_BOUND('',#3391,.T.); +#3616=FACE_BOUND('',#3392,.T.); +#3617=FACE_BOUND('',#3393,.T.); +#3618=FACE_BOUND('',#3394,.T.); +#3619=FACE_BOUND('',#3395,.T.); +#3620=FACE_BOUND('',#3396,.T.); +#3621=FACE_BOUND('',#3397,.T.); +#3622=FACE_BOUND('',#3398,.T.); +#3623=FACE_BOUND('',#3399,.T.); +#3624=FACE_BOUND('',#3400,.T.); +#3625=FACE_BOUND('',#3401,.T.); +#3626=FACE_BOUND('',#3402,.T.); +#3627=FACE_BOUND('',#3403,.T.); +#3628=FACE_BOUND('',#3404,.T.); +#3629=FACE_BOUND('',#3405,.T.); +#3630=FACE_BOUND('',#3406,.T.); +#3631=FACE_BOUND('',#3407,.T.); +#3632=FACE_BOUND('',#3408,.T.); +#3633=FACE_BOUND('',#3409,.T.); +#3634=FACE_BOUND('',#3410,.T.); +#3635=FACE_BOUND('',#3411,.T.); +#3636=FACE_BOUND('',#3412,.T.); +#3637=FACE_BOUND('',#3413,.T.); +#3638=FACE_BOUND('',#3414,.T.); +#3639=FACE_BOUND('',#3415,.T.); +#3640=FACE_BOUND('',#3416,.T.); +#3641=FACE_BOUND('',#3417,.T.); +#3642=FACE_BOUND('',#3418,.T.); +#3643=FACE_BOUND('',#3419,.T.); +#3644=FACE_BOUND('',#3420,.T.); +#3645=FACE_BOUND('',#3421,.T.); +#3646=FACE_BOUND('',#3422,.T.); +#3647=FACE_BOUND('',#3423,.T.); +#3648=FACE_BOUND('',#3424,.T.); +#3649=FACE_BOUND('',#3425,.T.); +#3650=FACE_BOUND('',#3426,.T.); +#3651=FACE_BOUND('',#3427,.T.); +#3652=FACE_BOUND('',#3428,.T.); +#3653=FACE_BOUND('',#3429,.T.); +#3654=FACE_BOUND('',#3430,.T.); +#3655=FACE_BOUND('',#3431,.T.); +#3656=FACE_BOUND('',#3432,.T.); +#3657=FACE_BOUND('',#3433,.T.); +#3658=FACE_BOUND('',#3434,.T.); +#3659=FACE_BOUND('',#3435,.T.); +#3660=FACE_BOUND('',#3436,.T.); +#3661=FACE_BOUND('',#3437,.T.); +#3662=FACE_BOUND('',#3438,.T.); +#3663=FACE_BOUND('',#3439,.T.); +#3664=FACE_BOUND('',#3440,.T.); +#3665=FACE_BOUND('',#3441,.T.); +#3666=FACE_BOUND('',#3442,.T.); +#3667=FACE_BOUND('',#3443,.T.); +#3668=FACE_BOUND('',#3444,.T.); +#3669=FACE_BOUND('',#3445,.T.); +#3670=FACE_BOUND('',#3446,.T.); +#3671=FACE_BOUND('',#3447,.T.); +#3672=FACE_BOUND('',#3448,.T.); +#3673=FACE_BOUND('',#3449,.T.); +#3674=FACE_BOUND('',#3450,.T.); +#3675=FACE_BOUND('',#3451,.T.); +#3676=FACE_BOUND('',#3452,.T.); +#3677=FACE_BOUND('',#3453,.T.); +#3678=FACE_BOUND('',#3454,.T.); +#3679=FACE_BOUND('',#3455,.T.); +#3680=FACE_BOUND('',#3456,.T.); +#3681=FACE_BOUND('',#3457,.T.); +#3682=FACE_BOUND('',#3458,.T.); +#3683=FACE_BOUND('',#3459,.T.); +#3684=FACE_BOUND('',#3460,.T.); +#3685=FACE_BOUND('',#3461,.T.); +#3686=FACE_BOUND('',#3462,.T.); +#3687=FACE_BOUND('',#3463,.T.); +#3688=FACE_BOUND('',#3464,.T.); +#3689=FACE_BOUND('',#3465,.T.); +#3690=FACE_BOUND('',#3466,.T.); +#3691=FACE_BOUND('',#3467,.T.); +#3692=FACE_BOUND('',#3468,.T.); +#3693=FACE_BOUND('',#3469,.T.); +#3694=FACE_BOUND('',#3470,.T.); +#3695=FACE_BOUND('',#3471,.T.); +#3696=FACE_BOUND('',#3472,.T.); +#3697=FACE_BOUND('',#3473,.T.); +#3698=FACE_BOUND('',#3474,.T.); +#3699=FACE_BOUND('',#3475,.T.); +#3700=FACE_BOUND('',#3476,.T.); +#3701=FACE_BOUND('',#3477,.T.); +#3702=FACE_BOUND('',#3478,.T.); +#3703=PLANE('',#4129); +#3704=PLANE('',#4130); +#3705=PLANE('',#4131); +#3706=PLANE('',#4132); +#3707=PLANE('',#4133); +#3708=PLANE('',#4134); +#3709=PLANE('',#4135); +#3710=PLANE('',#4136); +#3711=PLANE('',#4149); +#3712=PLANE('',#4150); +#3713=PLANE('',#4151); +#3714=PLANE('',#4152); +#3715=PLANE('',#4177); +#3716=PLANE('',#4178); +#3717=PLANE('',#4179); +#3718=PLANE('',#4180); +#3719=PLANE('',#4193); +#3720=PLANE('',#4194); +#3721=PLANE('',#4198); +#3722=PLANE('',#4205); +#3723=PLANE('',#4209); +#3724=PLANE('',#4210); +#3725=PLANE('',#4214); +#3726=PLANE('',#4218); +#3727=PLANE('',#4219); +#3728=PLANE('',#4223); +#3729=PLANE('',#4227); +#3730=PLANE('',#4228); +#3731=PLANE('',#4229); +#3732=PLANE('',#4230); +#3733=PLANE('',#4231); +#3734=PLANE('',#4232); +#3735=PLANE('',#4233); +#3736=PLANE('',#4234); +#3737=PLANE('',#4235); +#3738=PLANE('',#4236); +#3739=PLANE('',#4237); +#3740=PLANE('',#4238); +#3741=PLANE('',#4239); +#3742=PLANE('',#4240); +#3743=PLANE('',#4241); +#3744=PLANE('',#4242); +#3745=PLANE('',#4243); +#3746=PLANE('',#4244); +#3747=PLANE('',#4245); +#3748=PLANE('',#4246); +#3749=PLANE('',#4247); +#3750=PLANE('',#4248); +#3751=PLANE('',#4249); +#3752=PLANE('',#4250); +#3753=PLANE('',#4251); +#3754=PLANE('',#4252); +#3755=PLANE('',#4253); +#3756=PLANE('',#4254); +#3757=PLANE('',#4255); +#3758=PLANE('',#4256); +#3759=PLANE('',#4257); +#3760=PLANE('',#4258); +#3761=PLANE('',#4259); +#3762=PLANE('',#4260); +#3763=PLANE('',#4261); +#3764=PLANE('',#4262); +#3765=PLANE('',#4263); +#3766=PLANE('',#4264); +#3767=PLANE('',#4265); +#3768=PLANE('',#4266); +#3769=PLANE('',#4267); +#3770=PLANE('',#4268); +#3771=PLANE('',#4269); +#3772=PLANE('',#4270); +#3773=PLANE('',#4271); +#3774=PLANE('',#4272); +#3775=PLANE('',#4273); +#3776=PLANE('',#4274); +#3777=PLANE('',#4275); +#3778=PLANE('',#4276); +#3779=PLANE('',#4277); +#3780=PLANE('',#4278); +#3781=PLANE('',#4279); +#3782=PLANE('',#4280); +#3783=PLANE('',#4281); +#3784=PLANE('',#4282); +#3785=PLANE('',#4283); +#3786=PLANE('',#4284); +#3787=PLANE('',#4285); +#3788=PLANE('',#4286); +#3789=PLANE('',#4287); +#3790=PLANE('',#4288); +#3791=PLANE('',#4289); +#3792=PLANE('',#4290); +#3793=PLANE('',#4291); +#3794=PLANE('',#4292); +#3795=PLANE('',#4293); +#3796=PLANE('',#4294); +#3797=PLANE('',#4295); +#3798=PLANE('',#4296); +#3799=PLANE('',#4297); +#3800=PLANE('',#4298); +#3801=PLANE('',#4299); +#3802=PLANE('',#4300); +#3803=PLANE('',#4301); +#3804=PLANE('',#4302); +#3805=PLANE('',#4303); +#3806=PLANE('',#4304); +#3807=PLANE('',#4305); +#3808=PLANE('',#4306); +#3809=PLANE('',#4307); +#3810=PLANE('',#4308); +#3811=PLANE('',#4309); +#3812=PLANE('',#4310); +#3813=PLANE('',#4311); +#3814=PLANE('',#4312); +#3815=PLANE('',#4313); +#3816=PLANE('',#4314); +#3817=PLANE('',#4315); +#3818=PLANE('',#4316); +#3819=PLANE('',#4317); +#3820=PLANE('',#4318); +#3821=PLANE('',#4320); +#3822=PLANE('',#4321); +#3823=PLANE('',#4322); +#3824=PLANE('',#4323); +#3825=PLANE('',#4324); +#3826=PLANE('',#4325); +#3827=PLANE('',#4326); +#3828=PLANE('',#4327); +#3829=PLANE('',#4328); +#3830=PLANE('',#4329); +#3831=PLANE('',#4330); +#3832=PLANE('',#4331); +#3833=PLANE('',#4332); +#3834=PLANE('',#4333); +#3835=PLANE('',#4334); +#3836=PLANE('',#4335); +#3837=PLANE('',#4336); +#3838=PLANE('',#4337); +#3839=PLANE('',#4338); +#3840=PLANE('',#4339); +#3841=PLANE('',#4340); +#3842=PLANE('',#4341); +#3843=PLANE('',#4342); +#3844=PLANE('',#4343); +#3845=PLANE('',#4344); +#3846=PLANE('',#4345); +#3847=PLANE('',#4346); +#3848=PLANE('',#4347); +#3849=PLANE('',#4348); +#3850=PLANE('',#4349); +#3851=PLANE('',#4350); +#3852=PLANE('',#4351); +#3853=PLANE('',#4352); +#3854=PLANE('',#4353); +#3855=PLANE('',#4354); +#3856=PLANE('',#4355); +#3857=PLANE('',#4356); +#3858=PLANE('',#4357); +#3859=PLANE('',#4358); +#3860=PLANE('',#4359); +#3861=PLANE('',#4360); +#3862=PLANE('',#4361); +#3863=PLANE('',#4362); +#3864=PLANE('',#4363); +#3865=PLANE('',#4364); +#3866=PLANE('',#4365); +#3867=PLANE('',#4366); +#3868=PLANE('',#4367); +#3869=PLANE('',#4368); +#3870=PLANE('',#4369); +#3871=PLANE('',#4370); +#3872=PLANE('',#4371); +#3873=PLANE('',#4372); +#3874=PLANE('',#4373); +#3875=PLANE('',#4374); +#3876=PLANE('',#4375); +#3877=PLANE('',#4376); +#3878=PLANE('',#4377); +#3879=PLANE('',#4378); +#3880=PLANE('',#4379); +#3881=PLANE('',#4380); +#3882=PLANE('',#4381); +#3883=PLANE('',#4382); +#3884=PLANE('',#4383); +#3885=PLANE('',#4384); +#3886=PLANE('',#4385); +#3887=PLANE('',#4386); +#3888=PLANE('',#4387); +#3889=PLANE('',#4388); +#3890=PLANE('',#4389); +#3891=ADVANCED_FACE('',(#3479),#3703,.F.); +#3892=ADVANCED_FACE('',(#3480),#3704,.F.); +#3893=ADVANCED_FACE('',(#3481),#3705,.F.); +#3894=ADVANCED_FACE('',(#3482),#3706,.F.); +#3895=ADVANCED_FACE('',(#3483),#3707,.F.); +#3896=ADVANCED_FACE('',(#3484),#3708,.F.); +#3897=ADVANCED_FACE('',(#3485),#3709,.F.); +#3898=ADVANCED_FACE('',(#3486),#3710,.F.); +#3899=ADVANCED_FACE('',(#3487),#79,.F.); +#3900=ADVANCED_FACE('',(#3488),#80,.F.); +#3901=ADVANCED_FACE('',(#3489),#81,.F.); +#3902=ADVANCED_FACE('',(#3490),#82,.F.); +#3903=ADVANCED_FACE('',(#3491),#3711,.F.); +#3904=ADVANCED_FACE('',(#3492),#3712,.F.); +#3905=ADVANCED_FACE('',(#3493),#3713,.F.); +#3906=ADVANCED_FACE('',(#3494),#3714,.F.); +#3907=ADVANCED_FACE('',(#3495),#83,.T.); +#3908=ADVANCED_FACE('',(#3496),#84,.T.); +#3909=ADVANCED_FACE('',(#3497),#85,.T.); +#3910=ADVANCED_FACE('',(#3498),#86,.T.); +#3911=ADVANCED_FACE('',(#3499),#87,.F.); +#3912=ADVANCED_FACE('',(#3500),#88,.F.); +#3913=ADVANCED_FACE('',(#3501),#89,.F.); +#3914=ADVANCED_FACE('',(#3502),#90,.F.); +#3915=ADVANCED_FACE('',(#3503),#3715,.F.); +#3916=ADVANCED_FACE('',(#3504),#3716,.F.); +#3917=ADVANCED_FACE('',(#3505),#3717,.F.); +#3918=ADVANCED_FACE('',(#3506),#3718,.F.); +#3919=ADVANCED_FACE('',(#3507),#91,.T.); +#3920=ADVANCED_FACE('',(#3508),#92,.T.); +#3921=ADVANCED_FACE('',(#3509),#93,.T.); +#3922=ADVANCED_FACE('',(#3510),#94,.T.); +#3923=ADVANCED_FACE('',(#3511),#3719,.F.); +#3924=ADVANCED_FACE('',(#3512),#3720,.F.); +#3925=ADVANCED_FACE('',(#3513),#95,.F.); +#3926=ADVANCED_FACE('',(#3514),#3721,.F.); +#3927=ADVANCED_FACE('',(#3515),#96,.T.); +#3928=ADVANCED_FACE('',(#3516),#97,.F.); +#3929=ADVANCED_FACE('',(#3517),#3722,.F.); +#3930=ADVANCED_FACE('',(#3518),#98,.T.); +#3931=ADVANCED_FACE('',(#3519),#3723,.F.); +#3932=ADVANCED_FACE('',(#3520),#3724,.F.); +#3933=ADVANCED_FACE('',(#3521),#99,.F.); +#3934=ADVANCED_FACE('',(#3522),#3725,.F.); +#3935=ADVANCED_FACE('',(#3523),#100,.T.); +#3936=ADVANCED_FACE('',(#3524),#3726,.F.); +#3937=ADVANCED_FACE('',(#3525),#3727,.F.); +#3938=ADVANCED_FACE('',(#3526),#101,.F.); +#3939=ADVANCED_FACE('',(#3527),#3728,.F.); +#3940=ADVANCED_FACE('',(#3528),#102,.T.); +#3941=ADVANCED_FACE('',(#3529),#3729,.T.); +#3942=ADVANCED_FACE('',(#3530),#3730,.F.); +#3943=ADVANCED_FACE('',(#3531),#3731,.F.); +#3944=ADVANCED_FACE('',(#3532),#3732,.F.); +#3945=ADVANCED_FACE('',(#3533),#3733,.F.); +#3946=ADVANCED_FACE('',(#3534),#3734,.F.); +#3947=ADVANCED_FACE('',(#3535),#3735,.F.); +#3948=ADVANCED_FACE('',(#3536),#3736,.F.); +#3949=ADVANCED_FACE('',(#3537),#3737,.F.); +#3950=ADVANCED_FACE('',(#3538),#3738,.F.); +#3951=ADVANCED_FACE('',(#3539),#3739,.F.); +#3952=ADVANCED_FACE('',(#3540),#3740,.F.); +#3953=ADVANCED_FACE('',(#3541),#3741,.F.); +#3954=ADVANCED_FACE('',(#3542),#3742,.F.); +#3955=ADVANCED_FACE('',(#3543),#3743,.F.); +#3956=ADVANCED_FACE('',(#3544),#3744,.F.); +#3957=ADVANCED_FACE('',(#3545),#3745,.F.); +#3958=ADVANCED_FACE('',(#3546),#3746,.F.); +#3959=ADVANCED_FACE('',(#3547),#3747,.F.); +#3960=ADVANCED_FACE('',(#3548),#3748,.F.); +#3961=ADVANCED_FACE('',(#3549),#3749,.F.); +#3962=ADVANCED_FACE('',(#3550),#3750,.F.); +#3963=ADVANCED_FACE('',(#3551),#3751,.F.); +#3964=ADVANCED_FACE('',(#3552),#3752,.F.); +#3965=ADVANCED_FACE('',(#3553),#3753,.F.); +#3966=ADVANCED_FACE('',(#3554),#3754,.F.); +#3967=ADVANCED_FACE('',(#3555),#3755,.F.); +#3968=ADVANCED_FACE('',(#3556),#3756,.F.); +#3969=ADVANCED_FACE('',(#3557),#3757,.F.); +#3970=ADVANCED_FACE('',(#3558),#3758,.F.); +#3971=ADVANCED_FACE('',(#3559),#3759,.F.); +#3972=ADVANCED_FACE('',(#3560),#3760,.F.); +#3973=ADVANCED_FACE('',(#3561),#3761,.T.); +#3974=ADVANCED_FACE('',(#3562),#3762,.T.); +#3975=ADVANCED_FACE('',(#3563),#3763,.T.); +#3976=ADVANCED_FACE('',(#3564),#3764,.T.); +#3977=ADVANCED_FACE('',(#3565),#3765,.T.); +#3978=ADVANCED_FACE('',(#3566),#3766,.T.); +#3979=ADVANCED_FACE('',(#3567),#3767,.T.); +#3980=ADVANCED_FACE('',(#3568),#3768,.T.); +#3981=ADVANCED_FACE('',(#3569),#3769,.T.); +#3982=ADVANCED_FACE('',(#3570),#3770,.T.); +#3983=ADVANCED_FACE('',(#3571),#3771,.T.); +#3984=ADVANCED_FACE('',(#3572),#3772,.T.); +#3985=ADVANCED_FACE('',(#3573),#3773,.T.); +#3986=ADVANCED_FACE('',(#3574),#3774,.T.); +#3987=ADVANCED_FACE('',(#3575),#3775,.T.); +#3988=ADVANCED_FACE('',(#3576),#3776,.T.); +#3989=ADVANCED_FACE('',(#3577),#3777,.T.); +#3990=ADVANCED_FACE('',(#3578),#3778,.T.); +#3991=ADVANCED_FACE('',(#3579),#3779,.T.); +#3992=ADVANCED_FACE('',(#3580),#3780,.T.); +#3993=ADVANCED_FACE('',(#3581),#3781,.T.); +#3994=ADVANCED_FACE('',(#3582),#3782,.T.); +#3995=ADVANCED_FACE('',(#3583),#3783,.T.); +#3996=ADVANCED_FACE('',(#3584),#3784,.T.); +#3997=ADVANCED_FACE('',(#3585),#3785,.T.); +#3998=ADVANCED_FACE('',(#3586),#3786,.T.); +#3999=ADVANCED_FACE('',(#3587),#3787,.T.); +#4000=ADVANCED_FACE('',(#3588),#3788,.T.); +#4001=ADVANCED_FACE('',(#3589),#3789,.T.); +#4002=ADVANCED_FACE('',(#3590),#3790,.T.); +#4003=ADVANCED_FACE('',(#3591),#3791,.T.); +#4004=ADVANCED_FACE('',(#3592),#3792,.T.); +#4005=ADVANCED_FACE('',(#3593),#3793,.T.); +#4006=ADVANCED_FACE('',(#3594),#3794,.T.); +#4007=ADVANCED_FACE('',(#3595),#3795,.F.); +#4008=ADVANCED_FACE('',(#3596),#3796,.T.); +#4009=ADVANCED_FACE('',(#3597),#3797,.T.); +#4010=ADVANCED_FACE('',(#3598),#3798,.T.); +#4011=ADVANCED_FACE('',(#3599),#3799,.T.); +#4012=ADVANCED_FACE('',(#3600),#3800,.F.); +#4013=ADVANCED_FACE('',(#3601),#3801,.T.); +#4014=ADVANCED_FACE('',(#3602),#3802,.T.); +#4015=ADVANCED_FACE('',(#3603),#3803,.T.); +#4016=ADVANCED_FACE('',(#3604),#3804,.T.); +#4017=ADVANCED_FACE('',(#3605),#3805,.F.); +#4018=ADVANCED_FACE('',(#3606),#3806,.T.); +#4019=ADVANCED_FACE('',(#3607),#3807,.T.); +#4020=ADVANCED_FACE('',(#3608),#3808,.T.); +#4021=ADVANCED_FACE('',(#3609),#3809,.T.); +#4022=ADVANCED_FACE('',(#3610),#3810,.F.); +#4023=ADVANCED_FACE('',(#3611),#3811,.T.); +#4024=ADVANCED_FACE('',(#3612),#3812,.T.); +#4025=ADVANCED_FACE('',(#3613),#3813,.T.); +#4026=ADVANCED_FACE('',(#3614),#3814,.T.); +#4027=ADVANCED_FACE('',(#3615),#3815,.F.); +#4028=ADVANCED_FACE('',(#3616),#3816,.T.); +#4029=ADVANCED_FACE('',(#3617),#3817,.T.); +#4030=ADVANCED_FACE('',(#3618),#3818,.T.); +#4031=ADVANCED_FACE('',(#3619),#3819,.T.); +#4032=ADVANCED_FACE('',(#3620),#3820,.F.); +#4033=ADVANCED_FACE('',(#3621),#3821,.F.); +#4034=ADVANCED_FACE('',(#3622),#3822,.T.); +#4035=ADVANCED_FACE('',(#3623),#3823,.T.); +#4036=ADVANCED_FACE('',(#3624),#3824,.T.); +#4037=ADVANCED_FACE('',(#3625),#3825,.T.); +#4038=ADVANCED_FACE('',(#3626),#3826,.T.); +#4039=ADVANCED_FACE('',(#3627,#3628),#3827,.T.); +#4040=ADVANCED_FACE('',(#3629,#3630),#3828,.T.); +#4041=ADVANCED_FACE('',(#3631,#3632),#3829,.T.); +#4042=ADVANCED_FACE('',(#3633,#3634),#3830,.T.); +#4043=ADVANCED_FACE('',(#3635,#3636),#3831,.T.); +#4044=ADVANCED_FACE('',(#3637,#3638),#3832,.T.); +#4045=ADVANCED_FACE('',(#3639,#3640),#3833,.F.); +#4046=ADVANCED_FACE('',(#3641,#3642),#3834,.F.); +#4047=ADVANCED_FACE('',(#3643,#3644),#3835,.F.); +#4048=ADVANCED_FACE('',(#3645,#3646),#3836,.F.); +#4049=ADVANCED_FACE('',(#3647,#3648),#3837,.F.); +#4050=ADVANCED_FACE('',(#3649,#3650),#3838,.F.); +#4051=ADVANCED_FACE('',(#3651),#3839,.F.); +#4052=ADVANCED_FACE('',(#3652),#3840,.F.); +#4053=ADVANCED_FACE('',(#3653),#3841,.F.); +#4054=ADVANCED_FACE('',(#3654),#3842,.F.); +#4055=ADVANCED_FACE('',(#3655),#3843,.F.); +#4056=ADVANCED_FACE('',(#3656),#3844,.F.); +#4057=ADVANCED_FACE('',(#3657),#3845,.F.); +#4058=ADVANCED_FACE('',(#3658),#3846,.T.); +#4059=ADVANCED_FACE('',(#3659),#3847,.T.); +#4060=ADVANCED_FACE('',(#3660),#3848,.T.); +#4061=ADVANCED_FACE('',(#3661),#3849,.T.); +#4062=ADVANCED_FACE('',(#3662),#3850,.T.); +#4063=ADVANCED_FACE('',(#3663),#3851,.T.); +#4064=ADVANCED_FACE('',(#3664),#3852,.T.); +#4065=ADVANCED_FACE('',(#3665),#3853,.T.); +#4066=ADVANCED_FACE('',(#3666),#3854,.T.); +#4067=ADVANCED_FACE('',(#3667),#3855,.T.); +#4068=ADVANCED_FACE('',(#3668),#3856,.T.); +#4069=ADVANCED_FACE('',(#3669),#3857,.T.); +#4070=ADVANCED_FACE('',(#3670),#3858,.T.); +#4071=ADVANCED_FACE('',(#3671),#3859,.T.); +#4072=ADVANCED_FACE('',(#3672),#3860,.T.); +#4073=ADVANCED_FACE('',(#3673),#3861,.T.); +#4074=ADVANCED_FACE('',(#3674),#3862,.T.); +#4075=ADVANCED_FACE('',(#3675),#3863,.T.); +#4076=ADVANCED_FACE('',(#3676),#3864,.T.); +#4077=ADVANCED_FACE('',(#3677),#3865,.T.); +#4078=ADVANCED_FACE('',(#3678),#3866,.T.); +#4079=ADVANCED_FACE('',(#3679),#3867,.T.); +#4080=ADVANCED_FACE('',(#3680),#3868,.T.); +#4081=ADVANCED_FACE('',(#3681),#3869,.T.); +#4082=ADVANCED_FACE('',(#3682),#3870,.F.); +#4083=ADVANCED_FACE('',(#3683),#3871,.T.); +#4084=ADVANCED_FACE('',(#3684),#3872,.T.); +#4085=ADVANCED_FACE('',(#3685),#3873,.T.); +#4086=ADVANCED_FACE('',(#3686),#3874,.T.); +#4087=ADVANCED_FACE('',(#3687),#3875,.T.); +#4088=ADVANCED_FACE('',(#3688),#3876,.T.); +#4089=ADVANCED_FACE('',(#3689),#3877,.T.); +#4090=ADVANCED_FACE('',(#3690),#3878,.T.); +#4091=ADVANCED_FACE('',(#3691),#3879,.T.); +#4092=ADVANCED_FACE('',(#3692),#3880,.T.); +#4093=ADVANCED_FACE('',(#3693),#3881,.T.); +#4094=ADVANCED_FACE('',(#3694),#3882,.T.); +#4095=ADVANCED_FACE('',(#3695),#3883,.T.); +#4096=ADVANCED_FACE('',(#3696),#3884,.T.); +#4097=ADVANCED_FACE('',(#3697),#3885,.T.); +#4098=ADVANCED_FACE('',(#3698),#3886,.T.); +#4099=ADVANCED_FACE('',(#3699),#3887,.T.); +#4100=ADVANCED_FACE('',(#3700),#3888,.T.); +#4101=ADVANCED_FACE('',(#3701),#3889,.T.); +#4102=ADVANCED_FACE('',(#3702),#3890,.T.); +#4103=CLOSED_SHELL('',(#3891,#3892,#3893,#3894,#3895,#3896,#3897,#3898, +#3899,#3900,#3901,#3902,#3903,#3904,#3905,#3906,#3907,#3908,#3909,#3910, +#3911,#3912,#3913,#3914,#3915,#3916,#3917,#3918,#3919,#3920,#3921,#3922, +#3923,#3924,#3925,#3926,#3927,#3928,#3929,#3930,#3931,#3932,#3933,#3934, +#3935,#3936,#3937,#3938,#3939,#3940,#3941,#3942,#3943,#3944,#3945,#3946, +#3947,#3948,#3949,#3950,#3951,#3952,#3953,#3954,#3955,#3956,#3957,#3958, +#3959,#3960,#3961,#3962,#3963,#3964,#3965,#3966,#3967,#3968,#3969,#3970, +#3971,#3972,#3973,#3974,#3975,#3976,#3977,#3978,#3979,#3980,#3981,#3982, +#3983,#3984,#3985,#3986,#3987,#3988,#3989,#3990,#3991,#3992,#3993,#3994, +#3995,#3996,#3997,#3998,#3999,#4000,#4001,#4002,#4003,#4004,#4005,#4006, +#4007,#4008,#4009,#4010,#4011,#4012,#4013,#4014,#4015,#4016,#4017,#4018, +#4019,#4020,#4021,#4022,#4023,#4024,#4025,#4026,#4027,#4028,#4029,#4030, +#4031,#4032)); +#4104=CLOSED_SHELL('',(#4033,#4034,#4035,#4036,#4037,#4038,#4039,#4040, +#4041,#4042,#4043,#4044,#4045,#4046,#4047,#4048,#4049,#4050,#4051,#4052, +#4053,#4054,#4055,#4056,#4057,#4058,#4059,#4060,#4061,#4062,#4063,#4064, +#4065,#4066,#4067,#4068,#4069,#4070,#4071,#4072,#4073,#4074,#4075,#4076, +#4077,#4078,#4079,#4080,#4081,#4082,#4083,#4084,#4085,#4086,#4087,#4088, +#4089,#4090,#4091,#4092,#4093,#4094,#4095,#4096,#4097,#4098,#4099,#4100, +#4101,#4102)); +#4105=STYLED_ITEM('',(#4107),#4120); +#4106=STYLED_ITEM('',(#4108),#4121); +#4107=PRESENTATION_STYLE_ASSIGNMENT((#4109)); +#4108=PRESENTATION_STYLE_ASSIGNMENT((#4110)); +#4109=SURFACE_STYLE_USAGE(.BOTH.,#4111); +#4110=SURFACE_STYLE_USAGE(.BOTH.,#4112); +#4111=SURFACE_SIDE_STYLE('',(#4113)); +#4112=SURFACE_SIDE_STYLE('',(#4114)); +#4113=SURFACE_STYLE_FILL_AREA(#4115); +#4114=SURFACE_STYLE_FILL_AREA(#4116); +#4115=FILL_AREA_STYLE('',(#4117)); +#4116=FILL_AREA_STYLE('',(#4118)); +#4117=FILL_AREA_STYLE_COLOUR('',#4119); +#4118=FILL_AREA_STYLE_COLOUR('',#18); +#4119=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#4120=MANIFOLD_SOLID_BREP('T-1S6-08(-01-6-SH)',#4103); +#4121=MANIFOLD_SOLID_BREP('TSM-106-01-SH_body',#4104); +#4122=SHAPE_DEFINITION_REPRESENTATION(#6617,#4125); +#4123=SHAPE_DEFINITION_REPRESENTATION(#6618,#4126); +#4124=SHAPE_DEFINITION_REPRESENTATION(#6620,#4127); +#4125=SHAPE_REPRESENTATION('TSM-106-01-L-SH',(#4128,#4319,#4390),#6605); +#4126=SHAPE_REPRESENTATION('T-1S6-08(-01-6-SH)',(#4128),#6606); +#4127=SHAPE_REPRESENTATION('TSM-106-01-SH_body',(#4128),#6607); +#4128=AXIS2_PLACEMENT_3D('',#5445,#4391,#4392); +#4129=AXIS2_PLACEMENT_3D('',#5446,#4393,#4394); +#4130=AXIS2_PLACEMENT_3D('',#5455,#4399,#4400); +#4131=AXIS2_PLACEMENT_3D('',#5464,#4405,#4406); +#4132=AXIS2_PLACEMENT_3D('',#5473,#4411,#4412); +#4133=AXIS2_PLACEMENT_3D('',#5482,#4417,#4418); +#4134=AXIS2_PLACEMENT_3D('',#5491,#4423,#4424); +#4135=AXIS2_PLACEMENT_3D('',#5500,#4429,#4430); +#4136=AXIS2_PLACEMENT_3D('',#5509,#4435,#4436); +#4137=AXIS2_PLACEMENT_3D('',#5518,#4441,#4442); +#4138=AXIS2_PLACEMENT_3D('',#5519,#4443,#4444); +#4139=AXIS2_PLACEMENT_3D('',#5521,#4445,#4446); +#4140=AXIS2_PLACEMENT_3D('',#5524,#4448,#4449); +#4141=AXIS2_PLACEMENT_3D('',#5525,#4450,#4451); +#4142=AXIS2_PLACEMENT_3D('',#5529,#4453,#4454); +#4143=AXIS2_PLACEMENT_3D('',#5530,#4455,#4456); +#4144=AXIS2_PLACEMENT_3D('',#5531,#4457,#4458); +#4145=AXIS2_PLACEMENT_3D('',#5535,#4460,#4461); +#4146=AXIS2_PLACEMENT_3D('',#5536,#4462,#4463); +#4147=AXIS2_PLACEMENT_3D('',#5537,#4464,#4465); +#4148=AXIS2_PLACEMENT_3D('',#5541,#4467,#4468); +#4149=AXIS2_PLACEMENT_3D('',#5542,#4469,#4470); +#4150=AXIS2_PLACEMENT_3D('',#5548,#4474,#4475); +#4151=AXIS2_PLACEMENT_3D('',#5554,#4479,#4480); +#4152=AXIS2_PLACEMENT_3D('',#5560,#4484,#4485); +#4153=AXIS2_PLACEMENT_3D('',#5566,#4489,#4490); +#4154=AXIS2_PLACEMENT_3D('',#5570,#4492,#4493); +#4155=AXIS2_PLACEMENT_3D('',#5571,#4494,#4495); +#4156=AXIS2_PLACEMENT_3D('',#5572,#4496,#4497); +#4157=AXIS2_PLACEMENT_3D('',#5573,#4498,#4499); +#4158=AXIS2_PLACEMENT_3D('',#5577,#4501,#4502); +#4159=AXIS2_PLACEMENT_3D('',#5578,#4503,#4504); +#4160=AXIS2_PLACEMENT_3D('',#5579,#4505,#4506); +#4161=AXIS2_PLACEMENT_3D('',#5583,#4508,#4509); +#4162=AXIS2_PLACEMENT_3D('',#5584,#4510,#4511); +#4163=AXIS2_PLACEMENT_3D('',#5585,#4512,#4513); +#4164=AXIS2_PLACEMENT_3D('',#5589,#4515,#4516); +#4165=AXIS2_PLACEMENT_3D('',#5590,#4517,#4518); +#4166=AXIS2_PLACEMENT_3D('',#5591,#4519,#4520); +#4167=AXIS2_PLACEMENT_3D('',#5596,#4522,#4523); +#4168=AXIS2_PLACEMENT_3D('',#5599,#4525,#4526); +#4169=AXIS2_PLACEMENT_3D('',#5600,#4527,#4528); +#4170=AXIS2_PLACEMENT_3D('',#5605,#4530,#4531); +#4171=AXIS2_PLACEMENT_3D('',#5608,#4533,#4534); +#4172=AXIS2_PLACEMENT_3D('',#5609,#4535,#4536); +#4173=AXIS2_PLACEMENT_3D('',#5614,#4538,#4539); +#4174=AXIS2_PLACEMENT_3D('',#5617,#4541,#4542); +#4175=AXIS2_PLACEMENT_3D('',#5618,#4543,#4544); +#4176=AXIS2_PLACEMENT_3D('',#5623,#4546,#4547); +#4177=AXIS2_PLACEMENT_3D('',#5626,#4549,#4550); +#4178=AXIS2_PLACEMENT_3D('',#5632,#4554,#4555); +#4179=AXIS2_PLACEMENT_3D('',#5638,#4559,#4560); +#4180=AXIS2_PLACEMENT_3D('',#5644,#4564,#4565); +#4181=AXIS2_PLACEMENT_3D('',#5650,#4569,#4570); +#4182=AXIS2_PLACEMENT_3D('',#5651,#4571,#4572); +#4183=AXIS2_PLACEMENT_3D('',#5652,#4573,#4574); +#4184=AXIS2_PLACEMENT_3D('',#5653,#4575,#4576); +#4185=AXIS2_PLACEMENT_3D('',#5654,#4577,#4578); +#4186=AXIS2_PLACEMENT_3D('',#5655,#4579,#4580); +#4187=AXIS2_PLACEMENT_3D('',#5656,#4581,#4582); +#4188=AXIS2_PLACEMENT_3D('',#5657,#4583,#4584); +#4189=AXIS2_PLACEMENT_3D('',#5658,#4585,#4586); +#4190=AXIS2_PLACEMENT_3D('',#5659,#4587,#4588); +#4191=AXIS2_PLACEMENT_3D('',#5660,#4589,#4590); +#4192=AXIS2_PLACEMENT_3D('',#5661,#4591,#4592); +#4193=AXIS2_PLACEMENT_3D('',#5662,#4593,#4594); +#4194=AXIS2_PLACEMENT_3D('',#5671,#4599,#4600); +#4195=AXIS2_PLACEMENT_3D('',#5680,#4605,#4606); +#4196=AXIS2_PLACEMENT_3D('',#5681,#4607,#4608); +#4197=AXIS2_PLACEMENT_3D('',#5683,#4609,#4610); +#4198=AXIS2_PLACEMENT_3D('',#5686,#4612,#4613); +#4199=AXIS2_PLACEMENT_3D('',#5692,#4617,#4618); +#4200=AXIS2_PLACEMENT_3D('',#5696,#4620,#4621); +#4201=AXIS2_PLACEMENT_3D('',#5697,#4622,#4623); +#4202=AXIS2_PLACEMENT_3D('',#5698,#4624,#4625); +#4203=AXIS2_PLACEMENT_3D('',#5699,#4626,#4627); +#4204=AXIS2_PLACEMENT_3D('',#5704,#4629,#4630); +#4205=AXIS2_PLACEMENT_3D('',#5707,#4632,#4633); +#4206=AXIS2_PLACEMENT_3D('',#5713,#4637,#4638); +#4207=AXIS2_PLACEMENT_3D('',#5714,#4639,#4640); +#4208=AXIS2_PLACEMENT_3D('',#5715,#4641,#4642); +#4209=AXIS2_PLACEMENT_3D('',#5716,#4643,#4644); +#4210=AXIS2_PLACEMENT_3D('',#5725,#4649,#4650); +#4211=AXIS2_PLACEMENT_3D('',#5734,#4655,#4656); +#4212=AXIS2_PLACEMENT_3D('',#5735,#4657,#4658); +#4213=AXIS2_PLACEMENT_3D('',#5739,#4660,#4661); +#4214=AXIS2_PLACEMENT_3D('',#5740,#4662,#4663); +#4215=AXIS2_PLACEMENT_3D('',#5746,#4667,#4668); +#4216=AXIS2_PLACEMENT_3D('',#5747,#4669,#4670); +#4217=AXIS2_PLACEMENT_3D('',#5751,#4672,#4673); +#4218=AXIS2_PLACEMENT_3D('',#5752,#4674,#4675); +#4219=AXIS2_PLACEMENT_3D('',#5823,#4714,#4715); +#4220=AXIS2_PLACEMENT_3D('',#5897,#4755,#4756); +#4221=AXIS2_PLACEMENT_3D('',#5898,#4757,#4758); +#4222=AXIS2_PLACEMENT_3D('',#5902,#4760,#4761); +#4223=AXIS2_PLACEMENT_3D('',#5903,#4762,#4763); +#4224=AXIS2_PLACEMENT_3D('',#5909,#4767,#4768); +#4225=AXIS2_PLACEMENT_3D('',#5910,#4769,#4770); +#4226=AXIS2_PLACEMENT_3D('',#5911,#4771,#4772); +#4227=AXIS2_PLACEMENT_3D('',#5912,#4773,#4774); +#4228=AXIS2_PLACEMENT_3D('',#5915,#4777,#4778); +#4229=AXIS2_PLACEMENT_3D('',#5918,#4781,#4782); +#4230=AXIS2_PLACEMENT_3D('',#5921,#4785,#4786); +#4231=AXIS2_PLACEMENT_3D('',#5923,#4788,#4789); +#4232=AXIS2_PLACEMENT_3D('',#5925,#4791,#4792); +#4233=AXIS2_PLACEMENT_3D('',#5928,#4795,#4796); +#4234=AXIS2_PLACEMENT_3D('',#5930,#4798,#4799); +#4235=AXIS2_PLACEMENT_3D('',#5932,#4801,#4802); +#4236=AXIS2_PLACEMENT_3D('',#5935,#4805,#4806); +#4237=AXIS2_PLACEMENT_3D('',#5937,#4808,#4809); +#4238=AXIS2_PLACEMENT_3D('',#5939,#4811,#4812); +#4239=AXIS2_PLACEMENT_3D('',#5942,#4815,#4816); +#4240=AXIS2_PLACEMENT_3D('',#5944,#4818,#4819); +#4241=AXIS2_PLACEMENT_3D('',#5946,#4821,#4822); +#4242=AXIS2_PLACEMENT_3D('',#5949,#4825,#4826); +#4243=AXIS2_PLACEMENT_3D('',#5951,#4828,#4829); +#4244=AXIS2_PLACEMENT_3D('',#5953,#4831,#4832); +#4245=AXIS2_PLACEMENT_3D('',#5956,#4835,#4836); +#4246=AXIS2_PLACEMENT_3D('',#5958,#4838,#4839); +#4247=AXIS2_PLACEMENT_3D('',#5960,#4841,#4842); +#4248=AXIS2_PLACEMENT_3D('',#5963,#4845,#4846); +#4249=AXIS2_PLACEMENT_3D('',#5965,#4848,#4849); +#4250=AXIS2_PLACEMENT_3D('',#5967,#4851,#4852); +#4251=AXIS2_PLACEMENT_3D('',#5970,#4855,#4856); +#4252=AXIS2_PLACEMENT_3D('',#5972,#4858,#4859); +#4253=AXIS2_PLACEMENT_3D('',#5974,#4861,#4862); +#4254=AXIS2_PLACEMENT_3D('',#5977,#4865,#4866); +#4255=AXIS2_PLACEMENT_3D('',#5979,#4868,#4869); +#4256=AXIS2_PLACEMENT_3D('',#5981,#4871,#4872); +#4257=AXIS2_PLACEMENT_3D('',#5984,#4875,#4876); +#4258=AXIS2_PLACEMENT_3D('',#5986,#4878,#4879); +#4259=AXIS2_PLACEMENT_3D('',#5988,#4881,#4882); +#4260=AXIS2_PLACEMENT_3D('',#5994,#4886,#4887); +#4261=AXIS2_PLACEMENT_3D('',#5998,#4890,#4891); +#4262=AXIS2_PLACEMENT_3D('',#6002,#4894,#4895); +#4263=AXIS2_PLACEMENT_3D('',#6004,#4897,#4898); +#4264=AXIS2_PLACEMENT_3D('',#6005,#4899,#4900); +#4265=AXIS2_PLACEMENT_3D('',#6011,#4904,#4905); +#4266=AXIS2_PLACEMENT_3D('',#6015,#4908,#4909); +#4267=AXIS2_PLACEMENT_3D('',#6019,#4912,#4913); +#4268=AXIS2_PLACEMENT_3D('',#6021,#4915,#4916); +#4269=AXIS2_PLACEMENT_3D('',#6022,#4917,#4918); +#4270=AXIS2_PLACEMENT_3D('',#6028,#4922,#4923); +#4271=AXIS2_PLACEMENT_3D('',#6032,#4926,#4927); +#4272=AXIS2_PLACEMENT_3D('',#6036,#4930,#4931); +#4273=AXIS2_PLACEMENT_3D('',#6038,#4933,#4934); +#4274=AXIS2_PLACEMENT_3D('',#6039,#4935,#4936); +#4275=AXIS2_PLACEMENT_3D('',#6045,#4940,#4941); +#4276=AXIS2_PLACEMENT_3D('',#6049,#4944,#4945); +#4277=AXIS2_PLACEMENT_3D('',#6053,#4948,#4949); +#4278=AXIS2_PLACEMENT_3D('',#6055,#4951,#4952); +#4279=AXIS2_PLACEMENT_3D('',#6056,#4953,#4954); +#4280=AXIS2_PLACEMENT_3D('',#6062,#4958,#4959); +#4281=AXIS2_PLACEMENT_3D('',#6066,#4962,#4963); +#4282=AXIS2_PLACEMENT_3D('',#6070,#4966,#4967); +#4283=AXIS2_PLACEMENT_3D('',#6072,#4969,#4970); +#4284=AXIS2_PLACEMENT_3D('',#6073,#4971,#4972); +#4285=AXIS2_PLACEMENT_3D('',#6079,#4976,#4977); +#4286=AXIS2_PLACEMENT_3D('',#6083,#4980,#4981); +#4287=AXIS2_PLACEMENT_3D('',#6087,#4984,#4985); +#4288=AXIS2_PLACEMENT_3D('',#6089,#4987,#4988); +#4289=AXIS2_PLACEMENT_3D('',#6090,#4989,#4990); +#4290=AXIS2_PLACEMENT_3D('',#6096,#4994,#4995); +#4291=AXIS2_PLACEMENT_3D('',#6100,#4998,#4999); +#4292=AXIS2_PLACEMENT_3D('',#6104,#5002,#5003); +#4293=AXIS2_PLACEMENT_3D('',#6106,#5005,#5006); +#4294=AXIS2_PLACEMENT_3D('',#6107,#5007,#5008); +#4295=AXIS2_PLACEMENT_3D('',#6113,#5012,#5013); +#4296=AXIS2_PLACEMENT_3D('',#6117,#5016,#5017); +#4297=AXIS2_PLACEMENT_3D('',#6121,#5020,#5021); +#4298=AXIS2_PLACEMENT_3D('',#6123,#5023,#5024); +#4299=AXIS2_PLACEMENT_3D('',#6124,#5025,#5026); +#4300=AXIS2_PLACEMENT_3D('',#6130,#5030,#5031); +#4301=AXIS2_PLACEMENT_3D('',#6134,#5034,#5035); +#4302=AXIS2_PLACEMENT_3D('',#6138,#5038,#5039); +#4303=AXIS2_PLACEMENT_3D('',#6140,#5041,#5042); +#4304=AXIS2_PLACEMENT_3D('',#6141,#5043,#5044); +#4305=AXIS2_PLACEMENT_3D('',#6147,#5048,#5049); +#4306=AXIS2_PLACEMENT_3D('',#6151,#5052,#5053); +#4307=AXIS2_PLACEMENT_3D('',#6155,#5056,#5057); +#4308=AXIS2_PLACEMENT_3D('',#6157,#5059,#5060); +#4309=AXIS2_PLACEMENT_3D('',#6158,#5061,#5062); +#4310=AXIS2_PLACEMENT_3D('',#6164,#5066,#5067); +#4311=AXIS2_PLACEMENT_3D('',#6168,#5070,#5071); +#4312=AXIS2_PLACEMENT_3D('',#6172,#5074,#5075); +#4313=AXIS2_PLACEMENT_3D('',#6174,#5077,#5078); +#4314=AXIS2_PLACEMENT_3D('',#6175,#5079,#5080); +#4315=AXIS2_PLACEMENT_3D('',#6181,#5084,#5085); +#4316=AXIS2_PLACEMENT_3D('',#6185,#5088,#5089); +#4317=AXIS2_PLACEMENT_3D('',#6189,#5092,#5093); +#4318=AXIS2_PLACEMENT_3D('',#6191,#5095,#5096); +#4319=AXIS2_PLACEMENT_3D('',#6192,#5097,#5098); +#4320=AXIS2_PLACEMENT_3D('',#6193,#5099,#5100); +#4321=AXIS2_PLACEMENT_3D('',#6282,#5145,#5146); +#4322=AXIS2_PLACEMENT_3D('',#6291,#5151,#5152); +#4323=AXIS2_PLACEMENT_3D('',#6300,#5157,#5158); +#4324=AXIS2_PLACEMENT_3D('',#6309,#5163,#5164); +#4325=AXIS2_PLACEMENT_3D('',#6318,#5169,#5170); +#4326=AXIS2_PLACEMENT_3D('',#6327,#5175,#5176); +#4327=AXIS2_PLACEMENT_3D('',#6341,#5184,#5185); +#4328=AXIS2_PLACEMENT_3D('',#6355,#5193,#5194); +#4329=AXIS2_PLACEMENT_3D('',#6369,#5202,#5203); +#4330=AXIS2_PLACEMENT_3D('',#6383,#5211,#5212); +#4331=AXIS2_PLACEMENT_3D('',#6397,#5220,#5221); +#4332=AXIS2_PLACEMENT_3D('',#6411,#5229,#5230); +#4333=AXIS2_PLACEMENT_3D('',#6425,#5238,#5239); +#4334=AXIS2_PLACEMENT_3D('',#6439,#5247,#5248); +#4335=AXIS2_PLACEMENT_3D('',#6453,#5256,#5257); +#4336=AXIS2_PLACEMENT_3D('',#6467,#5265,#5266); +#4337=AXIS2_PLACEMENT_3D('',#6481,#5274,#5275); +#4338=AXIS2_PLACEMENT_3D('',#6495,#5283,#5284); +#4339=AXIS2_PLACEMENT_3D('',#6498,#5287,#5288); +#4340=AXIS2_PLACEMENT_3D('',#6501,#5291,#5292); +#4341=AXIS2_PLACEMENT_3D('',#6504,#5295,#5296); +#4342=AXIS2_PLACEMENT_3D('',#6507,#5299,#5300); +#4343=AXIS2_PLACEMENT_3D('',#6510,#5303,#5304); +#4344=AXIS2_PLACEMENT_3D('',#6513,#5307,#5308); +#4345=AXIS2_PLACEMENT_3D('',#6514,#5309,#5310); +#4346=AXIS2_PLACEMENT_3D('',#6517,#5313,#5314); +#4347=AXIS2_PLACEMENT_3D('',#6519,#5316,#5317); +#4348=AXIS2_PLACEMENT_3D('',#6521,#5319,#5320); +#4349=AXIS2_PLACEMENT_3D('',#6524,#5323,#5324); +#4350=AXIS2_PLACEMENT_3D('',#6526,#5326,#5327); +#4351=AXIS2_PLACEMENT_3D('',#6528,#5329,#5330); +#4352=AXIS2_PLACEMENT_3D('',#6531,#5333,#5334); +#4353=AXIS2_PLACEMENT_3D('',#6533,#5336,#5337); +#4354=AXIS2_PLACEMENT_3D('',#6535,#5339,#5340); +#4355=AXIS2_PLACEMENT_3D('',#6538,#5343,#5344); +#4356=AXIS2_PLACEMENT_3D('',#6540,#5346,#5347); +#4357=AXIS2_PLACEMENT_3D('',#6542,#5349,#5350); +#4358=AXIS2_PLACEMENT_3D('',#6545,#5353,#5354); +#4359=AXIS2_PLACEMENT_3D('',#6547,#5356,#5357); +#4360=AXIS2_PLACEMENT_3D('',#6549,#5359,#5360); +#4361=AXIS2_PLACEMENT_3D('',#6552,#5363,#5364); +#4362=AXIS2_PLACEMENT_3D('',#6554,#5366,#5367); +#4363=AXIS2_PLACEMENT_3D('',#6556,#5369,#5370); +#4364=AXIS2_PLACEMENT_3D('',#6557,#5371,#5372); +#4365=AXIS2_PLACEMENT_3D('',#6558,#5373,#5374); +#4366=AXIS2_PLACEMENT_3D('',#6559,#5375,#5376); +#4367=AXIS2_PLACEMENT_3D('',#6560,#5377,#5378); +#4368=AXIS2_PLACEMENT_3D('',#6561,#5379,#5380); +#4369=AXIS2_PLACEMENT_3D('',#6562,#5381,#5382); +#4370=AXIS2_PLACEMENT_3D('',#6563,#5383,#5384); +#4371=AXIS2_PLACEMENT_3D('',#6566,#5387,#5388); +#4372=AXIS2_PLACEMENT_3D('',#6569,#5391,#5392); +#4373=AXIS2_PLACEMENT_3D('',#6572,#5395,#5396); +#4374=AXIS2_PLACEMENT_3D('',#6575,#5399,#5400); +#4375=AXIS2_PLACEMENT_3D('',#6578,#5403,#5404); +#4376=AXIS2_PLACEMENT_3D('',#6581,#5407,#5408); +#4377=AXIS2_PLACEMENT_3D('',#6584,#5411,#5412); +#4378=AXIS2_PLACEMENT_3D('',#6587,#5415,#5416); +#4379=AXIS2_PLACEMENT_3D('',#6590,#5419,#5420); +#4380=AXIS2_PLACEMENT_3D('',#6593,#5423,#5424); +#4381=AXIS2_PLACEMENT_3D('',#6594,#5425,#5426); +#4382=AXIS2_PLACEMENT_3D('',#6595,#5427,#5428); +#4383=AXIS2_PLACEMENT_3D('',#6596,#5429,#5430); +#4384=AXIS2_PLACEMENT_3D('',#6597,#5431,#5432); +#4385=AXIS2_PLACEMENT_3D('',#6598,#5433,#5434); +#4386=AXIS2_PLACEMENT_3D('',#6599,#5435,#5436); +#4387=AXIS2_PLACEMENT_3D('',#6600,#5437,#5438); +#4388=AXIS2_PLACEMENT_3D('',#6601,#5439,#5440); +#4389=AXIS2_PLACEMENT_3D('',#6602,#5441,#5442); +#4390=AXIS2_PLACEMENT_3D('',#6603,#5443,#5444); +#4391=DIRECTION('',(0.,0.,1.)); +#4392=DIRECTION('',(1.,0.,0.)); +#4393=DIRECTION('',(0.,0.,-1.)); +#4394=DIRECTION('',(-1.,0.,0.)); +#4395=DIRECTION('',(-1.,0.,0.)); +#4396=DIRECTION('',(0.,-1.,0.)); +#4397=DIRECTION('',(-1.,0.,0.)); +#4398=DIRECTION('',(0.,1.,0.)); +#4399=DIRECTION('',(0.,0.,-1.)); +#4400=DIRECTION('',(-1.,0.,0.)); +#4401=DIRECTION('',(-1.,0.,0.)); +#4402=DIRECTION('',(0.,1.,0.)); +#4403=DIRECTION('',(-1.,0.,0.)); +#4404=DIRECTION('',(0.,-1.,0.)); +#4405=DIRECTION('',(0.,0.,-1.)); +#4406=DIRECTION('',(-1.,0.,0.)); +#4407=DIRECTION('',(-1.,0.,0.)); +#4408=DIRECTION('',(0.,1.,0.)); +#4409=DIRECTION('',(-1.,0.,0.)); +#4410=DIRECTION('',(0.,-1.,0.)); +#4411=DIRECTION('',(0.,0.,-1.)); +#4412=DIRECTION('',(-1.,0.,0.)); +#4413=DIRECTION('',(-1.,0.,0.)); +#4414=DIRECTION('',(0.,1.,0.)); +#4415=DIRECTION('',(-1.,0.,0.)); +#4416=DIRECTION('',(0.,-1.,0.)); +#4417=DIRECTION('',(0.,0.,1.)); +#4418=DIRECTION('',(1.,0.,0.)); +#4419=DIRECTION('',(-1.,0.,0.)); +#4420=DIRECTION('',(0.,-1.,0.)); +#4421=DIRECTION('',(-1.,0.,0.)); +#4422=DIRECTION('',(0.,1.,0.)); +#4423=DIRECTION('',(0.,0.,1.)); +#4424=DIRECTION('',(1.,0.,0.)); +#4425=DIRECTION('',(-1.,0.,0.)); +#4426=DIRECTION('',(0.,1.,0.)); +#4427=DIRECTION('',(-1.,0.,0.)); +#4428=DIRECTION('',(0.,-1.,0.)); +#4429=DIRECTION('',(0.,0.,1.)); +#4430=DIRECTION('',(1.,0.,0.)); +#4431=DIRECTION('',(-1.,0.,0.)); +#4432=DIRECTION('',(0.,1.,0.)); +#4433=DIRECTION('',(-1.,0.,0.)); +#4434=DIRECTION('',(0.,-1.,0.)); +#4435=DIRECTION('',(0.,0.,1.)); +#4436=DIRECTION('',(1.,0.,0.)); +#4437=DIRECTION('',(-1.,0.,0.)); +#4438=DIRECTION('',(0.,1.,0.)); +#4439=DIRECTION('',(-1.,0.,0.)); +#4440=DIRECTION('',(0.,-1.,0.)); +#4441=DIRECTION('',(-1.,0.,0.)); +#4442=DIRECTION('',(0.,0.,1.)); +#4443=DIRECTION('',(-1.,0.,0.)); +#4444=DIRECTION('',(0.,0.,1.)); +#4445=DIRECTION('',(1.,0.,0.)); +#4446=DIRECTION('',(0.,0.,-1.)); +#4447=DIRECTION('',(-1.,0.,0.)); +#4448=DIRECTION('',(-1.,0.,0.)); +#4449=DIRECTION('',(0.,0.,1.)); +#4450=DIRECTION('',(1.,0.,0.)); +#4451=DIRECTION('',(0.,0.,-1.)); +#4452=DIRECTION('',(-1.,0.,0.)); +#4453=DIRECTION('',(-1.,0.,0.)); +#4454=DIRECTION('',(0.,0.,1.)); +#4455=DIRECTION('',(-1.,0.,0.)); +#4456=DIRECTION('',(0.,0.,1.)); +#4457=DIRECTION('',(1.,0.,0.)); +#4458=DIRECTION('',(0.,0.,-1.)); +#4459=DIRECTION('',(-1.,0.,0.)); +#4460=DIRECTION('',(-1.,0.,0.)); +#4461=DIRECTION('',(0.,0.,1.)); +#4462=DIRECTION('',(-1.,0.,0.)); +#4463=DIRECTION('',(0.,0.,1.)); +#4464=DIRECTION('',(1.,0.,0.)); +#4465=DIRECTION('',(0.,0.,-1.)); +#4466=DIRECTION('',(-1.,0.,0.)); +#4467=DIRECTION('',(-1.,0.,0.)); +#4468=DIRECTION('',(0.,0.,1.)); +#4469=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4470=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4471=DIRECTION('',(-1.,0.,0.)); +#4472=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4473=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4474=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4475=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4476=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4477=DIRECTION('',(-1.,0.,0.)); +#4478=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4479=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4480=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4481=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4482=DIRECTION('',(-1.,0.,0.)); +#4483=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4484=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4485=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4486=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4487=DIRECTION('',(-1.,0.,0.)); +#4488=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4489=DIRECTION('',(-1.,0.,0.)); +#4490=DIRECTION('',(0.,0.,1.)); +#4491=DIRECTION('',(-1.,0.,0.)); +#4492=DIRECTION('',(-1.,0.,0.)); +#4493=DIRECTION('',(0.,0.,1.)); +#4494=DIRECTION('',(1.,0.,0.)); +#4495=DIRECTION('',(0.,0.,-1.)); +#4496=DIRECTION('',(-1.,0.,0.)); +#4497=DIRECTION('',(0.,0.,1.)); +#4498=DIRECTION('',(1.,0.,0.)); +#4499=DIRECTION('',(0.,0.,-1.)); +#4500=DIRECTION('',(-1.,0.,0.)); +#4501=DIRECTION('',(-1.,0.,0.)); +#4502=DIRECTION('',(0.,0.,1.)); +#4503=DIRECTION('',(-1.,0.,0.)); +#4504=DIRECTION('',(0.,0.,1.)); +#4505=DIRECTION('',(1.,0.,0.)); +#4506=DIRECTION('',(0.,0.,-1.)); +#4507=DIRECTION('',(-1.,0.,0.)); +#4508=DIRECTION('',(-1.,0.,0.)); +#4509=DIRECTION('',(0.,0.,1.)); +#4510=DIRECTION('',(-1.,0.,0.)); +#4511=DIRECTION('',(0.,0.,1.)); +#4512=DIRECTION('',(1.,0.,0.)); +#4513=DIRECTION('',(0.,0.,-1.)); +#4514=DIRECTION('',(-1.,0.,0.)); +#4515=DIRECTION('',(-1.,0.,0.)); +#4516=DIRECTION('',(0.,0.,1.)); +#4517=DIRECTION('',(-1.,0.,0.)); +#4518=DIRECTION('',(0.,0.,1.)); +#4519=DIRECTION('',(-1.,0.,0.)); +#4520=DIRECTION('',(0.,0.,1.)); +#4521=DIRECTION('',(-1.,0.,0.)); +#4522=DIRECTION('',(1.,0.,0.)); +#4523=DIRECTION('',(0.,0.,-1.)); +#4524=DIRECTION('',(-1.,0.,0.)); +#4525=DIRECTION('',(-1.,0.,0.)); +#4526=DIRECTION('',(0.,0.,1.)); +#4527=DIRECTION('',(1.,0.,0.)); +#4528=DIRECTION('',(0.,0.,-1.)); +#4529=DIRECTION('',(-1.,0.,0.)); +#4530=DIRECTION('',(-1.,0.,0.)); +#4531=DIRECTION('',(0.,0.,1.)); +#4532=DIRECTION('',(-1.,0.,0.)); +#4533=DIRECTION('',(-1.,0.,0.)); +#4534=DIRECTION('',(0.,0.,1.)); +#4535=DIRECTION('',(1.,0.,0.)); +#4536=DIRECTION('',(0.,0.,-1.)); +#4537=DIRECTION('',(-1.,0.,0.)); +#4538=DIRECTION('',(-1.,0.,0.)); +#4539=DIRECTION('',(0.,0.,1.)); +#4540=DIRECTION('',(-1.,0.,0.)); +#4541=DIRECTION('',(-1.,0.,0.)); +#4542=DIRECTION('',(0.,0.,1.)); +#4543=DIRECTION('',(1.,0.,0.)); +#4544=DIRECTION('',(0.,0.,-1.)); +#4545=DIRECTION('',(-1.,0.,0.)); +#4546=DIRECTION('',(-1.,0.,0.)); +#4547=DIRECTION('',(0.,0.,1.)); +#4548=DIRECTION('',(-1.,0.,0.)); +#4549=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4550=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4551=DIRECTION('',(-1.,0.,0.)); +#4552=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4553=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4554=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4555=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4556=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4557=DIRECTION('',(-1.,0.,0.)); +#4558=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4559=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4560=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4561=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4562=DIRECTION('',(-1.,0.,0.)); +#4563=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4564=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4565=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4566=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4567=DIRECTION('',(-1.,0.,0.)); +#4568=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4569=DIRECTION('',(-1.,0.,0.)); +#4570=DIRECTION('',(0.,0.,1.)); +#4571=DIRECTION('',(-1.,0.,0.)); +#4572=DIRECTION('',(0.,0.,1.)); +#4573=DIRECTION('',(1.,0.,0.)); +#4574=DIRECTION('',(0.,0.,-1.)); +#4575=DIRECTION('',(-1.,0.,0.)); +#4576=DIRECTION('',(0.,0.,1.)); +#4577=DIRECTION('',(1.,0.,0.)); +#4578=DIRECTION('',(0.,0.,-1.)); +#4579=DIRECTION('',(-1.,0.,0.)); +#4580=DIRECTION('',(0.,0.,1.)); +#4581=DIRECTION('',(-1.,0.,0.)); +#4582=DIRECTION('',(0.,0.,1.)); +#4583=DIRECTION('',(1.,0.,0.)); +#4584=DIRECTION('',(0.,0.,-1.)); +#4585=DIRECTION('',(-1.,0.,0.)); +#4586=DIRECTION('',(0.,0.,1.)); +#4587=DIRECTION('',(-1.,0.,0.)); +#4588=DIRECTION('',(0.,0.,1.)); +#4589=DIRECTION('',(1.,0.,0.)); +#4590=DIRECTION('',(0.,0.,-1.)); +#4591=DIRECTION('',(-1.,0.,0.)); +#4592=DIRECTION('',(0.,0.,1.)); +#4593=DIRECTION('',(0.,0.,-1.)); +#4594=DIRECTION('',(-1.,0.,0.)); +#4595=DIRECTION('',(-1.,0.,0.)); +#4596=DIRECTION('',(0.,-1.,0.)); +#4597=DIRECTION('',(-1.,0.,0.)); +#4598=DIRECTION('',(0.,-1.,0.)); +#4599=DIRECTION('',(0.,0.,1.)); +#4600=DIRECTION('',(1.,0.,0.)); +#4601=DIRECTION('',(-1.,0.,0.)); +#4602=DIRECTION('',(0.,-1.,0.)); +#4603=DIRECTION('',(-1.,0.,0.)); +#4604=DIRECTION('',(0.,1.,0.)); +#4605=DIRECTION('',(-1.,0.,0.)); +#4606=DIRECTION('',(0.,0.,1.)); +#4607=DIRECTION('',(-1.,0.,0.)); +#4608=DIRECTION('',(0.,0.,1.)); +#4609=DIRECTION('',(1.,0.,0.)); +#4610=DIRECTION('',(0.,0.,-1.)); +#4611=DIRECTION('',(-1.,0.,0.)); +#4612=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4613=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4614=DIRECTION('',(-1.,0.,0.)); +#4615=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4616=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4617=DIRECTION('',(-1.,0.,0.)); +#4618=DIRECTION('',(0.,0.,1.)); +#4619=DIRECTION('',(-1.,0.,0.)); +#4620=DIRECTION('',(-1.,0.,0.)); +#4621=DIRECTION('',(0.,0.,1.)); +#4622=DIRECTION('',(1.,0.,0.)); +#4623=DIRECTION('',(0.,0.,-1.)); +#4624=DIRECTION('',(-1.,0.,0.)); +#4625=DIRECTION('',(0.,0.,1.)); +#4626=DIRECTION('',(-1.,0.,0.)); +#4627=DIRECTION('',(0.,0.,1.)); +#4628=DIRECTION('',(-1.,0.,0.)); +#4629=DIRECTION('',(1.,0.,0.)); +#4630=DIRECTION('',(0.,0.,-1.)); +#4631=DIRECTION('',(-1.,0.,0.)); +#4632=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4633=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4634=DIRECTION('',(-1.,0.,0.)); +#4635=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4636=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4637=DIRECTION('',(-1.,0.,0.)); +#4638=DIRECTION('',(0.,0.,1.)); +#4639=DIRECTION('',(-1.,0.,0.)); +#4640=DIRECTION('',(0.,0.,1.)); +#4641=DIRECTION('',(1.,0.,0.)); +#4642=DIRECTION('',(0.,0.,-1.)); +#4643=DIRECTION('',(0.,0.,-1.)); +#4644=DIRECTION('',(-1.,0.,0.)); +#4645=DIRECTION('',(-1.,0.,0.)); +#4646=DIRECTION('',(0.,1.,0.)); +#4647=DIRECTION('',(-1.,0.,0.)); +#4648=DIRECTION('',(0.,-1.,0.)); +#4649=DIRECTION('',(0.,0.,1.)); +#4650=DIRECTION('',(1.,0.,0.)); +#4651=DIRECTION('',(-1.,0.,0.)); +#4652=DIRECTION('',(0.,1.,0.)); +#4653=DIRECTION('',(-1.,0.,0.)); +#4654=DIRECTION('',(0.,1.,0.)); +#4655=DIRECTION('',(-1.,0.,0.)); +#4656=DIRECTION('',(0.,0.,1.)); +#4657=DIRECTION('',(1.,0.,0.)); +#4658=DIRECTION('',(0.,0.,-1.)); +#4659=DIRECTION('',(-1.,0.,0.)); +#4660=DIRECTION('',(1.,0.,0.)); +#4661=DIRECTION('',(0.,0.,-1.)); +#4662=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4663=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4664=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4665=DIRECTION('',(-1.,0.,0.)); +#4666=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4667=DIRECTION('',(-1.,0.,0.)); +#4668=DIRECTION('',(0.,0.,1.)); +#4669=DIRECTION('',(1.,0.,0.)); +#4670=DIRECTION('',(0.,0.,-1.)); +#4671=DIRECTION('',(-1.,0.,0.)); +#4672=DIRECTION('',(1.,0.,0.)); +#4673=DIRECTION('',(0.,0.,-1.)); +#4674=DIRECTION('',(0.,0.,1.)); +#4675=DIRECTION('',(1.,0.,0.)); +#4676=DIRECTION('',(-1.,0.,0.)); +#4677=DIRECTION('',(0.,-1.,0.)); +#4678=DIRECTION('',(0.,1.,0.)); +#4679=DIRECTION('',(-1.,0.,0.)); +#4680=DIRECTION('',(0.,-1.,0.)); +#4681=DIRECTION('',(0.,1.,0.)); +#4682=DIRECTION('',(-1.,0.,0.)); +#4683=DIRECTION('',(0.,-1.,0.)); +#4684=DIRECTION('',(1.,0.,0.)); +#4685=DIRECTION('',(0.,1.,0.)); +#4686=DIRECTION('',(-1.,0.,0.)); +#4687=DIRECTION('',(0.,-1.,0.)); +#4688=DIRECTION('',(1.,0.,0.)); +#4689=DIRECTION('',(0.,1.,0.)); +#4690=DIRECTION('',(-1.,0.,0.)); +#4691=DIRECTION('',(0.,-1.,0.)); +#4692=DIRECTION('',(1.,0.,0.)); +#4693=DIRECTION('',(0.,1.,0.)); +#4694=DIRECTION('',(-1.,0.,0.)); +#4695=DIRECTION('',(0.,-1.,0.)); +#4696=DIRECTION('',(1.,0.,0.)); +#4697=DIRECTION('',(0.,1.,0.)); +#4698=DIRECTION('',(-1.,0.,0.)); +#4699=DIRECTION('',(0.,-1.,0.)); +#4700=DIRECTION('',(1.,0.,0.)); +#4701=DIRECTION('',(0.,1.,0.)); +#4702=DIRECTION('',(-1.,0.,0.)); +#4703=DIRECTION('',(0.,1.,0.)); +#4704=DIRECTION('',(0.,1.,0.)); +#4705=DIRECTION('',(-1.,0.,0.)); +#4706=DIRECTION('',(0.,-1.,0.)); +#4707=DIRECTION('',(0.,1.,0.)); +#4708=DIRECTION('',(-1.,0.,0.)); +#4709=DIRECTION('',(0.,-1.,0.)); +#4710=DIRECTION('',(0.,1.,0.)); +#4711=DIRECTION('',(-1.,0.,0.)); +#4712=DIRECTION('',(0.,-1.,0.)); +#4713=DIRECTION('',(0.,1.,0.)); +#4714=DIRECTION('',(0.,0.,-1.)); +#4715=DIRECTION('',(-1.,0.,0.)); +#4716=DIRECTION('',(0.,1.,0.)); +#4717=DIRECTION('',(1.,0.,0.)); +#4718=DIRECTION('',(0.,-1.,0.)); +#4719=DIRECTION('',(0.,1.,0.)); +#4720=DIRECTION('',(1.,0.,0.)); +#4721=DIRECTION('',(0.,-1.,0.)); +#4722=DIRECTION('',(0.,1.,0.)); +#4723=DIRECTION('',(1.,0.,0.)); +#4724=DIRECTION('',(0.,-1.,0.)); +#4725=DIRECTION('',(0.,1.,0.)); +#4726=DIRECTION('',(1.,0.,0.)); +#4727=DIRECTION('',(0.,-1.,0.)); +#4728=DIRECTION('',(-1.,0.,0.)); +#4729=DIRECTION('',(0.,-1.,0.)); +#4730=DIRECTION('',(-1.,0.,0.)); +#4731=DIRECTION('',(0.,-1.,0.)); +#4732=DIRECTION('',(-1.,0.,0.)); +#4733=DIRECTION('',(0.,1.,0.)); +#4734=DIRECTION('',(-1.,0.,0.)); +#4735=DIRECTION('',(0.,-1.,0.)); +#4736=DIRECTION('',(-1.,0.,0.)); +#4737=DIRECTION('',(0.,1.,0.)); +#4738=DIRECTION('',(-1.,0.,0.)); +#4739=DIRECTION('',(0.,-1.,0.)); +#4740=DIRECTION('',(-1.,0.,0.)); +#4741=DIRECTION('',(0.,1.,0.)); +#4742=DIRECTION('',(-1.,0.,0.)); +#4743=DIRECTION('',(0.,-1.,0.)); +#4744=DIRECTION('',(-1.,0.,0.)); +#4745=DIRECTION('',(0.,1.,0.)); +#4746=DIRECTION('',(-1.,0.,0.)); +#4747=DIRECTION('',(0.,-1.,0.)); +#4748=DIRECTION('',(-1.,0.,0.)); +#4749=DIRECTION('',(0.,1.,0.)); +#4750=DIRECTION('',(-1.,0.,0.)); +#4751=DIRECTION('',(0.,-1.,0.)); +#4752=DIRECTION('',(0.,1.,0.)); +#4753=DIRECTION('',(1.,0.,0.)); +#4754=DIRECTION('',(0.,-1.,0.)); +#4755=DIRECTION('',(-1.,0.,0.)); +#4756=DIRECTION('',(0.,0.,1.)); +#4757=DIRECTION('',(1.,0.,0.)); +#4758=DIRECTION('',(0.,0.,-1.)); +#4759=DIRECTION('',(-1.,0.,0.)); +#4760=DIRECTION('',(1.,0.,0.)); +#4761=DIRECTION('',(0.,0.,-1.)); +#4762=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4763=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4764=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4765=DIRECTION('',(-1.,0.,0.)); +#4766=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4767=DIRECTION('',(-1.,0.,0.)); +#4768=DIRECTION('',(0.,0.,1.)); +#4769=DIRECTION('',(1.,0.,0.)); +#4770=DIRECTION('',(0.,0.,-1.)); +#4771=DIRECTION('',(1.,0.,0.)); +#4772=DIRECTION('',(0.,0.,-1.)); +#4773=DIRECTION('',(1.,0.,0.)); +#4774=DIRECTION('',(0.,0.,-1.)); +#4775=DIRECTION('',(0.,0.,-1.)); +#4776=DIRECTION('',(0.,0.,1.)); +#4777=DIRECTION('',(1.,0.,0.)); +#4778=DIRECTION('',(0.,0.,-1.)); +#4779=DIRECTION('',(0.,0.,1.)); +#4780=DIRECTION('',(0.,0.,-1.)); +#4781=DIRECTION('',(-1.,0.,0.)); +#4782=DIRECTION('',(0.,0.,1.)); +#4783=DIRECTION('',(0.,0.,-1.)); +#4784=DIRECTION('',(0.,0.,1.)); +#4785=DIRECTION('',(0.,-1.,0.)); +#4786=DIRECTION('',(0.,0.,-1.)); +#4787=DIRECTION('',(0.,0.,1.)); +#4788=DIRECTION('',(1.,0.,0.)); +#4789=DIRECTION('',(0.,0.,-1.)); +#4790=DIRECTION('',(0.,0.,1.)); +#4791=DIRECTION('',(-1.,0.,0.)); +#4792=DIRECTION('',(0.,0.,1.)); +#4793=DIRECTION('',(0.,0.,-1.)); +#4794=DIRECTION('',(0.,0.,1.)); +#4795=DIRECTION('',(0.,-1.,0.)); +#4796=DIRECTION('',(0.,0.,-1.)); +#4797=DIRECTION('',(0.,0.,1.)); +#4798=DIRECTION('',(1.,0.,0.)); +#4799=DIRECTION('',(0.,0.,-1.)); +#4800=DIRECTION('',(0.,0.,1.)); +#4801=DIRECTION('',(-1.,0.,0.)); +#4802=DIRECTION('',(0.,0.,1.)); +#4803=DIRECTION('',(0.,0.,-1.)); +#4804=DIRECTION('',(0.,0.,1.)); +#4805=DIRECTION('',(0.,-1.,0.)); +#4806=DIRECTION('',(0.,0.,-1.)); +#4807=DIRECTION('',(0.,0.,1.)); +#4808=DIRECTION('',(1.,0.,0.)); +#4809=DIRECTION('',(0.,0.,-1.)); +#4810=DIRECTION('',(0.,0.,1.)); +#4811=DIRECTION('',(-1.,0.,0.)); +#4812=DIRECTION('',(0.,0.,1.)); +#4813=DIRECTION('',(0.,0.,-1.)); +#4814=DIRECTION('',(0.,0.,1.)); +#4815=DIRECTION('',(0.,-1.,0.)); +#4816=DIRECTION('',(0.,0.,-1.)); +#4817=DIRECTION('',(0.,0.,1.)); +#4818=DIRECTION('',(1.,0.,0.)); +#4819=DIRECTION('',(0.,0.,-1.)); +#4820=DIRECTION('',(0.,0.,1.)); +#4821=DIRECTION('',(-1.,0.,0.)); +#4822=DIRECTION('',(0.,0.,1.)); +#4823=DIRECTION('',(0.,0.,-1.)); +#4824=DIRECTION('',(0.,0.,1.)); +#4825=DIRECTION('',(0.,-1.,0.)); +#4826=DIRECTION('',(0.,0.,-1.)); +#4827=DIRECTION('',(0.,0.,1.)); +#4828=DIRECTION('',(1.,0.,0.)); +#4829=DIRECTION('',(0.,0.,-1.)); +#4830=DIRECTION('',(0.,0.,1.)); +#4831=DIRECTION('',(0.,1.,0.)); +#4832=DIRECTION('',(0.,0.,1.)); +#4833=DIRECTION('',(0.,0.,1.)); +#4834=DIRECTION('',(0.,0.,1.)); +#4835=DIRECTION('',(-1.,0.,0.)); +#4836=DIRECTION('',(0.,0.,1.)); +#4837=DIRECTION('',(0.,0.,1.)); +#4838=DIRECTION('',(1.,0.,0.)); +#4839=DIRECTION('',(0.,0.,-1.)); +#4840=DIRECTION('',(0.,0.,-1.)); +#4841=DIRECTION('',(0.,1.,0.)); +#4842=DIRECTION('',(0.,0.,1.)); +#4843=DIRECTION('',(0.,0.,1.)); +#4844=DIRECTION('',(0.,0.,1.)); +#4845=DIRECTION('',(-1.,0.,0.)); +#4846=DIRECTION('',(0.,0.,1.)); +#4847=DIRECTION('',(0.,0.,1.)); +#4848=DIRECTION('',(1.,0.,0.)); +#4849=DIRECTION('',(0.,0.,-1.)); +#4850=DIRECTION('',(0.,0.,-1.)); +#4851=DIRECTION('',(0.,1.,0.)); +#4852=DIRECTION('',(0.,0.,1.)); +#4853=DIRECTION('',(0.,0.,1.)); +#4854=DIRECTION('',(0.,0.,1.)); +#4855=DIRECTION('',(-1.,0.,0.)); +#4856=DIRECTION('',(0.,0.,1.)); +#4857=DIRECTION('',(0.,0.,1.)); +#4858=DIRECTION('',(1.,0.,0.)); +#4859=DIRECTION('',(0.,0.,-1.)); +#4860=DIRECTION('',(0.,0.,-1.)); +#4861=DIRECTION('',(0.,1.,0.)); +#4862=DIRECTION('',(0.,0.,1.)); +#4863=DIRECTION('',(0.,0.,1.)); +#4864=DIRECTION('',(0.,0.,1.)); +#4865=DIRECTION('',(-1.,0.,0.)); +#4866=DIRECTION('',(0.,0.,1.)); +#4867=DIRECTION('',(0.,0.,1.)); +#4868=DIRECTION('',(1.,0.,0.)); +#4869=DIRECTION('',(0.,0.,-1.)); +#4870=DIRECTION('',(0.,0.,-1.)); +#4871=DIRECTION('',(0.,1.,0.)); +#4872=DIRECTION('',(0.,0.,1.)); +#4873=DIRECTION('',(0.,0.,1.)); +#4874=DIRECTION('',(0.,0.,1.)); +#4875=DIRECTION('',(-1.,0.,0.)); +#4876=DIRECTION('',(0.,0.,1.)); +#4877=DIRECTION('',(0.,0.,1.)); +#4878=DIRECTION('',(1.,0.,0.)); +#4879=DIRECTION('',(0.,0.,-1.)); +#4880=DIRECTION('',(0.,0.,-1.)); +#4881=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#4882=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#4883=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#4884=DIRECTION('',(0.,0.,-1.)); +#4885=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4886=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#4887=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#4888=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#4889=DIRECTION('',(-1.,0.,0.)); +#4890=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#4891=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#4892=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4893=DIRECTION('',(0.,0.,1.)); +#4894=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#4895=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#4896=DIRECTION('',(1.,0.,0.)); +#4897=DIRECTION('',(0.,1.,0.)); +#4898=DIRECTION('',(0.,0.,1.)); +#4899=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#4900=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#4901=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#4902=DIRECTION('',(0.,0.,-1.)); +#4903=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4904=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#4905=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#4906=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#4907=DIRECTION('',(-1.,0.,0.)); +#4908=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#4909=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#4910=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4911=DIRECTION('',(0.,0.,1.)); +#4912=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#4913=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#4914=DIRECTION('',(1.,0.,0.)); +#4915=DIRECTION('',(0.,1.,0.)); +#4916=DIRECTION('',(0.,0.,1.)); +#4917=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#4918=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#4919=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#4920=DIRECTION('',(0.,0.,-1.)); +#4921=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4922=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#4923=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#4924=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#4925=DIRECTION('',(-1.,0.,0.)); +#4926=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#4927=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#4928=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4929=DIRECTION('',(0.,0.,1.)); +#4930=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#4931=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#4932=DIRECTION('',(1.,0.,0.)); +#4933=DIRECTION('',(0.,1.,0.)); +#4934=DIRECTION('',(0.,0.,1.)); +#4935=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#4936=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#4937=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#4938=DIRECTION('',(0.,0.,-1.)); +#4939=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4940=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#4941=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#4942=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#4943=DIRECTION('',(-1.,0.,0.)); +#4944=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#4945=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#4946=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4947=DIRECTION('',(0.,0.,1.)); +#4948=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#4949=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#4950=DIRECTION('',(1.,0.,0.)); +#4951=DIRECTION('',(0.,1.,0.)); +#4952=DIRECTION('',(0.,0.,1.)); +#4953=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#4954=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#4955=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#4956=DIRECTION('',(0.,0.,-1.)); +#4957=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4958=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#4959=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#4960=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#4961=DIRECTION('',(-1.,0.,0.)); +#4962=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#4963=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#4964=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4965=DIRECTION('',(0.,0.,1.)); +#4966=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#4967=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#4968=DIRECTION('',(1.,0.,0.)); +#4969=DIRECTION('',(0.,1.,0.)); +#4970=DIRECTION('',(0.,0.,1.)); +#4971=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#4972=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#4973=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#4974=DIRECTION('',(0.,0.,-1.)); +#4975=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4976=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#4977=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#4978=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#4979=DIRECTION('',(-1.,0.,0.)); +#4980=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#4981=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#4982=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4983=DIRECTION('',(0.,0.,1.)); +#4984=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#4985=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#4986=DIRECTION('',(1.,0.,0.)); +#4987=DIRECTION('',(0.,1.,0.)); +#4988=DIRECTION('',(0.,0.,1.)); +#4989=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#4990=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#4991=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#4992=DIRECTION('',(0.,0.,1.)); +#4993=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#4994=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#4995=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#4996=DIRECTION('',(1.,0.,0.)); +#4997=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#4998=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#4999=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5000=DIRECTION('',(0.,0.,-1.)); +#5001=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5002=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5003=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5004=DIRECTION('',(-1.,0.,0.)); +#5005=DIRECTION('',(0.,1.,0.)); +#5006=DIRECTION('',(0.,0.,1.)); +#5007=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5008=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5009=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5010=DIRECTION('',(0.,0.,1.)); +#5011=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5012=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5013=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5014=DIRECTION('',(1.,0.,0.)); +#5015=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5016=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5017=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5018=DIRECTION('',(0.,0.,-1.)); +#5019=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5020=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5021=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5022=DIRECTION('',(-1.,0.,0.)); +#5023=DIRECTION('',(0.,1.,0.)); +#5024=DIRECTION('',(0.,0.,1.)); +#5025=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5026=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5027=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5028=DIRECTION('',(0.,0.,1.)); +#5029=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5030=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5031=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5032=DIRECTION('',(1.,0.,0.)); +#5033=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5034=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5035=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5036=DIRECTION('',(0.,0.,-1.)); +#5037=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5038=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5039=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5040=DIRECTION('',(-1.,0.,0.)); +#5041=DIRECTION('',(0.,1.,0.)); +#5042=DIRECTION('',(0.,0.,1.)); +#5043=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5044=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5045=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5046=DIRECTION('',(0.,0.,1.)); +#5047=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5048=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5049=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5050=DIRECTION('',(1.,0.,0.)); +#5051=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5052=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5053=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5054=DIRECTION('',(0.,0.,-1.)); +#5055=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5056=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5057=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5058=DIRECTION('',(-1.,0.,0.)); +#5059=DIRECTION('',(0.,1.,0.)); +#5060=DIRECTION('',(0.,0.,1.)); +#5061=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5062=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5063=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5064=DIRECTION('',(0.,0.,1.)); +#5065=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5066=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5067=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5068=DIRECTION('',(1.,0.,0.)); +#5069=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5070=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5071=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5072=DIRECTION('',(0.,0.,-1.)); +#5073=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5074=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5075=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5076=DIRECTION('',(-1.,0.,0.)); +#5077=DIRECTION('',(0.,1.,0.)); +#5078=DIRECTION('',(0.,0.,1.)); +#5079=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5080=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5081=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5082=DIRECTION('',(0.,0.,1.)); +#5083=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5084=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5085=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5086=DIRECTION('',(1.,0.,0.)); +#5087=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5088=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5089=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5090=DIRECTION('',(0.,0.,-1.)); +#5091=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5092=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5093=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5094=DIRECTION('',(-1.,0.,0.)); +#5095=DIRECTION('',(0.,1.,0.)); +#5096=DIRECTION('',(0.,0.,1.)); +#5097=DIRECTION('',(0.,-1.,0.)); +#5098=DIRECTION('',(1.,0.,0.)); +#5099=DIRECTION('',(0.,1.,0.)); +#5100=DIRECTION('',(0.,0.,1.)); +#5101=DIRECTION('',(-3.41480999208033E-16,0.,1.)); +#5102=DIRECTION('',(1.,0.,0.)); +#5103=DIRECTION('',(0.,0.,-1.)); +#5104=DIRECTION('',(1.,0.,0.)); +#5105=DIRECTION('',(0.,0.,1.)); +#5106=DIRECTION('',(1.,0.,0.)); +#5107=DIRECTION('',(0.,0.,-1.)); +#5108=DIRECTION('',(1.,0.,0.)); +#5109=DIRECTION('',(0.,0.,1.)); +#5110=DIRECTION('',(1.,0.,0.)); +#5111=DIRECTION('',(0.,0.,-1.)); +#5112=DIRECTION('',(1.,0.,0.)); +#5113=DIRECTION('',(0.,0.,1.)); +#5114=DIRECTION('',(1.,0.,0.)); +#5115=DIRECTION('',(0.,0.,-1.)); +#5116=DIRECTION('',(1.,0.,0.)); +#5117=DIRECTION('',(0.,0.,1.)); +#5118=DIRECTION('',(1.,0.,0.)); +#5119=DIRECTION('',(3.41480999208033E-16,0.,-1.)); +#5120=DIRECTION('',(1.,0.,0.)); +#5121=DIRECTION('',(0.,0.,-1.)); +#5122=DIRECTION('',(1.,0.,0.)); +#5123=DIRECTION('',(3.41480999208033E-16,0.,-1.)); +#5124=DIRECTION('',(-1.,0.,0.)); +#5125=DIRECTION('',(0.,0.,1.)); +#5126=DIRECTION('',(1.,0.,0.)); +#5127=DIRECTION('',(0.,0.,-1.)); +#5128=DIRECTION('',(-1.,0.,0.)); +#5129=DIRECTION('',(0.,0.,1.)); +#5130=DIRECTION('',(1.,0.,0.)); +#5131=DIRECTION('',(0.,0.,-1.)); +#5132=DIRECTION('',(-1.,0.,0.)); +#5133=DIRECTION('',(0.,0.,1.)); +#5134=DIRECTION('',(1.,0.,0.)); +#5135=DIRECTION('',(0.,0.,-1.)); +#5136=DIRECTION('',(-1.,0.,0.)); +#5137=DIRECTION('',(0.,0.,1.)); +#5138=DIRECTION('',(1.,0.,0.)); +#5139=DIRECTION('',(0.,0.,-1.)); +#5140=DIRECTION('',(-1.,0.,0.)); +#5141=DIRECTION('',(-3.41480999208033E-16,0.,1.)); +#5142=DIRECTION('',(1.,0.,0.)); +#5143=DIRECTION('',(0.,0.,-1.)); +#5144=DIRECTION('',(1.,0.,0.)); +#5145=DIRECTION('',(0.,1.,0.)); +#5146=DIRECTION('',(0.,0.,1.)); +#5147=DIRECTION('',(0.,0.,-1.)); +#5148=DIRECTION('',(1.,0.,0.)); +#5149=DIRECTION('',(0.,0.,-1.)); +#5150=DIRECTION('',(-1.,0.,0.)); +#5151=DIRECTION('',(0.,1.,0.)); +#5152=DIRECTION('',(0.,0.,1.)); +#5153=DIRECTION('',(0.,0.,-1.)); +#5154=DIRECTION('',(1.,0.,0.)); +#5155=DIRECTION('',(0.,0.,-1.)); +#5156=DIRECTION('',(-1.,0.,0.)); +#5157=DIRECTION('',(0.,1.,0.)); +#5158=DIRECTION('',(0.,0.,1.)); +#5159=DIRECTION('',(0.,0.,-1.)); +#5160=DIRECTION('',(1.,0.,0.)); +#5161=DIRECTION('',(0.,0.,-1.)); +#5162=DIRECTION('',(-1.,0.,0.)); +#5163=DIRECTION('',(0.,1.,0.)); +#5164=DIRECTION('',(0.,0.,1.)); +#5165=DIRECTION('',(0.,0.,-1.)); +#5166=DIRECTION('',(1.,0.,0.)); +#5167=DIRECTION('',(0.,0.,-1.)); +#5168=DIRECTION('',(-1.,0.,0.)); +#5169=DIRECTION('',(0.,1.,0.)); +#5170=DIRECTION('',(0.,0.,1.)); +#5171=DIRECTION('',(0.,0.,-1.)); +#5172=DIRECTION('',(1.,0.,0.)); +#5173=DIRECTION('',(0.,0.,-1.)); +#5174=DIRECTION('',(-1.,0.,0.)); +#5175=DIRECTION('',(0.,0.,1.)); +#5176=DIRECTION('',(1.,0.,0.)); +#5177=DIRECTION('',(-1.,0.,0.)); +#5178=DIRECTION('',(0.,1.,0.)); +#5179=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5180=DIRECTION('',(0.,-1.,0.)); +#5181=DIRECTION('',(-3.41480999208033E-16,-1.,0.)); +#5182=DIRECTION('',(-1.,0.,0.)); +#5183=DIRECTION('',(0.,-1.,0.)); +#5184=DIRECTION('',(0.,0.,1.)); +#5185=DIRECTION('',(1.,0.,0.)); +#5186=DIRECTION('',(-1.,0.,0.)); +#5187=DIRECTION('',(0.,1.,0.)); +#5188=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5189=DIRECTION('',(0.,-1.,0.)); +#5190=DIRECTION('',(3.41480999208033E-16,1.,0.)); +#5191=DIRECTION('',(0.,1.,0.)); +#5192=DIRECTION('',(-1.,0.,0.)); +#5193=DIRECTION('',(0.,0.,1.)); +#5194=DIRECTION('',(1.,0.,0.)); +#5195=DIRECTION('',(-1.,0.,0.)); +#5196=DIRECTION('',(0.,1.,0.)); +#5197=DIRECTION('',(1.,-5.44453123737298E-17,0.)); +#5198=DIRECTION('',(0.,-1.,0.)); +#5199=DIRECTION('',(0.,-1.,0.)); +#5200=DIRECTION('',(-1.,0.,0.)); +#5201=DIRECTION('',(0.,1.,0.)); +#5202=DIRECTION('',(0.,0.,1.)); +#5203=DIRECTION('',(1.,0.,0.)); +#5204=DIRECTION('',(-1.,0.,0.)); +#5205=DIRECTION('',(0.,1.,0.)); +#5206=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5207=DIRECTION('',(0.,-1.,0.)); +#5208=DIRECTION('',(0.,-1.,0.)); +#5209=DIRECTION('',(-1.,0.,0.)); +#5210=DIRECTION('',(0.,1.,0.)); +#5211=DIRECTION('',(0.,0.,1.)); +#5212=DIRECTION('',(1.,0.,0.)); +#5213=DIRECTION('',(-1.,0.,0.)); +#5214=DIRECTION('',(0.,1.,0.)); +#5215=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5216=DIRECTION('',(0.,-1.,0.)); +#5217=DIRECTION('',(0.,-1.,0.)); +#5218=DIRECTION('',(-1.,0.,0.)); +#5219=DIRECTION('',(0.,1.,0.)); +#5220=DIRECTION('',(0.,0.,1.)); +#5221=DIRECTION('',(1.,0.,0.)); +#5222=DIRECTION('',(-1.,0.,0.)); +#5223=DIRECTION('',(0.,1.,0.)); +#5224=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5225=DIRECTION('',(0.,-1.,0.)); +#5226=DIRECTION('',(0.,-1.,0.)); +#5227=DIRECTION('',(-1.,0.,0.)); +#5228=DIRECTION('',(0.,1.,0.)); +#5229=DIRECTION('',(0.,0.,1.)); +#5230=DIRECTION('',(1.,0.,0.)); +#5231=DIRECTION('',(-1.,0.,0.)); +#5232=DIRECTION('',(0.,-1.,0.)); +#5233=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5234=DIRECTION('',(0.,1.,0.)); +#5235=DIRECTION('',(-3.41480999208033E-16,-1.,0.)); +#5236=DIRECTION('',(0.,-1.,0.)); +#5237=DIRECTION('',(-1.,0.,0.)); +#5238=DIRECTION('',(0.,0.,1.)); +#5239=DIRECTION('',(1.,0.,0.)); +#5240=DIRECTION('',(-1.,0.,0.)); +#5241=DIRECTION('',(0.,-1.,0.)); +#5242=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5243=DIRECTION('',(0.,1.,0.)); +#5244=DIRECTION('',(0.,1.,0.)); +#5245=DIRECTION('',(-1.,0.,0.)); +#5246=DIRECTION('',(0.,-1.,0.)); +#5247=DIRECTION('',(0.,0.,1.)); +#5248=DIRECTION('',(1.,0.,0.)); +#5249=DIRECTION('',(-1.,0.,0.)); +#5250=DIRECTION('',(0.,-1.,0.)); +#5251=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5252=DIRECTION('',(0.,1.,0.)); +#5253=DIRECTION('',(0.,1.,0.)); +#5254=DIRECTION('',(-1.,0.,0.)); +#5255=DIRECTION('',(0.,-1.,0.)); +#5256=DIRECTION('',(0.,0.,1.)); +#5257=DIRECTION('',(1.,0.,0.)); +#5258=DIRECTION('',(-1.,0.,0.)); +#5259=DIRECTION('',(0.,-1.,0.)); +#5260=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5261=DIRECTION('',(0.,1.,0.)); +#5262=DIRECTION('',(0.,1.,0.)); +#5263=DIRECTION('',(-1.,0.,0.)); +#5264=DIRECTION('',(0.,-1.,0.)); +#5265=DIRECTION('',(0.,0.,1.)); +#5266=DIRECTION('',(1.,0.,0.)); +#5267=DIRECTION('',(-1.,0.,0.)); +#5268=DIRECTION('',(0.,-1.,0.)); +#5269=DIRECTION('',(1.,-5.44453123737298E-17,0.)); +#5270=DIRECTION('',(0.,1.,0.)); +#5271=DIRECTION('',(0.,1.,0.)); +#5272=DIRECTION('',(-1.,0.,0.)); +#5273=DIRECTION('',(0.,-1.,0.)); +#5274=DIRECTION('',(0.,0.,1.)); +#5275=DIRECTION('',(1.,0.,0.)); +#5276=DIRECTION('',(-1.,0.,0.)); +#5277=DIRECTION('',(0.,-1.,0.)); +#5278=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5279=DIRECTION('',(0.,1.,0.)); +#5280=DIRECTION('',(3.41480999208033E-16,1.,0.)); +#5281=DIRECTION('',(-1.,0.,0.)); +#5282=DIRECTION('',(0.,1.,0.)); +#5283=DIRECTION('',(0.,-1.,0.)); +#5284=DIRECTION('',(0.,0.,-1.)); +#5285=DIRECTION('',(0.,0.,1.)); +#5286=DIRECTION('',(0.,0.,-1.)); +#5287=DIRECTION('',(0.,-1.,0.)); +#5288=DIRECTION('',(0.,0.,-1.)); +#5289=DIRECTION('',(0.,0.,-1.)); +#5290=DIRECTION('',(0.,0.,1.)); +#5291=DIRECTION('',(0.,-1.,0.)); +#5292=DIRECTION('',(0.,0.,-1.)); +#5293=DIRECTION('',(0.,0.,-1.)); +#5294=DIRECTION('',(0.,0.,1.)); +#5295=DIRECTION('',(0.,-1.,0.)); +#5296=DIRECTION('',(0.,0.,-1.)); +#5297=DIRECTION('',(0.,0.,-1.)); +#5298=DIRECTION('',(0.,0.,1.)); +#5299=DIRECTION('',(0.,-1.,0.)); +#5300=DIRECTION('',(0.,0.,-1.)); +#5301=DIRECTION('',(0.,0.,-1.)); +#5302=DIRECTION('',(0.,0.,1.)); +#5303=DIRECTION('',(0.,-1.,0.)); +#5304=DIRECTION('',(0.,0.,-1.)); +#5305=DIRECTION('',(0.,0.,-1.)); +#5306=DIRECTION('',(0.,0.,-1.)); +#5307=DIRECTION('',(1.,0.,0.)); +#5308=DIRECTION('',(0.,0.,-1.)); +#5309=DIRECTION('',(0.,-1.,0.)); +#5310=DIRECTION('',(0.,0.,-1.)); +#5311=DIRECTION('',(0.,0.,-1.)); +#5312=DIRECTION('',(0.,0.,-1.)); +#5313=DIRECTION('',(-1.,0.,0.)); +#5314=DIRECTION('',(0.,0.,1.)); +#5315=DIRECTION('',(0.,0.,-1.)); +#5316=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5317=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5318=DIRECTION('',(0.,0.,-1.)); +#5319=DIRECTION('',(0.,-1.,0.)); +#5320=DIRECTION('',(0.,0.,-1.)); +#5321=DIRECTION('',(0.,0.,-1.)); +#5322=DIRECTION('',(0.,0.,-1.)); +#5323=DIRECTION('',(-1.,0.,0.)); +#5324=DIRECTION('',(0.,0.,1.)); +#5325=DIRECTION('',(0.,0.,-1.)); +#5326=DIRECTION('',(5.44453123737298E-17,1.,0.)); +#5327=DIRECTION('',(-1.,5.44453123737298E-17,0.)); +#5328=DIRECTION('',(0.,0.,-1.)); +#5329=DIRECTION('',(0.,-1.,0.)); +#5330=DIRECTION('',(0.,0.,-1.)); +#5331=DIRECTION('',(0.,0.,-1.)); +#5332=DIRECTION('',(0.,0.,-1.)); +#5333=DIRECTION('',(-1.,0.,0.)); +#5334=DIRECTION('',(0.,0.,1.)); +#5335=DIRECTION('',(0.,0.,-1.)); +#5336=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5337=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5338=DIRECTION('',(0.,0.,-1.)); +#5339=DIRECTION('',(0.,-1.,0.)); +#5340=DIRECTION('',(0.,0.,-1.)); +#5341=DIRECTION('',(0.,0.,-1.)); +#5342=DIRECTION('',(0.,0.,-1.)); +#5343=DIRECTION('',(-1.,0.,0.)); +#5344=DIRECTION('',(0.,0.,1.)); +#5345=DIRECTION('',(0.,0.,-1.)); +#5346=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5347=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5348=DIRECTION('',(0.,0.,-1.)); +#5349=DIRECTION('',(0.,-1.,0.)); +#5350=DIRECTION('',(0.,0.,-1.)); +#5351=DIRECTION('',(0.,0.,-1.)); +#5352=DIRECTION('',(0.,0.,-1.)); +#5353=DIRECTION('',(-1.,0.,0.)); +#5354=DIRECTION('',(0.,0.,1.)); +#5355=DIRECTION('',(0.,0.,-1.)); +#5356=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5357=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5358=DIRECTION('',(0.,0.,-1.)); +#5359=DIRECTION('',(0.,-1.,0.)); +#5360=DIRECTION('',(0.,0.,-1.)); +#5361=DIRECTION('',(0.,0.,-1.)); +#5362=DIRECTION('',(0.,0.,-1.)); +#5363=DIRECTION('',(-1.,0.,0.)); +#5364=DIRECTION('',(0.,0.,1.)); +#5365=DIRECTION('',(0.,0.,-1.)); +#5366=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5367=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5368=DIRECTION('',(0.,0.,-1.)); +#5369=DIRECTION('',(1.,0.,0.)); +#5370=DIRECTION('',(0.,0.,-1.)); +#5371=DIRECTION('',(1.,0.,0.)); +#5372=DIRECTION('',(0.,0.,-1.)); +#5373=DIRECTION('',(1.,0.,0.)); +#5374=DIRECTION('',(0.,0.,-1.)); +#5375=DIRECTION('',(1.,0.,0.)); +#5376=DIRECTION('',(0.,0.,-1.)); +#5377=DIRECTION('',(1.,0.,0.)); +#5378=DIRECTION('',(0.,0.,-1.)); +#5379=DIRECTION('',(1.,0.,0.)); +#5380=DIRECTION('',(0.,0.,-1.)); +#5381=DIRECTION('',(-1.,0.,0.)); +#5382=DIRECTION('',(0.,0.,1.)); +#5383=DIRECTION('',(-1.,3.41480999208033E-16,0.)); +#5384=DIRECTION('',(-3.41480999208033E-16,-1.,0.)); +#5385=DIRECTION('',(0.,-1.,0.)); +#5386=DIRECTION('',(0.,-1.,0.)); +#5387=DIRECTION('',(1.,0.,0.)); +#5388=DIRECTION('',(0.,0.,-1.)); +#5389=DIRECTION('',(0.,-1.,0.)); +#5390=DIRECTION('',(0.,-1.,0.)); +#5391=DIRECTION('',(-1.,0.,0.)); +#5392=DIRECTION('',(0.,0.,1.)); +#5393=DIRECTION('',(0.,-1.,0.)); +#5394=DIRECTION('',(0.,-1.,0.)); +#5395=DIRECTION('',(1.,0.,0.)); +#5396=DIRECTION('',(0.,0.,-1.)); +#5397=DIRECTION('',(0.,-1.,0.)); +#5398=DIRECTION('',(0.,-1.,0.)); +#5399=DIRECTION('',(-1.,0.,0.)); +#5400=DIRECTION('',(0.,0.,1.)); +#5401=DIRECTION('',(0.,-1.,0.)); +#5402=DIRECTION('',(0.,-1.,0.)); +#5403=DIRECTION('',(1.,0.,0.)); +#5404=DIRECTION('',(0.,0.,-1.)); +#5405=DIRECTION('',(0.,-1.,0.)); +#5406=DIRECTION('',(0.,-1.,0.)); +#5407=DIRECTION('',(-1.,0.,0.)); +#5408=DIRECTION('',(0.,0.,1.)); +#5409=DIRECTION('',(0.,-1.,0.)); +#5410=DIRECTION('',(0.,-1.,0.)); +#5411=DIRECTION('',(1.,0.,0.)); +#5412=DIRECTION('',(0.,0.,-1.)); +#5413=DIRECTION('',(0.,-1.,0.)); +#5414=DIRECTION('',(0.,-1.,0.)); +#5415=DIRECTION('',(1.,-3.41480999208033E-16,0.)); +#5416=DIRECTION('',(3.41480999208033E-16,1.,0.)); +#5417=DIRECTION('',(0.,-1.,0.)); +#5418=DIRECTION('',(0.,-1.,0.)); +#5419=DIRECTION('',(-1.,0.,0.)); +#5420=DIRECTION('',(0.,0.,1.)); +#5421=DIRECTION('',(0.,-1.,0.)); +#5422=DIRECTION('',(0.,-1.,0.)); +#5423=DIRECTION('',(0.,0.,-1.)); +#5424=DIRECTION('',(-1.,0.,0.)); +#5425=DIRECTION('',(0.,0.,-1.)); +#5426=DIRECTION('',(-1.,0.,0.)); +#5427=DIRECTION('',(0.,0.,-1.)); +#5428=DIRECTION('',(-1.,0.,0.)); +#5429=DIRECTION('',(0.,0.,-1.)); +#5430=DIRECTION('',(-1.,0.,0.)); +#5431=DIRECTION('',(0.,0.,-1.)); +#5432=DIRECTION('',(-1.,0.,0.)); +#5433=DIRECTION('',(0.,0.,1.)); +#5434=DIRECTION('',(1.,0.,0.)); +#5435=DIRECTION('',(0.,0.,1.)); +#5436=DIRECTION('',(1.,0.,0.)); +#5437=DIRECTION('',(0.,0.,1.)); +#5438=DIRECTION('',(1.,0.,0.)); +#5439=DIRECTION('',(0.,0.,1.)); +#5440=DIRECTION('',(1.,0.,0.)); +#5441=DIRECTION('',(0.,0.,1.)); +#5442=DIRECTION('',(1.,0.,0.)); +#5443=DIRECTION('',(0.,0.,1.)); +#5444=DIRECTION('',(1.,0.,0.)); +#5445=CARTESIAN_POINT('',(0.,0.,0.)); +#5446=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5447=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5448=CARTESIAN_POINT('',(-0.1375,0.02,0.)); +#5449=CARTESIAN_POINT('',(-0.1625,0.02,0.)); +#5450=CARTESIAN_POINT('',(-0.1375,0.225,0.)); +#5451=CARTESIAN_POINT('',(-0.1375,0.08,0.)); +#5452=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5453=CARTESIAN_POINT('',(-0.1625,0.08,-8.53702498020082E-18)); +#5454=CARTESIAN_POINT('',(-0.1625,-0.185,0.)); +#5455=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5456=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5457=CARTESIAN_POINT('',(-0.0375,0.08,0.)); +#5458=CARTESIAN_POINT('',(-0.0625,0.08,-8.53702498020082E-18)); +#5459=CARTESIAN_POINT('',(-0.0625,-0.185,0.)); +#5460=CARTESIAN_POINT('',(-0.0625,0.02,0.)); +#5461=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5462=CARTESIAN_POINT('',(-0.0375,0.02,0.)); +#5463=CARTESIAN_POINT('',(-0.0375,0.225,0.)); +#5464=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5465=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5466=CARTESIAN_POINT('',(0.0625,0.08,0.)); +#5467=CARTESIAN_POINT('',(0.0375,0.08,-8.53702498020082E-18)); +#5468=CARTESIAN_POINT('',(0.0375,-0.185,0.)); +#5469=CARTESIAN_POINT('',(0.0375,0.02,0.)); +#5470=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5471=CARTESIAN_POINT('',(0.0625,0.02,0.)); +#5472=CARTESIAN_POINT('',(0.0625,0.225,0.)); +#5473=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5474=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5475=CARTESIAN_POINT('',(0.1625,0.08,0.)); +#5476=CARTESIAN_POINT('',(0.1375,0.08,-8.53702498020082E-18)); +#5477=CARTESIAN_POINT('',(0.1375,-0.185,0.)); +#5478=CARTESIAN_POINT('',(0.1375,0.02,0.)); +#5479=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5480=CARTESIAN_POINT('',(0.1625,0.02,0.)); +#5481=CARTESIAN_POINT('',(0.1625,0.225,0.)); +#5482=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5483=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5484=CARTESIAN_POINT('',(-0.1375,0.08,-0.025)); +#5485=CARTESIAN_POINT('',(-0.1625,0.08,-0.025)); +#5486=CARTESIAN_POINT('',(-0.1375,0.02,-0.025)); +#5487=CARTESIAN_POINT('',(-0.1375,0.02,-0.025)); +#5488=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5489=CARTESIAN_POINT('',(-0.1625,0.02,-0.025)); +#5490=CARTESIAN_POINT('',(-0.1625,0.02,-0.025)); +#5491=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5492=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5493=CARTESIAN_POINT('',(-0.0375,0.02,-0.025)); +#5494=CARTESIAN_POINT('',(-0.0625,0.02,-0.025)); +#5495=CARTESIAN_POINT('',(-0.0625,0.02,-0.025)); +#5496=CARTESIAN_POINT('',(-0.0625,0.08,-0.025)); +#5497=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5498=CARTESIAN_POINT('',(-0.0375,0.08,-0.025)); +#5499=CARTESIAN_POINT('',(-0.0375,0.02,-0.025)); +#5500=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5501=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5502=CARTESIAN_POINT('',(0.0625,0.02,-0.025)); +#5503=CARTESIAN_POINT('',(0.0375,0.02,-0.025)); +#5504=CARTESIAN_POINT('',(0.0375,0.02,-0.025)); +#5505=CARTESIAN_POINT('',(0.0375,0.08,-0.025)); +#5506=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5507=CARTESIAN_POINT('',(0.0625,0.08,-0.025)); +#5508=CARTESIAN_POINT('',(0.0625,0.02,-0.025)); +#5509=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5510=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5511=CARTESIAN_POINT('',(0.1625,0.02,-0.025)); +#5512=CARTESIAN_POINT('',(0.1375,0.02,-0.025)); +#5513=CARTESIAN_POINT('',(0.1375,0.02,-0.025)); +#5514=CARTESIAN_POINT('',(0.1375,0.08,-0.025)); +#5515=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5516=CARTESIAN_POINT('',(0.1625,0.08,-0.025)); +#5517=CARTESIAN_POINT('',(0.1625,0.02,-0.025)); +#5518=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5519=CARTESIAN_POINT('',(-0.1375,0.08,-0.05)); +#5520=CARTESIAN_POINT('',(-0.1375,0.0976776688276869,-0.0323223297683595)); +#5521=CARTESIAN_POINT('',(-0.1625,0.08,-0.05)); +#5522=CARTESIAN_POINT('',(-0.1625,0.0976776688276869,-0.0323223297683595)); +#5523=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5524=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5525=CARTESIAN_POINT('',(-0.0625,0.08,-0.05)); +#5526=CARTESIAN_POINT('',(-0.0625,0.0976776688276869,-0.0323223297683595)); +#5527=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5528=CARTESIAN_POINT('',(-0.0375,0.0976776688276869,-0.0323223297683595)); +#5529=CARTESIAN_POINT('',(-0.0375,0.08,-0.05)); +#5530=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5531=CARTESIAN_POINT('',(0.0375,0.08,-0.05)); +#5532=CARTESIAN_POINT('',(0.0375,0.0976776688276869,-0.0323223297683595)); +#5533=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5534=CARTESIAN_POINT('',(0.0625,0.0976776688276869,-0.0323223297683595)); +#5535=CARTESIAN_POINT('',(0.0625,0.08,-0.05)); +#5536=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5537=CARTESIAN_POINT('',(0.1375,0.08,-0.05)); +#5538=CARTESIAN_POINT('',(0.1375,0.0976776688276869,-0.0323223297683595)); +#5539=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5540=CARTESIAN_POINT('',(0.1625,0.0976776688276869,-0.0323223297683595)); +#5541=CARTESIAN_POINT('',(0.1625,0.08,-0.05)); +#5542=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5543=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5544=CARTESIAN_POINT('',(-0.1375,0.133210682344626,-0.067855340463281)); +#5545=CARTESIAN_POINT('',(-0.1625,0.133210682344626,-0.067855340463281)); +#5546=CARTESIAN_POINT('',(-0.1375,0.0976776688276869,-0.0323223297683595)); +#5547=CARTESIAN_POINT('',(-0.1625,0.0976776688276869,-0.0323223297683595)); +#5548=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5549=CARTESIAN_POINT('',(-0.0625,0.0976776688276869,-0.0323223297683595)); +#5550=CARTESIAN_POINT('',(-0.0625,0.133210682344626,-0.067855340463281)); +#5551=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5552=CARTESIAN_POINT('',(-0.0375,0.133210682344626,-0.067855340463281)); +#5553=CARTESIAN_POINT('',(-0.0375,0.0976776688276869,-0.0323223297683595)); +#5554=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5555=CARTESIAN_POINT('',(0.0375,0.0976776688276869,-0.0323223297683595)); +#5556=CARTESIAN_POINT('',(0.0375,0.133210682344626,-0.067855340463281)); +#5557=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5558=CARTESIAN_POINT('',(0.0625,0.133210682344626,-0.067855340463281)); +#5559=CARTESIAN_POINT('',(0.0625,0.0976776688276869,-0.0323223297683595)); +#5560=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5561=CARTESIAN_POINT('',(0.1375,0.0976776688276869,-0.0323223297683595)); +#5562=CARTESIAN_POINT('',(0.1375,0.133210682344626,-0.067855340463281)); +#5563=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5564=CARTESIAN_POINT('',(0.1625,0.133210682344626,-0.067855340463281)); +#5565=CARTESIAN_POINT('',(0.1625,0.0976776688276869,-0.0323223297683595)); +#5566=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5567=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5568=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0825)); +#5569=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0825)); +#5570=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0324999999999999)); +#5571=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0324999999999999)); +#5572=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5573=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0324999999999999)); +#5574=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0825)); +#5575=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5576=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0825)); +#5577=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0324999999999999)); +#5578=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5579=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0324999999999999)); +#5580=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0825)); +#5581=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5582=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0825)); +#5583=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0324999999999999)); +#5584=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5585=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0324999999999999)); +#5586=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0825)); +#5587=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5588=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0825)); +#5589=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0324999999999999)); +#5590=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5591=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0325)); +#5592=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0575)); +#5593=CARTESIAN_POINT('',(-0.1375,0.150888351172313,-0.0501776702316405)); +#5594=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5595=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0575)); +#5596=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0325)); +#5597=CARTESIAN_POINT('',(-0.1625,0.150888351172313,-0.0501776702316405)); +#5598=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5599=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5600=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0325)); +#5601=CARTESIAN_POINT('',(-0.0625,0.150888351172313,-0.0501776702316405)); +#5602=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0575)); +#5603=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5604=CARTESIAN_POINT('',(-0.0375,0.150888351172313,-0.0501776702316405)); +#5605=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0325)); +#5606=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0575)); +#5607=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5608=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5609=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0325)); +#5610=CARTESIAN_POINT('',(0.0375,0.150888351172313,-0.0501776702316405)); +#5611=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0575)); +#5612=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5613=CARTESIAN_POINT('',(0.0625,0.150888351172313,-0.0501776702316405)); +#5614=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0325)); +#5615=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0575)); +#5616=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5617=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5618=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0325)); +#5619=CARTESIAN_POINT('',(0.1375,0.150888351172313,-0.0501776702316405)); +#5620=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0575)); +#5621=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5622=CARTESIAN_POINT('',(0.1625,0.150888351172313,-0.0501776702316405)); +#5623=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0325)); +#5624=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0575)); +#5625=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5626=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5627=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5628=CARTESIAN_POINT('',(-0.1375,0.115355337655374,-0.014644659536719)); +#5629=CARTESIAN_POINT('',(-0.1625,0.115355337655374,-0.014644659536719)); +#5630=CARTESIAN_POINT('',(-0.1375,0.150888351172313,-0.0501776702316405)); +#5631=CARTESIAN_POINT('',(-0.1625,0.150888351172313,-0.0501776702316405)); +#5632=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5633=CARTESIAN_POINT('',(-0.0625,0.150888351172313,-0.0501776702316405)); +#5634=CARTESIAN_POINT('',(-0.0625,0.115355337655374,-0.014644659536719)); +#5635=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5636=CARTESIAN_POINT('',(-0.0375,0.115355337655374,-0.014644659536719)); +#5637=CARTESIAN_POINT('',(-0.0375,0.150888351172313,-0.0501776702316405)); +#5638=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5639=CARTESIAN_POINT('',(0.0375,0.150888351172313,-0.0501776702316405)); +#5640=CARTESIAN_POINT('',(0.0375,0.115355337655374,-0.014644659536719)); +#5641=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5642=CARTESIAN_POINT('',(0.0625,0.115355337655374,-0.014644659536719)); +#5643=CARTESIAN_POINT('',(0.0625,0.150888351172313,-0.0501776702316405)); +#5644=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5645=CARTESIAN_POINT('',(0.1375,0.150888351172313,-0.0501776702316405)); +#5646=CARTESIAN_POINT('',(0.1375,0.115355337655374,-0.014644659536719)); +#5647=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5648=CARTESIAN_POINT('',(0.1625,0.115355337655374,-0.014644659536719)); +#5649=CARTESIAN_POINT('',(0.1625,0.150888351172313,-0.0501776702316405)); +#5650=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5651=CARTESIAN_POINT('',(-0.1375,0.08,-0.0500000000000001)); +#5652=CARTESIAN_POINT('',(-0.1625,0.08,-0.0500000000000001)); +#5653=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5654=CARTESIAN_POINT('',(-0.0625,0.08,-0.0500000000000001)); +#5655=CARTESIAN_POINT('',(-0.0375,0.08,-0.0500000000000001)); +#5656=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5657=CARTESIAN_POINT('',(0.0375,0.08,-0.0500000000000001)); +#5658=CARTESIAN_POINT('',(0.0625,0.08,-0.0500000000000001)); +#5659=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5660=CARTESIAN_POINT('',(0.1375,0.08,-0.0500000000000001)); +#5661=CARTESIAN_POINT('',(0.1625,0.08,-0.0500000000000001)); +#5662=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5663=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5664=CARTESIAN_POINT('',(-0.2375,0.02,0.)); +#5665=CARTESIAN_POINT('',(-0.2625,0.02,0.)); +#5666=CARTESIAN_POINT('',(-0.2375,0.225,0.)); +#5667=CARTESIAN_POINT('',(-0.2375,0.08,0.)); +#5668=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5669=CARTESIAN_POINT('',(-0.2625,0.08,-8.53702498020082E-18)); +#5670=CARTESIAN_POINT('',(-0.2625,0.08,0.)); +#5671=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5672=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5673=CARTESIAN_POINT('',(-0.2375,0.08,-0.025)); +#5674=CARTESIAN_POINT('',(-0.2625,0.08,-0.025)); +#5675=CARTESIAN_POINT('',(-0.2375,0.02,-0.025)); +#5676=CARTESIAN_POINT('',(-0.2375,0.02,-0.025)); +#5677=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5678=CARTESIAN_POINT('',(-0.2625,0.02,-0.025)); +#5679=CARTESIAN_POINT('',(-0.2625,0.02,-0.025)); +#5680=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5681=CARTESIAN_POINT('',(-0.2375,0.08,-0.05)); +#5682=CARTESIAN_POINT('',(-0.2375,0.0976776688276869,-0.0323223297683595)); +#5683=CARTESIAN_POINT('',(-0.2625,0.08,-0.05)); +#5684=CARTESIAN_POINT('',(-0.2625,0.0976776688276869,-0.0323223297683595)); +#5685=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5686=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5687=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5688=CARTESIAN_POINT('',(-0.2375,0.133210682344626,-0.067855340463281)); +#5689=CARTESIAN_POINT('',(-0.2625,0.133210682344626,-0.067855340463281)); +#5690=CARTESIAN_POINT('',(-0.2375,0.0976776688276869,-0.0323223297683595)); +#5691=CARTESIAN_POINT('',(-0.2625,0.0976776688276869,-0.0323223297683595)); +#5692=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5693=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5694=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0825)); +#5695=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0825)); +#5696=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0324999999999999)); +#5697=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0324999999999999)); +#5698=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5699=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0325)); +#5700=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0575)); +#5701=CARTESIAN_POINT('',(-0.2375,0.150888351172313,-0.0501776702316405)); +#5702=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5703=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0575)); +#5704=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0325)); +#5705=CARTESIAN_POINT('',(-0.2625,0.150888351172313,-0.0501776702316404)); +#5706=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5707=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5708=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5709=CARTESIAN_POINT('',(-0.2375,0.115355337655374,-0.014644659536719)); +#5710=CARTESIAN_POINT('',(-0.2625,0.115355337655374,-0.014644659536719)); +#5711=CARTESIAN_POINT('',(-0.2375,0.150888351172313,-0.0501776702316405)); +#5712=CARTESIAN_POINT('',(-0.2625,0.150888351172313,-0.0501776702316405)); +#5713=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5714=CARTESIAN_POINT('',(-0.2375,0.08,-0.0500000000000001)); +#5715=CARTESIAN_POINT('',(-0.2625,0.08,-0.0500000000000001)); +#5716=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5717=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5718=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5719=CARTESIAN_POINT('',(0.2375,0.08,-8.53702498020082E-18)); +#5720=CARTESIAN_POINT('',(0.2375,-0.185,0.)); +#5721=CARTESIAN_POINT('',(0.2375,0.02,0.)); +#5722=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5723=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5724=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5725=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5726=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5727=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5728=CARTESIAN_POINT('',(0.2375,0.02,-0.025)); +#5729=CARTESIAN_POINT('',(0.2375,0.02,-0.025)); +#5730=CARTESIAN_POINT('',(0.2375,0.08,-0.025)); +#5731=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5732=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5733=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5734=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5735=CARTESIAN_POINT('',(0.2375,0.08,-0.05)); +#5736=CARTESIAN_POINT('',(0.2375,0.0976776688276869,-0.0323223297683595)); +#5737=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5738=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5739=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5740=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5741=CARTESIAN_POINT('',(0.2375,0.0976776688276869,-0.0323223297683595)); +#5742=CARTESIAN_POINT('',(0.2375,0.133210682344626,-0.067855340463281)); +#5743=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5744=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5745=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5746=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5747=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0324999999999999)); +#5748=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0825)); +#5749=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5750=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5751=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5752=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5753=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5754=CARTESIAN_POINT('',(-0.0625,0.225,-0.0825)); +#5755=CARTESIAN_POINT('',(-0.1375,0.225,-0.0825)); +#5756=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0825)); +#5757=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0825)); +#5758=CARTESIAN_POINT('',(-0.1625,0.225,-0.0825)); +#5759=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5760=CARTESIAN_POINT('',(-0.2375,0.225,-0.0825)); +#5761=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0825)); +#5762=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0825)); +#5763=CARTESIAN_POINT('',(-0.2625,0.49,-0.0825)); +#5764=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5765=CARTESIAN_POINT('',(-0.2375,0.49,-0.0825)); +#5766=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0825)); +#5767=CARTESIAN_POINT('',(-0.2375,0.235,-0.0825)); +#5768=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5769=CARTESIAN_POINT('',(-0.1625,0.235,-0.0825)); +#5770=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0825)); +#5771=CARTESIAN_POINT('',(-0.1625,0.49,-0.0825)); +#5772=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5773=CARTESIAN_POINT('',(-0.1375,0.49,-0.0825)); +#5774=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0825)); +#5775=CARTESIAN_POINT('',(-0.1375,0.235,-0.0825)); +#5776=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5777=CARTESIAN_POINT('',(-0.0625,0.235,-0.0825)); +#5778=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0825)); +#5779=CARTESIAN_POINT('',(-0.0625,0.49,-0.0825)); +#5780=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5781=CARTESIAN_POINT('',(-0.0375,0.49,-0.0825)); +#5782=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0825)); +#5783=CARTESIAN_POINT('',(-0.0375,0.235,-0.0825)); +#5784=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5785=CARTESIAN_POINT('',(0.0375,0.235,-0.0825)); +#5786=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0825)); +#5787=CARTESIAN_POINT('',(0.0375,0.49,-0.0825)); +#5788=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5789=CARTESIAN_POINT('',(0.0625,0.49,-0.0825)); +#5790=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0825)); +#5791=CARTESIAN_POINT('',(0.0625,0.235,-0.0825)); +#5792=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5793=CARTESIAN_POINT('',(0.1375,0.235,-0.0825)); +#5794=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0825)); +#5795=CARTESIAN_POINT('',(0.1375,0.49,-0.0825)); +#5796=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5797=CARTESIAN_POINT('',(0.1625,0.49,-0.0825)); +#5798=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0825)); +#5799=CARTESIAN_POINT('',(0.1625,0.235,-0.0825)); +#5800=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5801=CARTESIAN_POINT('',(0.2375,0.235,-0.0825)); +#5802=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0825)); +#5803=CARTESIAN_POINT('',(0.2375,0.49,-0.0825)); +#5804=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5805=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5806=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5807=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0825)); +#5808=CARTESIAN_POINT('',(0.2375,0.225,-0.0825)); +#5809=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5810=CARTESIAN_POINT('',(0.1625,0.225,-0.0825)); +#5811=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0825)); +#5812=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0825)); +#5813=CARTESIAN_POINT('',(0.1375,0.225,-0.0825)); +#5814=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5815=CARTESIAN_POINT('',(0.0625,0.225,-0.0825)); +#5816=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0825)); +#5817=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0825)); +#5818=CARTESIAN_POINT('',(0.0375,0.225,-0.0825)); +#5819=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5820=CARTESIAN_POINT('',(-0.0375,0.225,-0.0825)); +#5821=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0825)); +#5822=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0825)); +#5823=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5824=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#5825=CARTESIAN_POINT('',(-0.1375,0.225,-0.0575)); +#5826=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5827=CARTESIAN_POINT('',(-0.0625,0.225,-0.0575)); +#5828=CARTESIAN_POINT('',(-0.0625,0.49,-0.0575)); +#5829=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#5830=CARTESIAN_POINT('',(-0.0375,0.225,-0.0575)); +#5831=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5832=CARTESIAN_POINT('',(0.0375,0.225,-0.0575)); +#5833=CARTESIAN_POINT('',(0.0375,0.49,-0.0575)); +#5834=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#5835=CARTESIAN_POINT('',(0.0625,0.225,-0.0575)); +#5836=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5837=CARTESIAN_POINT('',(0.1375,0.225,-0.0575)); +#5838=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#5839=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#5840=CARTESIAN_POINT('',(0.1625,0.225,-0.0575)); +#5841=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5842=CARTESIAN_POINT('',(0.2375,0.225,-0.0575)); +#5843=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#5844=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0575)); +#5845=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5846=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5847=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5848=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5849=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5850=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#5851=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#5852=CARTESIAN_POINT('',(0.2375,0.235,-0.0575)); +#5853=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5854=CARTESIAN_POINT('',(0.1625,0.235,-0.0575)); +#5855=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#5856=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#5857=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5858=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#5859=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#5860=CARTESIAN_POINT('',(0.1375,0.235,-0.0575)); +#5861=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5862=CARTESIAN_POINT('',(0.0625,0.235,-0.0575)); +#5863=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#5864=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#5865=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5866=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0575)); +#5867=CARTESIAN_POINT('',(0.0375,0.49,-0.0575)); +#5868=CARTESIAN_POINT('',(0.0375,0.235,-0.0575)); +#5869=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5870=CARTESIAN_POINT('',(-0.0375,0.235,-0.0575)); +#5871=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#5872=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#5873=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5874=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0575)); +#5875=CARTESIAN_POINT('',(-0.0625,0.49,-0.0575)); +#5876=CARTESIAN_POINT('',(-0.0625,0.235,-0.0575)); +#5877=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5878=CARTESIAN_POINT('',(-0.1375,0.235,-0.0575)); +#5879=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#5880=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#5881=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5882=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#5883=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#5884=CARTESIAN_POINT('',(-0.1625,0.235,-0.0575)); +#5885=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5886=CARTESIAN_POINT('',(-0.2375,0.235,-0.0575)); +#5887=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#5888=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#5889=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5890=CARTESIAN_POINT('',(-0.2625,0.49,-0.0575)); +#5891=CARTESIAN_POINT('',(-0.2625,0.49,-0.0575)); +#5892=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#5893=CARTESIAN_POINT('',(-0.2375,0.225,-0.0575)); +#5894=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5895=CARTESIAN_POINT('',(-0.1625,0.225,-0.0575)); +#5896=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#5897=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5898=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0325)); +#5899=CARTESIAN_POINT('',(0.2375,0.150888351172313,-0.0501776702316405)); +#5900=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5901=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5902=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5903=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5904=CARTESIAN_POINT('',(0.2375,0.150888351172313,-0.0501776702316405)); +#5905=CARTESIAN_POINT('',(0.2375,0.115355337655374,-0.014644659536719)); +#5906=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5907=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5908=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5909=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5910=CARTESIAN_POINT('',(0.2375,0.08,-0.0500000000000001)); +#5911=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5912=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5913=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5914=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5915=CARTESIAN_POINT('',(-0.2625,0.08,-0.05)); +#5916=CARTESIAN_POINT('',(-0.2625,0.49,-0.0825)); +#5917=CARTESIAN_POINT('',(-0.2625,0.02,0.)); +#5918=CARTESIAN_POINT('',(-0.2375,0.645,-0.23)); +#5919=CARTESIAN_POINT('',(-0.2375,0.49,-0.0825)); +#5920=CARTESIAN_POINT('',(-0.2375,0.235,-0.23)); +#5921=CARTESIAN_POINT('',(-0.2375,0.235,-0.23)); +#5922=CARTESIAN_POINT('',(-0.1625,0.235,-0.23)); +#5923=CARTESIAN_POINT('',(-0.1625,0.235,-0.23)); +#5924=CARTESIAN_POINT('',(-0.1625,0.49,-0.0825)); +#5925=CARTESIAN_POINT('',(0.1625,0.645,-0.23)); +#5926=CARTESIAN_POINT('',(0.1625,0.49,-0.0825)); +#5927=CARTESIAN_POINT('',(0.1625,0.235,-0.23)); +#5928=CARTESIAN_POINT('',(0.1625,0.235,-0.23)); +#5929=CARTESIAN_POINT('',(0.2375,0.235,-0.23)); +#5930=CARTESIAN_POINT('',(0.2375,0.235,-0.23)); +#5931=CARTESIAN_POINT('',(0.2375,0.49,-0.0825)); +#5932=CARTESIAN_POINT('',(0.0625,0.645,-0.23)); +#5933=CARTESIAN_POINT('',(0.0625,0.49,-0.0825)); +#5934=CARTESIAN_POINT('',(0.0625,0.235,-0.23)); +#5935=CARTESIAN_POINT('',(0.0625,0.235,-0.23)); +#5936=CARTESIAN_POINT('',(0.1375,0.235,-0.23)); +#5937=CARTESIAN_POINT('',(0.1375,0.235,-0.23)); +#5938=CARTESIAN_POINT('',(0.1375,0.49,-0.0825)); +#5939=CARTESIAN_POINT('',(-0.0375,0.645,-0.23)); +#5940=CARTESIAN_POINT('',(-0.0375,0.49,-0.0825)); +#5941=CARTESIAN_POINT('',(-0.0375,0.235,-0.23)); +#5942=CARTESIAN_POINT('',(-0.0375,0.235,-0.23)); +#5943=CARTESIAN_POINT('',(0.0375,0.235,-0.23)); +#5944=CARTESIAN_POINT('',(0.0375,0.235,-0.23)); +#5945=CARTESIAN_POINT('',(0.0375,0.49,-0.0825)); +#5946=CARTESIAN_POINT('',(-0.1375,0.645,-0.23)); +#5947=CARTESIAN_POINT('',(-0.1375,0.49,-0.0825)); +#5948=CARTESIAN_POINT('',(-0.1375,0.235,-0.23)); +#5949=CARTESIAN_POINT('',(-0.1375,0.235,-0.23)); +#5950=CARTESIAN_POINT('',(-0.0625,0.235,-0.23)); +#5951=CARTESIAN_POINT('',(-0.0625,0.235,-0.23)); +#5952=CARTESIAN_POINT('',(-0.0625,0.49,-0.0825)); +#5953=CARTESIAN_POINT('',(-0.1625,0.225,-0.23)); +#5954=CARTESIAN_POINT('',(-0.1625,0.225,-0.23)); +#5955=CARTESIAN_POINT('',(-0.2375,0.225,-0.23)); +#5956=CARTESIAN_POINT('',(-0.2375,0.225,-0.23)); +#5957=CARTESIAN_POINT('',(-0.2375,0.02,0.)); +#5958=CARTESIAN_POINT('',(-0.1625,-0.185,-0.23)); +#5959=CARTESIAN_POINT('',(-0.1625,0.02,0.)); +#5960=CARTESIAN_POINT('',(0.2375,0.225,-0.23)); +#5961=CARTESIAN_POINT('',(0.2375,0.225,-0.23)); +#5962=CARTESIAN_POINT('',(0.1625,0.225,-0.23)); +#5963=CARTESIAN_POINT('',(0.1625,0.225,-0.23)); +#5964=CARTESIAN_POINT('',(0.1625,0.02,0.)); +#5965=CARTESIAN_POINT('',(0.2375,-0.185,-0.23)); +#5966=CARTESIAN_POINT('',(0.2375,0.02,0.)); +#5967=CARTESIAN_POINT('',(0.1375,0.225,-0.23)); +#5968=CARTESIAN_POINT('',(0.1375,0.225,-0.23)); +#5969=CARTESIAN_POINT('',(0.0625,0.225,-0.23)); +#5970=CARTESIAN_POINT('',(0.0625,0.225,-0.23)); +#5971=CARTESIAN_POINT('',(0.0625,0.02,0.)); +#5972=CARTESIAN_POINT('',(0.1375,-0.185,-0.23)); +#5973=CARTESIAN_POINT('',(0.1375,0.02,0.)); +#5974=CARTESIAN_POINT('',(0.0375,0.225,-0.23)); +#5975=CARTESIAN_POINT('',(0.0375,0.225,-0.23)); +#5976=CARTESIAN_POINT('',(-0.0375,0.225,-0.23)); +#5977=CARTESIAN_POINT('',(-0.0375,0.225,-0.23)); +#5978=CARTESIAN_POINT('',(-0.0375,0.02,0.)); +#5979=CARTESIAN_POINT('',(0.0375,-0.185,-0.23)); +#5980=CARTESIAN_POINT('',(0.0375,0.02,0.)); +#5981=CARTESIAN_POINT('',(-0.0625,0.225,-0.23)); +#5982=CARTESIAN_POINT('',(-0.0625,0.225,-0.23)); +#5983=CARTESIAN_POINT('',(-0.1375,0.225,-0.23)); +#5984=CARTESIAN_POINT('',(-0.1375,0.225,-0.23)); +#5985=CARTESIAN_POINT('',(-0.1375,0.02,0.)); +#5986=CARTESIAN_POINT('',(-0.0625,-0.185,-0.23)); +#5987=CARTESIAN_POINT('',(-0.0625,0.02,0.)); +#5988=CARTESIAN_POINT('',(-0.2625,0.49,-0.0825)); +#5989=CARTESIAN_POINT('',(-0.2625,0.49,-0.0575)); +#5990=CARTESIAN_POINT('',(-0.255220595314676,0.51,-0.064779404685324)); +#5991=CARTESIAN_POINT('',(-0.255220595314676,0.51,-0.0825)); +#5992=CARTESIAN_POINT('',(-0.255220595314676,0.51,-0.075220595314676)); +#5993=CARTESIAN_POINT('',(-0.2625,0.49,-0.0825)); +#5994=CARTESIAN_POINT('',(-0.2625,0.49,-0.0575)); +#5995=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#5996=CARTESIAN_POINT('',(-0.244779404685324,0.51,-0.064779404685324)); +#5997=CARTESIAN_POINT('',(-0.2625,0.51,-0.064779404685324)); +#5998=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#5999=CARTESIAN_POINT('',(-0.2375,0.49,-0.0825)); +#6000=CARTESIAN_POINT('',(-0.244779404685324,0.51,-0.075220595314676)); +#6001=CARTESIAN_POINT('',(-0.244779404685324,0.51,-0.0575)); +#6002=CARTESIAN_POINT('',(-0.2375,0.49,-0.0825)); +#6003=CARTESIAN_POINT('',(-0.2375,0.51,-0.075220595314676)); +#6004=CARTESIAN_POINT('',(0.,0.51,0.)); +#6005=CARTESIAN_POINT('',(-0.1625,0.49,-0.0825)); +#6006=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#6007=CARTESIAN_POINT('',(-0.155220595314676,0.51,-0.064779404685324)); +#6008=CARTESIAN_POINT('',(-0.155220595314676,0.51,-0.0825)); +#6009=CARTESIAN_POINT('',(-0.155220595314676,0.51,-0.075220595314676)); +#6010=CARTESIAN_POINT('',(-0.1625,0.49,-0.0825)); +#6011=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#6012=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#6013=CARTESIAN_POINT('',(-0.144779404685324,0.51,-0.064779404685324)); +#6014=CARTESIAN_POINT('',(-0.1625,0.51,-0.064779404685324)); +#6015=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#6016=CARTESIAN_POINT('',(-0.1375,0.49,-0.0825)); +#6017=CARTESIAN_POINT('',(-0.144779404685324,0.51,-0.075220595314676)); +#6018=CARTESIAN_POINT('',(-0.144779404685324,0.51,-0.0575)); +#6019=CARTESIAN_POINT('',(-0.1375,0.49,-0.0825)); +#6020=CARTESIAN_POINT('',(-0.1375,0.51,-0.075220595314676)); +#6021=CARTESIAN_POINT('',(0.1,0.51,0.)); +#6022=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0825)); +#6023=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0575)); +#6024=CARTESIAN_POINT('',(-0.055220595314676,0.51,-0.064779404685324)); +#6025=CARTESIAN_POINT('',(-0.055220595314676,0.51,-0.0825)); +#6026=CARTESIAN_POINT('',(-0.055220595314676,0.51,-0.075220595314676)); +#6027=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0825)); +#6028=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0575)); +#6029=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#6030=CARTESIAN_POINT('',(-0.0447794046853241,0.51,-0.064779404685324)); +#6031=CARTESIAN_POINT('',(-0.0625000000000001,0.51,-0.064779404685324)); +#6032=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#6033=CARTESIAN_POINT('',(-0.0375,0.49,-0.0825)); +#6034=CARTESIAN_POINT('',(-0.0447794046853241,0.51,-0.075220595314676)); +#6035=CARTESIAN_POINT('',(-0.0447794046853241,0.51,-0.0575)); +#6036=CARTESIAN_POINT('',(-0.0375,0.49,-0.0825)); +#6037=CARTESIAN_POINT('',(-0.0375,0.51,-0.075220595314676)); +#6038=CARTESIAN_POINT('',(0.2,0.51,0.)); +#6039=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0825)); +#6040=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0575)); +#6041=CARTESIAN_POINT('',(0.044779404685324,0.51,-0.064779404685324)); +#6042=CARTESIAN_POINT('',(0.044779404685324,0.51,-0.0825)); +#6043=CARTESIAN_POINT('',(0.044779404685324,0.51,-0.075220595314676)); +#6044=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0825)); +#6045=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0575)); +#6046=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#6047=CARTESIAN_POINT('',(0.0552205953146759,0.51,-0.064779404685324)); +#6048=CARTESIAN_POINT('',(0.0374999999999999,0.51,-0.064779404685324)); +#6049=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#6050=CARTESIAN_POINT('',(0.0625,0.49,-0.0825)); +#6051=CARTESIAN_POINT('',(0.0552205953146759,0.51,-0.075220595314676)); +#6052=CARTESIAN_POINT('',(0.0552205953146759,0.51,-0.0575)); +#6053=CARTESIAN_POINT('',(0.0625,0.49,-0.0825)); +#6054=CARTESIAN_POINT('',(0.0625,0.51,-0.075220595314676)); +#6055=CARTESIAN_POINT('',(0.3,0.51,0.)); +#6056=CARTESIAN_POINT('',(0.1375,0.49,-0.0825)); +#6057=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#6058=CARTESIAN_POINT('',(0.144779404685324,0.51,-0.064779404685324)); +#6059=CARTESIAN_POINT('',(0.144779404685324,0.51,-0.0825)); +#6060=CARTESIAN_POINT('',(0.144779404685324,0.51,-0.075220595314676)); +#6061=CARTESIAN_POINT('',(0.1375,0.49,-0.0825)); +#6062=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#6063=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#6064=CARTESIAN_POINT('',(0.155220595314676,0.51,-0.064779404685324)); +#6065=CARTESIAN_POINT('',(0.1375,0.51,-0.064779404685324)); +#6066=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#6067=CARTESIAN_POINT('',(0.1625,0.49,-0.0825)); +#6068=CARTESIAN_POINT('',(0.155220595314676,0.51,-0.075220595314676)); +#6069=CARTESIAN_POINT('',(0.155220595314676,0.51,-0.0575)); +#6070=CARTESIAN_POINT('',(0.1625,0.49,-0.0825)); +#6071=CARTESIAN_POINT('',(0.1625,0.51,-0.075220595314676)); +#6072=CARTESIAN_POINT('',(0.4,0.51,0.)); +#6073=CARTESIAN_POINT('',(0.2375,0.49,-0.0825)); +#6074=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#6075=CARTESIAN_POINT('',(0.244779404685324,0.51,-0.064779404685324)); +#6076=CARTESIAN_POINT('',(0.244779404685324,0.51,-0.0825)); +#6077=CARTESIAN_POINT('',(0.244779404685324,0.51,-0.075220595314676)); +#6078=CARTESIAN_POINT('',(0.2375,0.49,-0.0825)); +#6079=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#6080=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#6081=CARTESIAN_POINT('',(0.255220595314676,0.51,-0.064779404685324)); +#6082=CARTESIAN_POINT('',(0.2375,0.51,-0.064779404685324)); +#6083=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#6084=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#6085=CARTESIAN_POINT('',(0.255220595314676,0.51,-0.075220595314676)); +#6086=CARTESIAN_POINT('',(0.255220595314676,0.51,-0.0575)); +#6087=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#6088=CARTESIAN_POINT('',(0.2625,0.51,-0.075220595314676)); +#6089=CARTESIAN_POINT('',(0.5,0.51,0.)); +#6090=CARTESIAN_POINT('',(-0.2625,0.02,-0.025)); +#6091=CARTESIAN_POINT('',(-0.259881823956162,0.012806620439397,-0.0223818239561616)); +#6092=CARTESIAN_POINT('',(-0.255220595314676,0.,-0.017720595314676)); +#6093=CARTESIAN_POINT('',(-0.255220595314676,0.,0.)); +#6094=CARTESIAN_POINT('',(-0.255220595314676,0.,-0.00727940468532405)); +#6095=CARTESIAN_POINT('',(-0.259881823956162,0.012806620439397,-0.00261817604383839)); +#6096=CARTESIAN_POINT('',(-0.2625,0.02,0.)); +#6097=CARTESIAN_POINT('',(0.,0.,-0.00727940468532405)); +#6098=CARTESIAN_POINT('',(-0.244779404685324,0.,-0.00727940468532405)); +#6099=CARTESIAN_POINT('',(-0.240118176043838,0.012806620439397,-0.00261817604383839)); +#6100=CARTESIAN_POINT('',(-0.2375,0.02,0.)); +#6101=CARTESIAN_POINT('',(-0.244779404685324,0.,0.)); +#6102=CARTESIAN_POINT('',(-0.244779404685324,0.,-0.017720595314676)); +#6103=CARTESIAN_POINT('',(-0.240118176043838,0.012806620439397,-0.0223818239561616)); +#6104=CARTESIAN_POINT('',(-0.2375,0.02,-0.025)); +#6105=CARTESIAN_POINT('',(0.,0.,-0.017720595314676)); +#6106=CARTESIAN_POINT('',(0.,0.,0.)); +#6107=CARTESIAN_POINT('',(-0.1625,0.02,-0.025)); +#6108=CARTESIAN_POINT('',(-0.159881823956162,0.012806620439397,-0.0223818239561616)); +#6109=CARTESIAN_POINT('',(-0.155220595314676,0.,-0.017720595314676)); +#6110=CARTESIAN_POINT('',(-0.155220595314676,0.,0.)); +#6111=CARTESIAN_POINT('',(-0.155220595314676,0.,-0.00727940468532405)); +#6112=CARTESIAN_POINT('',(-0.159881823956162,0.012806620439397,-0.00261817604383839)); +#6113=CARTESIAN_POINT('',(-0.1625,0.02,0.)); +#6114=CARTESIAN_POINT('',(0.1,0.,-0.00727940468532405)); +#6115=CARTESIAN_POINT('',(-0.144779404685324,0.,-0.00727940468532405)); +#6116=CARTESIAN_POINT('',(-0.140118176043838,0.012806620439397,-0.00261817604383839)); +#6117=CARTESIAN_POINT('',(-0.1375,0.02,0.)); +#6118=CARTESIAN_POINT('',(-0.144779404685324,0.,0.)); +#6119=CARTESIAN_POINT('',(-0.144779404685324,0.,-0.017720595314676)); +#6120=CARTESIAN_POINT('',(-0.140118176043838,0.012806620439397,-0.0223818239561616)); +#6121=CARTESIAN_POINT('',(-0.1375,0.02,-0.025)); +#6122=CARTESIAN_POINT('',(0.1,0.,-0.017720595314676)); +#6123=CARTESIAN_POINT('',(0.1,0.,0.)); +#6124=CARTESIAN_POINT('',(-0.0625000000000001,0.02,-0.025)); +#6125=CARTESIAN_POINT('',(-0.0598818239561617,0.012806620439397,-0.0223818239561616)); +#6126=CARTESIAN_POINT('',(-0.055220595314676,0.,-0.017720595314676)); +#6127=CARTESIAN_POINT('',(-0.055220595314676,0.,0.)); +#6128=CARTESIAN_POINT('',(-0.055220595314676,0.,-0.00727940468532405)); +#6129=CARTESIAN_POINT('',(-0.0598818239561617,0.012806620439397,-0.00261817604383839)); +#6130=CARTESIAN_POINT('',(-0.0625000000000001,0.02,0.)); +#6131=CARTESIAN_POINT('',(0.2,0.,-0.00727940468532405)); +#6132=CARTESIAN_POINT('',(-0.0447794046853241,0.,-0.00727940468532405)); +#6133=CARTESIAN_POINT('',(-0.0401181760438384,0.012806620439397,-0.00261817604383839)); +#6134=CARTESIAN_POINT('',(-0.0375,0.02,0.)); +#6135=CARTESIAN_POINT('',(-0.0447794046853241,0.,0.)); +#6136=CARTESIAN_POINT('',(-0.0447794046853241,0.,-0.017720595314676)); +#6137=CARTESIAN_POINT('',(-0.0401181760438384,0.012806620439397,-0.0223818239561616)); +#6138=CARTESIAN_POINT('',(-0.0375,0.02,-0.025)); +#6139=CARTESIAN_POINT('',(0.2,0.,-0.017720595314676)); +#6140=CARTESIAN_POINT('',(0.2,0.,0.)); +#6141=CARTESIAN_POINT('',(0.0374999999999999,0.02,-0.025)); +#6142=CARTESIAN_POINT('',(0.0401181760438383,0.012806620439397,-0.0223818239561616)); +#6143=CARTESIAN_POINT('',(0.044779404685324,0.,-0.017720595314676)); +#6144=CARTESIAN_POINT('',(0.044779404685324,0.,0.)); +#6145=CARTESIAN_POINT('',(0.044779404685324,0.,-0.00727940468532405)); +#6146=CARTESIAN_POINT('',(0.0401181760438383,0.012806620439397,-0.00261817604383839)); +#6147=CARTESIAN_POINT('',(0.0374999999999999,0.02,0.)); +#6148=CARTESIAN_POINT('',(0.3,0.,-0.00727940468532405)); +#6149=CARTESIAN_POINT('',(0.0552205953146759,0.,-0.00727940468532405)); +#6150=CARTESIAN_POINT('',(0.0598818239561616,0.012806620439397,-0.00261817604383839)); +#6151=CARTESIAN_POINT('',(0.0625,0.02,0.)); +#6152=CARTESIAN_POINT('',(0.0552205953146759,0.,0.)); +#6153=CARTESIAN_POINT('',(0.0552205953146759,0.,-0.017720595314676)); +#6154=CARTESIAN_POINT('',(0.0598818239561616,0.012806620439397,-0.0223818239561616)); +#6155=CARTESIAN_POINT('',(0.0625,0.02,-0.025)); +#6156=CARTESIAN_POINT('',(0.3,0.,-0.017720595314676)); +#6157=CARTESIAN_POINT('',(0.3,0.,0.)); +#6158=CARTESIAN_POINT('',(0.1375,0.02,-0.025)); +#6159=CARTESIAN_POINT('',(0.140118176043838,0.012806620439397,-0.0223818239561616)); +#6160=CARTESIAN_POINT('',(0.144779404685324,0.,-0.017720595314676)); +#6161=CARTESIAN_POINT('',(0.144779404685324,0.,0.)); +#6162=CARTESIAN_POINT('',(0.144779404685324,0.,-0.00727940468532405)); +#6163=CARTESIAN_POINT('',(0.140118176043838,0.012806620439397,-0.00261817604383839)); +#6164=CARTESIAN_POINT('',(0.1375,0.02,0.)); +#6165=CARTESIAN_POINT('',(0.4,0.,-0.00727940468532405)); +#6166=CARTESIAN_POINT('',(0.155220595314676,0.,-0.00727940468532405)); +#6167=CARTESIAN_POINT('',(0.159881823956162,0.012806620439397,-0.00261817604383839)); +#6168=CARTESIAN_POINT('',(0.1625,0.02,0.)); +#6169=CARTESIAN_POINT('',(0.155220595314676,0.,0.)); +#6170=CARTESIAN_POINT('',(0.155220595314676,0.,-0.017720595314676)); +#6171=CARTESIAN_POINT('',(0.159881823956162,0.012806620439397,-0.0223818239561616)); +#6172=CARTESIAN_POINT('',(0.1625,0.02,-0.025)); +#6173=CARTESIAN_POINT('',(0.4,0.,-0.017720595314676)); +#6174=CARTESIAN_POINT('',(0.4,0.,0.)); +#6175=CARTESIAN_POINT('',(0.2375,0.02,-0.025)); +#6176=CARTESIAN_POINT('',(0.240118176043838,0.012806620439397,-0.0223818239561616)); +#6177=CARTESIAN_POINT('',(0.244779404685324,0.,-0.017720595314676)); +#6178=CARTESIAN_POINT('',(0.244779404685324,0.,0.)); +#6179=CARTESIAN_POINT('',(0.244779404685324,0.,-0.00727940468532405)); +#6180=CARTESIAN_POINT('',(0.240118176043838,0.012806620439397,-0.00261817604383839)); +#6181=CARTESIAN_POINT('',(0.2375,0.02,0.)); +#6182=CARTESIAN_POINT('',(0.5,0.,-0.00727940468532405)); +#6183=CARTESIAN_POINT('',(0.255220595314676,0.,-0.00727940468532405)); +#6184=CARTESIAN_POINT('',(0.259881823956162,0.012806620439397,-0.00261817604383839)); +#6185=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#6186=CARTESIAN_POINT('',(0.255220595314676,0.,0.)); +#6187=CARTESIAN_POINT('',(0.255220595314676,0.,-0.017720595314676)); +#6188=CARTESIAN_POINT('',(0.259881823956162,0.012806620439397,-0.0223818239561616)); +#6189=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#6190=CARTESIAN_POINT('',(0.5,0.,-0.017720595314676)); +#6191=CARTESIAN_POINT('',(0.5,0.,0.)); +#6192=CARTESIAN_POINT('',(0.,-1.38777878078145E-17,-0.23)); +#6193=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6194=CARTESIAN_POINT('',(0.215,-0.06,0.0500000000000002)); +#6195=CARTESIAN_POINT('',(0.215,-0.0599999999999999,0.045)); +#6196=CARTESIAN_POINT('',(0.215,-0.06,0.05)); +#6197=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6198=CARTESIAN_POINT('',(0.185,-0.0599999999999999,0.045)); +#6199=CARTESIAN_POINT('',(0.185,-0.06,0.05)); +#6200=CARTESIAN_POINT('',(0.185,-0.06,0.05)); +#6201=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6202=CARTESIAN_POINT('',(0.115,-0.06,0.05)); +#6203=CARTESIAN_POINT('',(0.115,-0.06,0.05)); +#6204=CARTESIAN_POINT('',(0.115,-0.0599999999999999,0.045)); +#6205=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6206=CARTESIAN_POINT('',(0.0849999999999999,-0.0599999999999999,0.045)); +#6207=CARTESIAN_POINT('',(0.0849999999999999,-0.06,0.05)); +#6208=CARTESIAN_POINT('',(0.0849999999999999,-0.06,0.05)); +#6209=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6210=CARTESIAN_POINT('',(0.0150000000000002,-0.06,0.05)); +#6211=CARTESIAN_POINT('',(0.0150000000000002,-0.06,0.05)); +#6212=CARTESIAN_POINT('',(0.0150000000000002,-0.0599999999999999,0.045)); +#6213=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6214=CARTESIAN_POINT('',(-0.0149999999999998,-0.0599999999999999,0.045)); +#6215=CARTESIAN_POINT('',(-0.0149999999999998,-0.06,0.05)); +#6216=CARTESIAN_POINT('',(-0.0149999999999998,-0.06,0.05)); +#6217=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6218=CARTESIAN_POINT('',(-0.085,-0.06,0.05)); +#6219=CARTESIAN_POINT('',(-0.085,-0.06,0.05)); +#6220=CARTESIAN_POINT('',(-0.085,-0.0599999999999999,0.045)); +#6221=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6222=CARTESIAN_POINT('',(-0.115,-0.0599999999999999,0.045)); +#6223=CARTESIAN_POINT('',(-0.115,-0.06,0.05)); +#6224=CARTESIAN_POINT('',(-0.115,-0.06,0.05)); +#6225=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6226=CARTESIAN_POINT('',(-0.185,-0.06,0.05)); +#6227=CARTESIAN_POINT('',(-0.185,-0.06,0.05)); +#6228=CARTESIAN_POINT('',(-0.185,-0.0599999999999999,0.045)); +#6229=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6230=CARTESIAN_POINT('',(-0.215,-0.0599999999999999,0.045)); +#6231=CARTESIAN_POINT('',(-0.215,-0.06,0.05)); +#6232=CARTESIAN_POINT('',(-0.215,-0.06,0.05)); +#6233=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6234=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6235=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6236=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6237=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6238=CARTESIAN_POINT('',(-0.215,-0.06,-0.05)); +#6239=CARTESIAN_POINT('',(-0.215,-0.06,0.05)); +#6240=CARTESIAN_POINT('',(-0.215,-0.0599999999999999,-0.045)); +#6241=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6242=CARTESIAN_POINT('',(-0.185,-0.0599999999999999,-0.045)); +#6243=CARTESIAN_POINT('',(-0.185,-0.06,0.05)); +#6244=CARTESIAN_POINT('',(-0.185,-0.06,-0.05)); +#6245=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6246=CARTESIAN_POINT('',(-0.115,-0.06,-0.05)); +#6247=CARTESIAN_POINT('',(-0.115,-0.06,0.05)); +#6248=CARTESIAN_POINT('',(-0.115,-0.0599999999999999,-0.045)); +#6249=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6250=CARTESIAN_POINT('',(-0.085,-0.0599999999999999,-0.045)); +#6251=CARTESIAN_POINT('',(-0.085,-0.06,0.05)); +#6252=CARTESIAN_POINT('',(-0.085,-0.06,-0.05)); +#6253=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6254=CARTESIAN_POINT('',(-0.0149999999999999,-0.06,-0.05)); +#6255=CARTESIAN_POINT('',(-0.0149999999999999,-0.06,0.05)); +#6256=CARTESIAN_POINT('',(-0.015,-0.0599999999999999,-0.045)); +#6257=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6258=CARTESIAN_POINT('',(0.015,-0.0599999999999999,-0.045)); +#6259=CARTESIAN_POINT('',(0.015,-0.06,0.05)); +#6260=CARTESIAN_POINT('',(0.015,-0.06,-0.05)); +#6261=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6262=CARTESIAN_POINT('',(0.085,-0.06,-0.05)); +#6263=CARTESIAN_POINT('',(0.085,-0.06,0.05)); +#6264=CARTESIAN_POINT('',(0.085,-0.0599999999999999,-0.045)); +#6265=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6266=CARTESIAN_POINT('',(0.115,-0.0599999999999999,-0.045)); +#6267=CARTESIAN_POINT('',(0.115,-0.06,0.05)); +#6268=CARTESIAN_POINT('',(0.115,-0.06,-0.05)); +#6269=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6270=CARTESIAN_POINT('',(0.185,-0.06,-0.05)); +#6271=CARTESIAN_POINT('',(0.185,-0.06,0.05)); +#6272=CARTESIAN_POINT('',(0.185,-0.0599999999999999,-0.045)); +#6273=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6274=CARTESIAN_POINT('',(0.215,-0.0599999999999999,-0.045)); +#6275=CARTESIAN_POINT('',(0.215,-0.06,0.0500000000000002)); +#6276=CARTESIAN_POINT('',(0.215,-0.06,-0.05)); +#6277=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6278=CARTESIAN_POINT('',(0.3,-0.06,-0.05)); +#6279=CARTESIAN_POINT('',(0.3,-0.06,0.05)); +#6280=CARTESIAN_POINT('',(0.3,-0.06,0.05)); +#6281=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6282=CARTESIAN_POINT('',(0.185,0.048,0.05)); +#6283=CARTESIAN_POINT('',(0.185,0.048,0.05)); +#6284=CARTESIAN_POINT('',(0.185,0.048,0.045)); +#6285=CARTESIAN_POINT('',(0.185,0.048,-0.045)); +#6286=CARTESIAN_POINT('',(0.185,0.048,0.045)); +#6287=CARTESIAN_POINT('',(0.215,0.048,0.045)); +#6288=CARTESIAN_POINT('',(0.215,0.048,0.05)); +#6289=CARTESIAN_POINT('',(0.215,0.048,-0.045)); +#6290=CARTESIAN_POINT('',(0.185,0.048,-0.045)); +#6291=CARTESIAN_POINT('',(0.085,0.048,0.05)); +#6292=CARTESIAN_POINT('',(0.085,0.048,0.05)); +#6293=CARTESIAN_POINT('',(0.0849999999999999,0.048,0.045)); +#6294=CARTESIAN_POINT('',(0.085,0.048,-0.045)); +#6295=CARTESIAN_POINT('',(0.085,0.048,0.045)); +#6296=CARTESIAN_POINT('',(0.115,0.048,0.045)); +#6297=CARTESIAN_POINT('',(0.115,0.048,0.05)); +#6298=CARTESIAN_POINT('',(0.115,0.048,-0.045)); +#6299=CARTESIAN_POINT('',(0.085,0.048,-0.045)); +#6300=CARTESIAN_POINT('',(-0.015,0.048,0.05)); +#6301=CARTESIAN_POINT('',(-0.015,0.048,0.05)); +#6302=CARTESIAN_POINT('',(-0.0149999999999998,0.048,0.045)); +#6303=CARTESIAN_POINT('',(-0.015,0.048,-0.045)); +#6304=CARTESIAN_POINT('',(-0.015,0.048,0.045)); +#6305=CARTESIAN_POINT('',(0.0150000000000002,0.048,0.045)); +#6306=CARTESIAN_POINT('',(0.015,0.048,0.05)); +#6307=CARTESIAN_POINT('',(0.015,0.048,-0.045)); +#6308=CARTESIAN_POINT('',(-0.015,0.048,-0.045)); +#6309=CARTESIAN_POINT('',(-0.115,0.048,0.05)); +#6310=CARTESIAN_POINT('',(-0.115,0.048,0.05)); +#6311=CARTESIAN_POINT('',(-0.115,0.048,0.045)); +#6312=CARTESIAN_POINT('',(-0.115,0.048,-0.045)); +#6313=CARTESIAN_POINT('',(-0.115,0.048,0.045)); +#6314=CARTESIAN_POINT('',(-0.085,0.048,0.045)); +#6315=CARTESIAN_POINT('',(-0.085,0.048,0.05)); +#6316=CARTESIAN_POINT('',(-0.085,0.048,-0.045)); +#6317=CARTESIAN_POINT('',(-0.115,0.048,-0.045)); +#6318=CARTESIAN_POINT('',(-0.215,0.048,0.05)); +#6319=CARTESIAN_POINT('',(-0.215,0.048,0.05)); +#6320=CARTESIAN_POINT('',(-0.215,0.048,0.045)); +#6321=CARTESIAN_POINT('',(-0.215,0.048,-0.045)); +#6322=CARTESIAN_POINT('',(-0.215,0.048,0.045)); +#6323=CARTESIAN_POINT('',(-0.185,0.048,0.045)); +#6324=CARTESIAN_POINT('',(-0.185,0.048,0.05)); +#6325=CARTESIAN_POINT('',(-0.185,0.048,-0.045)); +#6326=CARTESIAN_POINT('',(-0.215,0.048,-0.045)); +#6327=CARTESIAN_POINT('',(0.,0.,0.05)); +#6328=CARTESIAN_POINT('',(-0.23775,0.02225,0.05)); +#6329=CARTESIAN_POINT('',(-0.23775,0.02225,0.05)); +#6330=CARTESIAN_POINT('',(-0.26225,0.02225,0.05)); +#6331=CARTESIAN_POINT('',(-0.23775,-0.00225,0.05)); +#6332=CARTESIAN_POINT('',(-0.23775,-0.00225,0.05)); +#6333=CARTESIAN_POINT('',(-0.26225,-0.00225,0.05)); +#6334=CARTESIAN_POINT('',(-0.26225,-0.00225,0.05)); +#6335=CARTESIAN_POINT('',(-0.26225,0.02225,0.05)); +#6336=CARTESIAN_POINT('',(-0.215,0.148,0.05)); +#6337=CARTESIAN_POINT('',(-0.215,0.06,0.05)); +#6338=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6339=CARTESIAN_POINT('',(-0.3,0.06,0.05)); +#6340=CARTESIAN_POINT('',(-0.3,0.06,0.05)); +#6341=CARTESIAN_POINT('',(0.,0.,0.05)); +#6342=CARTESIAN_POINT('',(0.26225,0.02225,0.05)); +#6343=CARTESIAN_POINT('',(0.26225,0.02225,0.05)); +#6344=CARTESIAN_POINT('',(0.23775,0.02225,0.05)); +#6345=CARTESIAN_POINT('',(0.26225,-0.00225,0.05)); +#6346=CARTESIAN_POINT('',(0.26225,-0.00225,0.05)); +#6347=CARTESIAN_POINT('',(0.23775,-0.00225,0.05)); +#6348=CARTESIAN_POINT('',(0.23775,-0.00225,0.05)); +#6349=CARTESIAN_POINT('',(0.23775,0.02225,0.05)); +#6350=CARTESIAN_POINT('',(0.215,0.048,0.05)); +#6351=CARTESIAN_POINT('',(0.215,0.06,0.05)); +#6352=CARTESIAN_POINT('',(0.3,-0.06,0.05)); +#6353=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6354=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6355=CARTESIAN_POINT('',(0.,0.,0.05)); +#6356=CARTESIAN_POINT('',(0.16225,0.02225,0.05)); +#6357=CARTESIAN_POINT('',(0.16225,0.02225,0.05)); +#6358=CARTESIAN_POINT('',(0.13775,0.02225,0.05)); +#6359=CARTESIAN_POINT('',(0.16225,-0.00225,0.05)); +#6360=CARTESIAN_POINT('',(0.16225,-0.00225,0.05)); +#6361=CARTESIAN_POINT('',(0.13775,-0.00225,0.05)); +#6362=CARTESIAN_POINT('',(0.13775,-0.00225,0.05)); +#6363=CARTESIAN_POINT('',(0.13775,0.02225,0.05)); +#6364=CARTESIAN_POINT('',(0.185,0.1,0.05)); +#6365=CARTESIAN_POINT('',(0.185,0.06,0.05)); +#6366=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6367=CARTESIAN_POINT('',(0.115,0.06,0.05)); +#6368=CARTESIAN_POINT('',(0.115,0.048,0.05)); +#6369=CARTESIAN_POINT('',(0.,0.,0.05)); +#6370=CARTESIAN_POINT('',(0.06225,0.02225,0.05)); +#6371=CARTESIAN_POINT('',(0.06225,0.02225,0.05)); +#6372=CARTESIAN_POINT('',(0.03775,0.02225,0.05)); +#6373=CARTESIAN_POINT('',(0.06225,-0.00225,0.05)); +#6374=CARTESIAN_POINT('',(0.06225,-0.00225,0.05)); +#6375=CARTESIAN_POINT('',(0.03775,-0.00225,0.05)); +#6376=CARTESIAN_POINT('',(0.03775,-0.00225,0.05)); +#6377=CARTESIAN_POINT('',(0.03775,0.02225,0.05)); +#6378=CARTESIAN_POINT('',(0.085,0.1,0.05)); +#6379=CARTESIAN_POINT('',(0.085,0.06,0.05)); +#6380=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6381=CARTESIAN_POINT('',(0.015,0.06,0.05)); +#6382=CARTESIAN_POINT('',(0.015,0.048,0.05)); +#6383=CARTESIAN_POINT('',(0.,0.,0.05)); +#6384=CARTESIAN_POINT('',(-0.03775,0.02225,0.05)); +#6385=CARTESIAN_POINT('',(-0.03775,0.02225,0.05)); +#6386=CARTESIAN_POINT('',(-0.06225,0.02225,0.05)); +#6387=CARTESIAN_POINT('',(-0.03775,-0.00225,0.05)); +#6388=CARTESIAN_POINT('',(-0.03775,-0.00225,0.05)); +#6389=CARTESIAN_POINT('',(-0.06225,-0.00225,0.05)); +#6390=CARTESIAN_POINT('',(-0.06225,-0.00225,0.05)); +#6391=CARTESIAN_POINT('',(-0.06225,0.02225,0.05)); +#6392=CARTESIAN_POINT('',(-0.015,0.1,0.05)); +#6393=CARTESIAN_POINT('',(-0.015,0.06,0.05)); +#6394=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6395=CARTESIAN_POINT('',(-0.085,0.06,0.05)); +#6396=CARTESIAN_POINT('',(-0.085,0.048,0.05)); +#6397=CARTESIAN_POINT('',(0.,0.,0.05)); +#6398=CARTESIAN_POINT('',(-0.13775,0.02225,0.05)); +#6399=CARTESIAN_POINT('',(-0.13775,0.02225,0.05)); +#6400=CARTESIAN_POINT('',(-0.16225,0.02225,0.05)); +#6401=CARTESIAN_POINT('',(-0.13775,-0.00225,0.05)); +#6402=CARTESIAN_POINT('',(-0.13775,-0.00225,0.05)); +#6403=CARTESIAN_POINT('',(-0.16225,-0.00225,0.05)); +#6404=CARTESIAN_POINT('',(-0.16225,-0.00225,0.05)); +#6405=CARTESIAN_POINT('',(-0.16225,0.02225,0.05)); +#6406=CARTESIAN_POINT('',(-0.115,0.1,0.05)); +#6407=CARTESIAN_POINT('',(-0.115,0.06,0.05)); +#6408=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6409=CARTESIAN_POINT('',(-0.185,0.06,0.05)); +#6410=CARTESIAN_POINT('',(-0.185,0.048,0.05)); +#6411=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6412=CARTESIAN_POINT('',(-0.23775,0.02225,-0.05)); +#6413=CARTESIAN_POINT('',(-0.23775,0.02225,-0.05)); +#6414=CARTESIAN_POINT('',(-0.26225,0.02225,-0.05)); +#6415=CARTESIAN_POINT('',(-0.26225,0.02225,-0.05)); +#6416=CARTESIAN_POINT('',(-0.26225,-0.00225,-0.05)); +#6417=CARTESIAN_POINT('',(-0.26225,-0.00225,-0.05)); +#6418=CARTESIAN_POINT('',(-0.23775,-0.00225,-0.05)); +#6419=CARTESIAN_POINT('',(-0.23775,-0.00225,-0.05)); +#6420=CARTESIAN_POINT('',(-0.215,0.148,-0.05)); +#6421=CARTESIAN_POINT('',(-0.215,0.06,-0.05)); +#6422=CARTESIAN_POINT('',(-0.3,0.06,-0.05)); +#6423=CARTESIAN_POINT('',(-0.3,0.06,-0.05)); +#6424=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6425=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6426=CARTESIAN_POINT('',(-0.13775,0.02225,-0.05)); +#6427=CARTESIAN_POINT('',(-0.13775,0.02225,-0.05)); +#6428=CARTESIAN_POINT('',(-0.16225,0.02225,-0.05)); +#6429=CARTESIAN_POINT('',(-0.16225,0.02225,-0.05)); +#6430=CARTESIAN_POINT('',(-0.16225,-0.00225,-0.05)); +#6431=CARTESIAN_POINT('',(-0.16225,-0.00225,-0.05)); +#6432=CARTESIAN_POINT('',(-0.13775,-0.00225,-0.05)); +#6433=CARTESIAN_POINT('',(-0.13775,-0.00225,-0.05)); +#6434=CARTESIAN_POINT('',(-0.185,0.048,-0.05)); +#6435=CARTESIAN_POINT('',(-0.185,0.06,-0.05)); +#6436=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6437=CARTESIAN_POINT('',(-0.115,0.06,-0.05)); +#6438=CARTESIAN_POINT('',(-0.115,0.1,-0.05)); +#6439=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6440=CARTESIAN_POINT('',(-0.03775,0.02225,-0.05)); +#6441=CARTESIAN_POINT('',(-0.03775,0.02225,-0.05)); +#6442=CARTESIAN_POINT('',(-0.06225,0.02225,-0.05)); +#6443=CARTESIAN_POINT('',(-0.06225,0.02225,-0.05)); +#6444=CARTESIAN_POINT('',(-0.06225,-0.00225,-0.05)); +#6445=CARTESIAN_POINT('',(-0.06225,-0.00225,-0.05)); +#6446=CARTESIAN_POINT('',(-0.03775,-0.00225,-0.05)); +#6447=CARTESIAN_POINT('',(-0.03775,-0.00225,-0.05)); +#6448=CARTESIAN_POINT('',(-0.085,0.048,-0.05)); +#6449=CARTESIAN_POINT('',(-0.0849999999999998,0.06,-0.05)); +#6450=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6451=CARTESIAN_POINT('',(-0.015,0.06,-0.05)); +#6452=CARTESIAN_POINT('',(-0.015,0.1,-0.05)); +#6453=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6454=CARTESIAN_POINT('',(0.06225,0.02225,-0.05)); +#6455=CARTESIAN_POINT('',(0.06225,0.02225,-0.05)); +#6456=CARTESIAN_POINT('',(0.03775,0.02225,-0.05)); +#6457=CARTESIAN_POINT('',(0.03775,0.02225,-0.05)); +#6458=CARTESIAN_POINT('',(0.03775,-0.00225,-0.05)); +#6459=CARTESIAN_POINT('',(0.03775,-0.00225,-0.05)); +#6460=CARTESIAN_POINT('',(0.06225,-0.00225,-0.05)); +#6461=CARTESIAN_POINT('',(0.06225,-0.00225,-0.05)); +#6462=CARTESIAN_POINT('',(0.015,0.048,-0.05)); +#6463=CARTESIAN_POINT('',(0.0150000000000001,0.06,-0.05)); +#6464=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6465=CARTESIAN_POINT('',(0.085,0.06,-0.05)); +#6466=CARTESIAN_POINT('',(0.085,0.1,-0.05)); +#6467=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6468=CARTESIAN_POINT('',(0.16225,0.02225,-0.05)); +#6469=CARTESIAN_POINT('',(0.16225,0.02225,-0.05)); +#6470=CARTESIAN_POINT('',(0.13775,0.02225,-0.05)); +#6471=CARTESIAN_POINT('',(0.13775,0.02225,-0.05)); +#6472=CARTESIAN_POINT('',(0.13775,-0.00225,-0.05)); +#6473=CARTESIAN_POINT('',(0.13775,-0.00225,-0.05)); +#6474=CARTESIAN_POINT('',(0.16225,-0.00225,-0.05)); +#6475=CARTESIAN_POINT('',(0.16225,-0.00225,-0.05)); +#6476=CARTESIAN_POINT('',(0.115,0.048,-0.05)); +#6477=CARTESIAN_POINT('',(0.115,0.06,-0.05)); +#6478=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6479=CARTESIAN_POINT('',(0.185,0.06,-0.05)); +#6480=CARTESIAN_POINT('',(0.185,0.1,-0.05)); +#6481=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6482=CARTESIAN_POINT('',(0.26225,0.02225,-0.05)); +#6483=CARTESIAN_POINT('',(0.26225,0.02225,-0.05)); +#6484=CARTESIAN_POINT('',(0.23775,0.02225,-0.05)); +#6485=CARTESIAN_POINT('',(0.23775,0.02225,-0.05)); +#6486=CARTESIAN_POINT('',(0.23775,-0.00225,-0.05)); +#6487=CARTESIAN_POINT('',(0.23775,-0.00225,-0.05)); +#6488=CARTESIAN_POINT('',(0.26225,-0.00225,-0.05)); +#6489=CARTESIAN_POINT('',(0.26225,-0.00225,-0.05)); +#6490=CARTESIAN_POINT('',(0.215,0.048,-0.05)); +#6491=CARTESIAN_POINT('',(0.215,0.06,-0.05)); +#6492=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6493=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6494=CARTESIAN_POINT('',(0.3,-0.06,-0.05)); +#6495=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6496=CARTESIAN_POINT('',(-0.215,0.06,0.05)); +#6497=CARTESIAN_POINT('',(-0.3,0.06,0.05)); +#6498=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6499=CARTESIAN_POINT('',(-0.185,0.06,0.05)); +#6500=CARTESIAN_POINT('',(-0.115,0.06,0.05)); +#6501=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6502=CARTESIAN_POINT('',(-0.085,0.06,0.05)); +#6503=CARTESIAN_POINT('',(-0.0149999999999999,0.06,0.05)); +#6504=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6505=CARTESIAN_POINT('',(0.015,0.06,0.05)); +#6506=CARTESIAN_POINT('',(0.085,0.06,0.05)); +#6507=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6508=CARTESIAN_POINT('',(0.115,0.06,0.05)); +#6509=CARTESIAN_POINT('',(0.185,0.06,0.05)); +#6510=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6511=CARTESIAN_POINT('',(0.215,0.06,0.05)); +#6512=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6513=CARTESIAN_POINT('',(-0.3,0.06,0.05)); +#6514=CARTESIAN_POINT('',(0.26225,0.02225,0.05)); +#6515=CARTESIAN_POINT('',(0.26225,0.02225,0.05)); +#6516=CARTESIAN_POINT('',(0.23775,0.02225,0.05)); +#6517=CARTESIAN_POINT('',(0.26225,-0.00225,0.05)); +#6518=CARTESIAN_POINT('',(0.26225,-0.00225,0.05)); +#6519=CARTESIAN_POINT('',(0.23775,-0.00225,0.05)); +#6520=CARTESIAN_POINT('',(0.23775,-0.00225,0.05)); +#6521=CARTESIAN_POINT('',(0.16225,0.02225,0.05)); +#6522=CARTESIAN_POINT('',(0.16225,0.02225,0.05)); +#6523=CARTESIAN_POINT('',(0.13775,0.02225,0.05)); +#6524=CARTESIAN_POINT('',(0.16225,-0.00225,0.05)); +#6525=CARTESIAN_POINT('',(0.16225,-0.00225,0.05)); +#6526=CARTESIAN_POINT('',(0.13775,-0.00225,0.05)); +#6527=CARTESIAN_POINT('',(0.13775,-0.00225,0.05)); +#6528=CARTESIAN_POINT('',(0.06225,0.02225,0.05)); +#6529=CARTESIAN_POINT('',(0.06225,0.02225,0.05)); +#6530=CARTESIAN_POINT('',(0.03775,0.02225,0.05)); +#6531=CARTESIAN_POINT('',(0.06225,-0.00225,0.05)); +#6532=CARTESIAN_POINT('',(0.06225,-0.00225,0.05)); +#6533=CARTESIAN_POINT('',(0.03775,-0.00225,0.05)); +#6534=CARTESIAN_POINT('',(0.03775,-0.00225,0.05)); +#6535=CARTESIAN_POINT('',(-0.03775,0.02225,0.05)); +#6536=CARTESIAN_POINT('',(-0.03775,0.02225,0.05)); +#6537=CARTESIAN_POINT('',(-0.06225,0.02225,0.05)); +#6538=CARTESIAN_POINT('',(-0.03775,-0.00225,0.05)); +#6539=CARTESIAN_POINT('',(-0.03775,-0.00225,0.05)); +#6540=CARTESIAN_POINT('',(-0.06225,-0.00225,0.05)); +#6541=CARTESIAN_POINT('',(-0.06225,-0.00225,0.05)); +#6542=CARTESIAN_POINT('',(-0.13775,0.02225,0.05)); +#6543=CARTESIAN_POINT('',(-0.13775,0.02225,0.05)); +#6544=CARTESIAN_POINT('',(-0.16225,0.02225,0.05)); +#6545=CARTESIAN_POINT('',(-0.13775,-0.00225,0.05)); +#6546=CARTESIAN_POINT('',(-0.13775,-0.00225,0.05)); +#6547=CARTESIAN_POINT('',(-0.16225,-0.00225,0.05)); +#6548=CARTESIAN_POINT('',(-0.16225,-0.00225,0.05)); +#6549=CARTESIAN_POINT('',(-0.23775,0.02225,0.05)); +#6550=CARTESIAN_POINT('',(-0.23775,0.02225,0.05)); +#6551=CARTESIAN_POINT('',(-0.26225,0.02225,0.05)); +#6552=CARTESIAN_POINT('',(-0.23775,-0.00225,0.05)); +#6553=CARTESIAN_POINT('',(-0.23775,-0.00225,0.05)); +#6554=CARTESIAN_POINT('',(-0.26225,-0.00225,0.05)); +#6555=CARTESIAN_POINT('',(-0.26225,-0.00225,0.05)); +#6556=CARTESIAN_POINT('',(0.23775,0.02225,0.05)); +#6557=CARTESIAN_POINT('',(0.13775,0.02225,0.05)); +#6558=CARTESIAN_POINT('',(0.03775,0.02225,0.05)); +#6559=CARTESIAN_POINT('',(-0.06225,0.02225,0.05)); +#6560=CARTESIAN_POINT('',(-0.16225,0.02225,0.05)); +#6561=CARTESIAN_POINT('',(-0.26225,0.02225,0.05)); +#6562=CARTESIAN_POINT('',(0.3,-0.06,0.05)); +#6563=CARTESIAN_POINT('',(0.215,0.048,0.05)); +#6564=CARTESIAN_POINT('',(0.215,0.12,-0.045)); +#6565=CARTESIAN_POINT('',(0.215,0.12,0.045)); +#6566=CARTESIAN_POINT('',(0.185,0.1,0.05)); +#6567=CARTESIAN_POINT('',(0.185,0.12,0.045)); +#6568=CARTESIAN_POINT('',(0.185,0.12,-0.045)); +#6569=CARTESIAN_POINT('',(0.115,0.048,0.05)); +#6570=CARTESIAN_POINT('',(0.115,0.12,-0.045)); +#6571=CARTESIAN_POINT('',(0.115,0.12,0.045)); +#6572=CARTESIAN_POINT('',(0.085,0.1,0.05)); +#6573=CARTESIAN_POINT('',(0.0849999999999999,0.12,0.045)); +#6574=CARTESIAN_POINT('',(0.085,0.12,-0.045)); +#6575=CARTESIAN_POINT('',(0.015,0.048,0.05)); +#6576=CARTESIAN_POINT('',(0.015,0.12,-0.045)); +#6577=CARTESIAN_POINT('',(0.0150000000000002,0.12,0.045)); +#6578=CARTESIAN_POINT('',(-0.015,0.1,0.05)); +#6579=CARTESIAN_POINT('',(-0.0149999999999998,0.12,0.045)); +#6580=CARTESIAN_POINT('',(-0.015,0.12,-0.045)); +#6581=CARTESIAN_POINT('',(-0.085,0.048,0.05)); +#6582=CARTESIAN_POINT('',(-0.085,0.12,-0.045)); +#6583=CARTESIAN_POINT('',(-0.085,0.12,0.045)); +#6584=CARTESIAN_POINT('',(-0.115,0.1,0.05)); +#6585=CARTESIAN_POINT('',(-0.115,0.12,0.045)); +#6586=CARTESIAN_POINT('',(-0.115,0.12,-0.045)); +#6587=CARTESIAN_POINT('',(-0.215,0.148,0.05)); +#6588=CARTESIAN_POINT('',(-0.215,0.12,0.045)); +#6589=CARTESIAN_POINT('',(-0.215,0.12,-0.045)); +#6590=CARTESIAN_POINT('',(-0.185,0.048,0.05)); +#6591=CARTESIAN_POINT('',(-0.185,0.12,-0.045)); +#6592=CARTESIAN_POINT('',(-0.185,0.12,0.045)); +#6593=CARTESIAN_POINT('',(-0.215,0.12,-0.045)); +#6594=CARTESIAN_POINT('',(-0.115,0.12,-0.045)); +#6595=CARTESIAN_POINT('',(-0.015,0.12,-0.045)); +#6596=CARTESIAN_POINT('',(0.085,0.12,-0.045)); +#6597=CARTESIAN_POINT('',(0.185,0.12,-0.045)); +#6598=CARTESIAN_POINT('',(-0.185,0.12,0.045)); +#6599=CARTESIAN_POINT('',(-0.085,0.12,0.045)); +#6600=CARTESIAN_POINT('',(0.0150000000000002,0.12,0.045)); +#6601=CARTESIAN_POINT('',(0.115,0.12,0.045)); +#6602=CARTESIAN_POINT('',(0.215,0.12,0.045)); +#6603=CARTESIAN_POINT('',(0.,0.06,7.34788079488412E-18)); +#6604=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#4105, +#4106),#6605); +#6605=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6608)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#6613,#6612,#6611)) +REPRESENTATION_CONTEXT('TSM-106-01-L-SH','TOP_LEVEL_ASSEMBLY_PART') +); +#6606=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6609)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#6613,#6612,#6611)) +REPRESENTATION_CONTEXT('T-1S6-08(-01-6-SH)','COMPONENT_PART') +); +#6607=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6610)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#6613,#6612,#6611)) +REPRESENTATION_CONTEXT('TSM-106-01-SH_body','COMPONENT_PART') +); +#6608=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#6613,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#6609=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#6613,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#6610=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#6613,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#6611=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#6612=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#6613=( +CONVERSION_BASED_UNIT('INCH',#6615) +LENGTH_UNIT() +NAMED_UNIT(#6614) +); +#6614=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.); +#6615=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#6616); +#6616=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#6617=PRODUCT_DEFINITION_SHAPE('','',#6622); +#6618=PRODUCT_DEFINITION_SHAPE('','',#6623); +#6619=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#21); +#6620=PRODUCT_DEFINITION_SHAPE('','',#6624); +#6621=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#22); +#6622=PRODUCT_DEFINITION('','',#6628,#6625); +#6623=PRODUCT_DEFINITION('','',#6629,#6626); +#6624=PRODUCT_DEFINITION('','',#6630,#6627); +#6625=PRODUCT_DEFINITION_CONTEXT('',#6644,'design'); +#6626=PRODUCT_DEFINITION_CONTEXT('',#6644,'design'); +#6627=PRODUCT_DEFINITION_CONTEXT('',#6644,'design'); +#6628=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#6634, + .NOT_KNOWN.); +#6629=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#6635, + .NOT_KNOWN.); +#6630=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#6636, + .NOT_KNOWN.); +#6631=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#6634)); +#6632=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#6635)); +#6633=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#6636)); +#6634=PRODUCT('TSM-106-01-L-SH','TSM-106-01-L-SH','TSM-106-01-L-SH',(#6640)); +#6635=PRODUCT('T-1S6-08(-01-6-SH)','T-1S6-08(-01-6-SH)', +'T-1S6-08(-01-6-SH)',(#6641)); +#6636=PRODUCT('TSM-106-01-SH_body','TSM-106-01-SH_body', +'TSM-106-01-SH_body',(#6642)); +#6637=PRODUCT_CATEGORY('',''); +#6638=PRODUCT_CATEGORY('',''); +#6639=PRODUCT_CATEGORY('',''); +#6640=PRODUCT_CONTEXT('',#6644,'mechanical'); +#6641=PRODUCT_CONTEXT('',#6644,'mechanical'); +#6642=PRODUCT_CONTEXT('',#6644,'mechanical'); +#6643=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2010,#6644); +#6644=APPLICATION_CONTEXT( +'core data for automotive mechanical design processes'); +ENDSEC; +END-ISO-10303-21; diff --git a/nubus-to-ztex/TSM-106-01-T-SH-A.lib b/nubus-to-ztex/TSM-106-01-T-SH-A.lib new file mode 100644 index 0000000..39c0e2c --- /dev/null +++ b/nubus-to-ztex/TSM-106-01-T-SH-A.lib @@ -0,0 +1,27 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +#(c) SnapEDA 2016 (snapeda.com) +#This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License (CC BY-SA) with Design Exception 1.0 +# +# TSM-106-01-T-SH-A +# +DEF TSM-106-01-T-SH-A J 0 40 Y Y 1 L N +F0 "J" -320 400 50 H V L BNN +F1 "TSM-106-01-T-SH-A" -300 -400 50 H V L BNN +F2 "SAMTEC_TSM-106-01-T-SH-A" 0 0 50 H I L BNN +F3 "" 0 0 50 H I L BNN +F4 "R" 0 0 50 H I L BNN "PARTREV" +F5 "Manufacturer Recommendations" 0 0 50 H I L BNN "STANDARD" +F6 "Samtec" 0 0 50 H I L BNN "MANUFACTURER" +DRAW +S -300 -300 300 400 0 0 10 f +X 01 01 -500 300 200 R 40 40 0 0 P +X 02 02 -500 200 200 R 40 40 0 0 P +X 03 03 -500 100 200 R 40 40 0 0 P +X 04 04 -500 0 200 R 40 40 0 0 P +X 05 05 -500 -100 200 R 40 40 0 0 P +X 06 06 -500 -200 200 R 40 40 0 0 P +ENDDRAW +ENDDEF +# +# End Library \ No newline at end of file diff --git a/nubus-to-ztex/TSM-106-01-T-SH-A.step b/nubus-to-ztex/TSM-106-01-T-SH-A.step new file mode 100644 index 0000000..c86decd --- /dev/null +++ b/nubus-to-ztex/TSM-106-01-T-SH-A.step @@ -0,0 +1,6873 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ +/* OPTION: using custom renumber hook */ + +FILE_DESCRIPTION( +/* description */ ('STEP AP214'), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ 'TSM-106-01-T-SH-A', +/* time_stamp */ '2022-11-13T09:57:14+01:00', +/* author */ ('License CC BY-ND 4.0'), +/* organization */ ('CADENAS'), +/* preprocessor_version */ 'ST-DEVELOPER v18.102', +/* originating_system */ 'PARTsolutions', +/* authorisation */ ' '); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN {1 0 10303 214 3 1 1}')); +ENDSEC; + +DATA; +#10=PROPERTY_DEFINITION_REPRESENTATION(#14,#12); +#11=PROPERTY_DEFINITION_REPRESENTATION(#15,#13); +#12=REPRESENTATION('',(#16),#6725); +#13=REPRESENTATION('',(#17),#6725); +#14=PROPERTY_DEFINITION('pmi validation property','',#6737); +#15=PROPERTY_DEFINITION('pmi validation property','',#6737); +#16=VALUE_REPRESENTATION_ITEM('number of annotations',COUNT_MEASURE(0.)); +#17=VALUE_REPRESENTATION_ITEM('number of views',COUNT_MEASURE(0.)); +#18=CONICAL_SURFACE('',#4460,0.031,0.291456794477868); +#19=CONICAL_SURFACE('',#4465,0.031,0.291456794477868); +#20=COLOUR_RGB('',0.5,0.5,0.5); +#21=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#25,#6739); +#22=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#26,#6741); +#23=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO1','T-1S6-08(-01-6-SH)', +'T-1S6-08(-01-6-SH)',#6742,#6743,''); +#24=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO2','TSM-106-01-SH-A_body', +'TSM-106-01-SH-A_body',#6742,#6744,''); +#25=( +REPRESENTATION_RELATIONSHIP(' ',' ',#4192,#4191) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#27) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#26=( +REPRESENTATION_RELATIONSHIP(' ',' ',#4193,#4191) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#28) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#27=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4194,#4385); +#28=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4194,#4468); +#29=SHAPE_REPRESENTATION_RELATIONSHIP('','',#4192,#31); +#30=SHAPE_REPRESENTATION_RELATIONSHIP('','',#4193,#32); +#31=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4186),#6726); +#32=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4187),#6727); +#33=CIRCLE('',#4204,0.025); +#34=CIRCLE('',#4205,0.025); +#35=CIRCLE('',#4207,0.025); +#36=CIRCLE('',#4208,0.025); +#37=CIRCLE('',#4210,0.025); +#38=CIRCLE('',#4211,0.025); +#39=CIRCLE('',#4213,0.025); +#40=CIRCLE('',#4214,0.025); +#41=CIRCLE('',#4220,0.0500000000000001); +#42=CIRCLE('',#4221,0.0500000000000001); +#43=CIRCLE('',#4223,0.0500000000000001); +#44=CIRCLE('',#4224,0.0500000000000001); +#45=CIRCLE('',#4226,0.0500000000000001); +#46=CIRCLE('',#4227,0.0500000000000001); +#47=CIRCLE('',#4229,0.0500000000000001); +#48=CIRCLE('',#4230,0.0500000000000001); +#49=CIRCLE('',#4232,0.025); +#50=CIRCLE('',#4233,0.025); +#51=CIRCLE('',#4235,0.025); +#52=CIRCLE('',#4236,0.025); +#53=CIRCLE('',#4238,0.025); +#54=CIRCLE('',#4239,0.025); +#55=CIRCLE('',#4241,0.025); +#56=CIRCLE('',#4242,0.025); +#57=CIRCLE('',#4248,0.0500000000000001); +#58=CIRCLE('',#4249,0.0500000000000001); +#59=CIRCLE('',#4251,0.0500000000000001); +#60=CIRCLE('',#4252,0.0500000000000001); +#61=CIRCLE('',#4254,0.0500000000000001); +#62=CIRCLE('',#4255,0.0500000000000001); +#63=CIRCLE('',#4257,0.0500000000000001); +#64=CIRCLE('',#4258,0.0500000000000001); +#65=CIRCLE('',#4262,0.025); +#66=CIRCLE('',#4263,0.025); +#67=CIRCLE('',#4266,0.0500000000000001); +#68=CIRCLE('',#4267,0.0500000000000001); +#69=CIRCLE('',#4269,0.025); +#70=CIRCLE('',#4270,0.025); +#71=CIRCLE('',#4273,0.0500000000000001); +#72=CIRCLE('',#4274,0.0500000000000001); +#73=CIRCLE('',#4278,0.025); +#74=CIRCLE('',#4279,0.025); +#75=CIRCLE('',#4282,0.0500000000000001); +#76=CIRCLE('',#4283,0.0500000000000001); +#77=CIRCLE('',#4287,0.025); +#78=CIRCLE('',#4288,0.025); +#79=CIRCLE('',#4291,0.0500000000000001); +#80=CIRCLE('',#4292,0.0500000000000001); +#81=CIRCLE('',#4387,0.031); +#82=CIRCLE('',#4388,0.031); +#83=CIRCLE('',#4459,0.031); +#84=CIRCLE('',#4461,0.0235); +#85=CIRCLE('',#4464,0.031); +#86=CIRCLE('',#4466,0.0235); +#87=CYLINDRICAL_SURFACE('',#4203,0.025); +#88=CYLINDRICAL_SURFACE('',#4206,0.025); +#89=CYLINDRICAL_SURFACE('',#4209,0.025); +#90=CYLINDRICAL_SURFACE('',#4212,0.025); +#91=CYLINDRICAL_SURFACE('',#4219,0.0500000000000001); +#92=CYLINDRICAL_SURFACE('',#4222,0.0500000000000001); +#93=CYLINDRICAL_SURFACE('',#4225,0.0500000000000001); +#94=CYLINDRICAL_SURFACE('',#4228,0.0500000000000001); +#95=CYLINDRICAL_SURFACE('',#4231,0.025); +#96=CYLINDRICAL_SURFACE('',#4234,0.025); +#97=CYLINDRICAL_SURFACE('',#4237,0.025); +#98=CYLINDRICAL_SURFACE('',#4240,0.025); +#99=CYLINDRICAL_SURFACE('',#4247,0.0500000000000001); +#100=CYLINDRICAL_SURFACE('',#4250,0.0500000000000001); +#101=CYLINDRICAL_SURFACE('',#4253,0.0500000000000001); +#102=CYLINDRICAL_SURFACE('',#4256,0.0500000000000001); +#103=CYLINDRICAL_SURFACE('',#4261,0.025); +#104=CYLINDRICAL_SURFACE('',#4265,0.0500000000000001); +#105=CYLINDRICAL_SURFACE('',#4268,0.025); +#106=CYLINDRICAL_SURFACE('',#4272,0.0500000000000001); +#107=CYLINDRICAL_SURFACE('',#4277,0.025); +#108=CYLINDRICAL_SURFACE('',#4281,0.0500000000000001); +#109=CYLINDRICAL_SURFACE('',#4286,0.025); +#110=CYLINDRICAL_SURFACE('',#4290,0.0500000000000001); +#111=CYLINDRICAL_SURFACE('',#4458,0.031); +#112=CYLINDRICAL_SURFACE('',#4463,0.031); +#113=ORIENTED_EDGE('',*,*,#1277,.F.); +#114=ORIENTED_EDGE('',*,*,#1278,.F.); +#115=ORIENTED_EDGE('',*,*,#1279,.T.); +#116=ORIENTED_EDGE('',*,*,#1280,.F.); +#117=ORIENTED_EDGE('',*,*,#1281,.T.); +#118=ORIENTED_EDGE('',*,*,#1282,.F.); +#119=ORIENTED_EDGE('',*,*,#1283,.F.); +#120=ORIENTED_EDGE('',*,*,#1284,.F.); +#121=ORIENTED_EDGE('',*,*,#1285,.T.); +#122=ORIENTED_EDGE('',*,*,#1286,.F.); +#123=ORIENTED_EDGE('',*,*,#1287,.F.); +#124=ORIENTED_EDGE('',*,*,#1288,.F.); +#125=ORIENTED_EDGE('',*,*,#1289,.T.); +#126=ORIENTED_EDGE('',*,*,#1290,.F.); +#127=ORIENTED_EDGE('',*,*,#1291,.F.); +#128=ORIENTED_EDGE('',*,*,#1292,.F.); +#129=ORIENTED_EDGE('',*,*,#1293,.F.); +#130=ORIENTED_EDGE('',*,*,#1294,.T.); +#131=ORIENTED_EDGE('',*,*,#1295,.T.); +#132=ORIENTED_EDGE('',*,*,#1296,.T.); +#133=ORIENTED_EDGE('',*,*,#1297,.T.); +#134=ORIENTED_EDGE('',*,*,#1298,.T.); +#135=ORIENTED_EDGE('',*,*,#1299,.F.); +#136=ORIENTED_EDGE('',*,*,#1300,.T.); +#137=ORIENTED_EDGE('',*,*,#1301,.T.); +#138=ORIENTED_EDGE('',*,*,#1302,.T.); +#139=ORIENTED_EDGE('',*,*,#1303,.F.); +#140=ORIENTED_EDGE('',*,*,#1304,.T.); +#141=ORIENTED_EDGE('',*,*,#1305,.T.); +#142=ORIENTED_EDGE('',*,*,#1306,.T.); +#143=ORIENTED_EDGE('',*,*,#1307,.F.); +#144=ORIENTED_EDGE('',*,*,#1308,.T.); +#145=ORIENTED_EDGE('',*,*,#1309,.F.); +#146=ORIENTED_EDGE('',*,*,#1293,.T.); +#147=ORIENTED_EDGE('',*,*,#1310,.F.); +#148=ORIENTED_EDGE('',*,*,#1311,.F.); +#149=ORIENTED_EDGE('',*,*,#1312,.F.); +#150=ORIENTED_EDGE('',*,*,#1313,.F.); +#151=ORIENTED_EDGE('',*,*,#1314,.F.); +#152=ORIENTED_EDGE('',*,*,#1299,.T.); +#153=ORIENTED_EDGE('',*,*,#1315,.F.); +#154=ORIENTED_EDGE('',*,*,#1316,.F.); +#155=ORIENTED_EDGE('',*,*,#1317,.F.); +#156=ORIENTED_EDGE('',*,*,#1303,.T.); +#157=ORIENTED_EDGE('',*,*,#1318,.F.); +#158=ORIENTED_EDGE('',*,*,#1319,.F.); +#159=ORIENTED_EDGE('',*,*,#1320,.F.); +#160=ORIENTED_EDGE('',*,*,#1307,.T.); +#161=ORIENTED_EDGE('',*,*,#1321,.F.); +#162=ORIENTED_EDGE('',*,*,#1322,.T.); +#163=ORIENTED_EDGE('',*,*,#1311,.T.); +#164=ORIENTED_EDGE('',*,*,#1323,.T.); +#165=ORIENTED_EDGE('',*,*,#1313,.T.); +#166=ORIENTED_EDGE('',*,*,#1324,.T.); +#167=ORIENTED_EDGE('',*,*,#1325,.F.); +#168=ORIENTED_EDGE('',*,*,#1326,.T.); +#169=ORIENTED_EDGE('',*,*,#1316,.T.); +#170=ORIENTED_EDGE('',*,*,#1327,.T.); +#171=ORIENTED_EDGE('',*,*,#1328,.F.); +#172=ORIENTED_EDGE('',*,*,#1329,.T.); +#173=ORIENTED_EDGE('',*,*,#1319,.T.); +#174=ORIENTED_EDGE('',*,*,#1330,.T.); +#175=ORIENTED_EDGE('',*,*,#1331,.F.); +#176=ORIENTED_EDGE('',*,*,#1332,.T.); +#177=ORIENTED_EDGE('',*,*,#1333,.F.); +#178=ORIENTED_EDGE('',*,*,#1334,.T.); +#179=ORIENTED_EDGE('',*,*,#1321,.T.); +#180=ORIENTED_EDGE('',*,*,#1335,.T.); +#181=ORIENTED_EDGE('',*,*,#1325,.T.); +#182=ORIENTED_EDGE('',*,*,#1336,.T.); +#183=ORIENTED_EDGE('',*,*,#1337,.F.); +#184=ORIENTED_EDGE('',*,*,#1338,.T.); +#185=ORIENTED_EDGE('',*,*,#1328,.T.); +#186=ORIENTED_EDGE('',*,*,#1339,.T.); +#187=ORIENTED_EDGE('',*,*,#1340,.F.); +#188=ORIENTED_EDGE('',*,*,#1341,.T.); +#189=ORIENTED_EDGE('',*,*,#1331,.T.); +#190=ORIENTED_EDGE('',*,*,#1342,.T.); +#191=ORIENTED_EDGE('',*,*,#1343,.F.); +#192=ORIENTED_EDGE('',*,*,#1344,.T.); +#193=ORIENTED_EDGE('',*,*,#1345,.F.); +#194=ORIENTED_EDGE('',*,*,#1346,.T.); +#195=ORIENTED_EDGE('',*,*,#1347,.F.); +#196=ORIENTED_EDGE('',*,*,#1348,.F.); +#197=ORIENTED_EDGE('',*,*,#1349,.F.); +#198=ORIENTED_EDGE('',*,*,#1350,.F.); +#199=ORIENTED_EDGE('',*,*,#1351,.F.); +#200=ORIENTED_EDGE('',*,*,#1352,.T.); +#201=ORIENTED_EDGE('',*,*,#1353,.F.); +#202=ORIENTED_EDGE('',*,*,#1354,.F.); +#203=ORIENTED_EDGE('',*,*,#1355,.F.); +#204=ORIENTED_EDGE('',*,*,#1356,.T.); +#205=ORIENTED_EDGE('',*,*,#1357,.F.); +#206=ORIENTED_EDGE('',*,*,#1358,.F.); +#207=ORIENTED_EDGE('',*,*,#1359,.F.); +#208=ORIENTED_EDGE('',*,*,#1360,.T.); +#209=ORIENTED_EDGE('',*,*,#1361,.F.); +#210=ORIENTED_EDGE('',*,*,#1362,.T.); +#211=ORIENTED_EDGE('',*,*,#1348,.T.); +#212=ORIENTED_EDGE('',*,*,#1363,.T.); +#213=ORIENTED_EDGE('',*,*,#1350,.T.); +#214=ORIENTED_EDGE('',*,*,#1364,.T.); +#215=ORIENTED_EDGE('',*,*,#1365,.F.); +#216=ORIENTED_EDGE('',*,*,#1366,.T.); +#217=ORIENTED_EDGE('',*,*,#1354,.T.); +#218=ORIENTED_EDGE('',*,*,#1367,.T.); +#219=ORIENTED_EDGE('',*,*,#1368,.F.); +#220=ORIENTED_EDGE('',*,*,#1369,.T.); +#221=ORIENTED_EDGE('',*,*,#1358,.T.); +#222=ORIENTED_EDGE('',*,*,#1370,.T.); +#223=ORIENTED_EDGE('',*,*,#1371,.F.); +#224=ORIENTED_EDGE('',*,*,#1372,.T.); +#225=ORIENTED_EDGE('',*,*,#1279,.F.); +#226=ORIENTED_EDGE('',*,*,#1373,.T.); +#227=ORIENTED_EDGE('',*,*,#1361,.T.); +#228=ORIENTED_EDGE('',*,*,#1374,.T.); +#229=ORIENTED_EDGE('',*,*,#1365,.T.); +#230=ORIENTED_EDGE('',*,*,#1375,.T.); +#231=ORIENTED_EDGE('',*,*,#1281,.F.); +#232=ORIENTED_EDGE('',*,*,#1376,.T.); +#233=ORIENTED_EDGE('',*,*,#1368,.T.); +#234=ORIENTED_EDGE('',*,*,#1377,.T.); +#235=ORIENTED_EDGE('',*,*,#1285,.F.); +#236=ORIENTED_EDGE('',*,*,#1378,.T.); +#237=ORIENTED_EDGE('',*,*,#1371,.T.); +#238=ORIENTED_EDGE('',*,*,#1379,.T.); +#239=ORIENTED_EDGE('',*,*,#1289,.F.); +#240=ORIENTED_EDGE('',*,*,#1380,.T.); +#241=ORIENTED_EDGE('',*,*,#1381,.F.); +#242=ORIENTED_EDGE('',*,*,#1382,.F.); +#243=ORIENTED_EDGE('',*,*,#1383,.T.); +#244=ORIENTED_EDGE('',*,*,#1384,.T.); +#245=ORIENTED_EDGE('',*,*,#1385,.F.); +#246=ORIENTED_EDGE('',*,*,#1386,.T.); +#247=ORIENTED_EDGE('',*,*,#1387,.T.); +#248=ORIENTED_EDGE('',*,*,#1388,.T.); +#249=ORIENTED_EDGE('',*,*,#1389,.F.); +#250=ORIENTED_EDGE('',*,*,#1385,.T.); +#251=ORIENTED_EDGE('',*,*,#1390,.F.); +#252=ORIENTED_EDGE('',*,*,#1391,.F.); +#253=ORIENTED_EDGE('',*,*,#1392,.F.); +#254=ORIENTED_EDGE('',*,*,#1393,.T.); +#255=ORIENTED_EDGE('',*,*,#1391,.T.); +#256=ORIENTED_EDGE('',*,*,#1394,.T.); +#257=ORIENTED_EDGE('',*,*,#1395,.F.); +#258=ORIENTED_EDGE('',*,*,#1396,.T.); +#259=ORIENTED_EDGE('',*,*,#1392,.T.); +#260=ORIENTED_EDGE('',*,*,#1397,.T.); +#261=ORIENTED_EDGE('',*,*,#1398,.F.); +#262=ORIENTED_EDGE('',*,*,#1399,.T.); +#263=ORIENTED_EDGE('',*,*,#1400,.F.); +#264=ORIENTED_EDGE('',*,*,#1401,.F.); +#265=ORIENTED_EDGE('',*,*,#1402,.F.); +#266=ORIENTED_EDGE('',*,*,#1403,.T.); +#267=ORIENTED_EDGE('',*,*,#1401,.T.); +#268=ORIENTED_EDGE('',*,*,#1404,.T.); +#269=ORIENTED_EDGE('',*,*,#1383,.F.); +#270=ORIENTED_EDGE('',*,*,#1405,.T.); +#271=ORIENTED_EDGE('',*,*,#1402,.T.); +#272=ORIENTED_EDGE('',*,*,#1406,.T.); +#273=ORIENTED_EDGE('',*,*,#1407,.T.); +#274=ORIENTED_EDGE('',*,*,#1408,.F.); +#275=ORIENTED_EDGE('',*,*,#1409,.F.); +#276=ORIENTED_EDGE('',*,*,#1410,.F.); +#277=ORIENTED_EDGE('',*,*,#1411,.T.); +#278=ORIENTED_EDGE('',*,*,#1412,.T.); +#279=ORIENTED_EDGE('',*,*,#1413,.F.); +#280=ORIENTED_EDGE('',*,*,#1414,.F.); +#281=ORIENTED_EDGE('',*,*,#1415,.F.); +#282=ORIENTED_EDGE('',*,*,#1416,.F.); +#283=ORIENTED_EDGE('',*,*,#1417,.T.); +#284=ORIENTED_EDGE('',*,*,#1413,.T.); +#285=ORIENTED_EDGE('',*,*,#1416,.T.); +#286=ORIENTED_EDGE('',*,*,#1418,.T.); +#287=ORIENTED_EDGE('',*,*,#1419,.F.); +#288=ORIENTED_EDGE('',*,*,#1420,.F.); +#289=ORIENTED_EDGE('',*,*,#1419,.T.); +#290=ORIENTED_EDGE('',*,*,#1421,.T.); +#291=ORIENTED_EDGE('',*,*,#1422,.F.); +#292=ORIENTED_EDGE('',*,*,#1423,.F.); +#293=ORIENTED_EDGE('',*,*,#1424,.T.); +#294=ORIENTED_EDGE('',*,*,#1425,.T.); +#295=ORIENTED_EDGE('',*,*,#1333,.T.); +#296=ORIENTED_EDGE('',*,*,#1426,.T.); +#297=ORIENTED_EDGE('',*,*,#1427,.T.); +#298=ORIENTED_EDGE('',*,*,#1428,.T.); +#299=ORIENTED_EDGE('',*,*,#1395,.T.); +#300=ORIENTED_EDGE('',*,*,#1429,.T.); +#301=ORIENTED_EDGE('',*,*,#1430,.F.); +#302=ORIENTED_EDGE('',*,*,#1431,.T.); +#303=ORIENTED_EDGE('',*,*,#1432,.T.); +#304=ORIENTED_EDGE('',*,*,#1433,.T.); +#305=ORIENTED_EDGE('',*,*,#1434,.F.); +#306=ORIENTED_EDGE('',*,*,#1435,.T.); +#307=ORIENTED_EDGE('',*,*,#1436,.T.); +#308=ORIENTED_EDGE('',*,*,#1437,.T.); +#309=ORIENTED_EDGE('',*,*,#1438,.F.); +#310=ORIENTED_EDGE('',*,*,#1439,.T.); +#311=ORIENTED_EDGE('',*,*,#1440,.T.); +#312=ORIENTED_EDGE('',*,*,#1441,.T.); +#313=ORIENTED_EDGE('',*,*,#1442,.F.); +#314=ORIENTED_EDGE('',*,*,#1443,.T.); +#315=ORIENTED_EDGE('',*,*,#1444,.T.); +#316=ORIENTED_EDGE('',*,*,#1445,.T.); +#317=ORIENTED_EDGE('',*,*,#1446,.F.); +#318=ORIENTED_EDGE('',*,*,#1447,.T.); +#319=ORIENTED_EDGE('',*,*,#1448,.T.); +#320=ORIENTED_EDGE('',*,*,#1449,.T.); +#321=ORIENTED_EDGE('',*,*,#1450,.F.); +#322=ORIENTED_EDGE('',*,*,#1451,.F.); +#323=ORIENTED_EDGE('',*,*,#1422,.T.); +#324=ORIENTED_EDGE('',*,*,#1452,.T.); +#325=ORIENTED_EDGE('',*,*,#1453,.T.); +#326=ORIENTED_EDGE('',*,*,#1454,.T.); +#327=ORIENTED_EDGE('',*,*,#1343,.T.); +#328=ORIENTED_EDGE('',*,*,#1455,.T.); +#329=ORIENTED_EDGE('',*,*,#1456,.T.); +#330=ORIENTED_EDGE('',*,*,#1457,.T.); +#331=ORIENTED_EDGE('',*,*,#1340,.T.); +#332=ORIENTED_EDGE('',*,*,#1458,.T.); +#333=ORIENTED_EDGE('',*,*,#1459,.T.); +#334=ORIENTED_EDGE('',*,*,#1460,.T.); +#335=ORIENTED_EDGE('',*,*,#1337,.T.); +#336=ORIENTED_EDGE('',*,*,#1461,.T.); +#337=ORIENTED_EDGE('',*,*,#1346,.F.); +#338=ORIENTED_EDGE('',*,*,#1462,.T.); +#339=ORIENTED_EDGE('',*,*,#1463,.T.); +#340=ORIENTED_EDGE('',*,*,#1464,.T.); +#341=ORIENTED_EDGE('',*,*,#1352,.F.); +#342=ORIENTED_EDGE('',*,*,#1465,.T.); +#343=ORIENTED_EDGE('',*,*,#1466,.T.); +#344=ORIENTED_EDGE('',*,*,#1467,.T.); +#345=ORIENTED_EDGE('',*,*,#1356,.F.); +#346=ORIENTED_EDGE('',*,*,#1468,.T.); +#347=ORIENTED_EDGE('',*,*,#1469,.T.); +#348=ORIENTED_EDGE('',*,*,#1470,.T.); +#349=ORIENTED_EDGE('',*,*,#1360,.F.); +#350=ORIENTED_EDGE('',*,*,#1471,.T.); +#351=ORIENTED_EDGE('',*,*,#1472,.T.); +#352=ORIENTED_EDGE('',*,*,#1473,.T.); +#353=ORIENTED_EDGE('',*,*,#1474,.F.); +#354=ORIENTED_EDGE('',*,*,#1475,.F.); +#355=ORIENTED_EDGE('',*,*,#1476,.T.); +#356=ORIENTED_EDGE('',*,*,#1477,.T.); +#357=ORIENTED_EDGE('',*,*,#1478,.T.); +#358=ORIENTED_EDGE('',*,*,#1479,.T.); +#359=ORIENTED_EDGE('',*,*,#1480,.T.); +#360=ORIENTED_EDGE('',*,*,#1481,.T.); +#361=ORIENTED_EDGE('',*,*,#1482,.T.); +#362=ORIENTED_EDGE('',*,*,#1483,.T.); +#363=ORIENTED_EDGE('',*,*,#1484,.T.); +#364=ORIENTED_EDGE('',*,*,#1485,.T.); +#365=ORIENTED_EDGE('',*,*,#1486,.T.); +#366=ORIENTED_EDGE('',*,*,#1487,.T.); +#367=ORIENTED_EDGE('',*,*,#1488,.T.); +#368=ORIENTED_EDGE('',*,*,#1489,.T.); +#369=ORIENTED_EDGE('',*,*,#1490,.T.); +#370=ORIENTED_EDGE('',*,*,#1491,.T.); +#371=ORIENTED_EDGE('',*,*,#1492,.T.); +#372=ORIENTED_EDGE('',*,*,#1493,.T.); +#373=ORIENTED_EDGE('',*,*,#1494,.T.); +#374=ORIENTED_EDGE('',*,*,#1495,.T.); +#375=ORIENTED_EDGE('',*,*,#1496,.T.); +#376=ORIENTED_EDGE('',*,*,#1497,.T.); +#377=ORIENTED_EDGE('',*,*,#1399,.F.); +#378=ORIENTED_EDGE('',*,*,#1498,.T.); +#379=ORIENTED_EDGE('',*,*,#1499,.T.); +#380=ORIENTED_EDGE('',*,*,#1500,.T.); +#381=ORIENTED_EDGE('',*,*,#1501,.F.); +#382=ORIENTED_EDGE('',*,*,#1502,.F.); +#383=ORIENTED_EDGE('',*,*,#1503,.T.); +#384=ORIENTED_EDGE('',*,*,#1474,.T.); +#385=ORIENTED_EDGE('',*,*,#1502,.T.); +#386=ORIENTED_EDGE('',*,*,#1504,.T.); +#387=ORIENTED_EDGE('',*,*,#1505,.F.); +#388=ORIENTED_EDGE('',*,*,#1506,.F.); +#389=ORIENTED_EDGE('',*,*,#1505,.T.); +#390=ORIENTED_EDGE('',*,*,#1507,.T.); +#391=ORIENTED_EDGE('',*,*,#1407,.F.); +#392=ORIENTED_EDGE('',*,*,#1508,.F.); +#393=ORIENTED_EDGE('',*,*,#1475,.T.); +#394=ORIENTED_EDGE('',*,*,#1503,.F.); +#395=ORIENTED_EDGE('',*,*,#1506,.T.); +#396=ORIENTED_EDGE('',*,*,#1508,.T.); +#397=ORIENTED_EDGE('',*,*,#1410,.T.); +#398=ORIENTED_EDGE('',*,*,#1509,.T.); +#399=ORIENTED_EDGE('',*,*,#1414,.T.); +#400=ORIENTED_EDGE('',*,*,#1417,.F.); +#401=ORIENTED_EDGE('',*,*,#1420,.T.); +#402=ORIENTED_EDGE('',*,*,#1423,.T.); +#403=ORIENTED_EDGE('',*,*,#1451,.T.); +#404=ORIENTED_EDGE('',*,*,#1510,.T.); +#405=ORIENTED_EDGE('',*,*,#1384,.F.); +#406=ORIENTED_EDGE('',*,*,#1406,.F.); +#407=ORIENTED_EDGE('',*,*,#1404,.F.); +#408=ORIENTED_EDGE('',*,*,#1400,.T.); +#409=ORIENTED_EDGE('',*,*,#1497,.F.); +#410=ORIENTED_EDGE('',*,*,#1511,.F.); +#411=ORIENTED_EDGE('',*,*,#1429,.F.); +#412=ORIENTED_EDGE('',*,*,#1397,.F.); +#413=ORIENTED_EDGE('',*,*,#1394,.F.); +#414=ORIENTED_EDGE('',*,*,#1390,.T.); +#415=ORIENTED_EDGE('',*,*,#1388,.F.); +#416=ORIENTED_EDGE('',*,*,#1512,.F.); +#417=ORIENTED_EDGE('',*,*,#1513,.F.); +#418=ORIENTED_EDGE('',*,*,#1495,.F.); +#419=ORIENTED_EDGE('',*,*,#1514,.F.); +#420=ORIENTED_EDGE('',*,*,#1431,.F.); +#421=ORIENTED_EDGE('',*,*,#1514,.T.); +#422=ORIENTED_EDGE('',*,*,#1494,.F.); +#423=ORIENTED_EDGE('',*,*,#1515,.F.); +#424=ORIENTED_EDGE('',*,*,#1432,.F.); +#425=ORIENTED_EDGE('',*,*,#1515,.T.); +#426=ORIENTED_EDGE('',*,*,#1493,.F.); +#427=ORIENTED_EDGE('',*,*,#1516,.F.); +#428=ORIENTED_EDGE('',*,*,#1433,.F.); +#429=ORIENTED_EDGE('',*,*,#1517,.F.); +#430=ORIENTED_EDGE('',*,*,#1479,.F.); +#431=ORIENTED_EDGE('',*,*,#1518,.F.); +#432=ORIENTED_EDGE('',*,*,#1447,.F.); +#433=ORIENTED_EDGE('',*,*,#1518,.T.); +#434=ORIENTED_EDGE('',*,*,#1478,.F.); +#435=ORIENTED_EDGE('',*,*,#1519,.F.); +#436=ORIENTED_EDGE('',*,*,#1448,.F.); +#437=ORIENTED_EDGE('',*,*,#1519,.T.); +#438=ORIENTED_EDGE('',*,*,#1477,.F.); +#439=ORIENTED_EDGE('',*,*,#1520,.F.); +#440=ORIENTED_EDGE('',*,*,#1449,.F.); +#441=ORIENTED_EDGE('',*,*,#1521,.F.); +#442=ORIENTED_EDGE('',*,*,#1483,.F.); +#443=ORIENTED_EDGE('',*,*,#1522,.F.); +#444=ORIENTED_EDGE('',*,*,#1443,.F.); +#445=ORIENTED_EDGE('',*,*,#1522,.T.); +#446=ORIENTED_EDGE('',*,*,#1482,.F.); +#447=ORIENTED_EDGE('',*,*,#1523,.F.); +#448=ORIENTED_EDGE('',*,*,#1444,.F.); +#449=ORIENTED_EDGE('',*,*,#1523,.T.); +#450=ORIENTED_EDGE('',*,*,#1481,.F.); +#451=ORIENTED_EDGE('',*,*,#1524,.F.); +#452=ORIENTED_EDGE('',*,*,#1445,.F.); +#453=ORIENTED_EDGE('',*,*,#1525,.F.); +#454=ORIENTED_EDGE('',*,*,#1487,.F.); +#455=ORIENTED_EDGE('',*,*,#1526,.F.); +#456=ORIENTED_EDGE('',*,*,#1439,.F.); +#457=ORIENTED_EDGE('',*,*,#1526,.T.); +#458=ORIENTED_EDGE('',*,*,#1486,.F.); +#459=ORIENTED_EDGE('',*,*,#1527,.F.); +#460=ORIENTED_EDGE('',*,*,#1440,.F.); +#461=ORIENTED_EDGE('',*,*,#1527,.T.); +#462=ORIENTED_EDGE('',*,*,#1485,.F.); +#463=ORIENTED_EDGE('',*,*,#1528,.F.); +#464=ORIENTED_EDGE('',*,*,#1441,.F.); +#465=ORIENTED_EDGE('',*,*,#1529,.F.); +#466=ORIENTED_EDGE('',*,*,#1491,.F.); +#467=ORIENTED_EDGE('',*,*,#1530,.F.); +#468=ORIENTED_EDGE('',*,*,#1435,.F.); +#469=ORIENTED_EDGE('',*,*,#1530,.T.); +#470=ORIENTED_EDGE('',*,*,#1490,.F.); +#471=ORIENTED_EDGE('',*,*,#1531,.F.); +#472=ORIENTED_EDGE('',*,*,#1436,.F.); +#473=ORIENTED_EDGE('',*,*,#1531,.T.); +#474=ORIENTED_EDGE('',*,*,#1489,.F.); +#475=ORIENTED_EDGE('',*,*,#1532,.F.); +#476=ORIENTED_EDGE('',*,*,#1437,.F.); +#477=ORIENTED_EDGE('',*,*,#1533,.T.); +#478=ORIENTED_EDGE('',*,*,#1499,.F.); +#479=ORIENTED_EDGE('',*,*,#1534,.F.); +#480=ORIENTED_EDGE('',*,*,#1427,.F.); +#481=ORIENTED_EDGE('',*,*,#1386,.F.); +#482=ORIENTED_EDGE('',*,*,#1389,.T.); +#483=ORIENTED_EDGE('',*,*,#1393,.F.); +#484=ORIENTED_EDGE('',*,*,#1396,.F.); +#485=ORIENTED_EDGE('',*,*,#1428,.F.); +#486=ORIENTED_EDGE('',*,*,#1534,.T.); +#487=ORIENTED_EDGE('',*,*,#1498,.F.); +#488=ORIENTED_EDGE('',*,*,#1398,.T.); +#489=ORIENTED_EDGE('',*,*,#1403,.F.); +#490=ORIENTED_EDGE('',*,*,#1405,.F.); +#491=ORIENTED_EDGE('',*,*,#1382,.T.); +#492=ORIENTED_EDGE('',*,*,#1535,.F.); +#493=ORIENTED_EDGE('',*,*,#1280,.T.); +#494=ORIENTED_EDGE('',*,*,#1374,.F.); +#495=ORIENTED_EDGE('',*,*,#1363,.F.); +#496=ORIENTED_EDGE('',*,*,#1347,.T.); +#497=ORIENTED_EDGE('',*,*,#1500,.F.); +#498=ORIENTED_EDGE('',*,*,#1533,.F.); +#499=ORIENTED_EDGE('',*,*,#1426,.F.); +#500=ORIENTED_EDGE('',*,*,#1335,.F.); +#501=ORIENTED_EDGE('',*,*,#1323,.F.); +#502=ORIENTED_EDGE('',*,*,#1310,.T.); +#503=ORIENTED_EDGE('',*,*,#1296,.F.); +#504=ORIENTED_EDGE('',*,*,#1536,.F.); +#505=ORIENTED_EDGE('',*,*,#1537,.T.); +#506=ORIENTED_EDGE('',*,*,#1472,.F.); +#507=ORIENTED_EDGE('',*,*,#1538,.F.); +#508=ORIENTED_EDGE('',*,*,#1453,.F.); +#509=ORIENTED_EDGE('',*,*,#1308,.F.); +#510=ORIENTED_EDGE('',*,*,#1320,.T.); +#511=ORIENTED_EDGE('',*,*,#1332,.F.); +#512=ORIENTED_EDGE('',*,*,#1344,.F.); +#513=ORIENTED_EDGE('',*,*,#1454,.F.); +#514=ORIENTED_EDGE('',*,*,#1538,.T.); +#515=ORIENTED_EDGE('',*,*,#1471,.F.); +#516=ORIENTED_EDGE('',*,*,#1359,.T.); +#517=ORIENTED_EDGE('',*,*,#1372,.F.); +#518=ORIENTED_EDGE('',*,*,#1380,.F.); +#519=ORIENTED_EDGE('',*,*,#1292,.T.); +#520=ORIENTED_EDGE('',*,*,#1539,.F.); +#521=ORIENTED_EDGE('',*,*,#1408,.T.); +#522=ORIENTED_EDGE('',*,*,#1507,.F.); +#523=ORIENTED_EDGE('',*,*,#1504,.F.); +#524=ORIENTED_EDGE('',*,*,#1501,.T.); +#525=ORIENTED_EDGE('',*,*,#1473,.F.); +#526=ORIENTED_EDGE('',*,*,#1537,.F.); +#527=ORIENTED_EDGE('',*,*,#1452,.F.); +#528=ORIENTED_EDGE('',*,*,#1421,.F.); +#529=ORIENTED_EDGE('',*,*,#1418,.F.); +#530=ORIENTED_EDGE('',*,*,#1415,.T.); +#531=ORIENTED_EDGE('',*,*,#1412,.F.); +#532=ORIENTED_EDGE('',*,*,#1540,.F.); +#533=ORIENTED_EDGE('',*,*,#1541,.T.); +#534=ORIENTED_EDGE('',*,*,#1469,.F.); +#535=ORIENTED_EDGE('',*,*,#1542,.F.); +#536=ORIENTED_EDGE('',*,*,#1456,.F.); +#537=ORIENTED_EDGE('',*,*,#1304,.F.); +#538=ORIENTED_EDGE('',*,*,#1317,.T.); +#539=ORIENTED_EDGE('',*,*,#1329,.F.); +#540=ORIENTED_EDGE('',*,*,#1341,.F.); +#541=ORIENTED_EDGE('',*,*,#1457,.F.); +#542=ORIENTED_EDGE('',*,*,#1542,.T.); +#543=ORIENTED_EDGE('',*,*,#1468,.F.); +#544=ORIENTED_EDGE('',*,*,#1355,.T.); +#545=ORIENTED_EDGE('',*,*,#1369,.F.); +#546=ORIENTED_EDGE('',*,*,#1378,.F.); +#547=ORIENTED_EDGE('',*,*,#1288,.T.); +#548=ORIENTED_EDGE('',*,*,#1543,.F.); +#549=ORIENTED_EDGE('',*,*,#1290,.T.); +#550=ORIENTED_EDGE('',*,*,#1379,.F.); +#551=ORIENTED_EDGE('',*,*,#1370,.F.); +#552=ORIENTED_EDGE('',*,*,#1357,.T.); +#553=ORIENTED_EDGE('',*,*,#1470,.F.); +#554=ORIENTED_EDGE('',*,*,#1541,.F.); +#555=ORIENTED_EDGE('',*,*,#1455,.F.); +#556=ORIENTED_EDGE('',*,*,#1342,.F.); +#557=ORIENTED_EDGE('',*,*,#1330,.F.); +#558=ORIENTED_EDGE('',*,*,#1318,.T.); +#559=ORIENTED_EDGE('',*,*,#1306,.F.); +#560=ORIENTED_EDGE('',*,*,#1544,.F.); +#561=ORIENTED_EDGE('',*,*,#1545,.T.); +#562=ORIENTED_EDGE('',*,*,#1466,.F.); +#563=ORIENTED_EDGE('',*,*,#1546,.F.); +#564=ORIENTED_EDGE('',*,*,#1459,.F.); +#565=ORIENTED_EDGE('',*,*,#1300,.F.); +#566=ORIENTED_EDGE('',*,*,#1314,.T.); +#567=ORIENTED_EDGE('',*,*,#1326,.F.); +#568=ORIENTED_EDGE('',*,*,#1338,.F.); +#569=ORIENTED_EDGE('',*,*,#1460,.F.); +#570=ORIENTED_EDGE('',*,*,#1546,.T.); +#571=ORIENTED_EDGE('',*,*,#1465,.F.); +#572=ORIENTED_EDGE('',*,*,#1351,.T.); +#573=ORIENTED_EDGE('',*,*,#1366,.F.); +#574=ORIENTED_EDGE('',*,*,#1376,.F.); +#575=ORIENTED_EDGE('',*,*,#1284,.T.); +#576=ORIENTED_EDGE('',*,*,#1547,.F.); +#577=ORIENTED_EDGE('',*,*,#1286,.T.); +#578=ORIENTED_EDGE('',*,*,#1377,.F.); +#579=ORIENTED_EDGE('',*,*,#1367,.F.); +#580=ORIENTED_EDGE('',*,*,#1353,.T.); +#581=ORIENTED_EDGE('',*,*,#1467,.F.); +#582=ORIENTED_EDGE('',*,*,#1545,.F.); +#583=ORIENTED_EDGE('',*,*,#1458,.F.); +#584=ORIENTED_EDGE('',*,*,#1339,.F.); +#585=ORIENTED_EDGE('',*,*,#1327,.F.); +#586=ORIENTED_EDGE('',*,*,#1315,.T.); +#587=ORIENTED_EDGE('',*,*,#1302,.F.); +#588=ORIENTED_EDGE('',*,*,#1548,.F.); +#589=ORIENTED_EDGE('',*,*,#1549,.T.); +#590=ORIENTED_EDGE('',*,*,#1463,.F.); +#591=ORIENTED_EDGE('',*,*,#1550,.F.); +#592=ORIENTED_EDGE('',*,*,#1424,.F.); +#593=ORIENTED_EDGE('',*,*,#1294,.F.); +#594=ORIENTED_EDGE('',*,*,#1309,.T.); +#595=ORIENTED_EDGE('',*,*,#1322,.F.); +#596=ORIENTED_EDGE('',*,*,#1334,.F.); +#597=ORIENTED_EDGE('',*,*,#1425,.F.); +#598=ORIENTED_EDGE('',*,*,#1550,.T.); +#599=ORIENTED_EDGE('',*,*,#1462,.F.); +#600=ORIENTED_EDGE('',*,*,#1345,.T.); +#601=ORIENTED_EDGE('',*,*,#1362,.F.); +#602=ORIENTED_EDGE('',*,*,#1373,.F.); +#603=ORIENTED_EDGE('',*,*,#1278,.T.); +#604=ORIENTED_EDGE('',*,*,#1551,.F.); +#605=ORIENTED_EDGE('',*,*,#1282,.T.); +#606=ORIENTED_EDGE('',*,*,#1375,.F.); +#607=ORIENTED_EDGE('',*,*,#1364,.F.); +#608=ORIENTED_EDGE('',*,*,#1349,.T.); +#609=ORIENTED_EDGE('',*,*,#1464,.F.); +#610=ORIENTED_EDGE('',*,*,#1549,.F.); +#611=ORIENTED_EDGE('',*,*,#1461,.F.); +#612=ORIENTED_EDGE('',*,*,#1336,.F.); +#613=ORIENTED_EDGE('',*,*,#1324,.F.); +#614=ORIENTED_EDGE('',*,*,#1312,.T.); +#615=ORIENTED_EDGE('',*,*,#1298,.F.); +#616=ORIENTED_EDGE('',*,*,#1552,.F.); +#617=ORIENTED_EDGE('',*,*,#1511,.T.); +#618=ORIENTED_EDGE('',*,*,#1553,.F.); +#619=ORIENTED_EDGE('',*,*,#1554,.F.); +#620=ORIENTED_EDGE('',*,*,#1555,.T.); +#621=ORIENTED_EDGE('',*,*,#1496,.F.); +#622=ORIENTED_EDGE('',*,*,#1556,.F.); +#623=ORIENTED_EDGE('',*,*,#1557,.F.); +#624=ORIENTED_EDGE('',*,*,#1553,.T.); +#625=ORIENTED_EDGE('',*,*,#1513,.T.); +#626=ORIENTED_EDGE('',*,*,#1558,.F.); +#627=ORIENTED_EDGE('',*,*,#1559,.F.); +#628=ORIENTED_EDGE('',*,*,#1556,.T.); +#629=ORIENTED_EDGE('',*,*,#1430,.T.); +#630=ORIENTED_EDGE('',*,*,#1555,.F.); +#631=ORIENTED_EDGE('',*,*,#1560,.F.); +#632=ORIENTED_EDGE('',*,*,#1558,.T.); +#633=ORIENTED_EDGE('',*,*,#1554,.T.); +#634=ORIENTED_EDGE('',*,*,#1557,.T.); +#635=ORIENTED_EDGE('',*,*,#1559,.T.); +#636=ORIENTED_EDGE('',*,*,#1560,.T.); +#637=ORIENTED_EDGE('',*,*,#1516,.T.); +#638=ORIENTED_EDGE('',*,*,#1561,.F.); +#639=ORIENTED_EDGE('',*,*,#1562,.F.); +#640=ORIENTED_EDGE('',*,*,#1563,.T.); +#641=ORIENTED_EDGE('',*,*,#1492,.F.); +#642=ORIENTED_EDGE('',*,*,#1564,.F.); +#643=ORIENTED_EDGE('',*,*,#1565,.F.); +#644=ORIENTED_EDGE('',*,*,#1561,.T.); +#645=ORIENTED_EDGE('',*,*,#1529,.T.); +#646=ORIENTED_EDGE('',*,*,#1566,.F.); +#647=ORIENTED_EDGE('',*,*,#1567,.F.); +#648=ORIENTED_EDGE('',*,*,#1564,.T.); +#649=ORIENTED_EDGE('',*,*,#1434,.T.); +#650=ORIENTED_EDGE('',*,*,#1563,.F.); +#651=ORIENTED_EDGE('',*,*,#1568,.F.); +#652=ORIENTED_EDGE('',*,*,#1566,.T.); +#653=ORIENTED_EDGE('',*,*,#1562,.T.); +#654=ORIENTED_EDGE('',*,*,#1565,.T.); +#655=ORIENTED_EDGE('',*,*,#1567,.T.); +#656=ORIENTED_EDGE('',*,*,#1568,.T.); +#657=ORIENTED_EDGE('',*,*,#1532,.T.); +#658=ORIENTED_EDGE('',*,*,#1569,.F.); +#659=ORIENTED_EDGE('',*,*,#1570,.F.); +#660=ORIENTED_EDGE('',*,*,#1571,.T.); +#661=ORIENTED_EDGE('',*,*,#1488,.F.); +#662=ORIENTED_EDGE('',*,*,#1572,.F.); +#663=ORIENTED_EDGE('',*,*,#1573,.F.); +#664=ORIENTED_EDGE('',*,*,#1569,.T.); +#665=ORIENTED_EDGE('',*,*,#1525,.T.); +#666=ORIENTED_EDGE('',*,*,#1574,.F.); +#667=ORIENTED_EDGE('',*,*,#1575,.F.); +#668=ORIENTED_EDGE('',*,*,#1572,.T.); +#669=ORIENTED_EDGE('',*,*,#1438,.T.); +#670=ORIENTED_EDGE('',*,*,#1571,.F.); +#671=ORIENTED_EDGE('',*,*,#1576,.F.); +#672=ORIENTED_EDGE('',*,*,#1574,.T.); +#673=ORIENTED_EDGE('',*,*,#1570,.T.); +#674=ORIENTED_EDGE('',*,*,#1573,.T.); +#675=ORIENTED_EDGE('',*,*,#1575,.T.); +#676=ORIENTED_EDGE('',*,*,#1576,.T.); +#677=ORIENTED_EDGE('',*,*,#1528,.T.); +#678=ORIENTED_EDGE('',*,*,#1577,.F.); +#679=ORIENTED_EDGE('',*,*,#1578,.F.); +#680=ORIENTED_EDGE('',*,*,#1579,.T.); +#681=ORIENTED_EDGE('',*,*,#1484,.F.); +#682=ORIENTED_EDGE('',*,*,#1580,.F.); +#683=ORIENTED_EDGE('',*,*,#1581,.F.); +#684=ORIENTED_EDGE('',*,*,#1577,.T.); +#685=ORIENTED_EDGE('',*,*,#1521,.T.); +#686=ORIENTED_EDGE('',*,*,#1582,.F.); +#687=ORIENTED_EDGE('',*,*,#1583,.F.); +#688=ORIENTED_EDGE('',*,*,#1580,.T.); +#689=ORIENTED_EDGE('',*,*,#1442,.T.); +#690=ORIENTED_EDGE('',*,*,#1579,.F.); +#691=ORIENTED_EDGE('',*,*,#1584,.F.); +#692=ORIENTED_EDGE('',*,*,#1582,.T.); +#693=ORIENTED_EDGE('',*,*,#1578,.T.); +#694=ORIENTED_EDGE('',*,*,#1581,.T.); +#695=ORIENTED_EDGE('',*,*,#1583,.T.); +#696=ORIENTED_EDGE('',*,*,#1584,.T.); +#697=ORIENTED_EDGE('',*,*,#1524,.T.); +#698=ORIENTED_EDGE('',*,*,#1585,.F.); +#699=ORIENTED_EDGE('',*,*,#1586,.F.); +#700=ORIENTED_EDGE('',*,*,#1587,.T.); +#701=ORIENTED_EDGE('',*,*,#1480,.F.); +#702=ORIENTED_EDGE('',*,*,#1588,.F.); +#703=ORIENTED_EDGE('',*,*,#1589,.F.); +#704=ORIENTED_EDGE('',*,*,#1585,.T.); +#705=ORIENTED_EDGE('',*,*,#1517,.T.); +#706=ORIENTED_EDGE('',*,*,#1590,.F.); +#707=ORIENTED_EDGE('',*,*,#1591,.F.); +#708=ORIENTED_EDGE('',*,*,#1588,.T.); +#709=ORIENTED_EDGE('',*,*,#1446,.T.); +#710=ORIENTED_EDGE('',*,*,#1587,.F.); +#711=ORIENTED_EDGE('',*,*,#1592,.F.); +#712=ORIENTED_EDGE('',*,*,#1590,.T.); +#713=ORIENTED_EDGE('',*,*,#1586,.T.); +#714=ORIENTED_EDGE('',*,*,#1589,.T.); +#715=ORIENTED_EDGE('',*,*,#1591,.T.); +#716=ORIENTED_EDGE('',*,*,#1592,.T.); +#717=ORIENTED_EDGE('',*,*,#1520,.T.); +#718=ORIENTED_EDGE('',*,*,#1593,.F.); +#719=ORIENTED_EDGE('',*,*,#1594,.F.); +#720=ORIENTED_EDGE('',*,*,#1595,.T.); +#721=ORIENTED_EDGE('',*,*,#1476,.F.); +#722=ORIENTED_EDGE('',*,*,#1596,.F.); +#723=ORIENTED_EDGE('',*,*,#1597,.F.); +#724=ORIENTED_EDGE('',*,*,#1593,.T.); +#725=ORIENTED_EDGE('',*,*,#1510,.F.); +#726=ORIENTED_EDGE('',*,*,#1598,.F.); +#727=ORIENTED_EDGE('',*,*,#1599,.F.); +#728=ORIENTED_EDGE('',*,*,#1596,.T.); +#729=ORIENTED_EDGE('',*,*,#1450,.T.); +#730=ORIENTED_EDGE('',*,*,#1595,.F.); +#731=ORIENTED_EDGE('',*,*,#1600,.F.); +#732=ORIENTED_EDGE('',*,*,#1598,.T.); +#733=ORIENTED_EDGE('',*,*,#1594,.T.); +#734=ORIENTED_EDGE('',*,*,#1597,.T.); +#735=ORIENTED_EDGE('',*,*,#1599,.T.); +#736=ORIENTED_EDGE('',*,*,#1600,.T.); +#737=ORIENTED_EDGE('',*,*,#1512,.T.); +#738=ORIENTED_EDGE('',*,*,#1601,.F.); +#739=ORIENTED_EDGE('',*,*,#1602,.T.); +#740=ORIENTED_EDGE('',*,*,#1603,.T.); +#741=ORIENTED_EDGE('',*,*,#1381,.T.); +#742=ORIENTED_EDGE('',*,*,#1603,.F.); +#743=ORIENTED_EDGE('',*,*,#1604,.T.); +#744=ORIENTED_EDGE('',*,*,#1605,.T.); +#745=ORIENTED_EDGE('',*,*,#1535,.T.); +#746=ORIENTED_EDGE('',*,*,#1605,.F.); +#747=ORIENTED_EDGE('',*,*,#1606,.T.); +#748=ORIENTED_EDGE('',*,*,#1607,.T.); +#749=ORIENTED_EDGE('',*,*,#1387,.F.); +#750=ORIENTED_EDGE('',*,*,#1607,.F.); +#751=ORIENTED_EDGE('',*,*,#1608,.T.); +#752=ORIENTED_EDGE('',*,*,#1601,.T.); +#753=ORIENTED_EDGE('',*,*,#1602,.F.); +#754=ORIENTED_EDGE('',*,*,#1608,.F.); +#755=ORIENTED_EDGE('',*,*,#1606,.F.); +#756=ORIENTED_EDGE('',*,*,#1604,.F.); +#757=ORIENTED_EDGE('',*,*,#1536,.T.); +#758=ORIENTED_EDGE('',*,*,#1609,.F.); +#759=ORIENTED_EDGE('',*,*,#1610,.T.); +#760=ORIENTED_EDGE('',*,*,#1611,.T.); +#761=ORIENTED_EDGE('',*,*,#1277,.T.); +#762=ORIENTED_EDGE('',*,*,#1611,.F.); +#763=ORIENTED_EDGE('',*,*,#1612,.T.); +#764=ORIENTED_EDGE('',*,*,#1613,.T.); +#765=ORIENTED_EDGE('',*,*,#1551,.T.); +#766=ORIENTED_EDGE('',*,*,#1613,.F.); +#767=ORIENTED_EDGE('',*,*,#1614,.T.); +#768=ORIENTED_EDGE('',*,*,#1615,.T.); +#769=ORIENTED_EDGE('',*,*,#1295,.F.); +#770=ORIENTED_EDGE('',*,*,#1615,.F.); +#771=ORIENTED_EDGE('',*,*,#1616,.T.); +#772=ORIENTED_EDGE('',*,*,#1609,.T.); +#773=ORIENTED_EDGE('',*,*,#1610,.F.); +#774=ORIENTED_EDGE('',*,*,#1616,.F.); +#775=ORIENTED_EDGE('',*,*,#1614,.F.); +#776=ORIENTED_EDGE('',*,*,#1612,.F.); +#777=ORIENTED_EDGE('',*,*,#1552,.T.); +#778=ORIENTED_EDGE('',*,*,#1617,.F.); +#779=ORIENTED_EDGE('',*,*,#1618,.T.); +#780=ORIENTED_EDGE('',*,*,#1619,.T.); +#781=ORIENTED_EDGE('',*,*,#1283,.T.); +#782=ORIENTED_EDGE('',*,*,#1619,.F.); +#783=ORIENTED_EDGE('',*,*,#1620,.T.); +#784=ORIENTED_EDGE('',*,*,#1621,.T.); +#785=ORIENTED_EDGE('',*,*,#1547,.T.); +#786=ORIENTED_EDGE('',*,*,#1621,.F.); +#787=ORIENTED_EDGE('',*,*,#1622,.T.); +#788=ORIENTED_EDGE('',*,*,#1623,.T.); +#789=ORIENTED_EDGE('',*,*,#1297,.F.); +#790=ORIENTED_EDGE('',*,*,#1623,.F.); +#791=ORIENTED_EDGE('',*,*,#1624,.T.); +#792=ORIENTED_EDGE('',*,*,#1617,.T.); +#793=ORIENTED_EDGE('',*,*,#1618,.F.); +#794=ORIENTED_EDGE('',*,*,#1624,.F.); +#795=ORIENTED_EDGE('',*,*,#1622,.F.); +#796=ORIENTED_EDGE('',*,*,#1620,.F.); +#797=ORIENTED_EDGE('',*,*,#1548,.T.); +#798=ORIENTED_EDGE('',*,*,#1625,.F.); +#799=ORIENTED_EDGE('',*,*,#1626,.T.); +#800=ORIENTED_EDGE('',*,*,#1627,.T.); +#801=ORIENTED_EDGE('',*,*,#1287,.T.); +#802=ORIENTED_EDGE('',*,*,#1627,.F.); +#803=ORIENTED_EDGE('',*,*,#1628,.T.); +#804=ORIENTED_EDGE('',*,*,#1629,.T.); +#805=ORIENTED_EDGE('',*,*,#1543,.T.); +#806=ORIENTED_EDGE('',*,*,#1629,.F.); +#807=ORIENTED_EDGE('',*,*,#1630,.T.); +#808=ORIENTED_EDGE('',*,*,#1631,.T.); +#809=ORIENTED_EDGE('',*,*,#1301,.F.); +#810=ORIENTED_EDGE('',*,*,#1631,.F.); +#811=ORIENTED_EDGE('',*,*,#1632,.T.); +#812=ORIENTED_EDGE('',*,*,#1625,.T.); +#813=ORIENTED_EDGE('',*,*,#1626,.F.); +#814=ORIENTED_EDGE('',*,*,#1632,.F.); +#815=ORIENTED_EDGE('',*,*,#1630,.F.); +#816=ORIENTED_EDGE('',*,*,#1628,.F.); +#817=ORIENTED_EDGE('',*,*,#1544,.T.); +#818=ORIENTED_EDGE('',*,*,#1633,.F.); +#819=ORIENTED_EDGE('',*,*,#1634,.T.); +#820=ORIENTED_EDGE('',*,*,#1635,.T.); +#821=ORIENTED_EDGE('',*,*,#1291,.T.); +#822=ORIENTED_EDGE('',*,*,#1635,.F.); +#823=ORIENTED_EDGE('',*,*,#1636,.T.); +#824=ORIENTED_EDGE('',*,*,#1637,.T.); +#825=ORIENTED_EDGE('',*,*,#1539,.T.); +#826=ORIENTED_EDGE('',*,*,#1637,.F.); +#827=ORIENTED_EDGE('',*,*,#1638,.T.); +#828=ORIENTED_EDGE('',*,*,#1639,.T.); +#829=ORIENTED_EDGE('',*,*,#1305,.F.); +#830=ORIENTED_EDGE('',*,*,#1639,.F.); +#831=ORIENTED_EDGE('',*,*,#1640,.T.); +#832=ORIENTED_EDGE('',*,*,#1633,.T.); +#833=ORIENTED_EDGE('',*,*,#1634,.F.); +#834=ORIENTED_EDGE('',*,*,#1640,.F.); +#835=ORIENTED_EDGE('',*,*,#1638,.F.); +#836=ORIENTED_EDGE('',*,*,#1636,.F.); +#837=ORIENTED_EDGE('',*,*,#1540,.T.); +#838=ORIENTED_EDGE('',*,*,#1641,.F.); +#839=ORIENTED_EDGE('',*,*,#1642,.T.); +#840=ORIENTED_EDGE('',*,*,#1643,.T.); +#841=ORIENTED_EDGE('',*,*,#1409,.T.); +#842=ORIENTED_EDGE('',*,*,#1643,.F.); +#843=ORIENTED_EDGE('',*,*,#1644,.T.); +#844=ORIENTED_EDGE('',*,*,#1645,.T.); +#845=ORIENTED_EDGE('',*,*,#1509,.F.); +#846=ORIENTED_EDGE('',*,*,#1645,.F.); +#847=ORIENTED_EDGE('',*,*,#1646,.T.); +#848=ORIENTED_EDGE('',*,*,#1647,.T.); +#849=ORIENTED_EDGE('',*,*,#1411,.F.); +#850=ORIENTED_EDGE('',*,*,#1647,.F.); +#851=ORIENTED_EDGE('',*,*,#1648,.T.); +#852=ORIENTED_EDGE('',*,*,#1641,.T.); +#853=ORIENTED_EDGE('',*,*,#1642,.F.); +#854=ORIENTED_EDGE('',*,*,#1648,.F.); +#855=ORIENTED_EDGE('',*,*,#1646,.F.); +#856=ORIENTED_EDGE('',*,*,#1644,.F.); +#857=ORIENTED_EDGE('',*,*,#1649,.F.); +#858=ORIENTED_EDGE('',*,*,#1650,.F.); +#859=ORIENTED_EDGE('',*,*,#1651,.F.); +#860=ORIENTED_EDGE('',*,*,#1652,.F.); +#861=ORIENTED_EDGE('',*,*,#1653,.F.); +#862=ORIENTED_EDGE('',*,*,#1654,.F.); +#863=ORIENTED_EDGE('',*,*,#1655,.F.); +#864=ORIENTED_EDGE('',*,*,#1656,.F.); +#865=ORIENTED_EDGE('',*,*,#1657,.F.); +#866=ORIENTED_EDGE('',*,*,#1658,.F.); +#867=ORIENTED_EDGE('',*,*,#1659,.F.); +#868=ORIENTED_EDGE('',*,*,#1660,.F.); +#869=ORIENTED_EDGE('',*,*,#1661,.F.); +#870=ORIENTED_EDGE('',*,*,#1662,.F.); +#871=ORIENTED_EDGE('',*,*,#1663,.F.); +#872=ORIENTED_EDGE('',*,*,#1664,.F.); +#873=ORIENTED_EDGE('',*,*,#1665,.F.); +#874=ORIENTED_EDGE('',*,*,#1666,.F.); +#875=ORIENTED_EDGE('',*,*,#1667,.F.); +#876=ORIENTED_EDGE('',*,*,#1668,.F.); +#877=ORIENTED_EDGE('',*,*,#1669,.F.); +#878=ORIENTED_EDGE('',*,*,#1670,.F.); +#879=ORIENTED_EDGE('',*,*,#1671,.T.); +#880=ORIENTED_EDGE('',*,*,#1672,.T.); +#881=ORIENTED_EDGE('',*,*,#1673,.F.); +#882=ORIENTED_EDGE('',*,*,#1674,.F.); +#883=ORIENTED_EDGE('',*,*,#1675,.F.); +#884=ORIENTED_EDGE('',*,*,#1676,.T.); +#885=ORIENTED_EDGE('',*,*,#1677,.F.); +#886=ORIENTED_EDGE('',*,*,#1678,.F.); +#887=ORIENTED_EDGE('',*,*,#1679,.F.); +#888=ORIENTED_EDGE('',*,*,#1680,.T.); +#889=ORIENTED_EDGE('',*,*,#1681,.F.); +#890=ORIENTED_EDGE('',*,*,#1682,.F.); +#891=ORIENTED_EDGE('',*,*,#1683,.F.); +#892=ORIENTED_EDGE('',*,*,#1684,.T.); +#893=ORIENTED_EDGE('',*,*,#1685,.F.); +#894=ORIENTED_EDGE('',*,*,#1686,.F.); +#895=ORIENTED_EDGE('',*,*,#1687,.F.); +#896=ORIENTED_EDGE('',*,*,#1688,.T.); +#897=ORIENTED_EDGE('',*,*,#1689,.F.); +#898=ORIENTED_EDGE('',*,*,#1690,.F.); +#899=ORIENTED_EDGE('',*,*,#1691,.F.); +#900=ORIENTED_EDGE('',*,*,#1692,.T.); +#901=ORIENTED_EDGE('',*,*,#1693,.F.); +#902=ORIENTED_EDGE('',*,*,#1694,.F.); +#903=ORIENTED_EDGE('',*,*,#1695,.F.); +#904=ORIENTED_EDGE('',*,*,#1696,.T.); +#905=ORIENTED_EDGE('',*,*,#1697,.T.); +#906=ORIENTED_EDGE('',*,*,#1698,.T.); +#907=ORIENTED_EDGE('',*,*,#1699,.F.); +#908=ORIENTED_EDGE('',*,*,#1700,.T.); +#909=ORIENTED_EDGE('',*,*,#1701,.T.); +#910=ORIENTED_EDGE('',*,*,#1702,.T.); +#911=ORIENTED_EDGE('',*,*,#1703,.F.); +#912=ORIENTED_EDGE('',*,*,#1704,.T.); +#913=ORIENTED_EDGE('',*,*,#1705,.T.); +#914=ORIENTED_EDGE('',*,*,#1706,.T.); +#915=ORIENTED_EDGE('',*,*,#1707,.F.); +#916=ORIENTED_EDGE('',*,*,#1708,.T.); +#917=ORIENTED_EDGE('',*,*,#1709,.T.); +#918=ORIENTED_EDGE('',*,*,#1710,.T.); +#919=ORIENTED_EDGE('',*,*,#1711,.F.); +#920=ORIENTED_EDGE('',*,*,#1712,.T.); +#921=ORIENTED_EDGE('',*,*,#1713,.T.); +#922=ORIENTED_EDGE('',*,*,#1714,.T.); +#923=ORIENTED_EDGE('',*,*,#1715,.F.); +#924=ORIENTED_EDGE('',*,*,#1716,.F.); +#925=ORIENTED_EDGE('',*,*,#1717,.F.); +#926=ORIENTED_EDGE('',*,*,#1718,.F.); +#927=ORIENTED_EDGE('',*,*,#1670,.T.); +#928=ORIENTED_EDGE('',*,*,#1719,.F.); +#929=ORIENTED_EDGE('',*,*,#1720,.T.); +#930=ORIENTED_EDGE('',*,*,#1721,.T.); +#931=ORIENTED_EDGE('',*,*,#1722,.F.); +#932=ORIENTED_EDGE('',*,*,#1723,.F.); +#933=ORIENTED_EDGE('',*,*,#1724,.F.); +#934=ORIENTED_EDGE('',*,*,#1725,.F.); +#935=ORIENTED_EDGE('',*,*,#1726,.F.); +#936=ORIENTED_EDGE('',*,*,#1694,.T.); +#937=ORIENTED_EDGE('',*,*,#1727,.T.); +#938=ORIENTED_EDGE('',*,*,#1728,.T.); +#939=ORIENTED_EDGE('',*,*,#1729,.F.); +#940=ORIENTED_EDGE('',*,*,#1730,.F.); +#941=ORIENTED_EDGE('',*,*,#1731,.F.); +#942=ORIENTED_EDGE('',*,*,#1732,.F.); +#943=ORIENTED_EDGE('',*,*,#1654,.T.); +#944=ORIENTED_EDGE('',*,*,#1733,.F.); +#945=ORIENTED_EDGE('',*,*,#1734,.T.); +#946=ORIENTED_EDGE('',*,*,#1735,.F.); +#947=ORIENTED_EDGE('',*,*,#1736,.F.); +#948=ORIENTED_EDGE('',*,*,#1737,.F.); +#949=ORIENTED_EDGE('',*,*,#1738,.F.); +#950=ORIENTED_EDGE('',*,*,#1739,.F.); +#951=ORIENTED_EDGE('',*,*,#1658,.T.); +#952=ORIENTED_EDGE('',*,*,#1740,.F.); +#953=ORIENTED_EDGE('',*,*,#1741,.T.); +#954=ORIENTED_EDGE('',*,*,#1742,.F.); +#955=ORIENTED_EDGE('',*,*,#1743,.F.); +#956=ORIENTED_EDGE('',*,*,#1744,.F.); +#957=ORIENTED_EDGE('',*,*,#1745,.F.); +#958=ORIENTED_EDGE('',*,*,#1746,.F.); +#959=ORIENTED_EDGE('',*,*,#1662,.T.); +#960=ORIENTED_EDGE('',*,*,#1747,.F.); +#961=ORIENTED_EDGE('',*,*,#1748,.T.); +#962=ORIENTED_EDGE('',*,*,#1749,.F.); +#963=ORIENTED_EDGE('',*,*,#1750,.F.); +#964=ORIENTED_EDGE('',*,*,#1751,.F.); +#965=ORIENTED_EDGE('',*,*,#1752,.F.); +#966=ORIENTED_EDGE('',*,*,#1753,.F.); +#967=ORIENTED_EDGE('',*,*,#1666,.T.); +#968=ORIENTED_EDGE('',*,*,#1754,.F.); +#969=ORIENTED_EDGE('',*,*,#1755,.T.); +#970=ORIENTED_EDGE('',*,*,#1756,.F.); +#971=ORIENTED_EDGE('',*,*,#1757,.T.); +#972=ORIENTED_EDGE('',*,*,#1758,.T.); +#973=ORIENTED_EDGE('',*,*,#1759,.T.); +#974=ORIENTED_EDGE('',*,*,#1760,.T.); +#975=ORIENTED_EDGE('',*,*,#1761,.F.); +#976=ORIENTED_EDGE('',*,*,#1672,.F.); +#977=ORIENTED_EDGE('',*,*,#1762,.F.); +#978=ORIENTED_EDGE('',*,*,#1763,.F.); +#979=ORIENTED_EDGE('',*,*,#1764,.T.); +#980=ORIENTED_EDGE('',*,*,#1765,.T.); +#981=ORIENTED_EDGE('',*,*,#1766,.T.); +#982=ORIENTED_EDGE('',*,*,#1767,.T.); +#983=ORIENTED_EDGE('',*,*,#1676,.F.); +#984=ORIENTED_EDGE('',*,*,#1768,.T.); +#985=ORIENTED_EDGE('',*,*,#1769,.F.); +#986=ORIENTED_EDGE('',*,*,#1770,.F.); +#987=ORIENTED_EDGE('',*,*,#1771,.T.); +#988=ORIENTED_EDGE('',*,*,#1772,.T.); +#989=ORIENTED_EDGE('',*,*,#1773,.T.); +#990=ORIENTED_EDGE('',*,*,#1774,.T.); +#991=ORIENTED_EDGE('',*,*,#1680,.F.); +#992=ORIENTED_EDGE('',*,*,#1775,.T.); +#993=ORIENTED_EDGE('',*,*,#1776,.F.); +#994=ORIENTED_EDGE('',*,*,#1777,.F.); +#995=ORIENTED_EDGE('',*,*,#1778,.T.); +#996=ORIENTED_EDGE('',*,*,#1779,.T.); +#997=ORIENTED_EDGE('',*,*,#1780,.T.); +#998=ORIENTED_EDGE('',*,*,#1781,.T.); +#999=ORIENTED_EDGE('',*,*,#1684,.F.); +#1000=ORIENTED_EDGE('',*,*,#1782,.T.); +#1001=ORIENTED_EDGE('',*,*,#1783,.F.); +#1002=ORIENTED_EDGE('',*,*,#1784,.F.); +#1003=ORIENTED_EDGE('',*,*,#1785,.T.); +#1004=ORIENTED_EDGE('',*,*,#1786,.T.); +#1005=ORIENTED_EDGE('',*,*,#1787,.T.); +#1006=ORIENTED_EDGE('',*,*,#1788,.T.); +#1007=ORIENTED_EDGE('',*,*,#1688,.F.); +#1008=ORIENTED_EDGE('',*,*,#1789,.T.); +#1009=ORIENTED_EDGE('',*,*,#1790,.F.); +#1010=ORIENTED_EDGE('',*,*,#1791,.F.); +#1011=ORIENTED_EDGE('',*,*,#1792,.T.); +#1012=ORIENTED_EDGE('',*,*,#1793,.T.); +#1013=ORIENTED_EDGE('',*,*,#1794,.T.); +#1014=ORIENTED_EDGE('',*,*,#1795,.T.); +#1015=ORIENTED_EDGE('',*,*,#1692,.F.); +#1016=ORIENTED_EDGE('',*,*,#1796,.T.); +#1017=ORIENTED_EDGE('',*,*,#1797,.F.); +#1018=ORIENTED_EDGE('',*,*,#1798,.F.); +#1019=ORIENTED_EDGE('',*,*,#1799,.F.); +#1020=ORIENTED_EDGE('',*,*,#1763,.T.); +#1021=ORIENTED_EDGE('',*,*,#1800,.F.); +#1022=ORIENTED_EDGE('',*,*,#1720,.F.); +#1023=ORIENTED_EDGE('',*,*,#1801,.F.); +#1024=ORIENTED_EDGE('',*,*,#1755,.F.); +#1025=ORIENTED_EDGE('',*,*,#1802,.F.); +#1026=ORIENTED_EDGE('',*,*,#1769,.T.); +#1027=ORIENTED_EDGE('',*,*,#1803,.F.); +#1028=ORIENTED_EDGE('',*,*,#1748,.F.); +#1029=ORIENTED_EDGE('',*,*,#1804,.F.); +#1030=ORIENTED_EDGE('',*,*,#1776,.T.); +#1031=ORIENTED_EDGE('',*,*,#1805,.F.); +#1032=ORIENTED_EDGE('',*,*,#1741,.F.); +#1033=ORIENTED_EDGE('',*,*,#1806,.F.); +#1034=ORIENTED_EDGE('',*,*,#1783,.T.); +#1035=ORIENTED_EDGE('',*,*,#1807,.F.); +#1036=ORIENTED_EDGE('',*,*,#1734,.F.); +#1037=ORIENTED_EDGE('',*,*,#1808,.F.); +#1038=ORIENTED_EDGE('',*,*,#1790,.T.); +#1039=ORIENTED_EDGE('',*,*,#1809,.F.); +#1040=ORIENTED_EDGE('',*,*,#1728,.F.); +#1041=ORIENTED_EDGE('',*,*,#1810,.T.); +#1042=ORIENTED_EDGE('',*,*,#1797,.T.); +#1043=ORIENTED_EDGE('',*,*,#1762,.T.); +#1044=ORIENTED_EDGE('',*,*,#1671,.F.); +#1045=ORIENTED_EDGE('',*,*,#1721,.F.); +#1046=ORIENTED_EDGE('',*,*,#1800,.T.); +#1047=ORIENTED_EDGE('',*,*,#1792,.F.); +#1048=ORIENTED_EDGE('',*,*,#1811,.F.); +#1049=ORIENTED_EDGE('',*,*,#1722,.T.); +#1050=ORIENTED_EDGE('',*,*,#1812,.T.); +#1051=ORIENTED_EDGE('',*,*,#1795,.F.); +#1052=ORIENTED_EDGE('',*,*,#1813,.F.); +#1053=ORIENTED_EDGE('',*,*,#1723,.T.); +#1054=ORIENTED_EDGE('',*,*,#1811,.T.); +#1055=ORIENTED_EDGE('',*,*,#1794,.F.); +#1056=ORIENTED_EDGE('',*,*,#1814,.F.); +#1057=ORIENTED_EDGE('',*,*,#1724,.T.); +#1058=ORIENTED_EDGE('',*,*,#1813,.T.); +#1059=ORIENTED_EDGE('',*,*,#1785,.F.); +#1060=ORIENTED_EDGE('',*,*,#1815,.F.); +#1061=ORIENTED_EDGE('',*,*,#1729,.T.); +#1062=ORIENTED_EDGE('',*,*,#1816,.T.); +#1063=ORIENTED_EDGE('',*,*,#1788,.F.); +#1064=ORIENTED_EDGE('',*,*,#1817,.F.); +#1065=ORIENTED_EDGE('',*,*,#1730,.T.); +#1066=ORIENTED_EDGE('',*,*,#1815,.T.); +#1067=ORIENTED_EDGE('',*,*,#1787,.F.); +#1068=ORIENTED_EDGE('',*,*,#1818,.F.); +#1069=ORIENTED_EDGE('',*,*,#1731,.T.); +#1070=ORIENTED_EDGE('',*,*,#1817,.T.); +#1071=ORIENTED_EDGE('',*,*,#1778,.F.); +#1072=ORIENTED_EDGE('',*,*,#1819,.F.); +#1073=ORIENTED_EDGE('',*,*,#1736,.T.); +#1074=ORIENTED_EDGE('',*,*,#1820,.T.); +#1075=ORIENTED_EDGE('',*,*,#1781,.F.); +#1076=ORIENTED_EDGE('',*,*,#1821,.F.); +#1077=ORIENTED_EDGE('',*,*,#1737,.T.); +#1078=ORIENTED_EDGE('',*,*,#1819,.T.); +#1079=ORIENTED_EDGE('',*,*,#1780,.F.); +#1080=ORIENTED_EDGE('',*,*,#1822,.F.); +#1081=ORIENTED_EDGE('',*,*,#1738,.T.); +#1082=ORIENTED_EDGE('',*,*,#1821,.T.); +#1083=ORIENTED_EDGE('',*,*,#1771,.F.); +#1084=ORIENTED_EDGE('',*,*,#1823,.F.); +#1085=ORIENTED_EDGE('',*,*,#1743,.T.); +#1086=ORIENTED_EDGE('',*,*,#1824,.T.); +#1087=ORIENTED_EDGE('',*,*,#1774,.F.); +#1088=ORIENTED_EDGE('',*,*,#1825,.F.); +#1089=ORIENTED_EDGE('',*,*,#1744,.T.); +#1090=ORIENTED_EDGE('',*,*,#1823,.T.); +#1091=ORIENTED_EDGE('',*,*,#1773,.F.); +#1092=ORIENTED_EDGE('',*,*,#1826,.F.); +#1093=ORIENTED_EDGE('',*,*,#1745,.T.); +#1094=ORIENTED_EDGE('',*,*,#1825,.T.); +#1095=ORIENTED_EDGE('',*,*,#1764,.F.); +#1096=ORIENTED_EDGE('',*,*,#1827,.F.); +#1097=ORIENTED_EDGE('',*,*,#1750,.T.); +#1098=ORIENTED_EDGE('',*,*,#1828,.T.); +#1099=ORIENTED_EDGE('',*,*,#1767,.F.); +#1100=ORIENTED_EDGE('',*,*,#1829,.F.); +#1101=ORIENTED_EDGE('',*,*,#1751,.T.); +#1102=ORIENTED_EDGE('',*,*,#1827,.T.); +#1103=ORIENTED_EDGE('',*,*,#1766,.F.); +#1104=ORIENTED_EDGE('',*,*,#1830,.F.); +#1105=ORIENTED_EDGE('',*,*,#1752,.T.); +#1106=ORIENTED_EDGE('',*,*,#1829,.T.); +#1107=ORIENTED_EDGE('',*,*,#1757,.F.); +#1108=ORIENTED_EDGE('',*,*,#1831,.F.); +#1109=ORIENTED_EDGE('',*,*,#1715,.T.); +#1110=ORIENTED_EDGE('',*,*,#1832,.T.); +#1111=ORIENTED_EDGE('',*,*,#1760,.F.); +#1112=ORIENTED_EDGE('',*,*,#1833,.F.); +#1113=ORIENTED_EDGE('',*,*,#1716,.T.); +#1114=ORIENTED_EDGE('',*,*,#1831,.T.); +#1115=ORIENTED_EDGE('',*,*,#1759,.F.); +#1116=ORIENTED_EDGE('',*,*,#1834,.F.); +#1117=ORIENTED_EDGE('',*,*,#1717,.T.); +#1118=ORIENTED_EDGE('',*,*,#1833,.T.); +#1119=ORIENTED_EDGE('',*,*,#1793,.F.); +#1120=ORIENTED_EDGE('',*,*,#1812,.F.); +#1121=ORIENTED_EDGE('',*,*,#1725,.T.); +#1122=ORIENTED_EDGE('',*,*,#1814,.T.); +#1123=ORIENTED_EDGE('',*,*,#1786,.F.); +#1124=ORIENTED_EDGE('',*,*,#1816,.F.); +#1125=ORIENTED_EDGE('',*,*,#1732,.T.); +#1126=ORIENTED_EDGE('',*,*,#1818,.T.); +#1127=ORIENTED_EDGE('',*,*,#1779,.F.); +#1128=ORIENTED_EDGE('',*,*,#1820,.F.); +#1129=ORIENTED_EDGE('',*,*,#1739,.T.); +#1130=ORIENTED_EDGE('',*,*,#1822,.T.); +#1131=ORIENTED_EDGE('',*,*,#1772,.F.); +#1132=ORIENTED_EDGE('',*,*,#1824,.F.); +#1133=ORIENTED_EDGE('',*,*,#1746,.T.); +#1134=ORIENTED_EDGE('',*,*,#1826,.T.); +#1135=ORIENTED_EDGE('',*,*,#1765,.F.); +#1136=ORIENTED_EDGE('',*,*,#1828,.F.); +#1137=ORIENTED_EDGE('',*,*,#1753,.T.); +#1138=ORIENTED_EDGE('',*,*,#1830,.T.); +#1139=ORIENTED_EDGE('',*,*,#1758,.F.); +#1140=ORIENTED_EDGE('',*,*,#1832,.F.); +#1141=ORIENTED_EDGE('',*,*,#1718,.T.); +#1142=ORIENTED_EDGE('',*,*,#1834,.T.); +#1143=ORIENTED_EDGE('',*,*,#1798,.T.); +#1144=ORIENTED_EDGE('',*,*,#1810,.F.); +#1145=ORIENTED_EDGE('',*,*,#1727,.F.); +#1146=ORIENTED_EDGE('',*,*,#1693,.T.); +#1147=ORIENTED_EDGE('',*,*,#1809,.T.); +#1148=ORIENTED_EDGE('',*,*,#1796,.F.); +#1149=ORIENTED_EDGE('',*,*,#1691,.T.); +#1150=ORIENTED_EDGE('',*,*,#1835,.F.); +#1151=ORIENTED_EDGE('',*,*,#1697,.F.); +#1152=ORIENTED_EDGE('',*,*,#1836,.T.); +#1153=ORIENTED_EDGE('',*,*,#1651,.T.); +#1154=ORIENTED_EDGE('',*,*,#1726,.T.); +#1155=ORIENTED_EDGE('',*,*,#1733,.T.); +#1156=ORIENTED_EDGE('',*,*,#1653,.T.); +#1157=ORIENTED_EDGE('',*,*,#1837,.F.); +#1158=ORIENTED_EDGE('',*,*,#1695,.T.); +#1159=ORIENTED_EDGE('',*,*,#1838,.T.); +#1160=ORIENTED_EDGE('',*,*,#1689,.T.); +#1161=ORIENTED_EDGE('',*,*,#1791,.T.); +#1162=ORIENTED_EDGE('',*,*,#1808,.T.); +#1163=ORIENTED_EDGE('',*,*,#1807,.T.); +#1164=ORIENTED_EDGE('',*,*,#1789,.F.); +#1165=ORIENTED_EDGE('',*,*,#1687,.T.); +#1166=ORIENTED_EDGE('',*,*,#1839,.F.); +#1167=ORIENTED_EDGE('',*,*,#1701,.F.); +#1168=ORIENTED_EDGE('',*,*,#1840,.T.); +#1169=ORIENTED_EDGE('',*,*,#1655,.T.); +#1170=ORIENTED_EDGE('',*,*,#1735,.T.); +#1171=ORIENTED_EDGE('',*,*,#1740,.T.); +#1172=ORIENTED_EDGE('',*,*,#1657,.T.); +#1173=ORIENTED_EDGE('',*,*,#1841,.F.); +#1174=ORIENTED_EDGE('',*,*,#1699,.T.); +#1175=ORIENTED_EDGE('',*,*,#1842,.T.); +#1176=ORIENTED_EDGE('',*,*,#1685,.T.); +#1177=ORIENTED_EDGE('',*,*,#1784,.T.); +#1178=ORIENTED_EDGE('',*,*,#1806,.T.); +#1179=ORIENTED_EDGE('',*,*,#1805,.T.); +#1180=ORIENTED_EDGE('',*,*,#1782,.F.); +#1181=ORIENTED_EDGE('',*,*,#1683,.T.); +#1182=ORIENTED_EDGE('',*,*,#1843,.F.); +#1183=ORIENTED_EDGE('',*,*,#1705,.F.); +#1184=ORIENTED_EDGE('',*,*,#1844,.T.); +#1185=ORIENTED_EDGE('',*,*,#1659,.T.); +#1186=ORIENTED_EDGE('',*,*,#1742,.T.); +#1187=ORIENTED_EDGE('',*,*,#1747,.T.); +#1188=ORIENTED_EDGE('',*,*,#1661,.T.); +#1189=ORIENTED_EDGE('',*,*,#1845,.F.); +#1190=ORIENTED_EDGE('',*,*,#1703,.T.); +#1191=ORIENTED_EDGE('',*,*,#1846,.T.); +#1192=ORIENTED_EDGE('',*,*,#1681,.T.); +#1193=ORIENTED_EDGE('',*,*,#1777,.T.); +#1194=ORIENTED_EDGE('',*,*,#1804,.T.); +#1195=ORIENTED_EDGE('',*,*,#1803,.T.); +#1196=ORIENTED_EDGE('',*,*,#1775,.F.); +#1197=ORIENTED_EDGE('',*,*,#1679,.T.); +#1198=ORIENTED_EDGE('',*,*,#1847,.F.); +#1199=ORIENTED_EDGE('',*,*,#1709,.F.); +#1200=ORIENTED_EDGE('',*,*,#1848,.T.); +#1201=ORIENTED_EDGE('',*,*,#1663,.T.); +#1202=ORIENTED_EDGE('',*,*,#1749,.T.); +#1203=ORIENTED_EDGE('',*,*,#1754,.T.); +#1204=ORIENTED_EDGE('',*,*,#1665,.T.); +#1205=ORIENTED_EDGE('',*,*,#1849,.F.); +#1206=ORIENTED_EDGE('',*,*,#1707,.T.); +#1207=ORIENTED_EDGE('',*,*,#1850,.T.); +#1208=ORIENTED_EDGE('',*,*,#1677,.T.); +#1209=ORIENTED_EDGE('',*,*,#1770,.T.); +#1210=ORIENTED_EDGE('',*,*,#1802,.T.); +#1211=ORIENTED_EDGE('',*,*,#1719,.T.); +#1212=ORIENTED_EDGE('',*,*,#1669,.T.); +#1213=ORIENTED_EDGE('',*,*,#1851,.F.); +#1214=ORIENTED_EDGE('',*,*,#1711,.T.); +#1215=ORIENTED_EDGE('',*,*,#1852,.T.); +#1216=ORIENTED_EDGE('',*,*,#1673,.T.); +#1217=ORIENTED_EDGE('',*,*,#1761,.T.); +#1218=ORIENTED_EDGE('',*,*,#1799,.T.); +#1219=ORIENTED_EDGE('',*,*,#1801,.T.); +#1220=ORIENTED_EDGE('',*,*,#1768,.F.); +#1221=ORIENTED_EDGE('',*,*,#1675,.T.); +#1222=ORIENTED_EDGE('',*,*,#1853,.F.); +#1223=ORIENTED_EDGE('',*,*,#1713,.F.); +#1224=ORIENTED_EDGE('',*,*,#1854,.T.); +#1225=ORIENTED_EDGE('',*,*,#1667,.T.); +#1226=ORIENTED_EDGE('',*,*,#1756,.T.); +#1227=ORIENTED_EDGE('',*,*,#1674,.T.); +#1228=ORIENTED_EDGE('',*,*,#1852,.F.); +#1229=ORIENTED_EDGE('',*,*,#1714,.F.); +#1230=ORIENTED_EDGE('',*,*,#1853,.T.); +#1231=ORIENTED_EDGE('',*,*,#1678,.T.); +#1232=ORIENTED_EDGE('',*,*,#1850,.F.); +#1233=ORIENTED_EDGE('',*,*,#1710,.F.); +#1234=ORIENTED_EDGE('',*,*,#1847,.T.); +#1235=ORIENTED_EDGE('',*,*,#1682,.T.); +#1236=ORIENTED_EDGE('',*,*,#1846,.F.); +#1237=ORIENTED_EDGE('',*,*,#1706,.F.); +#1238=ORIENTED_EDGE('',*,*,#1843,.T.); +#1239=ORIENTED_EDGE('',*,*,#1686,.T.); +#1240=ORIENTED_EDGE('',*,*,#1842,.F.); +#1241=ORIENTED_EDGE('',*,*,#1702,.F.); +#1242=ORIENTED_EDGE('',*,*,#1839,.T.); +#1243=ORIENTED_EDGE('',*,*,#1690,.T.); +#1244=ORIENTED_EDGE('',*,*,#1838,.F.); +#1245=ORIENTED_EDGE('',*,*,#1698,.F.); +#1246=ORIENTED_EDGE('',*,*,#1835,.T.); +#1247=ORIENTED_EDGE('',*,*,#1668,.T.); +#1248=ORIENTED_EDGE('',*,*,#1854,.F.); +#1249=ORIENTED_EDGE('',*,*,#1712,.F.); +#1250=ORIENTED_EDGE('',*,*,#1851,.T.); +#1251=ORIENTED_EDGE('',*,*,#1664,.T.); +#1252=ORIENTED_EDGE('',*,*,#1848,.F.); +#1253=ORIENTED_EDGE('',*,*,#1708,.F.); +#1254=ORIENTED_EDGE('',*,*,#1849,.T.); +#1255=ORIENTED_EDGE('',*,*,#1660,.T.); +#1256=ORIENTED_EDGE('',*,*,#1844,.F.); +#1257=ORIENTED_EDGE('',*,*,#1704,.F.); +#1258=ORIENTED_EDGE('',*,*,#1845,.T.); +#1259=ORIENTED_EDGE('',*,*,#1656,.T.); +#1260=ORIENTED_EDGE('',*,*,#1840,.F.); +#1261=ORIENTED_EDGE('',*,*,#1700,.F.); +#1262=ORIENTED_EDGE('',*,*,#1841,.T.); +#1263=ORIENTED_EDGE('',*,*,#1652,.T.); +#1264=ORIENTED_EDGE('',*,*,#1836,.F.); +#1265=ORIENTED_EDGE('',*,*,#1696,.F.); +#1266=ORIENTED_EDGE('',*,*,#1837,.T.); +#1267=ORIENTED_EDGE('',*,*,#1855,.F.); +#1268=ORIENTED_EDGE('',*,*,#1650,.T.); +#1269=ORIENTED_EDGE('',*,*,#1856,.F.); +#1270=ORIENTED_EDGE('',*,*,#1855,.T.); +#1271=ORIENTED_EDGE('',*,*,#1856,.T.); +#1272=ORIENTED_EDGE('',*,*,#1857,.F.); +#1273=ORIENTED_EDGE('',*,*,#1649,.T.); +#1274=ORIENTED_EDGE('',*,*,#1858,.F.); +#1275=ORIENTED_EDGE('',*,*,#1857,.T.); +#1276=ORIENTED_EDGE('',*,*,#1858,.T.); +#1277=EDGE_CURVE('',#1859,#1860,#2233,.T.); +#1278=EDGE_CURVE('',#1861,#1859,#2234,.T.); +#1279=EDGE_CURVE('',#1861,#1862,#2235,.T.); +#1280=EDGE_CURVE('',#1860,#1862,#2236,.T.); +#1281=EDGE_CURVE('',#1863,#1864,#2237,.T.); +#1282=EDGE_CURVE('',#1865,#1864,#2238,.T.); +#1283=EDGE_CURVE('',#1866,#1865,#2239,.T.); +#1284=EDGE_CURVE('',#1863,#1866,#2240,.T.); +#1285=EDGE_CURVE('',#1867,#1868,#2241,.T.); +#1286=EDGE_CURVE('',#1869,#1868,#2242,.T.); +#1287=EDGE_CURVE('',#1870,#1869,#2243,.T.); +#1288=EDGE_CURVE('',#1867,#1870,#2244,.T.); +#1289=EDGE_CURVE('',#1871,#1872,#2245,.T.); +#1290=EDGE_CURVE('',#1873,#1872,#2246,.T.); +#1291=EDGE_CURVE('',#1874,#1873,#2247,.T.); +#1292=EDGE_CURVE('',#1871,#1874,#2248,.T.); +#1293=EDGE_CURVE('',#1875,#1876,#2249,.T.); +#1294=EDGE_CURVE('',#1875,#1877,#2250,.T.); +#1295=EDGE_CURVE('',#1877,#1878,#2251,.T.); +#1296=EDGE_CURVE('',#1878,#1876,#2252,.T.); +#1297=EDGE_CURVE('',#1879,#1880,#2253,.T.); +#1298=EDGE_CURVE('',#1880,#1881,#2254,.T.); +#1299=EDGE_CURVE('',#1882,#1881,#2255,.T.); +#1300=EDGE_CURVE('',#1882,#1879,#2256,.T.); +#1301=EDGE_CURVE('',#1883,#1884,#2257,.T.); +#1302=EDGE_CURVE('',#1884,#1885,#2258,.T.); +#1303=EDGE_CURVE('',#1886,#1885,#2259,.T.); +#1304=EDGE_CURVE('',#1886,#1883,#2260,.T.); +#1305=EDGE_CURVE('',#1887,#1888,#2261,.T.); +#1306=EDGE_CURVE('',#1888,#1889,#2262,.T.); +#1307=EDGE_CURVE('',#1890,#1889,#2263,.T.); +#1308=EDGE_CURVE('',#1890,#1887,#2264,.T.); +#1309=EDGE_CURVE('',#1875,#1891,#33,.T.); +#1310=EDGE_CURVE('',#1892,#1876,#34,.T.); +#1311=EDGE_CURVE('',#1891,#1892,#2265,.T.); +#1312=EDGE_CURVE('',#1893,#1881,#35,.T.); +#1313=EDGE_CURVE('',#1894,#1893,#2266,.T.); +#1314=EDGE_CURVE('',#1882,#1894,#36,.T.); +#1315=EDGE_CURVE('',#1895,#1885,#37,.T.); +#1316=EDGE_CURVE('',#1896,#1895,#2267,.T.); +#1317=EDGE_CURVE('',#1886,#1896,#38,.T.); +#1318=EDGE_CURVE('',#1897,#1889,#39,.T.); +#1319=EDGE_CURVE('',#1898,#1897,#2268,.T.); +#1320=EDGE_CURVE('',#1890,#1898,#40,.T.); +#1321=EDGE_CURVE('',#1899,#1900,#2269,.T.); +#1322=EDGE_CURVE('',#1899,#1891,#2270,.T.); +#1323=EDGE_CURVE('',#1892,#1900,#2271,.T.); +#1324=EDGE_CURVE('',#1893,#1901,#2272,.T.); +#1325=EDGE_CURVE('',#1902,#1901,#2273,.T.); +#1326=EDGE_CURVE('',#1902,#1894,#2274,.T.); +#1327=EDGE_CURVE('',#1895,#1903,#2275,.T.); +#1328=EDGE_CURVE('',#1904,#1903,#2276,.T.); +#1329=EDGE_CURVE('',#1904,#1896,#2277,.T.); +#1330=EDGE_CURVE('',#1897,#1905,#2278,.T.); +#1331=EDGE_CURVE('',#1906,#1905,#2279,.T.); +#1332=EDGE_CURVE('',#1906,#1898,#2280,.T.); +#1333=EDGE_CURVE('',#1907,#1908,#2281,.T.); +#1334=EDGE_CURVE('',#1907,#1899,#41,.T.); +#1335=EDGE_CURVE('',#1900,#1908,#42,.T.); +#1336=EDGE_CURVE('',#1901,#1909,#43,.T.); +#1337=EDGE_CURVE('',#1910,#1909,#2282,.T.); +#1338=EDGE_CURVE('',#1910,#1902,#44,.T.); +#1339=EDGE_CURVE('',#1903,#1911,#45,.T.); +#1340=EDGE_CURVE('',#1912,#1911,#2283,.T.); +#1341=EDGE_CURVE('',#1912,#1904,#46,.T.); +#1342=EDGE_CURVE('',#1905,#1913,#47,.T.); +#1343=EDGE_CURVE('',#1914,#1913,#2284,.T.); +#1344=EDGE_CURVE('',#1914,#1906,#48,.T.); +#1345=EDGE_CURVE('',#1915,#1916,#49,.T.); +#1346=EDGE_CURVE('',#1915,#1917,#2285,.T.); +#1347=EDGE_CURVE('',#1918,#1917,#50,.T.); +#1348=EDGE_CURVE('',#1916,#1918,#2286,.T.); +#1349=EDGE_CURVE('',#1919,#1920,#51,.T.); +#1350=EDGE_CURVE('',#1921,#1919,#2287,.T.); +#1351=EDGE_CURVE('',#1922,#1921,#52,.T.); +#1352=EDGE_CURVE('',#1922,#1920,#2288,.T.); +#1353=EDGE_CURVE('',#1923,#1924,#53,.T.); +#1354=EDGE_CURVE('',#1925,#1923,#2289,.T.); +#1355=EDGE_CURVE('',#1926,#1925,#54,.T.); +#1356=EDGE_CURVE('',#1926,#1924,#2290,.T.); +#1357=EDGE_CURVE('',#1927,#1928,#55,.T.); +#1358=EDGE_CURVE('',#1929,#1927,#2291,.T.); +#1359=EDGE_CURVE('',#1930,#1929,#56,.T.); +#1360=EDGE_CURVE('',#1930,#1928,#2292,.T.); +#1361=EDGE_CURVE('',#1931,#1932,#2293,.T.); +#1362=EDGE_CURVE('',#1931,#1916,#2294,.T.); +#1363=EDGE_CURVE('',#1918,#1932,#2295,.T.); +#1364=EDGE_CURVE('',#1919,#1933,#2296,.T.); +#1365=EDGE_CURVE('',#1934,#1933,#2297,.T.); +#1366=EDGE_CURVE('',#1934,#1921,#2298,.T.); +#1367=EDGE_CURVE('',#1923,#1935,#2299,.T.); +#1368=EDGE_CURVE('',#1936,#1935,#2300,.T.); +#1369=EDGE_CURVE('',#1936,#1925,#2301,.T.); +#1370=EDGE_CURVE('',#1927,#1937,#2302,.T.); +#1371=EDGE_CURVE('',#1938,#1937,#2303,.T.); +#1372=EDGE_CURVE('',#1938,#1929,#2304,.T.); +#1373=EDGE_CURVE('',#1861,#1931,#57,.T.); +#1374=EDGE_CURVE('',#1932,#1862,#58,.T.); +#1375=EDGE_CURVE('',#1933,#1864,#59,.T.); +#1376=EDGE_CURVE('',#1863,#1934,#60,.T.); +#1377=EDGE_CURVE('',#1935,#1868,#61,.T.); +#1378=EDGE_CURVE('',#1867,#1936,#62,.T.); +#1379=EDGE_CURVE('',#1937,#1872,#63,.T.); +#1380=EDGE_CURVE('',#1871,#1938,#64,.T.); +#1381=EDGE_CURVE('',#1939,#1940,#2305,.T.); +#1382=EDGE_CURVE('',#1941,#1939,#2306,.T.); +#1383=EDGE_CURVE('',#1941,#1942,#2307,.T.); +#1384=EDGE_CURVE('',#1942,#1940,#2308,.T.); +#1385=EDGE_CURVE('',#1943,#1944,#2309,.T.); +#1386=EDGE_CURVE('',#1943,#1945,#2310,.T.); +#1387=EDGE_CURVE('',#1945,#1946,#2311,.T.); +#1388=EDGE_CURVE('',#1946,#1944,#2312,.T.); +#1389=EDGE_CURVE('',#1943,#1947,#65,.T.); +#1390=EDGE_CURVE('',#1948,#1944,#66,.T.); +#1391=EDGE_CURVE('',#1947,#1948,#2313,.T.); +#1392=EDGE_CURVE('',#1949,#1950,#2314,.T.); +#1393=EDGE_CURVE('',#1949,#1947,#2315,.T.); +#1394=EDGE_CURVE('',#1948,#1950,#2316,.T.); +#1395=EDGE_CURVE('',#1951,#1952,#2317,.T.); +#1396=EDGE_CURVE('',#1951,#1949,#67,.T.); +#1397=EDGE_CURVE('',#1950,#1952,#68,.T.); +#1398=EDGE_CURVE('',#1953,#1954,#69,.T.); +#1399=EDGE_CURVE('',#1953,#1955,#2318,.T.); +#1400=EDGE_CURVE('',#1956,#1955,#70,.T.); +#1401=EDGE_CURVE('',#1954,#1956,#2319,.T.); +#1402=EDGE_CURVE('',#1957,#1958,#2320,.T.); +#1403=EDGE_CURVE('',#1957,#1954,#2321,.T.); +#1404=EDGE_CURVE('',#1956,#1958,#2322,.T.); +#1405=EDGE_CURVE('',#1941,#1957,#71,.T.); +#1406=EDGE_CURVE('',#1958,#1942,#72,.T.); +#1407=EDGE_CURVE('',#1959,#1960,#2323,.T.); +#1408=EDGE_CURVE('',#1961,#1960,#2324,.T.); +#1409=EDGE_CURVE('',#1962,#1961,#2325,.T.); +#1410=EDGE_CURVE('',#1959,#1962,#2326,.T.); +#1411=EDGE_CURVE('',#1963,#1964,#2327,.T.); +#1412=EDGE_CURVE('',#1964,#1965,#2328,.T.); +#1413=EDGE_CURVE('',#1966,#1965,#2329,.T.); +#1414=EDGE_CURVE('',#1963,#1966,#2330,.T.); +#1415=EDGE_CURVE('',#1967,#1965,#73,.T.); +#1416=EDGE_CURVE('',#1968,#1967,#2331,.T.); +#1417=EDGE_CURVE('',#1968,#1966,#74,.T.); +#1418=EDGE_CURVE('',#1967,#1969,#2332,.T.); +#1419=EDGE_CURVE('',#1970,#1969,#2333,.T.); +#1420=EDGE_CURVE('',#1968,#1970,#2334,.T.); +#1421=EDGE_CURVE('',#1969,#1971,#75,.T.); +#1422=EDGE_CURVE('',#1972,#1971,#2335,.T.); +#1423=EDGE_CURVE('',#1970,#1972,#76,.T.); +#1424=EDGE_CURVE('',#1973,#1974,#2336,.T.); +#1425=EDGE_CURVE('',#1974,#1907,#2337,.T.); +#1426=EDGE_CURVE('',#1908,#1975,#2338,.T.); +#1427=EDGE_CURVE('',#1975,#1976,#2339,.T.); +#1428=EDGE_CURVE('',#1976,#1951,#2340,.T.); +#1429=EDGE_CURVE('',#1952,#1977,#2341,.T.); +#1430=EDGE_CURVE('',#1978,#1977,#2342,.T.); +#1431=EDGE_CURVE('',#1978,#1979,#2343,.T.); +#1432=EDGE_CURVE('',#1979,#1980,#2344,.T.); +#1433=EDGE_CURVE('',#1980,#1981,#2345,.T.); +#1434=EDGE_CURVE('',#1982,#1981,#2346,.T.); +#1435=EDGE_CURVE('',#1982,#1983,#2347,.T.); +#1436=EDGE_CURVE('',#1983,#1984,#2348,.T.); +#1437=EDGE_CURVE('',#1984,#1985,#2349,.T.); +#1438=EDGE_CURVE('',#1986,#1985,#2350,.T.); +#1439=EDGE_CURVE('',#1986,#1987,#2351,.T.); +#1440=EDGE_CURVE('',#1987,#1988,#2352,.T.); +#1441=EDGE_CURVE('',#1988,#1989,#2353,.T.); +#1442=EDGE_CURVE('',#1990,#1989,#2354,.T.); +#1443=EDGE_CURVE('',#1990,#1991,#2355,.T.); +#1444=EDGE_CURVE('',#1991,#1992,#2356,.T.); +#1445=EDGE_CURVE('',#1992,#1993,#2357,.T.); +#1446=EDGE_CURVE('',#1994,#1993,#2358,.T.); +#1447=EDGE_CURVE('',#1994,#1995,#2359,.T.); +#1448=EDGE_CURVE('',#1995,#1996,#2360,.T.); +#1449=EDGE_CURVE('',#1996,#1997,#2361,.T.); +#1450=EDGE_CURVE('',#1998,#1997,#2362,.T.); +#1451=EDGE_CURVE('',#1972,#1998,#2363,.T.); +#1452=EDGE_CURVE('',#1971,#1999,#2364,.T.); +#1453=EDGE_CURVE('',#1999,#2000,#2365,.T.); +#1454=EDGE_CURVE('',#2000,#1914,#2366,.T.); +#1455=EDGE_CURVE('',#1913,#2001,#2367,.T.); +#1456=EDGE_CURVE('',#2001,#2002,#2368,.T.); +#1457=EDGE_CURVE('',#2002,#1912,#2369,.T.); +#1458=EDGE_CURVE('',#1911,#2003,#2370,.T.); +#1459=EDGE_CURVE('',#2003,#2004,#2371,.T.); +#1460=EDGE_CURVE('',#2004,#1910,#2372,.T.); +#1461=EDGE_CURVE('',#1909,#1973,#2373,.T.); +#1462=EDGE_CURVE('',#1915,#2005,#2374,.T.); +#1463=EDGE_CURVE('',#2005,#2006,#2375,.T.); +#1464=EDGE_CURVE('',#2006,#1920,#2376,.T.); +#1465=EDGE_CURVE('',#1922,#2007,#2377,.T.); +#1466=EDGE_CURVE('',#2007,#2008,#2378,.T.); +#1467=EDGE_CURVE('',#2008,#1924,#2379,.T.); +#1468=EDGE_CURVE('',#1926,#2009,#2380,.T.); +#1469=EDGE_CURVE('',#2009,#2010,#2381,.T.); +#1470=EDGE_CURVE('',#2010,#1928,#2382,.T.); +#1471=EDGE_CURVE('',#1930,#2011,#2383,.T.); +#1472=EDGE_CURVE('',#2011,#2012,#2384,.T.); +#1473=EDGE_CURVE('',#2012,#2013,#2385,.T.); +#1474=EDGE_CURVE('',#2014,#2013,#2386,.T.); +#1475=EDGE_CURVE('',#2015,#2014,#2387,.T.); +#1476=EDGE_CURVE('',#2015,#2016,#2388,.T.); +#1477=EDGE_CURVE('',#2016,#2017,#2389,.T.); +#1478=EDGE_CURVE('',#2017,#2018,#2390,.T.); +#1479=EDGE_CURVE('',#2018,#2019,#2391,.T.); +#1480=EDGE_CURVE('',#2019,#2020,#2392,.T.); +#1481=EDGE_CURVE('',#2020,#2021,#2393,.T.); +#1482=EDGE_CURVE('',#2021,#2022,#2394,.T.); +#1483=EDGE_CURVE('',#2022,#2023,#2395,.T.); +#1484=EDGE_CURVE('',#2023,#2024,#2396,.T.); +#1485=EDGE_CURVE('',#2024,#2025,#2397,.T.); +#1486=EDGE_CURVE('',#2025,#2026,#2398,.T.); +#1487=EDGE_CURVE('',#2026,#2027,#2399,.T.); +#1488=EDGE_CURVE('',#2027,#2028,#2400,.T.); +#1489=EDGE_CURVE('',#2028,#2029,#2401,.T.); +#1490=EDGE_CURVE('',#2029,#2030,#2402,.T.); +#1491=EDGE_CURVE('',#2030,#2031,#2403,.T.); +#1492=EDGE_CURVE('',#2031,#2032,#2404,.T.); +#1493=EDGE_CURVE('',#2032,#2033,#2405,.T.); +#1494=EDGE_CURVE('',#2033,#2034,#2406,.T.); +#1495=EDGE_CURVE('',#2034,#2035,#2407,.T.); +#1496=EDGE_CURVE('',#2035,#2036,#2408,.T.); +#1497=EDGE_CURVE('',#2036,#1955,#2409,.T.); +#1498=EDGE_CURVE('',#1953,#2037,#2410,.T.); +#1499=EDGE_CURVE('',#2037,#2038,#2411,.T.); +#1500=EDGE_CURVE('',#2038,#1917,#2412,.T.); +#1501=EDGE_CURVE('',#2039,#2013,#77,.T.); +#1502=EDGE_CURVE('',#2040,#2039,#2413,.T.); +#1503=EDGE_CURVE('',#2040,#2014,#78,.T.); +#1504=EDGE_CURVE('',#2039,#2041,#2414,.T.); +#1505=EDGE_CURVE('',#2042,#2041,#2415,.T.); +#1506=EDGE_CURVE('',#2040,#2042,#2416,.T.); +#1507=EDGE_CURVE('',#2041,#1960,#79,.T.); +#1508=EDGE_CURVE('',#2042,#1959,#80,.T.); +#1509=EDGE_CURVE('',#1962,#1963,#2417,.T.); +#1510=EDGE_CURVE('',#1998,#2015,#2418,.T.); +#1511=EDGE_CURVE('',#1977,#2036,#2419,.T.); +#1512=EDGE_CURVE('',#1940,#1946,#2420,.T.); +#1513=EDGE_CURVE('',#2035,#1978,#2421,.T.); +#1514=EDGE_CURVE('',#1979,#2034,#2422,.T.); +#1515=EDGE_CURVE('',#1980,#2033,#2423,.T.); +#1516=EDGE_CURVE('',#1981,#2032,#2424,.T.); +#1517=EDGE_CURVE('',#2019,#1994,#2425,.T.); +#1518=EDGE_CURVE('',#1995,#2018,#2426,.T.); +#1519=EDGE_CURVE('',#1996,#2017,#2427,.T.); +#1520=EDGE_CURVE('',#1997,#2016,#2428,.T.); +#1521=EDGE_CURVE('',#2023,#1990,#2429,.T.); +#1522=EDGE_CURVE('',#1991,#2022,#2430,.T.); +#1523=EDGE_CURVE('',#1992,#2021,#2431,.T.); +#1524=EDGE_CURVE('',#1993,#2020,#2432,.T.); +#1525=EDGE_CURVE('',#2027,#1986,#2433,.T.); +#1526=EDGE_CURVE('',#1987,#2026,#2434,.T.); +#1527=EDGE_CURVE('',#1988,#2025,#2435,.T.); +#1528=EDGE_CURVE('',#1989,#2024,#2436,.T.); +#1529=EDGE_CURVE('',#2031,#1982,#2437,.T.); +#1530=EDGE_CURVE('',#1983,#2030,#2438,.T.); +#1531=EDGE_CURVE('',#1984,#2029,#2439,.T.); +#1532=EDGE_CURVE('',#1985,#2028,#2440,.T.); +#1533=EDGE_CURVE('',#1975,#2038,#2441,.T.); +#1534=EDGE_CURVE('',#1976,#2037,#2442,.T.); +#1535=EDGE_CURVE('',#1945,#1939,#2443,.T.); +#1536=EDGE_CURVE('',#1860,#1878,#2444,.T.); +#1537=EDGE_CURVE('',#1999,#2012,#2445,.T.); +#1538=EDGE_CURVE('',#2000,#2011,#2446,.T.); +#1539=EDGE_CURVE('',#1887,#1874,#2447,.T.); +#1540=EDGE_CURVE('',#1961,#1964,#2448,.T.); +#1541=EDGE_CURVE('',#2001,#2010,#2449,.T.); +#1542=EDGE_CURVE('',#2002,#2009,#2450,.T.); +#1543=EDGE_CURVE('',#1883,#1870,#2451,.T.); +#1544=EDGE_CURVE('',#1873,#1888,#2452,.T.); +#1545=EDGE_CURVE('',#2003,#2008,#2453,.T.); +#1546=EDGE_CURVE('',#2004,#2007,#2454,.T.); +#1547=EDGE_CURVE('',#1879,#1866,#2455,.T.); +#1548=EDGE_CURVE('',#1869,#1884,#2456,.T.); +#1549=EDGE_CURVE('',#1973,#2006,#2457,.T.); +#1550=EDGE_CURVE('',#1974,#2005,#2458,.T.); +#1551=EDGE_CURVE('',#1877,#1859,#2459,.T.); +#1552=EDGE_CURVE('',#1865,#1880,#2460,.T.); +#1553=EDGE_CURVE('',#2043,#2036,#2461,.T.); +#1554=EDGE_CURVE('',#2044,#2043,#2462,.F.); +#1555=EDGE_CURVE('',#2044,#1977,#2463,.T.); +#1556=EDGE_CURVE('',#2045,#2035,#2464,.T.); +#1557=EDGE_CURVE('',#2043,#2045,#2465,.F.); +#1558=EDGE_CURVE('',#2046,#1978,#2466,.T.); +#1559=EDGE_CURVE('',#2045,#2046,#2467,.F.); +#1560=EDGE_CURVE('',#2046,#2044,#2468,.F.); +#1561=EDGE_CURVE('',#2047,#2032,#2469,.T.); +#1562=EDGE_CURVE('',#2048,#2047,#2470,.F.); +#1563=EDGE_CURVE('',#2048,#1981,#2471,.T.); +#1564=EDGE_CURVE('',#2049,#2031,#2472,.T.); +#1565=EDGE_CURVE('',#2047,#2049,#2473,.F.); +#1566=EDGE_CURVE('',#2050,#1982,#2474,.T.); +#1567=EDGE_CURVE('',#2049,#2050,#2475,.F.); +#1568=EDGE_CURVE('',#2050,#2048,#2476,.F.); +#1569=EDGE_CURVE('',#2051,#2028,#2477,.T.); +#1570=EDGE_CURVE('',#2052,#2051,#2478,.F.); +#1571=EDGE_CURVE('',#2052,#1985,#2479,.T.); +#1572=EDGE_CURVE('',#2053,#2027,#2480,.T.); +#1573=EDGE_CURVE('',#2051,#2053,#2481,.F.); +#1574=EDGE_CURVE('',#2054,#1986,#2482,.T.); +#1575=EDGE_CURVE('',#2053,#2054,#2483,.F.); +#1576=EDGE_CURVE('',#2054,#2052,#2484,.F.); +#1577=EDGE_CURVE('',#2055,#2024,#2485,.T.); +#1578=EDGE_CURVE('',#2056,#2055,#2486,.F.); +#1579=EDGE_CURVE('',#2056,#1989,#2487,.T.); +#1580=EDGE_CURVE('',#2057,#2023,#2488,.T.); +#1581=EDGE_CURVE('',#2055,#2057,#2489,.F.); +#1582=EDGE_CURVE('',#2058,#1990,#2490,.T.); +#1583=EDGE_CURVE('',#2057,#2058,#2491,.F.); +#1584=EDGE_CURVE('',#2058,#2056,#2492,.F.); +#1585=EDGE_CURVE('',#2059,#2020,#2493,.T.); +#1586=EDGE_CURVE('',#2060,#2059,#2494,.F.); +#1587=EDGE_CURVE('',#2060,#1993,#2495,.T.); +#1588=EDGE_CURVE('',#2061,#2019,#2496,.T.); +#1589=EDGE_CURVE('',#2059,#2061,#2497,.F.); +#1590=EDGE_CURVE('',#2062,#1994,#2498,.T.); +#1591=EDGE_CURVE('',#2061,#2062,#2499,.F.); +#1592=EDGE_CURVE('',#2062,#2060,#2500,.F.); +#1593=EDGE_CURVE('',#2063,#2016,#2501,.T.); +#1594=EDGE_CURVE('',#2064,#2063,#2502,.F.); +#1595=EDGE_CURVE('',#2064,#1997,#2503,.T.); +#1596=EDGE_CURVE('',#2065,#2015,#2504,.T.); +#1597=EDGE_CURVE('',#2063,#2065,#2505,.F.); +#1598=EDGE_CURVE('',#2066,#1998,#2506,.T.); +#1599=EDGE_CURVE('',#2065,#2066,#2507,.F.); +#1600=EDGE_CURVE('',#2066,#2064,#2508,.F.); +#1601=EDGE_CURVE('',#2067,#1946,#2509,.T.); +#1602=EDGE_CURVE('',#2067,#2068,#2510,.T.); +#1603=EDGE_CURVE('',#2068,#1940,#2511,.T.); +#1604=EDGE_CURVE('',#2068,#2069,#2512,.T.); +#1605=EDGE_CURVE('',#2069,#1939,#2513,.T.); +#1606=EDGE_CURVE('',#2069,#2070,#2514,.T.); +#1607=EDGE_CURVE('',#2070,#1945,#2515,.T.); +#1608=EDGE_CURVE('',#2070,#2067,#2516,.T.); +#1609=EDGE_CURVE('',#2071,#1878,#2517,.T.); +#1610=EDGE_CURVE('',#2071,#2072,#2518,.T.); +#1611=EDGE_CURVE('',#2072,#1860,#2519,.T.); +#1612=EDGE_CURVE('',#2072,#2073,#2520,.T.); +#1613=EDGE_CURVE('',#2073,#1859,#2521,.T.); +#1614=EDGE_CURVE('',#2073,#2074,#2522,.T.); +#1615=EDGE_CURVE('',#2074,#1877,#2523,.T.); +#1616=EDGE_CURVE('',#2074,#2071,#2524,.T.); +#1617=EDGE_CURVE('',#2075,#1880,#2525,.T.); +#1618=EDGE_CURVE('',#2075,#2076,#2526,.T.); +#1619=EDGE_CURVE('',#2076,#1865,#2527,.T.); +#1620=EDGE_CURVE('',#2076,#2077,#2528,.T.); +#1621=EDGE_CURVE('',#2077,#1866,#2529,.T.); +#1622=EDGE_CURVE('',#2077,#2078,#2530,.T.); +#1623=EDGE_CURVE('',#2078,#1879,#2531,.T.); +#1624=EDGE_CURVE('',#2078,#2075,#2532,.T.); +#1625=EDGE_CURVE('',#2079,#1884,#2533,.T.); +#1626=EDGE_CURVE('',#2079,#2080,#2534,.T.); +#1627=EDGE_CURVE('',#2080,#1869,#2535,.T.); +#1628=EDGE_CURVE('',#2080,#2081,#2536,.T.); +#1629=EDGE_CURVE('',#2081,#1870,#2537,.T.); +#1630=EDGE_CURVE('',#2081,#2082,#2538,.T.); +#1631=EDGE_CURVE('',#2082,#1883,#2539,.T.); +#1632=EDGE_CURVE('',#2082,#2079,#2540,.T.); +#1633=EDGE_CURVE('',#2083,#1888,#2541,.T.); +#1634=EDGE_CURVE('',#2083,#2084,#2542,.T.); +#1635=EDGE_CURVE('',#2084,#1873,#2543,.T.); +#1636=EDGE_CURVE('',#2084,#2085,#2544,.T.); +#1637=EDGE_CURVE('',#2085,#1874,#2545,.T.); +#1638=EDGE_CURVE('',#2085,#2086,#2546,.T.); +#1639=EDGE_CURVE('',#2086,#1887,#2547,.T.); +#1640=EDGE_CURVE('',#2086,#2083,#2548,.T.); +#1641=EDGE_CURVE('',#2087,#1964,#2549,.T.); +#1642=EDGE_CURVE('',#2087,#2088,#2550,.T.); +#1643=EDGE_CURVE('',#2088,#1961,#2551,.T.); +#1644=EDGE_CURVE('',#2088,#2089,#2552,.T.); +#1645=EDGE_CURVE('',#2089,#1962,#2553,.T.); +#1646=EDGE_CURVE('',#2089,#2090,#2554,.T.); +#1647=EDGE_CURVE('',#2090,#1963,#2555,.T.); +#1648=EDGE_CURVE('',#2090,#2087,#2556,.T.); +#1649=EDGE_CURVE('',#2091,#2091,#81,.F.); +#1650=EDGE_CURVE('',#2092,#2092,#82,.F.); +#1651=EDGE_CURVE('',#2093,#2094,#2557,.T.); +#1652=EDGE_CURVE('',#2095,#2093,#2558,.T.); +#1653=EDGE_CURVE('',#2096,#2095,#2559,.T.); +#1654=EDGE_CURVE('',#2097,#2096,#2560,.T.); +#1655=EDGE_CURVE('',#2098,#2097,#2561,.T.); +#1656=EDGE_CURVE('',#2099,#2098,#2562,.T.); +#1657=EDGE_CURVE('',#2100,#2099,#2563,.T.); +#1658=EDGE_CURVE('',#2101,#2100,#2564,.T.); +#1659=EDGE_CURVE('',#2102,#2101,#2565,.T.); +#1660=EDGE_CURVE('',#2103,#2102,#2566,.T.); +#1661=EDGE_CURVE('',#2104,#2103,#2567,.T.); +#1662=EDGE_CURVE('',#2105,#2104,#2568,.T.); +#1663=EDGE_CURVE('',#2106,#2105,#2569,.T.); +#1664=EDGE_CURVE('',#2107,#2106,#2570,.T.); +#1665=EDGE_CURVE('',#2108,#2107,#2571,.T.); +#1666=EDGE_CURVE('',#2109,#2108,#2572,.T.); +#1667=EDGE_CURVE('',#2110,#2109,#2573,.T.); +#1668=EDGE_CURVE('',#2111,#2110,#2574,.T.); +#1669=EDGE_CURVE('',#2112,#2111,#2575,.T.); +#1670=EDGE_CURVE('',#2113,#2112,#2576,.T.); +#1671=EDGE_CURVE('',#2113,#2114,#2577,.T.); +#1672=EDGE_CURVE('',#2114,#2115,#2578,.T.); +#1673=EDGE_CURVE('',#2116,#2115,#2579,.T.); +#1674=EDGE_CURVE('',#2117,#2116,#2580,.T.); +#1675=EDGE_CURVE('',#2118,#2117,#2581,.T.); +#1676=EDGE_CURVE('',#2118,#2119,#2582,.T.); +#1677=EDGE_CURVE('',#2120,#2119,#2583,.T.); +#1678=EDGE_CURVE('',#2121,#2120,#2584,.T.); +#1679=EDGE_CURVE('',#2122,#2121,#2585,.T.); +#1680=EDGE_CURVE('',#2122,#2123,#2586,.T.); +#1681=EDGE_CURVE('',#2124,#2123,#2587,.T.); +#1682=EDGE_CURVE('',#2125,#2124,#2588,.T.); +#1683=EDGE_CURVE('',#2126,#2125,#2589,.T.); +#1684=EDGE_CURVE('',#2126,#2127,#2590,.T.); +#1685=EDGE_CURVE('',#2128,#2127,#2591,.T.); +#1686=EDGE_CURVE('',#2129,#2128,#2592,.T.); +#1687=EDGE_CURVE('',#2130,#2129,#2593,.T.); +#1688=EDGE_CURVE('',#2130,#2131,#2594,.T.); +#1689=EDGE_CURVE('',#2132,#2131,#2595,.T.); +#1690=EDGE_CURVE('',#2133,#2132,#2596,.T.); +#1691=EDGE_CURVE('',#2134,#2133,#2597,.T.); +#1692=EDGE_CURVE('',#2134,#2135,#2598,.T.); +#1693=EDGE_CURVE('',#2136,#2135,#2599,.T.); +#1694=EDGE_CURVE('',#2094,#2136,#2600,.T.); +#1695=EDGE_CURVE('',#2137,#2138,#2601,.T.); +#1696=EDGE_CURVE('',#2137,#2139,#2602,.T.); +#1697=EDGE_CURVE('',#2139,#2140,#2603,.T.); +#1698=EDGE_CURVE('',#2140,#2138,#2604,.T.); +#1699=EDGE_CURVE('',#2141,#2142,#2605,.T.); +#1700=EDGE_CURVE('',#2141,#2143,#2606,.T.); +#1701=EDGE_CURVE('',#2143,#2144,#2607,.T.); +#1702=EDGE_CURVE('',#2144,#2142,#2608,.T.); +#1703=EDGE_CURVE('',#2145,#2146,#2609,.T.); +#1704=EDGE_CURVE('',#2145,#2147,#2610,.T.); +#1705=EDGE_CURVE('',#2147,#2148,#2611,.T.); +#1706=EDGE_CURVE('',#2148,#2146,#2612,.T.); +#1707=EDGE_CURVE('',#2149,#2150,#2613,.T.); +#1708=EDGE_CURVE('',#2149,#2151,#2614,.T.); +#1709=EDGE_CURVE('',#2151,#2152,#2615,.T.); +#1710=EDGE_CURVE('',#2152,#2150,#2616,.T.); +#1711=EDGE_CURVE('',#2153,#2154,#2617,.T.); +#1712=EDGE_CURVE('',#2153,#2155,#2618,.T.); +#1713=EDGE_CURVE('',#2155,#2156,#2619,.T.); +#1714=EDGE_CURVE('',#2156,#2154,#2620,.T.); +#1715=EDGE_CURVE('',#2157,#2158,#2621,.T.); +#1716=EDGE_CURVE('',#2159,#2157,#2622,.T.); +#1717=EDGE_CURVE('',#2160,#2159,#2623,.T.); +#1718=EDGE_CURVE('',#2158,#2160,#2624,.T.); +#1719=EDGE_CURVE('',#2161,#2112,#2625,.T.); +#1720=EDGE_CURVE('',#2161,#2162,#2626,.T.); +#1721=EDGE_CURVE('',#2162,#2113,#2627,.T.); +#1722=EDGE_CURVE('',#2163,#2164,#2628,.T.); +#1723=EDGE_CURVE('',#2165,#2163,#2629,.T.); +#1724=EDGE_CURVE('',#2166,#2165,#2630,.T.); +#1725=EDGE_CURVE('',#2164,#2166,#2631,.T.); +#1726=EDGE_CURVE('',#2094,#2167,#2632,.T.); +#1727=EDGE_CURVE('',#2136,#2168,#2633,.T.); +#1728=EDGE_CURVE('',#2168,#2167,#2634,.T.); +#1729=EDGE_CURVE('',#2169,#2170,#2635,.T.); +#1730=EDGE_CURVE('',#2171,#2169,#2636,.T.); +#1731=EDGE_CURVE('',#2172,#2171,#2637,.T.); +#1732=EDGE_CURVE('',#2170,#2172,#2638,.T.); +#1733=EDGE_CURVE('',#2173,#2096,#2639,.T.); +#1734=EDGE_CURVE('',#2173,#2174,#2640,.T.); +#1735=EDGE_CURVE('',#2097,#2174,#2641,.T.); +#1736=EDGE_CURVE('',#2175,#2176,#2642,.T.); +#1737=EDGE_CURVE('',#2177,#2175,#2643,.T.); +#1738=EDGE_CURVE('',#2178,#2177,#2644,.T.); +#1739=EDGE_CURVE('',#2176,#2178,#2645,.T.); +#1740=EDGE_CURVE('',#2179,#2100,#2646,.T.); +#1741=EDGE_CURVE('',#2179,#2180,#2647,.T.); +#1742=EDGE_CURVE('',#2101,#2180,#2648,.T.); +#1743=EDGE_CURVE('',#2181,#2182,#2649,.T.); +#1744=EDGE_CURVE('',#2183,#2181,#2650,.T.); +#1745=EDGE_CURVE('',#2184,#2183,#2651,.T.); +#1746=EDGE_CURVE('',#2182,#2184,#2652,.T.); +#1747=EDGE_CURVE('',#2185,#2104,#2653,.T.); +#1748=EDGE_CURVE('',#2185,#2186,#2654,.T.); +#1749=EDGE_CURVE('',#2105,#2186,#2655,.T.); +#1750=EDGE_CURVE('',#2187,#2188,#2656,.T.); +#1751=EDGE_CURVE('',#2189,#2187,#2657,.T.); +#1752=EDGE_CURVE('',#2190,#2189,#2658,.T.); +#1753=EDGE_CURVE('',#2188,#2190,#2659,.T.); +#1754=EDGE_CURVE('',#2191,#2108,#2660,.T.); +#1755=EDGE_CURVE('',#2191,#2192,#2661,.T.); +#1756=EDGE_CURVE('',#2109,#2192,#2662,.T.); +#1757=EDGE_CURVE('',#2193,#2194,#2663,.T.); +#1758=EDGE_CURVE('',#2194,#2195,#2664,.T.); +#1759=EDGE_CURVE('',#2195,#2196,#2665,.T.); +#1760=EDGE_CURVE('',#2196,#2193,#2666,.T.); +#1761=EDGE_CURVE('',#2115,#2197,#2667,.T.); +#1762=EDGE_CURVE('',#2198,#2114,#2668,.T.); +#1763=EDGE_CURVE('',#2197,#2198,#2669,.T.); +#1764=EDGE_CURVE('',#2199,#2200,#2670,.T.); +#1765=EDGE_CURVE('',#2200,#2201,#2671,.T.); +#1766=EDGE_CURVE('',#2201,#2202,#2672,.T.); +#1767=EDGE_CURVE('',#2202,#2199,#2673,.T.); +#1768=EDGE_CURVE('',#2118,#2203,#2674,.T.); +#1769=EDGE_CURVE('',#2204,#2203,#2675,.T.); +#1770=EDGE_CURVE('',#2119,#2204,#2676,.T.); +#1771=EDGE_CURVE('',#2205,#2206,#2677,.T.); +#1772=EDGE_CURVE('',#2206,#2207,#2678,.T.); +#1773=EDGE_CURVE('',#2207,#2208,#2679,.T.); +#1774=EDGE_CURVE('',#2208,#2205,#2680,.T.); +#1775=EDGE_CURVE('',#2122,#2209,#2681,.T.); +#1776=EDGE_CURVE('',#2210,#2209,#2682,.T.); +#1777=EDGE_CURVE('',#2123,#2210,#2683,.T.); +#1778=EDGE_CURVE('',#2211,#2212,#2684,.T.); +#1779=EDGE_CURVE('',#2212,#2213,#2685,.T.); +#1780=EDGE_CURVE('',#2213,#2214,#2686,.T.); +#1781=EDGE_CURVE('',#2214,#2211,#2687,.T.); +#1782=EDGE_CURVE('',#2126,#2215,#2688,.T.); +#1783=EDGE_CURVE('',#2216,#2215,#2689,.T.); +#1784=EDGE_CURVE('',#2127,#2216,#2690,.T.); +#1785=EDGE_CURVE('',#2217,#2218,#2691,.T.); +#1786=EDGE_CURVE('',#2218,#2219,#2692,.T.); +#1787=EDGE_CURVE('',#2219,#2220,#2693,.T.); +#1788=EDGE_CURVE('',#2220,#2217,#2694,.T.); +#1789=EDGE_CURVE('',#2130,#2221,#2695,.T.); +#1790=EDGE_CURVE('',#2222,#2221,#2696,.T.); +#1791=EDGE_CURVE('',#2131,#2222,#2697,.T.); +#1792=EDGE_CURVE('',#2223,#2224,#2698,.T.); +#1793=EDGE_CURVE('',#2224,#2225,#2699,.T.); +#1794=EDGE_CURVE('',#2225,#2226,#2700,.T.); +#1795=EDGE_CURVE('',#2226,#2223,#2701,.T.); +#1796=EDGE_CURVE('',#2134,#2227,#2702,.T.); +#1797=EDGE_CURVE('',#2228,#2227,#2703,.T.); +#1798=EDGE_CURVE('',#2135,#2228,#2704,.T.); +#1799=EDGE_CURVE('',#2197,#2161,#2705,.T.); +#1800=EDGE_CURVE('',#2162,#2198,#2706,.T.); +#1801=EDGE_CURVE('',#2192,#2203,#2707,.T.); +#1802=EDGE_CURVE('',#2204,#2191,#2708,.T.); +#1803=EDGE_CURVE('',#2186,#2209,#2709,.T.); +#1804=EDGE_CURVE('',#2210,#2185,#2710,.T.); +#1805=EDGE_CURVE('',#2180,#2215,#2711,.T.); +#1806=EDGE_CURVE('',#2216,#2179,#2712,.T.); +#1807=EDGE_CURVE('',#2174,#2221,#2713,.T.); +#1808=EDGE_CURVE('',#2222,#2173,#2714,.T.); +#1809=EDGE_CURVE('',#2167,#2227,#2715,.T.); +#1810=EDGE_CURVE('',#2168,#2228,#2716,.T.); +#1811=EDGE_CURVE('',#2163,#2223,#2717,.T.); +#1812=EDGE_CURVE('',#2164,#2224,#2718,.T.); +#1813=EDGE_CURVE('',#2165,#2226,#2719,.T.); +#1814=EDGE_CURVE('',#2166,#2225,#2720,.T.); +#1815=EDGE_CURVE('',#2169,#2217,#2721,.T.); +#1816=EDGE_CURVE('',#2170,#2218,#2722,.T.); +#1817=EDGE_CURVE('',#2171,#2220,#2723,.T.); +#1818=EDGE_CURVE('',#2172,#2219,#2724,.T.); +#1819=EDGE_CURVE('',#2175,#2211,#2725,.T.); +#1820=EDGE_CURVE('',#2176,#2212,#2726,.T.); +#1821=EDGE_CURVE('',#2177,#2214,#2727,.T.); +#1822=EDGE_CURVE('',#2178,#2213,#2728,.T.); +#1823=EDGE_CURVE('',#2181,#2205,#2729,.T.); +#1824=EDGE_CURVE('',#2182,#2206,#2730,.T.); +#1825=EDGE_CURVE('',#2183,#2208,#2731,.T.); +#1826=EDGE_CURVE('',#2184,#2207,#2732,.T.); +#1827=EDGE_CURVE('',#2187,#2199,#2733,.T.); +#1828=EDGE_CURVE('',#2188,#2200,#2734,.T.); +#1829=EDGE_CURVE('',#2189,#2202,#2735,.T.); +#1830=EDGE_CURVE('',#2190,#2201,#2736,.T.); +#1831=EDGE_CURVE('',#2157,#2193,#2737,.T.); +#1832=EDGE_CURVE('',#2158,#2194,#2738,.T.); +#1833=EDGE_CURVE('',#2159,#2196,#2739,.T.); +#1834=EDGE_CURVE('',#2160,#2195,#2740,.T.); +#1835=EDGE_CURVE('',#2140,#2133,#2741,.T.); +#1836=EDGE_CURVE('',#2139,#2093,#2742,.T.); +#1837=EDGE_CURVE('',#2137,#2095,#2743,.T.); +#1838=EDGE_CURVE('',#2138,#2132,#2744,.T.); +#1839=EDGE_CURVE('',#2144,#2129,#2745,.T.); +#1840=EDGE_CURVE('',#2143,#2098,#2746,.T.); +#1841=EDGE_CURVE('',#2141,#2099,#2747,.T.); +#1842=EDGE_CURVE('',#2142,#2128,#2748,.T.); +#1843=EDGE_CURVE('',#2148,#2125,#2749,.T.); +#1844=EDGE_CURVE('',#2147,#2102,#2750,.T.); +#1845=EDGE_CURVE('',#2145,#2103,#2751,.T.); +#1846=EDGE_CURVE('',#2146,#2124,#2752,.T.); +#1847=EDGE_CURVE('',#2152,#2121,#2753,.T.); +#1848=EDGE_CURVE('',#2151,#2106,#2754,.T.); +#1849=EDGE_CURVE('',#2149,#2107,#2755,.T.); +#1850=EDGE_CURVE('',#2150,#2120,#2756,.T.); +#1851=EDGE_CURVE('',#2153,#2111,#2757,.T.); +#1852=EDGE_CURVE('',#2154,#2116,#2758,.T.); +#1853=EDGE_CURVE('',#2156,#2117,#2759,.T.); +#1854=EDGE_CURVE('',#2155,#2110,#2760,.T.); +#1855=EDGE_CURVE('',#2229,#2229,#83,.T.); +#1856=EDGE_CURVE('',#2230,#2230,#84,.T.); +#1857=EDGE_CURVE('',#2231,#2231,#85,.T.); +#1858=EDGE_CURVE('',#2232,#2232,#86,.T.); +#1859=VERTEX_POINT('',#5550); +#1860=VERTEX_POINT('',#5551); +#1861=VERTEX_POINT('',#5553); +#1862=VERTEX_POINT('',#5555); +#1863=VERTEX_POINT('',#5559); +#1864=VERTEX_POINT('',#5560); +#1865=VERTEX_POINT('',#5562); +#1866=VERTEX_POINT('',#5564); +#1867=VERTEX_POINT('',#5568); +#1868=VERTEX_POINT('',#5569); +#1869=VERTEX_POINT('',#5571); +#1870=VERTEX_POINT('',#5573); +#1871=VERTEX_POINT('',#5577); +#1872=VERTEX_POINT('',#5578); +#1873=VERTEX_POINT('',#5580); +#1874=VERTEX_POINT('',#5582); +#1875=VERTEX_POINT('',#5586); +#1876=VERTEX_POINT('',#5587); +#1877=VERTEX_POINT('',#5589); +#1878=VERTEX_POINT('',#5591); +#1879=VERTEX_POINT('',#5595); +#1880=VERTEX_POINT('',#5596); +#1881=VERTEX_POINT('',#5598); +#1882=VERTEX_POINT('',#5600); +#1883=VERTEX_POINT('',#5604); +#1884=VERTEX_POINT('',#5605); +#1885=VERTEX_POINT('',#5607); +#1886=VERTEX_POINT('',#5609); +#1887=VERTEX_POINT('',#5613); +#1888=VERTEX_POINT('',#5614); +#1889=VERTEX_POINT('',#5616); +#1890=VERTEX_POINT('',#5618); +#1891=VERTEX_POINT('',#5622); +#1892=VERTEX_POINT('',#5624); +#1893=VERTEX_POINT('',#5628); +#1894=VERTEX_POINT('',#5630); +#1895=VERTEX_POINT('',#5634); +#1896=VERTEX_POINT('',#5636); +#1897=VERTEX_POINT('',#5640); +#1898=VERTEX_POINT('',#5642); +#1899=VERTEX_POINT('',#5646); +#1900=VERTEX_POINT('',#5647); +#1901=VERTEX_POINT('',#5652); +#1902=VERTEX_POINT('',#5654); +#1903=VERTEX_POINT('',#5658); +#1904=VERTEX_POINT('',#5660); +#1905=VERTEX_POINT('',#5664); +#1906=VERTEX_POINT('',#5666); +#1907=VERTEX_POINT('',#5670); +#1908=VERTEX_POINT('',#5671); +#1909=VERTEX_POINT('',#5676); +#1910=VERTEX_POINT('',#5678); +#1911=VERTEX_POINT('',#5682); +#1912=VERTEX_POINT('',#5684); +#1913=VERTEX_POINT('',#5688); +#1914=VERTEX_POINT('',#5690); +#1915=VERTEX_POINT('',#5694); +#1916=VERTEX_POINT('',#5695); +#1917=VERTEX_POINT('',#5697); +#1918=VERTEX_POINT('',#5699); +#1919=VERTEX_POINT('',#5703); +#1920=VERTEX_POINT('',#5704); +#1921=VERTEX_POINT('',#5706); +#1922=VERTEX_POINT('',#5708); +#1923=VERTEX_POINT('',#5712); +#1924=VERTEX_POINT('',#5713); +#1925=VERTEX_POINT('',#5715); +#1926=VERTEX_POINT('',#5717); +#1927=VERTEX_POINT('',#5721); +#1928=VERTEX_POINT('',#5722); +#1929=VERTEX_POINT('',#5724); +#1930=VERTEX_POINT('',#5726); +#1931=VERTEX_POINT('',#5730); +#1932=VERTEX_POINT('',#5731); +#1933=VERTEX_POINT('',#5736); +#1934=VERTEX_POINT('',#5738); +#1935=VERTEX_POINT('',#5742); +#1936=VERTEX_POINT('',#5744); +#1937=VERTEX_POINT('',#5748); +#1938=VERTEX_POINT('',#5750); +#1939=VERTEX_POINT('',#5766); +#1940=VERTEX_POINT('',#5767); +#1941=VERTEX_POINT('',#5769); +#1942=VERTEX_POINT('',#5771); +#1943=VERTEX_POINT('',#5775); +#1944=VERTEX_POINT('',#5776); +#1945=VERTEX_POINT('',#5778); +#1946=VERTEX_POINT('',#5780); +#1947=VERTEX_POINT('',#5784); +#1948=VERTEX_POINT('',#5786); +#1949=VERTEX_POINT('',#5790); +#1950=VERTEX_POINT('',#5791); +#1951=VERTEX_POINT('',#5796); +#1952=VERTEX_POINT('',#5797); +#1953=VERTEX_POINT('',#5802); +#1954=VERTEX_POINT('',#5803); +#1955=VERTEX_POINT('',#5805); +#1956=VERTEX_POINT('',#5807); +#1957=VERTEX_POINT('',#5811); +#1958=VERTEX_POINT('',#5812); +#1959=VERTEX_POINT('',#5820); +#1960=VERTEX_POINT('',#5821); +#1961=VERTEX_POINT('',#5823); +#1962=VERTEX_POINT('',#5825); +#1963=VERTEX_POINT('',#5829); +#1964=VERTEX_POINT('',#5830); +#1965=VERTEX_POINT('',#5832); +#1966=VERTEX_POINT('',#5834); +#1967=VERTEX_POINT('',#5838); +#1968=VERTEX_POINT('',#5840); +#1969=VERTEX_POINT('',#5844); +#1970=VERTEX_POINT('',#5846); +#1971=VERTEX_POINT('',#5850); +#1972=VERTEX_POINT('',#5852); +#1973=VERTEX_POINT('',#5856); +#1974=VERTEX_POINT('',#5857); +#1975=VERTEX_POINT('',#5860); +#1976=VERTEX_POINT('',#5862); +#1977=VERTEX_POINT('',#5865); +#1978=VERTEX_POINT('',#5867); +#1979=VERTEX_POINT('',#5869); +#1980=VERTEX_POINT('',#5871); +#1981=VERTEX_POINT('',#5873); +#1982=VERTEX_POINT('',#5875); +#1983=VERTEX_POINT('',#5877); +#1984=VERTEX_POINT('',#5879); +#1985=VERTEX_POINT('',#5881); +#1986=VERTEX_POINT('',#5883); +#1987=VERTEX_POINT('',#5885); +#1988=VERTEX_POINT('',#5887); +#1989=VERTEX_POINT('',#5889); +#1990=VERTEX_POINT('',#5891); +#1991=VERTEX_POINT('',#5893); +#1992=VERTEX_POINT('',#5895); +#1993=VERTEX_POINT('',#5897); +#1994=VERTEX_POINT('',#5899); +#1995=VERTEX_POINT('',#5901); +#1996=VERTEX_POINT('',#5903); +#1997=VERTEX_POINT('',#5905); +#1998=VERTEX_POINT('',#5907); +#1999=VERTEX_POINT('',#5910); +#2000=VERTEX_POINT('',#5912); +#2001=VERTEX_POINT('',#5915); +#2002=VERTEX_POINT('',#5917); +#2003=VERTEX_POINT('',#5920); +#2004=VERTEX_POINT('',#5922); +#2005=VERTEX_POINT('',#5927); +#2006=VERTEX_POINT('',#5929); +#2007=VERTEX_POINT('',#5932); +#2008=VERTEX_POINT('',#5934); +#2009=VERTEX_POINT('',#5937); +#2010=VERTEX_POINT('',#5939); +#2011=VERTEX_POINT('',#5942); +#2012=VERTEX_POINT('',#5944); +#2013=VERTEX_POINT('',#5946); +#2014=VERTEX_POINT('',#5948); +#2015=VERTEX_POINT('',#5950); +#2016=VERTEX_POINT('',#5952); +#2017=VERTEX_POINT('',#5954); +#2018=VERTEX_POINT('',#5956); +#2019=VERTEX_POINT('',#5958); +#2020=VERTEX_POINT('',#5960); +#2021=VERTEX_POINT('',#5962); +#2022=VERTEX_POINT('',#5964); +#2023=VERTEX_POINT('',#5966); +#2024=VERTEX_POINT('',#5968); +#2025=VERTEX_POINT('',#5970); +#2026=VERTEX_POINT('',#5972); +#2027=VERTEX_POINT('',#5974); +#2028=VERTEX_POINT('',#5976); +#2029=VERTEX_POINT('',#5978); +#2030=VERTEX_POINT('',#5980); +#2031=VERTEX_POINT('',#5982); +#2032=VERTEX_POINT('',#5984); +#2033=VERTEX_POINT('',#5986); +#2034=VERTEX_POINT('',#5988); +#2035=VERTEX_POINT('',#5990); +#2036=VERTEX_POINT('',#5992); +#2037=VERTEX_POINT('',#5995); +#2038=VERTEX_POINT('',#5997); +#2039=VERTEX_POINT('',#6001); +#2040=VERTEX_POINT('',#6003); +#2041=VERTEX_POINT('',#6007); +#2042=VERTEX_POINT('',#6009); +#2043=VERTEX_POINT('',#6092); +#2044=VERTEX_POINT('',#6094); +#2045=VERTEX_POINT('',#6098); +#2046=VERTEX_POINT('',#6102); +#2047=VERTEX_POINT('',#6109); +#2048=VERTEX_POINT('',#6111); +#2049=VERTEX_POINT('',#6115); +#2050=VERTEX_POINT('',#6119); +#2051=VERTEX_POINT('',#6126); +#2052=VERTEX_POINT('',#6128); +#2053=VERTEX_POINT('',#6132); +#2054=VERTEX_POINT('',#6136); +#2055=VERTEX_POINT('',#6143); +#2056=VERTEX_POINT('',#6145); +#2057=VERTEX_POINT('',#6149); +#2058=VERTEX_POINT('',#6153); +#2059=VERTEX_POINT('',#6160); +#2060=VERTEX_POINT('',#6162); +#2061=VERTEX_POINT('',#6166); +#2062=VERTEX_POINT('',#6170); +#2063=VERTEX_POINT('',#6177); +#2064=VERTEX_POINT('',#6179); +#2065=VERTEX_POINT('',#6183); +#2066=VERTEX_POINT('',#6187); +#2067=VERTEX_POINT('',#6194); +#2068=VERTEX_POINT('',#6196); +#2069=VERTEX_POINT('',#6200); +#2070=VERTEX_POINT('',#6204); +#2071=VERTEX_POINT('',#6211); +#2072=VERTEX_POINT('',#6213); +#2073=VERTEX_POINT('',#6217); +#2074=VERTEX_POINT('',#6221); +#2075=VERTEX_POINT('',#6228); +#2076=VERTEX_POINT('',#6230); +#2077=VERTEX_POINT('',#6234); +#2078=VERTEX_POINT('',#6238); +#2079=VERTEX_POINT('',#6245); +#2080=VERTEX_POINT('',#6247); +#2081=VERTEX_POINT('',#6251); +#2082=VERTEX_POINT('',#6255); +#2083=VERTEX_POINT('',#6262); +#2084=VERTEX_POINT('',#6264); +#2085=VERTEX_POINT('',#6268); +#2086=VERTEX_POINT('',#6272); +#2087=VERTEX_POINT('',#6279); +#2088=VERTEX_POINT('',#6281); +#2089=VERTEX_POINT('',#6285); +#2090=VERTEX_POINT('',#6289); +#2091=VERTEX_POINT('',#6297); +#2092=VERTEX_POINT('',#6299); +#2093=VERTEX_POINT('',#6301); +#2094=VERTEX_POINT('',#6302); +#2095=VERTEX_POINT('',#6304); +#2096=VERTEX_POINT('',#6306); +#2097=VERTEX_POINT('',#6308); +#2098=VERTEX_POINT('',#6310); +#2099=VERTEX_POINT('',#6312); +#2100=VERTEX_POINT('',#6314); +#2101=VERTEX_POINT('',#6316); +#2102=VERTEX_POINT('',#6318); +#2103=VERTEX_POINT('',#6320); +#2104=VERTEX_POINT('',#6322); +#2105=VERTEX_POINT('',#6324); +#2106=VERTEX_POINT('',#6326); +#2107=VERTEX_POINT('',#6328); +#2108=VERTEX_POINT('',#6330); +#2109=VERTEX_POINT('',#6332); +#2110=VERTEX_POINT('',#6334); +#2111=VERTEX_POINT('',#6336); +#2112=VERTEX_POINT('',#6338); +#2113=VERTEX_POINT('',#6340); +#2114=VERTEX_POINT('',#6342); +#2115=VERTEX_POINT('',#6344); +#2116=VERTEX_POINT('',#6346); +#2117=VERTEX_POINT('',#6348); +#2118=VERTEX_POINT('',#6350); +#2119=VERTEX_POINT('',#6352); +#2120=VERTEX_POINT('',#6354); +#2121=VERTEX_POINT('',#6356); +#2122=VERTEX_POINT('',#6358); +#2123=VERTEX_POINT('',#6360); +#2124=VERTEX_POINT('',#6362); +#2125=VERTEX_POINT('',#6364); +#2126=VERTEX_POINT('',#6366); +#2127=VERTEX_POINT('',#6368); +#2128=VERTEX_POINT('',#6370); +#2129=VERTEX_POINT('',#6372); +#2130=VERTEX_POINT('',#6374); +#2131=VERTEX_POINT('',#6376); +#2132=VERTEX_POINT('',#6378); +#2133=VERTEX_POINT('',#6380); +#2134=VERTEX_POINT('',#6382); +#2135=VERTEX_POINT('',#6384); +#2136=VERTEX_POINT('',#6386); +#2137=VERTEX_POINT('',#6390); +#2138=VERTEX_POINT('',#6391); +#2139=VERTEX_POINT('',#6393); +#2140=VERTEX_POINT('',#6395); +#2141=VERTEX_POINT('',#6399); +#2142=VERTEX_POINT('',#6400); +#2143=VERTEX_POINT('',#6402); +#2144=VERTEX_POINT('',#6404); +#2145=VERTEX_POINT('',#6408); +#2146=VERTEX_POINT('',#6409); +#2147=VERTEX_POINT('',#6411); +#2148=VERTEX_POINT('',#6413); +#2149=VERTEX_POINT('',#6417); +#2150=VERTEX_POINT('',#6418); +#2151=VERTEX_POINT('',#6420); +#2152=VERTEX_POINT('',#6422); +#2153=VERTEX_POINT('',#6426); +#2154=VERTEX_POINT('',#6427); +#2155=VERTEX_POINT('',#6429); +#2156=VERTEX_POINT('',#6431); +#2157=VERTEX_POINT('',#6435); +#2158=VERTEX_POINT('',#6436); +#2159=VERTEX_POINT('',#6438); +#2160=VERTEX_POINT('',#6440); +#2161=VERTEX_POINT('',#6443); +#2162=VERTEX_POINT('',#6445); +#2163=VERTEX_POINT('',#6449); +#2164=VERTEX_POINT('',#6450); +#2165=VERTEX_POINT('',#6452); +#2166=VERTEX_POINT('',#6454); +#2167=VERTEX_POINT('',#6457); +#2168=VERTEX_POINT('',#6459); +#2169=VERTEX_POINT('',#6463); +#2170=VERTEX_POINT('',#6464); +#2171=VERTEX_POINT('',#6466); +#2172=VERTEX_POINT('',#6468); +#2173=VERTEX_POINT('',#6471); +#2174=VERTEX_POINT('',#6473); +#2175=VERTEX_POINT('',#6477); +#2176=VERTEX_POINT('',#6478); +#2177=VERTEX_POINT('',#6480); +#2178=VERTEX_POINT('',#6482); +#2179=VERTEX_POINT('',#6485); +#2180=VERTEX_POINT('',#6487); +#2181=VERTEX_POINT('',#6491); +#2182=VERTEX_POINT('',#6492); +#2183=VERTEX_POINT('',#6494); +#2184=VERTEX_POINT('',#6496); +#2185=VERTEX_POINT('',#6499); +#2186=VERTEX_POINT('',#6501); +#2187=VERTEX_POINT('',#6505); +#2188=VERTEX_POINT('',#6506); +#2189=VERTEX_POINT('',#6508); +#2190=VERTEX_POINT('',#6510); +#2191=VERTEX_POINT('',#6513); +#2192=VERTEX_POINT('',#6515); +#2193=VERTEX_POINT('',#6519); +#2194=VERTEX_POINT('',#6520); +#2195=VERTEX_POINT('',#6522); +#2196=VERTEX_POINT('',#6524); +#2197=VERTEX_POINT('',#6527); +#2198=VERTEX_POINT('',#6529); +#2199=VERTEX_POINT('',#6533); +#2200=VERTEX_POINT('',#6534); +#2201=VERTEX_POINT('',#6536); +#2202=VERTEX_POINT('',#6538); +#2203=VERTEX_POINT('',#6541); +#2204=VERTEX_POINT('',#6543); +#2205=VERTEX_POINT('',#6547); +#2206=VERTEX_POINT('',#6548); +#2207=VERTEX_POINT('',#6550); +#2208=VERTEX_POINT('',#6552); +#2209=VERTEX_POINT('',#6555); +#2210=VERTEX_POINT('',#6557); +#2211=VERTEX_POINT('',#6561); +#2212=VERTEX_POINT('',#6562); +#2213=VERTEX_POINT('',#6564); +#2214=VERTEX_POINT('',#6566); +#2215=VERTEX_POINT('',#6569); +#2216=VERTEX_POINT('',#6571); +#2217=VERTEX_POINT('',#6575); +#2218=VERTEX_POINT('',#6576); +#2219=VERTEX_POINT('',#6578); +#2220=VERTEX_POINT('',#6580); +#2221=VERTEX_POINT('',#6583); +#2222=VERTEX_POINT('',#6585); +#2223=VERTEX_POINT('',#6589); +#2224=VERTEX_POINT('',#6590); +#2225=VERTEX_POINT('',#6592); +#2226=VERTEX_POINT('',#6594); +#2227=VERTEX_POINT('',#6597); +#2228=VERTEX_POINT('',#6599); +#2229=VERTEX_POINT('',#6711); +#2230=VERTEX_POINT('',#6714); +#2231=VERTEX_POINT('',#6718); +#2232=VERTEX_POINT('',#6721); +#2233=LINE('',#5549,#2761); +#2234=LINE('',#5552,#2762); +#2235=LINE('',#5554,#2763); +#2236=LINE('',#5556,#2764); +#2237=LINE('',#5558,#2765); +#2238=LINE('',#5561,#2766); +#2239=LINE('',#5563,#2767); +#2240=LINE('',#5565,#2768); +#2241=LINE('',#5567,#2769); +#2242=LINE('',#5570,#2770); +#2243=LINE('',#5572,#2771); +#2244=LINE('',#5574,#2772); +#2245=LINE('',#5576,#2773); +#2246=LINE('',#5579,#2774); +#2247=LINE('',#5581,#2775); +#2248=LINE('',#5583,#2776); +#2249=LINE('',#5585,#2777); +#2250=LINE('',#5588,#2778); +#2251=LINE('',#5590,#2779); +#2252=LINE('',#5592,#2780); +#2253=LINE('',#5594,#2781); +#2254=LINE('',#5597,#2782); +#2255=LINE('',#5599,#2783); +#2256=LINE('',#5601,#2784); +#2257=LINE('',#5603,#2785); +#2258=LINE('',#5606,#2786); +#2259=LINE('',#5608,#2787); +#2260=LINE('',#5610,#2788); +#2261=LINE('',#5612,#2789); +#2262=LINE('',#5615,#2790); +#2263=LINE('',#5617,#2791); +#2264=LINE('',#5619,#2792); +#2265=LINE('',#5625,#2793); +#2266=LINE('',#5629,#2794); +#2267=LINE('',#5635,#2795); +#2268=LINE('',#5641,#2796); +#2269=LINE('',#5645,#2797); +#2270=LINE('',#5648,#2798); +#2271=LINE('',#5649,#2799); +#2272=LINE('',#5651,#2800); +#2273=LINE('',#5653,#2801); +#2274=LINE('',#5655,#2802); +#2275=LINE('',#5657,#2803); +#2276=LINE('',#5659,#2804); +#2277=LINE('',#5661,#2805); +#2278=LINE('',#5663,#2806); +#2279=LINE('',#5665,#2807); +#2280=LINE('',#5667,#2808); +#2281=LINE('',#5669,#2809); +#2282=LINE('',#5677,#2810); +#2283=LINE('',#5683,#2811); +#2284=LINE('',#5689,#2812); +#2285=LINE('',#5696,#2813); +#2286=LINE('',#5700,#2814); +#2287=LINE('',#5705,#2815); +#2288=LINE('',#5709,#2816); +#2289=LINE('',#5714,#2817); +#2290=LINE('',#5718,#2818); +#2291=LINE('',#5723,#2819); +#2292=LINE('',#5727,#2820); +#2293=LINE('',#5729,#2821); +#2294=LINE('',#5732,#2822); +#2295=LINE('',#5733,#2823); +#2296=LINE('',#5735,#2824); +#2297=LINE('',#5737,#2825); +#2298=LINE('',#5739,#2826); +#2299=LINE('',#5741,#2827); +#2300=LINE('',#5743,#2828); +#2301=LINE('',#5745,#2829); +#2302=LINE('',#5747,#2830); +#2303=LINE('',#5749,#2831); +#2304=LINE('',#5751,#2832); +#2305=LINE('',#5765,#2833); +#2306=LINE('',#5768,#2834); +#2307=LINE('',#5770,#2835); +#2308=LINE('',#5772,#2836); +#2309=LINE('',#5774,#2837); +#2310=LINE('',#5777,#2838); +#2311=LINE('',#5779,#2839); +#2312=LINE('',#5781,#2840); +#2313=LINE('',#5787,#2841); +#2314=LINE('',#5789,#2842); +#2315=LINE('',#5792,#2843); +#2316=LINE('',#5793,#2844); +#2317=LINE('',#5795,#2845); +#2318=LINE('',#5804,#2846); +#2319=LINE('',#5808,#2847); +#2320=LINE('',#5810,#2848); +#2321=LINE('',#5813,#2849); +#2322=LINE('',#5814,#2850); +#2323=LINE('',#5819,#2851); +#2324=LINE('',#5822,#2852); +#2325=LINE('',#5824,#2853); +#2326=LINE('',#5826,#2854); +#2327=LINE('',#5828,#2855); +#2328=LINE('',#5831,#2856); +#2329=LINE('',#5833,#2857); +#2330=LINE('',#5835,#2858); +#2331=LINE('',#5839,#2859); +#2332=LINE('',#5843,#2860); +#2333=LINE('',#5845,#2861); +#2334=LINE('',#5847,#2862); +#2335=LINE('',#5851,#2863); +#2336=LINE('',#5855,#2864); +#2337=LINE('',#5858,#2865); +#2338=LINE('',#5859,#2866); +#2339=LINE('',#5861,#2867); +#2340=LINE('',#5863,#2868); +#2341=LINE('',#5864,#2869); +#2342=LINE('',#5866,#2870); +#2343=LINE('',#5868,#2871); +#2344=LINE('',#5870,#2872); +#2345=LINE('',#5872,#2873); +#2346=LINE('',#5874,#2874); +#2347=LINE('',#5876,#2875); +#2348=LINE('',#5878,#2876); +#2349=LINE('',#5880,#2877); +#2350=LINE('',#5882,#2878); +#2351=LINE('',#5884,#2879); +#2352=LINE('',#5886,#2880); +#2353=LINE('',#5888,#2881); +#2354=LINE('',#5890,#2882); +#2355=LINE('',#5892,#2883); +#2356=LINE('',#5894,#2884); +#2357=LINE('',#5896,#2885); +#2358=LINE('',#5898,#2886); +#2359=LINE('',#5900,#2887); +#2360=LINE('',#5902,#2888); +#2361=LINE('',#5904,#2889); +#2362=LINE('',#5906,#2890); +#2363=LINE('',#5908,#2891); +#2364=LINE('',#5909,#2892); +#2365=LINE('',#5911,#2893); +#2366=LINE('',#5913,#2894); +#2367=LINE('',#5914,#2895); +#2368=LINE('',#5916,#2896); +#2369=LINE('',#5918,#2897); +#2370=LINE('',#5919,#2898); +#2371=LINE('',#5921,#2899); +#2372=LINE('',#5923,#2900); +#2373=LINE('',#5924,#2901); +#2374=LINE('',#5926,#2902); +#2375=LINE('',#5928,#2903); +#2376=LINE('',#5930,#2904); +#2377=LINE('',#5931,#2905); +#2378=LINE('',#5933,#2906); +#2379=LINE('',#5935,#2907); +#2380=LINE('',#5936,#2908); +#2381=LINE('',#5938,#2909); +#2382=LINE('',#5940,#2910); +#2383=LINE('',#5941,#2911); +#2384=LINE('',#5943,#2912); +#2385=LINE('',#5945,#2913); +#2386=LINE('',#5947,#2914); +#2387=LINE('',#5949,#2915); +#2388=LINE('',#5951,#2916); +#2389=LINE('',#5953,#2917); +#2390=LINE('',#5955,#2918); +#2391=LINE('',#5957,#2919); +#2392=LINE('',#5959,#2920); +#2393=LINE('',#5961,#2921); +#2394=LINE('',#5963,#2922); +#2395=LINE('',#5965,#2923); +#2396=LINE('',#5967,#2924); +#2397=LINE('',#5969,#2925); +#2398=LINE('',#5971,#2926); +#2399=LINE('',#5973,#2927); +#2400=LINE('',#5975,#2928); +#2401=LINE('',#5977,#2929); +#2402=LINE('',#5979,#2930); +#2403=LINE('',#5981,#2931); +#2404=LINE('',#5983,#2932); +#2405=LINE('',#5985,#2933); +#2406=LINE('',#5987,#2934); +#2407=LINE('',#5989,#2935); +#2408=LINE('',#5991,#2936); +#2409=LINE('',#5993,#2937); +#2410=LINE('',#5994,#2938); +#2411=LINE('',#5996,#2939); +#2412=LINE('',#5998,#2940); +#2413=LINE('',#6002,#2941); +#2414=LINE('',#6006,#2942); +#2415=LINE('',#6008,#2943); +#2416=LINE('',#6010,#2944); +#2417=LINE('',#6015,#2945); +#2418=LINE('',#6016,#2946); +#2419=LINE('',#6018,#2947); +#2420=LINE('',#6019,#2948); +#2421=LINE('',#6021,#2949); +#2422=LINE('',#6022,#2950); +#2423=LINE('',#6024,#2951); +#2424=LINE('',#6026,#2952); +#2425=LINE('',#6028,#2953); +#2426=LINE('',#6029,#2954); +#2427=LINE('',#6031,#2955); +#2428=LINE('',#6033,#2956); +#2429=LINE('',#6035,#2957); +#2430=LINE('',#6036,#2958); +#2431=LINE('',#6038,#2959); +#2432=LINE('',#6040,#2960); +#2433=LINE('',#6042,#2961); +#2434=LINE('',#6043,#2962); +#2435=LINE('',#6045,#2963); +#2436=LINE('',#6047,#2964); +#2437=LINE('',#6049,#2965); +#2438=LINE('',#6050,#2966); +#2439=LINE('',#6052,#2967); +#2440=LINE('',#6054,#2968); +#2441=LINE('',#6056,#2969); +#2442=LINE('',#6057,#2970); +#2443=LINE('',#6059,#2971); +#2444=LINE('',#6061,#2972); +#2445=LINE('',#6063,#2973); +#2446=LINE('',#6064,#2974); +#2447=LINE('',#6066,#2975); +#2448=LINE('',#6068,#2976); +#2449=LINE('',#6070,#2977); +#2450=LINE('',#6071,#2978); +#2451=LINE('',#6073,#2979); +#2452=LINE('',#6075,#2980); +#2453=LINE('',#6077,#2981); +#2454=LINE('',#6078,#2982); +#2455=LINE('',#6080,#2983); +#2456=LINE('',#6082,#2984); +#2457=LINE('',#6084,#2985); +#2458=LINE('',#6085,#2986); +#2459=LINE('',#6087,#2987); +#2460=LINE('',#6089,#2988); +#2461=LINE('',#6091,#2989); +#2462=LINE('',#6093,#2990); +#2463=LINE('',#6095,#2991); +#2464=LINE('',#6097,#2992); +#2465=LINE('',#6099,#2993); +#2466=LINE('',#6101,#2994); +#2467=LINE('',#6103,#2995); +#2468=LINE('',#6105,#2996); +#2469=LINE('',#6108,#2997); +#2470=LINE('',#6110,#2998); +#2471=LINE('',#6112,#2999); +#2472=LINE('',#6114,#3000); +#2473=LINE('',#6116,#3001); +#2474=LINE('',#6118,#3002); +#2475=LINE('',#6120,#3003); +#2476=LINE('',#6122,#3004); +#2477=LINE('',#6125,#3005); +#2478=LINE('',#6127,#3006); +#2479=LINE('',#6129,#3007); +#2480=LINE('',#6131,#3008); +#2481=LINE('',#6133,#3009); +#2482=LINE('',#6135,#3010); +#2483=LINE('',#6137,#3011); +#2484=LINE('',#6139,#3012); +#2485=LINE('',#6142,#3013); +#2486=LINE('',#6144,#3014); +#2487=LINE('',#6146,#3015); +#2488=LINE('',#6148,#3016); +#2489=LINE('',#6150,#3017); +#2490=LINE('',#6152,#3018); +#2491=LINE('',#6154,#3019); +#2492=LINE('',#6156,#3020); +#2493=LINE('',#6159,#3021); +#2494=LINE('',#6161,#3022); +#2495=LINE('',#6163,#3023); +#2496=LINE('',#6165,#3024); +#2497=LINE('',#6167,#3025); +#2498=LINE('',#6169,#3026); +#2499=LINE('',#6171,#3027); +#2500=LINE('',#6173,#3028); +#2501=LINE('',#6176,#3029); +#2502=LINE('',#6178,#3030); +#2503=LINE('',#6180,#3031); +#2504=LINE('',#6182,#3032); +#2505=LINE('',#6184,#3033); +#2506=LINE('',#6186,#3034); +#2507=LINE('',#6188,#3035); +#2508=LINE('',#6190,#3036); +#2509=LINE('',#6193,#3037); +#2510=LINE('',#6195,#3038); +#2511=LINE('',#6197,#3039); +#2512=LINE('',#6199,#3040); +#2513=LINE('',#6201,#3041); +#2514=LINE('',#6203,#3042); +#2515=LINE('',#6205,#3043); +#2516=LINE('',#6207,#3044); +#2517=LINE('',#6210,#3045); +#2518=LINE('',#6212,#3046); +#2519=LINE('',#6214,#3047); +#2520=LINE('',#6216,#3048); +#2521=LINE('',#6218,#3049); +#2522=LINE('',#6220,#3050); +#2523=LINE('',#6222,#3051); +#2524=LINE('',#6224,#3052); +#2525=LINE('',#6227,#3053); +#2526=LINE('',#6229,#3054); +#2527=LINE('',#6231,#3055); +#2528=LINE('',#6233,#3056); +#2529=LINE('',#6235,#3057); +#2530=LINE('',#6237,#3058); +#2531=LINE('',#6239,#3059); +#2532=LINE('',#6241,#3060); +#2533=LINE('',#6244,#3061); +#2534=LINE('',#6246,#3062); +#2535=LINE('',#6248,#3063); +#2536=LINE('',#6250,#3064); +#2537=LINE('',#6252,#3065); +#2538=LINE('',#6254,#3066); +#2539=LINE('',#6256,#3067); +#2540=LINE('',#6258,#3068); +#2541=LINE('',#6261,#3069); +#2542=LINE('',#6263,#3070); +#2543=LINE('',#6265,#3071); +#2544=LINE('',#6267,#3072); +#2545=LINE('',#6269,#3073); +#2546=LINE('',#6271,#3074); +#2547=LINE('',#6273,#3075); +#2548=LINE('',#6275,#3076); +#2549=LINE('',#6278,#3077); +#2550=LINE('',#6280,#3078); +#2551=LINE('',#6282,#3079); +#2552=LINE('',#6284,#3080); +#2553=LINE('',#6286,#3081); +#2554=LINE('',#6288,#3082); +#2555=LINE('',#6290,#3083); +#2556=LINE('',#6292,#3084); +#2557=LINE('',#6300,#3085); +#2558=LINE('',#6303,#3086); +#2559=LINE('',#6305,#3087); +#2560=LINE('',#6307,#3088); +#2561=LINE('',#6309,#3089); +#2562=LINE('',#6311,#3090); +#2563=LINE('',#6313,#3091); +#2564=LINE('',#6315,#3092); +#2565=LINE('',#6317,#3093); +#2566=LINE('',#6319,#3094); +#2567=LINE('',#6321,#3095); +#2568=LINE('',#6323,#3096); +#2569=LINE('',#6325,#3097); +#2570=LINE('',#6327,#3098); +#2571=LINE('',#6329,#3099); +#2572=LINE('',#6331,#3100); +#2573=LINE('',#6333,#3101); +#2574=LINE('',#6335,#3102); +#2575=LINE('',#6337,#3103); +#2576=LINE('',#6339,#3104); +#2577=LINE('',#6341,#3105); +#2578=LINE('',#6343,#3106); +#2579=LINE('',#6345,#3107); +#2580=LINE('',#6347,#3108); +#2581=LINE('',#6349,#3109); +#2582=LINE('',#6351,#3110); +#2583=LINE('',#6353,#3111); +#2584=LINE('',#6355,#3112); +#2585=LINE('',#6357,#3113); +#2586=LINE('',#6359,#3114); +#2587=LINE('',#6361,#3115); +#2588=LINE('',#6363,#3116); +#2589=LINE('',#6365,#3117); +#2590=LINE('',#6367,#3118); +#2591=LINE('',#6369,#3119); +#2592=LINE('',#6371,#3120); +#2593=LINE('',#6373,#3121); +#2594=LINE('',#6375,#3122); +#2595=LINE('',#6377,#3123); +#2596=LINE('',#6379,#3124); +#2597=LINE('',#6381,#3125); +#2598=LINE('',#6383,#3126); +#2599=LINE('',#6385,#3127); +#2600=LINE('',#6387,#3128); +#2601=LINE('',#6389,#3129); +#2602=LINE('',#6392,#3130); +#2603=LINE('',#6394,#3131); +#2604=LINE('',#6396,#3132); +#2605=LINE('',#6398,#3133); +#2606=LINE('',#6401,#3134); +#2607=LINE('',#6403,#3135); +#2608=LINE('',#6405,#3136); +#2609=LINE('',#6407,#3137); +#2610=LINE('',#6410,#3138); +#2611=LINE('',#6412,#3139); +#2612=LINE('',#6414,#3140); +#2613=LINE('',#6416,#3141); +#2614=LINE('',#6419,#3142); +#2615=LINE('',#6421,#3143); +#2616=LINE('',#6423,#3144); +#2617=LINE('',#6425,#3145); +#2618=LINE('',#6428,#3146); +#2619=LINE('',#6430,#3147); +#2620=LINE('',#6432,#3148); +#2621=LINE('',#6434,#3149); +#2622=LINE('',#6437,#3150); +#2623=LINE('',#6439,#3151); +#2624=LINE('',#6441,#3152); +#2625=LINE('',#6442,#3153); +#2626=LINE('',#6444,#3154); +#2627=LINE('',#6446,#3155); +#2628=LINE('',#6448,#3156); +#2629=LINE('',#6451,#3157); +#2630=LINE('',#6453,#3158); +#2631=LINE('',#6455,#3159); +#2632=LINE('',#6456,#3160); +#2633=LINE('',#6458,#3161); +#2634=LINE('',#6460,#3162); +#2635=LINE('',#6462,#3163); +#2636=LINE('',#6465,#3164); +#2637=LINE('',#6467,#3165); +#2638=LINE('',#6469,#3166); +#2639=LINE('',#6470,#3167); +#2640=LINE('',#6472,#3168); +#2641=LINE('',#6474,#3169); +#2642=LINE('',#6476,#3170); +#2643=LINE('',#6479,#3171); +#2644=LINE('',#6481,#3172); +#2645=LINE('',#6483,#3173); +#2646=LINE('',#6484,#3174); +#2647=LINE('',#6486,#3175); +#2648=LINE('',#6488,#3176); +#2649=LINE('',#6490,#3177); +#2650=LINE('',#6493,#3178); +#2651=LINE('',#6495,#3179); +#2652=LINE('',#6497,#3180); +#2653=LINE('',#6498,#3181); +#2654=LINE('',#6500,#3182); +#2655=LINE('',#6502,#3183); +#2656=LINE('',#6504,#3184); +#2657=LINE('',#6507,#3185); +#2658=LINE('',#6509,#3186); +#2659=LINE('',#6511,#3187); +#2660=LINE('',#6512,#3188); +#2661=LINE('',#6514,#3189); +#2662=LINE('',#6516,#3190); +#2663=LINE('',#6518,#3191); +#2664=LINE('',#6521,#3192); +#2665=LINE('',#6523,#3193); +#2666=LINE('',#6525,#3194); +#2667=LINE('',#6526,#3195); +#2668=LINE('',#6528,#3196); +#2669=LINE('',#6530,#3197); +#2670=LINE('',#6532,#3198); +#2671=LINE('',#6535,#3199); +#2672=LINE('',#6537,#3200); +#2673=LINE('',#6539,#3201); +#2674=LINE('',#6540,#3202); +#2675=LINE('',#6542,#3203); +#2676=LINE('',#6544,#3204); +#2677=LINE('',#6546,#3205); +#2678=LINE('',#6549,#3206); +#2679=LINE('',#6551,#3207); +#2680=LINE('',#6553,#3208); +#2681=LINE('',#6554,#3209); +#2682=LINE('',#6556,#3210); +#2683=LINE('',#6558,#3211); +#2684=LINE('',#6560,#3212); +#2685=LINE('',#6563,#3213); +#2686=LINE('',#6565,#3214); +#2687=LINE('',#6567,#3215); +#2688=LINE('',#6568,#3216); +#2689=LINE('',#6570,#3217); +#2690=LINE('',#6572,#3218); +#2691=LINE('',#6574,#3219); +#2692=LINE('',#6577,#3220); +#2693=LINE('',#6579,#3221); +#2694=LINE('',#6581,#3222); +#2695=LINE('',#6582,#3223); +#2696=LINE('',#6584,#3224); +#2697=LINE('',#6586,#3225); +#2698=LINE('',#6588,#3226); +#2699=LINE('',#6591,#3227); +#2700=LINE('',#6593,#3228); +#2701=LINE('',#6595,#3229); +#2702=LINE('',#6596,#3230); +#2703=LINE('',#6598,#3231); +#2704=LINE('',#6600,#3232); +#2705=LINE('',#6602,#3233); +#2706=LINE('',#6603,#3234); +#2707=LINE('',#6605,#3235); +#2708=LINE('',#6606,#3236); +#2709=LINE('',#6608,#3237); +#2710=LINE('',#6609,#3238); +#2711=LINE('',#6611,#3239); +#2712=LINE('',#6612,#3240); +#2713=LINE('',#6614,#3241); +#2714=LINE('',#6615,#3242); +#2715=LINE('',#6617,#3243); +#2716=LINE('',#6618,#3244); +#2717=LINE('',#6621,#3245); +#2718=LINE('',#6622,#3246); +#2719=LINE('',#6624,#3247); +#2720=LINE('',#6626,#3248); +#2721=LINE('',#6628,#3249); +#2722=LINE('',#6629,#3250); +#2723=LINE('',#6631,#3251); +#2724=LINE('',#6633,#3252); +#2725=LINE('',#6635,#3253); +#2726=LINE('',#6636,#3254); +#2727=LINE('',#6638,#3255); +#2728=LINE('',#6640,#3256); +#2729=LINE('',#6642,#3257); +#2730=LINE('',#6643,#3258); +#2731=LINE('',#6645,#3259); +#2732=LINE('',#6647,#3260); +#2733=LINE('',#6649,#3261); +#2734=LINE('',#6650,#3262); +#2735=LINE('',#6652,#3263); +#2736=LINE('',#6654,#3264); +#2737=LINE('',#6656,#3265); +#2738=LINE('',#6657,#3266); +#2739=LINE('',#6659,#3267); +#2740=LINE('',#6661,#3268); +#2741=LINE('',#6670,#3269); +#2742=LINE('',#6671,#3270); +#2743=LINE('',#6673,#3271); +#2744=LINE('',#6674,#3272); +#2745=LINE('',#6676,#3273); +#2746=LINE('',#6677,#3274); +#2747=LINE('',#6679,#3275); +#2748=LINE('',#6680,#3276); +#2749=LINE('',#6682,#3277); +#2750=LINE('',#6683,#3278); +#2751=LINE('',#6685,#3279); +#2752=LINE('',#6686,#3280); +#2753=LINE('',#6688,#3281); +#2754=LINE('',#6689,#3282); +#2755=LINE('',#6691,#3283); +#2756=LINE('',#6692,#3284); +#2757=LINE('',#6694,#3285); +#2758=LINE('',#6695,#3286); +#2759=LINE('',#6697,#3287); +#2760=LINE('',#6698,#3288); +#2761=VECTOR('',#4473,39.3700787401575); +#2762=VECTOR('',#4474,39.3700787401575); +#2763=VECTOR('',#4475,39.3700787401575); +#2764=VECTOR('',#4476,39.3700787401575); +#2765=VECTOR('',#4479,39.3700787401575); +#2766=VECTOR('',#4480,39.3700787401575); +#2767=VECTOR('',#4481,39.3700787401575); +#2768=VECTOR('',#4482,39.3700787401575); +#2769=VECTOR('',#4485,39.3700787401575); +#2770=VECTOR('',#4486,39.3700787401575); +#2771=VECTOR('',#4487,39.3700787401575); +#2772=VECTOR('',#4488,39.3700787401575); +#2773=VECTOR('',#4491,39.3700787401575); +#2774=VECTOR('',#4492,39.3700787401575); +#2775=VECTOR('',#4493,39.3700787401575); +#2776=VECTOR('',#4494,39.3700787401575); +#2777=VECTOR('',#4497,39.3700787401575); +#2778=VECTOR('',#4498,39.3700787401575); +#2779=VECTOR('',#4499,39.3700787401575); +#2780=VECTOR('',#4500,39.3700787401575); +#2781=VECTOR('',#4503,39.3700787401575); +#2782=VECTOR('',#4504,39.3700787401575); +#2783=VECTOR('',#4505,39.3700787401575); +#2784=VECTOR('',#4506,39.3700787401575); +#2785=VECTOR('',#4509,39.3700787401575); +#2786=VECTOR('',#4510,39.3700787401575); +#2787=VECTOR('',#4511,39.3700787401575); +#2788=VECTOR('',#4512,39.3700787401575); +#2789=VECTOR('',#4515,39.3700787401575); +#2790=VECTOR('',#4516,39.3700787401575); +#2791=VECTOR('',#4517,39.3700787401575); +#2792=VECTOR('',#4518,39.3700787401575); +#2793=VECTOR('',#4525,39.3700787401575); +#2794=VECTOR('',#4530,39.3700787401575); +#2795=VECTOR('',#4537,39.3700787401575); +#2796=VECTOR('',#4544,39.3700787401575); +#2797=VECTOR('',#4549,39.3700787401575); +#2798=VECTOR('',#4550,39.3700787401575); +#2799=VECTOR('',#4551,39.3700787401575); +#2800=VECTOR('',#4554,39.3700787401575); +#2801=VECTOR('',#4555,39.3700787401575); +#2802=VECTOR('',#4556,39.3700787401575); +#2803=VECTOR('',#4559,39.3700787401575); +#2804=VECTOR('',#4560,39.3700787401575); +#2805=VECTOR('',#4561,39.3700787401575); +#2806=VECTOR('',#4564,39.3700787401575); +#2807=VECTOR('',#4565,39.3700787401575); +#2808=VECTOR('',#4566,39.3700787401575); +#2809=VECTOR('',#4569,39.3700787401575); +#2810=VECTOR('',#4578,39.3700787401575); +#2811=VECTOR('',#4585,39.3700787401575); +#2812=VECTOR('',#4592,39.3700787401575); +#2813=VECTOR('',#4599,39.3700787401575); +#2814=VECTOR('',#4602,39.3700787401575); +#2815=VECTOR('',#4607,39.3700787401575); +#2816=VECTOR('',#4610,39.3700787401575); +#2817=VECTOR('',#4615,39.3700787401575); +#2818=VECTOR('',#4618,39.3700787401575); +#2819=VECTOR('',#4623,39.3700787401575); +#2820=VECTOR('',#4626,39.3700787401575); +#2821=VECTOR('',#4629,39.3700787401575); +#2822=VECTOR('',#4630,39.3700787401575); +#2823=VECTOR('',#4631,39.3700787401575); +#2824=VECTOR('',#4634,39.3700787401575); +#2825=VECTOR('',#4635,39.3700787401575); +#2826=VECTOR('',#4636,39.3700787401575); +#2827=VECTOR('',#4639,39.3700787401575); +#2828=VECTOR('',#4640,39.3700787401575); +#2829=VECTOR('',#4641,39.3700787401575); +#2830=VECTOR('',#4644,39.3700787401575); +#2831=VECTOR('',#4645,39.3700787401575); +#2832=VECTOR('',#4646,39.3700787401575); +#2833=VECTOR('',#4673,39.3700787401575); +#2834=VECTOR('',#4674,39.3700787401575); +#2835=VECTOR('',#4675,39.3700787401575); +#2836=VECTOR('',#4676,39.3700787401575); +#2837=VECTOR('',#4679,39.3700787401575); +#2838=VECTOR('',#4680,39.3700787401575); +#2839=VECTOR('',#4681,39.3700787401575); +#2840=VECTOR('',#4682,39.3700787401575); +#2841=VECTOR('',#4689,39.3700787401575); +#2842=VECTOR('',#4692,39.3700787401575); +#2843=VECTOR('',#4693,39.3700787401575); +#2844=VECTOR('',#4694,39.3700787401575); +#2845=VECTOR('',#4697,39.3700787401575); +#2846=VECTOR('',#4706,39.3700787401575); +#2847=VECTOR('',#4709,39.3700787401575); +#2848=VECTOR('',#4712,39.3700787401575); +#2849=VECTOR('',#4713,39.3700787401575); +#2850=VECTOR('',#4714,39.3700787401575); +#2851=VECTOR('',#4723,39.3700787401575); +#2852=VECTOR('',#4724,39.3700787401575); +#2853=VECTOR('',#4725,39.3700787401575); +#2854=VECTOR('',#4726,39.3700787401575); +#2855=VECTOR('',#4729,39.3700787401575); +#2856=VECTOR('',#4730,39.3700787401575); +#2857=VECTOR('',#4731,39.3700787401575); +#2858=VECTOR('',#4732,39.3700787401575); +#2859=VECTOR('',#4737,39.3700787401575); +#2860=VECTOR('',#4742,39.3700787401575); +#2861=VECTOR('',#4743,39.3700787401575); +#2862=VECTOR('',#4744,39.3700787401575); +#2863=VECTOR('',#4749,39.3700787401575); +#2864=VECTOR('',#4754,39.3700787401575); +#2865=VECTOR('',#4755,39.3700787401575); +#2866=VECTOR('',#4756,39.3700787401575); +#2867=VECTOR('',#4757,39.3700787401575); +#2868=VECTOR('',#4758,39.3700787401575); +#2869=VECTOR('',#4759,39.3700787401575); +#2870=VECTOR('',#4760,39.3700787401575); +#2871=VECTOR('',#4761,39.3700787401575); +#2872=VECTOR('',#4762,39.3700787401575); +#2873=VECTOR('',#4763,39.3700787401575); +#2874=VECTOR('',#4764,39.3700787401575); +#2875=VECTOR('',#4765,39.3700787401575); +#2876=VECTOR('',#4766,39.3700787401575); +#2877=VECTOR('',#4767,39.3700787401575); +#2878=VECTOR('',#4768,39.3700787401575); +#2879=VECTOR('',#4769,39.3700787401575); +#2880=VECTOR('',#4770,39.3700787401575); +#2881=VECTOR('',#4771,39.3700787401575); +#2882=VECTOR('',#4772,39.3700787401575); +#2883=VECTOR('',#4773,39.3700787401575); +#2884=VECTOR('',#4774,39.3700787401575); +#2885=VECTOR('',#4775,39.3700787401575); +#2886=VECTOR('',#4776,39.3700787401575); +#2887=VECTOR('',#4777,39.3700787401575); +#2888=VECTOR('',#4778,39.3700787401575); +#2889=VECTOR('',#4779,39.3700787401575); +#2890=VECTOR('',#4780,39.3700787401575); +#2891=VECTOR('',#4781,39.3700787401575); +#2892=VECTOR('',#4782,39.3700787401575); +#2893=VECTOR('',#4783,39.3700787401575); +#2894=VECTOR('',#4784,39.3700787401575); +#2895=VECTOR('',#4785,39.3700787401575); +#2896=VECTOR('',#4786,39.3700787401575); +#2897=VECTOR('',#4787,39.3700787401575); +#2898=VECTOR('',#4788,39.3700787401575); +#2899=VECTOR('',#4789,39.3700787401575); +#2900=VECTOR('',#4790,39.3700787401575); +#2901=VECTOR('',#4791,39.3700787401575); +#2902=VECTOR('',#4794,39.3700787401575); +#2903=VECTOR('',#4795,39.3700787401575); +#2904=VECTOR('',#4796,39.3700787401575); +#2905=VECTOR('',#4797,39.3700787401575); +#2906=VECTOR('',#4798,39.3700787401575); +#2907=VECTOR('',#4799,39.3700787401575); +#2908=VECTOR('',#4800,39.3700787401575); +#2909=VECTOR('',#4801,39.3700787401575); +#2910=VECTOR('',#4802,39.3700787401575); +#2911=VECTOR('',#4803,39.3700787401575); +#2912=VECTOR('',#4804,39.3700787401575); +#2913=VECTOR('',#4805,39.3700787401575); +#2914=VECTOR('',#4806,39.3700787401575); +#2915=VECTOR('',#4807,39.3700787401575); +#2916=VECTOR('',#4808,39.3700787401575); +#2917=VECTOR('',#4809,39.3700787401575); +#2918=VECTOR('',#4810,39.3700787401575); +#2919=VECTOR('',#4811,39.3700787401575); +#2920=VECTOR('',#4812,39.3700787401575); +#2921=VECTOR('',#4813,39.3700787401575); +#2922=VECTOR('',#4814,39.3700787401575); +#2923=VECTOR('',#4815,39.3700787401575); +#2924=VECTOR('',#4816,39.3700787401575); +#2925=VECTOR('',#4817,39.3700787401575); +#2926=VECTOR('',#4818,39.3700787401575); +#2927=VECTOR('',#4819,39.3700787401575); +#2928=VECTOR('',#4820,39.3700787401575); +#2929=VECTOR('',#4821,39.3700787401575); +#2930=VECTOR('',#4822,39.3700787401575); +#2931=VECTOR('',#4823,39.3700787401575); +#2932=VECTOR('',#4824,39.3700787401575); +#2933=VECTOR('',#4825,39.3700787401575); +#2934=VECTOR('',#4826,39.3700787401575); +#2935=VECTOR('',#4827,39.3700787401575); +#2936=VECTOR('',#4828,39.3700787401575); +#2937=VECTOR('',#4829,39.3700787401575); +#2938=VECTOR('',#4830,39.3700787401575); +#2939=VECTOR('',#4831,39.3700787401575); +#2940=VECTOR('',#4832,39.3700787401575); +#2941=VECTOR('',#4837,39.3700787401575); +#2942=VECTOR('',#4842,39.3700787401575); +#2943=VECTOR('',#4843,39.3700787401575); +#2944=VECTOR('',#4844,39.3700787401575); +#2945=VECTOR('',#4853,39.3700787401575); +#2946=VECTOR('',#4854,39.3700787401575); +#2947=VECTOR('',#4857,39.3700787401575); +#2948=VECTOR('',#4858,39.3700787401575); +#2949=VECTOR('',#4861,39.3700787401575); +#2950=VECTOR('',#4862,39.3700787401575); +#2951=VECTOR('',#4865,39.3700787401575); +#2952=VECTOR('',#4868,39.3700787401575); +#2953=VECTOR('',#4871,39.3700787401575); +#2954=VECTOR('',#4872,39.3700787401575); +#2955=VECTOR('',#4875,39.3700787401575); +#2956=VECTOR('',#4878,39.3700787401575); +#2957=VECTOR('',#4881,39.3700787401575); +#2958=VECTOR('',#4882,39.3700787401575); +#2959=VECTOR('',#4885,39.3700787401575); +#2960=VECTOR('',#4888,39.3700787401575); +#2961=VECTOR('',#4891,39.3700787401575); +#2962=VECTOR('',#4892,39.3700787401575); +#2963=VECTOR('',#4895,39.3700787401575); +#2964=VECTOR('',#4898,39.3700787401575); +#2965=VECTOR('',#4901,39.3700787401575); +#2966=VECTOR('',#4902,39.3700787401575); +#2967=VECTOR('',#4905,39.3700787401575); +#2968=VECTOR('',#4908,39.3700787401575); +#2969=VECTOR('',#4911,39.3700787401575); +#2970=VECTOR('',#4912,39.3700787401575); +#2971=VECTOR('',#4915,39.3700787401575); +#2972=VECTOR('',#4918,39.3700787401575); +#2973=VECTOR('',#4921,39.3700787401575); +#2974=VECTOR('',#4922,39.3700787401575); +#2975=VECTOR('',#4925,39.3700787401575); +#2976=VECTOR('',#4928,39.3700787401575); +#2977=VECTOR('',#4931,39.3700787401575); +#2978=VECTOR('',#4932,39.3700787401575); +#2979=VECTOR('',#4935,39.3700787401575); +#2980=VECTOR('',#4938,39.3700787401575); +#2981=VECTOR('',#4941,39.3700787401575); +#2982=VECTOR('',#4942,39.3700787401575); +#2983=VECTOR('',#4945,39.3700787401575); +#2984=VECTOR('',#4948,39.3700787401575); +#2985=VECTOR('',#4951,39.3700787401575); +#2986=VECTOR('',#4952,39.3700787401575); +#2987=VECTOR('',#4955,39.3700787401575); +#2988=VECTOR('',#4958,39.3700787401575); +#2989=VECTOR('',#4961,39.3700787401575); +#2990=VECTOR('',#4962,39.3700787401575); +#2991=VECTOR('',#4963,39.3700787401575); +#2992=VECTOR('',#4966,39.3700787401575); +#2993=VECTOR('',#4967,39.3700787401575); +#2994=VECTOR('',#4970,39.3700787401575); +#2995=VECTOR('',#4971,39.3700787401575); +#2996=VECTOR('',#4974,39.3700787401575); +#2997=VECTOR('',#4979,39.3700787401575); +#2998=VECTOR('',#4980,39.3700787401575); +#2999=VECTOR('',#4981,39.3700787401575); +#3000=VECTOR('',#4984,39.3700787401575); +#3001=VECTOR('',#4985,39.3700787401575); +#3002=VECTOR('',#4988,39.3700787401575); +#3003=VECTOR('',#4989,39.3700787401575); +#3004=VECTOR('',#4992,39.3700787401575); +#3005=VECTOR('',#4997,39.3700787401575); +#3006=VECTOR('',#4998,39.3700787401575); +#3007=VECTOR('',#4999,39.3700787401575); +#3008=VECTOR('',#5002,39.3700787401575); +#3009=VECTOR('',#5003,39.3700787401575); +#3010=VECTOR('',#5006,39.3700787401575); +#3011=VECTOR('',#5007,39.3700787401575); +#3012=VECTOR('',#5010,39.3700787401575); +#3013=VECTOR('',#5015,39.3700787401575); +#3014=VECTOR('',#5016,39.3700787401575); +#3015=VECTOR('',#5017,39.3700787401575); +#3016=VECTOR('',#5020,39.3700787401575); +#3017=VECTOR('',#5021,39.3700787401575); +#3018=VECTOR('',#5024,39.3700787401575); +#3019=VECTOR('',#5025,39.3700787401575); +#3020=VECTOR('',#5028,39.3700787401575); +#3021=VECTOR('',#5033,39.3700787401575); +#3022=VECTOR('',#5034,39.3700787401575); +#3023=VECTOR('',#5035,39.3700787401575); +#3024=VECTOR('',#5038,39.3700787401575); +#3025=VECTOR('',#5039,39.3700787401575); +#3026=VECTOR('',#5042,39.3700787401575); +#3027=VECTOR('',#5043,39.3700787401575); +#3028=VECTOR('',#5046,39.3700787401575); +#3029=VECTOR('',#5051,39.3700787401575); +#3030=VECTOR('',#5052,39.3700787401575); +#3031=VECTOR('',#5053,39.3700787401575); +#3032=VECTOR('',#5056,39.3700787401575); +#3033=VECTOR('',#5057,39.3700787401575); +#3034=VECTOR('',#5060,39.3700787401575); +#3035=VECTOR('',#5061,39.3700787401575); +#3036=VECTOR('',#5064,39.3700787401575); +#3037=VECTOR('',#5069,39.3700787401575); +#3038=VECTOR('',#5070,39.3700787401575); +#3039=VECTOR('',#5071,39.3700787401575); +#3040=VECTOR('',#5074,39.3700787401575); +#3041=VECTOR('',#5075,39.3700787401575); +#3042=VECTOR('',#5078,39.3700787401575); +#3043=VECTOR('',#5079,39.3700787401575); +#3044=VECTOR('',#5082,39.3700787401575); +#3045=VECTOR('',#5087,39.3700787401575); +#3046=VECTOR('',#5088,39.3700787401575); +#3047=VECTOR('',#5089,39.3700787401575); +#3048=VECTOR('',#5092,39.3700787401575); +#3049=VECTOR('',#5093,39.3700787401575); +#3050=VECTOR('',#5096,39.3700787401575); +#3051=VECTOR('',#5097,39.3700787401575); +#3052=VECTOR('',#5100,39.3700787401575); +#3053=VECTOR('',#5105,39.3700787401575); +#3054=VECTOR('',#5106,39.3700787401575); +#3055=VECTOR('',#5107,39.3700787401575); +#3056=VECTOR('',#5110,39.3700787401575); +#3057=VECTOR('',#5111,39.3700787401575); +#3058=VECTOR('',#5114,39.3700787401575); +#3059=VECTOR('',#5115,39.3700787401575); +#3060=VECTOR('',#5118,39.3700787401575); +#3061=VECTOR('',#5123,39.3700787401575); +#3062=VECTOR('',#5124,39.3700787401575); +#3063=VECTOR('',#5125,39.3700787401575); +#3064=VECTOR('',#5128,39.3700787401575); +#3065=VECTOR('',#5129,39.3700787401575); +#3066=VECTOR('',#5132,39.3700787401575); +#3067=VECTOR('',#5133,39.3700787401575); +#3068=VECTOR('',#5136,39.3700787401575); +#3069=VECTOR('',#5141,39.3700787401575); +#3070=VECTOR('',#5142,39.3700787401575); +#3071=VECTOR('',#5143,39.3700787401575); +#3072=VECTOR('',#5146,39.3700787401575); +#3073=VECTOR('',#5147,39.3700787401575); +#3074=VECTOR('',#5150,39.3700787401575); +#3075=VECTOR('',#5151,39.3700787401575); +#3076=VECTOR('',#5154,39.3700787401575); +#3077=VECTOR('',#5159,39.3700787401575); +#3078=VECTOR('',#5160,39.3700787401575); +#3079=VECTOR('',#5161,39.3700787401575); +#3080=VECTOR('',#5164,39.3700787401575); +#3081=VECTOR('',#5165,39.3700787401575); +#3082=VECTOR('',#5168,39.3700787401575); +#3083=VECTOR('',#5169,39.3700787401575); +#3084=VECTOR('',#5172,39.3700787401575); +#3085=VECTOR('',#5183,39.3700787401575); +#3086=VECTOR('',#5184,39.3700787401575); +#3087=VECTOR('',#5185,39.3700787401575); +#3088=VECTOR('',#5186,39.3700787401575); +#3089=VECTOR('',#5187,39.3700787401575); +#3090=VECTOR('',#5188,39.3700787401575); +#3091=VECTOR('',#5189,39.3700787401575); +#3092=VECTOR('',#5190,39.3700787401575); +#3093=VECTOR('',#5191,39.3700787401575); +#3094=VECTOR('',#5192,39.3700787401575); +#3095=VECTOR('',#5193,39.3700787401575); +#3096=VECTOR('',#5194,39.3700787401575); +#3097=VECTOR('',#5195,39.3700787401575); +#3098=VECTOR('',#5196,39.3700787401575); +#3099=VECTOR('',#5197,39.3700787401575); +#3100=VECTOR('',#5198,39.3700787401575); +#3101=VECTOR('',#5199,39.3700787401575); +#3102=VECTOR('',#5200,39.3700787401575); +#3103=VECTOR('',#5201,39.3700787401575); +#3104=VECTOR('',#5202,39.3700787401575); +#3105=VECTOR('',#5203,39.3700787401575); +#3106=VECTOR('',#5204,39.3700787401575); +#3107=VECTOR('',#5205,39.3700787401575); +#3108=VECTOR('',#5206,39.3700787401575); +#3109=VECTOR('',#5207,39.3700787401575); +#3110=VECTOR('',#5208,39.3700787401575); +#3111=VECTOR('',#5209,39.3700787401575); +#3112=VECTOR('',#5210,39.3700787401575); +#3113=VECTOR('',#5211,39.3700787401575); +#3114=VECTOR('',#5212,39.3700787401575); +#3115=VECTOR('',#5213,39.3700787401575); +#3116=VECTOR('',#5214,39.3700787401575); +#3117=VECTOR('',#5215,39.3700787401575); +#3118=VECTOR('',#5216,39.3700787401575); +#3119=VECTOR('',#5217,39.3700787401575); +#3120=VECTOR('',#5218,39.3700787401575); +#3121=VECTOR('',#5219,39.3700787401575); +#3122=VECTOR('',#5220,39.3700787401575); +#3123=VECTOR('',#5221,39.3700787401575); +#3124=VECTOR('',#5222,39.3700787401575); +#3125=VECTOR('',#5223,39.3700787401575); +#3126=VECTOR('',#5224,39.3700787401575); +#3127=VECTOR('',#5225,39.3700787401575); +#3128=VECTOR('',#5226,39.3700787401575); +#3129=VECTOR('',#5229,39.3700787401575); +#3130=VECTOR('',#5230,39.3700787401575); +#3131=VECTOR('',#5231,39.3700787401575); +#3132=VECTOR('',#5232,39.3700787401575); +#3133=VECTOR('',#5235,39.3700787401575); +#3134=VECTOR('',#5236,39.3700787401575); +#3135=VECTOR('',#5237,39.3700787401575); +#3136=VECTOR('',#5238,39.3700787401575); +#3137=VECTOR('',#5241,39.3700787401575); +#3138=VECTOR('',#5242,39.3700787401575); +#3139=VECTOR('',#5243,39.3700787401575); +#3140=VECTOR('',#5244,39.3700787401575); +#3141=VECTOR('',#5247,39.3700787401575); +#3142=VECTOR('',#5248,39.3700787401575); +#3143=VECTOR('',#5249,39.3700787401575); +#3144=VECTOR('',#5250,39.3700787401575); +#3145=VECTOR('',#5253,39.3700787401575); +#3146=VECTOR('',#5254,39.3700787401575); +#3147=VECTOR('',#5255,39.3700787401575); +#3148=VECTOR('',#5256,39.3700787401575); +#3149=VECTOR('',#5259,39.3700787401575); +#3150=VECTOR('',#5260,39.3700787401575); +#3151=VECTOR('',#5261,39.3700787401575); +#3152=VECTOR('',#5262,39.3700787401575); +#3153=VECTOR('',#5263,39.3700787401575); +#3154=VECTOR('',#5264,39.3700787401575); +#3155=VECTOR('',#5265,39.3700787401575); +#3156=VECTOR('',#5268,39.3700787401575); +#3157=VECTOR('',#5269,39.3700787401575); +#3158=VECTOR('',#5270,39.3700787401575); +#3159=VECTOR('',#5271,39.3700787401575); +#3160=VECTOR('',#5272,39.3700787401575); +#3161=VECTOR('',#5273,39.3700787401575); +#3162=VECTOR('',#5274,39.3700787401575); +#3163=VECTOR('',#5277,39.3700787401575); +#3164=VECTOR('',#5278,39.3700787401575); +#3165=VECTOR('',#5279,39.3700787401575); +#3166=VECTOR('',#5280,39.3700787401575); +#3167=VECTOR('',#5281,39.3700787401575); +#3168=VECTOR('',#5282,39.3700787401575); +#3169=VECTOR('',#5283,39.3700787401575); +#3170=VECTOR('',#5286,39.3700787401575); +#3171=VECTOR('',#5287,39.3700787401575); +#3172=VECTOR('',#5288,39.3700787401575); +#3173=VECTOR('',#5289,39.3700787401575); +#3174=VECTOR('',#5290,39.3700787401575); +#3175=VECTOR('',#5291,39.3700787401575); +#3176=VECTOR('',#5292,39.3700787401575); +#3177=VECTOR('',#5295,39.3700787401575); +#3178=VECTOR('',#5296,39.3700787401575); +#3179=VECTOR('',#5297,39.3700787401575); +#3180=VECTOR('',#5298,39.3700787401575); +#3181=VECTOR('',#5299,39.3700787401575); +#3182=VECTOR('',#5300,39.3700787401575); +#3183=VECTOR('',#5301,39.3700787401575); +#3184=VECTOR('',#5304,39.3700787401575); +#3185=VECTOR('',#5305,39.3700787401575); +#3186=VECTOR('',#5306,39.3700787401575); +#3187=VECTOR('',#5307,39.3700787401575); +#3188=VECTOR('',#5308,39.3700787401575); +#3189=VECTOR('',#5309,39.3700787401575); +#3190=VECTOR('',#5310,39.3700787401575); +#3191=VECTOR('',#5313,39.3700787401575); +#3192=VECTOR('',#5314,39.3700787401575); +#3193=VECTOR('',#5315,39.3700787401575); +#3194=VECTOR('',#5316,39.3700787401575); +#3195=VECTOR('',#5317,39.3700787401575); +#3196=VECTOR('',#5318,39.3700787401575); +#3197=VECTOR('',#5319,39.3700787401575); +#3198=VECTOR('',#5322,39.3700787401575); +#3199=VECTOR('',#5323,39.3700787401575); +#3200=VECTOR('',#5324,39.3700787401575); +#3201=VECTOR('',#5325,39.3700787401575); +#3202=VECTOR('',#5326,39.3700787401575); +#3203=VECTOR('',#5327,39.3700787401575); +#3204=VECTOR('',#5328,39.3700787401575); +#3205=VECTOR('',#5331,39.3700787401575); +#3206=VECTOR('',#5332,39.3700787401575); +#3207=VECTOR('',#5333,39.3700787401575); +#3208=VECTOR('',#5334,39.3700787401575); +#3209=VECTOR('',#5335,39.3700787401575); +#3210=VECTOR('',#5336,39.3700787401575); +#3211=VECTOR('',#5337,39.3700787401575); +#3212=VECTOR('',#5340,39.3700787401575); +#3213=VECTOR('',#5341,39.3700787401575); +#3214=VECTOR('',#5342,39.3700787401575); +#3215=VECTOR('',#5343,39.3700787401575); +#3216=VECTOR('',#5344,39.3700787401575); +#3217=VECTOR('',#5345,39.3700787401575); +#3218=VECTOR('',#5346,39.3700787401575); +#3219=VECTOR('',#5349,39.3700787401575); +#3220=VECTOR('',#5350,39.3700787401575); +#3221=VECTOR('',#5351,39.3700787401575); +#3222=VECTOR('',#5352,39.3700787401575); +#3223=VECTOR('',#5353,39.3700787401575); +#3224=VECTOR('',#5354,39.3700787401575); +#3225=VECTOR('',#5355,39.3700787401575); +#3226=VECTOR('',#5358,39.3700787401575); +#3227=VECTOR('',#5359,39.3700787401575); +#3228=VECTOR('',#5360,39.3700787401575); +#3229=VECTOR('',#5361,39.3700787401575); +#3230=VECTOR('',#5362,39.3700787401575); +#3231=VECTOR('',#5363,39.3700787401575); +#3232=VECTOR('',#5364,39.3700787401575); +#3233=VECTOR('',#5367,39.3700787401575); +#3234=VECTOR('',#5368,39.3700787401575); +#3235=VECTOR('',#5371,39.3700787401575); +#3236=VECTOR('',#5372,39.3700787401575); +#3237=VECTOR('',#5375,39.3700787401575); +#3238=VECTOR('',#5376,39.3700787401575); +#3239=VECTOR('',#5379,39.3700787401575); +#3240=VECTOR('',#5380,39.3700787401575); +#3241=VECTOR('',#5383,39.3700787401575); +#3242=VECTOR('',#5384,39.3700787401575); +#3243=VECTOR('',#5387,39.3700787401575); +#3244=VECTOR('',#5388,39.3700787401575); +#3245=VECTOR('',#5393,39.3700787401575); +#3246=VECTOR('',#5394,39.3700787401575); +#3247=VECTOR('',#5397,39.3700787401575); +#3248=VECTOR('',#5400,39.3700787401575); +#3249=VECTOR('',#5403,39.3700787401575); +#3250=VECTOR('',#5404,39.3700787401575); +#3251=VECTOR('',#5407,39.3700787401575); +#3252=VECTOR('',#5410,39.3700787401575); +#3253=VECTOR('',#5413,39.3700787401575); +#3254=VECTOR('',#5414,39.3700787401575); +#3255=VECTOR('',#5417,39.3700787401575); +#3256=VECTOR('',#5420,39.3700787401575); +#3257=VECTOR('',#5423,39.3700787401575); +#3258=VECTOR('',#5424,39.3700787401575); +#3259=VECTOR('',#5427,39.3700787401575); +#3260=VECTOR('',#5430,39.3700787401575); +#3261=VECTOR('',#5433,39.3700787401575); +#3262=VECTOR('',#5434,39.3700787401575); +#3263=VECTOR('',#5437,39.3700787401575); +#3264=VECTOR('',#5440,39.3700787401575); +#3265=VECTOR('',#5443,39.3700787401575); +#3266=VECTOR('',#5444,39.3700787401575); +#3267=VECTOR('',#5447,39.3700787401575); +#3268=VECTOR('',#5450,39.3700787401575); +#3269=VECTOR('',#5467,39.3700787401575); +#3270=VECTOR('',#5468,39.3700787401575); +#3271=VECTOR('',#5471,39.3700787401575); +#3272=VECTOR('',#5472,39.3700787401575); +#3273=VECTOR('',#5475,39.3700787401575); +#3274=VECTOR('',#5476,39.3700787401575); +#3275=VECTOR('',#5479,39.3700787401575); +#3276=VECTOR('',#5480,39.3700787401575); +#3277=VECTOR('',#5483,39.3700787401575); +#3278=VECTOR('',#5484,39.3700787401575); +#3279=VECTOR('',#5487,39.3700787401575); +#3280=VECTOR('',#5488,39.3700787401575); +#3281=VECTOR('',#5491,39.3700787401575); +#3282=VECTOR('',#5492,39.3700787401575); +#3283=VECTOR('',#5495,39.3700787401575); +#3284=VECTOR('',#5496,39.3700787401575); +#3285=VECTOR('',#5499,39.3700787401575); +#3286=VECTOR('',#5500,39.3700787401575); +#3287=VECTOR('',#5503,39.3700787401575); +#3288=VECTOR('',#5504,39.3700787401575); +#3289=EDGE_LOOP('',(#113,#114,#115,#116)); +#3290=EDGE_LOOP('',(#117,#118,#119,#120)); +#3291=EDGE_LOOP('',(#121,#122,#123,#124)); +#3292=EDGE_LOOP('',(#125,#126,#127,#128)); +#3293=EDGE_LOOP('',(#129,#130,#131,#132)); +#3294=EDGE_LOOP('',(#133,#134,#135,#136)); +#3295=EDGE_LOOP('',(#137,#138,#139,#140)); +#3296=EDGE_LOOP('',(#141,#142,#143,#144)); +#3297=EDGE_LOOP('',(#145,#146,#147,#148)); +#3298=EDGE_LOOP('',(#149,#150,#151,#152)); +#3299=EDGE_LOOP('',(#153,#154,#155,#156)); +#3300=EDGE_LOOP('',(#157,#158,#159,#160)); +#3301=EDGE_LOOP('',(#161,#162,#163,#164)); +#3302=EDGE_LOOP('',(#165,#166,#167,#168)); +#3303=EDGE_LOOP('',(#169,#170,#171,#172)); +#3304=EDGE_LOOP('',(#173,#174,#175,#176)); +#3305=EDGE_LOOP('',(#177,#178,#179,#180)); +#3306=EDGE_LOOP('',(#181,#182,#183,#184)); +#3307=EDGE_LOOP('',(#185,#186,#187,#188)); +#3308=EDGE_LOOP('',(#189,#190,#191,#192)); +#3309=EDGE_LOOP('',(#193,#194,#195,#196)); +#3310=EDGE_LOOP('',(#197,#198,#199,#200)); +#3311=EDGE_LOOP('',(#201,#202,#203,#204)); +#3312=EDGE_LOOP('',(#205,#206,#207,#208)); +#3313=EDGE_LOOP('',(#209,#210,#211,#212)); +#3314=EDGE_LOOP('',(#213,#214,#215,#216)); +#3315=EDGE_LOOP('',(#217,#218,#219,#220)); +#3316=EDGE_LOOP('',(#221,#222,#223,#224)); +#3317=EDGE_LOOP('',(#225,#226,#227,#228)); +#3318=EDGE_LOOP('',(#229,#230,#231,#232)); +#3319=EDGE_LOOP('',(#233,#234,#235,#236)); +#3320=EDGE_LOOP('',(#237,#238,#239,#240)); +#3321=EDGE_LOOP('',(#241,#242,#243,#244)); +#3322=EDGE_LOOP('',(#245,#246,#247,#248)); +#3323=EDGE_LOOP('',(#249,#250,#251,#252)); +#3324=EDGE_LOOP('',(#253,#254,#255,#256)); +#3325=EDGE_LOOP('',(#257,#258,#259,#260)); +#3326=EDGE_LOOP('',(#261,#262,#263,#264)); +#3327=EDGE_LOOP('',(#265,#266,#267,#268)); +#3328=EDGE_LOOP('',(#269,#270,#271,#272)); +#3329=EDGE_LOOP('',(#273,#274,#275,#276)); +#3330=EDGE_LOOP('',(#277,#278,#279,#280)); +#3331=EDGE_LOOP('',(#281,#282,#283,#284)); +#3332=EDGE_LOOP('',(#285,#286,#287,#288)); +#3333=EDGE_LOOP('',(#289,#290,#291,#292)); +#3334=EDGE_LOOP('',(#293,#294,#295,#296,#297,#298,#299,#300,#301,#302,#303, +#304,#305,#306,#307,#308,#309,#310,#311,#312,#313,#314,#315,#316,#317,#318, +#319,#320,#321,#322,#323,#324,#325,#326,#327,#328,#329,#330,#331,#332,#333, +#334,#335,#336)); +#3335=EDGE_LOOP('',(#337,#338,#339,#340,#341,#342,#343,#344,#345,#346,#347, +#348,#349,#350,#351,#352,#353,#354,#355,#356,#357,#358,#359,#360,#361,#362, +#363,#364,#365,#366,#367,#368,#369,#370,#371,#372,#373,#374,#375,#376,#377, +#378,#379,#380)); +#3336=EDGE_LOOP('',(#381,#382,#383,#384)); +#3337=EDGE_LOOP('',(#385,#386,#387,#388)); +#3338=EDGE_LOOP('',(#389,#390,#391,#392)); +#3339=EDGE_LOOP('',(#393,#394,#395,#396,#397,#398,#399,#400,#401,#402,#403, +#404)); +#3340=EDGE_LOOP('',(#405,#406,#407,#408,#409,#410,#411,#412,#413,#414,#415, +#416)); +#3341=EDGE_LOOP('',(#417,#418,#419,#420)); +#3342=EDGE_LOOP('',(#421,#422,#423,#424)); +#3343=EDGE_LOOP('',(#425,#426,#427,#428)); +#3344=EDGE_LOOP('',(#429,#430,#431,#432)); +#3345=EDGE_LOOP('',(#433,#434,#435,#436)); +#3346=EDGE_LOOP('',(#437,#438,#439,#440)); +#3347=EDGE_LOOP('',(#441,#442,#443,#444)); +#3348=EDGE_LOOP('',(#445,#446,#447,#448)); +#3349=EDGE_LOOP('',(#449,#450,#451,#452)); +#3350=EDGE_LOOP('',(#453,#454,#455,#456)); +#3351=EDGE_LOOP('',(#457,#458,#459,#460)); +#3352=EDGE_LOOP('',(#461,#462,#463,#464)); +#3353=EDGE_LOOP('',(#465,#466,#467,#468)); +#3354=EDGE_LOOP('',(#469,#470,#471,#472)); +#3355=EDGE_LOOP('',(#473,#474,#475,#476)); +#3356=EDGE_LOOP('',(#477,#478,#479,#480)); +#3357=EDGE_LOOP('',(#481,#482,#483,#484,#485,#486,#487,#488,#489,#490,#491, +#492)); +#3358=EDGE_LOOP('',(#493,#494,#495,#496,#497,#498,#499,#500,#501,#502,#503, +#504)); +#3359=EDGE_LOOP('',(#505,#506,#507,#508)); +#3360=EDGE_LOOP('',(#509,#510,#511,#512,#513,#514,#515,#516,#517,#518,#519, +#520)); +#3361=EDGE_LOOP('',(#521,#522,#523,#524,#525,#526,#527,#528,#529,#530,#531, +#532)); +#3362=EDGE_LOOP('',(#533,#534,#535,#536)); +#3363=EDGE_LOOP('',(#537,#538,#539,#540,#541,#542,#543,#544,#545,#546,#547, +#548)); +#3364=EDGE_LOOP('',(#549,#550,#551,#552,#553,#554,#555,#556,#557,#558,#559, +#560)); +#3365=EDGE_LOOP('',(#561,#562,#563,#564)); +#3366=EDGE_LOOP('',(#565,#566,#567,#568,#569,#570,#571,#572,#573,#574,#575, +#576)); +#3367=EDGE_LOOP('',(#577,#578,#579,#580,#581,#582,#583,#584,#585,#586,#587, +#588)); +#3368=EDGE_LOOP('',(#589,#590,#591,#592)); +#3369=EDGE_LOOP('',(#593,#594,#595,#596,#597,#598,#599,#600,#601,#602,#603, +#604)); +#3370=EDGE_LOOP('',(#605,#606,#607,#608,#609,#610,#611,#612,#613,#614,#615, +#616)); +#3371=EDGE_LOOP('',(#617,#618,#619,#620)); +#3372=EDGE_LOOP('',(#621,#622,#623,#624)); +#3373=EDGE_LOOP('',(#625,#626,#627,#628)); +#3374=EDGE_LOOP('',(#629,#630,#631,#632)); +#3375=EDGE_LOOP('',(#633,#634,#635,#636)); +#3376=EDGE_LOOP('',(#637,#638,#639,#640)); +#3377=EDGE_LOOP('',(#641,#642,#643,#644)); +#3378=EDGE_LOOP('',(#645,#646,#647,#648)); +#3379=EDGE_LOOP('',(#649,#650,#651,#652)); +#3380=EDGE_LOOP('',(#653,#654,#655,#656)); +#3381=EDGE_LOOP('',(#657,#658,#659,#660)); +#3382=EDGE_LOOP('',(#661,#662,#663,#664)); +#3383=EDGE_LOOP('',(#665,#666,#667,#668)); +#3384=EDGE_LOOP('',(#669,#670,#671,#672)); +#3385=EDGE_LOOP('',(#673,#674,#675,#676)); +#3386=EDGE_LOOP('',(#677,#678,#679,#680)); +#3387=EDGE_LOOP('',(#681,#682,#683,#684)); +#3388=EDGE_LOOP('',(#685,#686,#687,#688)); +#3389=EDGE_LOOP('',(#689,#690,#691,#692)); +#3390=EDGE_LOOP('',(#693,#694,#695,#696)); +#3391=EDGE_LOOP('',(#697,#698,#699,#700)); +#3392=EDGE_LOOP('',(#701,#702,#703,#704)); +#3393=EDGE_LOOP('',(#705,#706,#707,#708)); +#3394=EDGE_LOOP('',(#709,#710,#711,#712)); +#3395=EDGE_LOOP('',(#713,#714,#715,#716)); +#3396=EDGE_LOOP('',(#717,#718,#719,#720)); +#3397=EDGE_LOOP('',(#721,#722,#723,#724)); +#3398=EDGE_LOOP('',(#725,#726,#727,#728)); +#3399=EDGE_LOOP('',(#729,#730,#731,#732)); +#3400=EDGE_LOOP('',(#733,#734,#735,#736)); +#3401=EDGE_LOOP('',(#737,#738,#739,#740)); +#3402=EDGE_LOOP('',(#741,#742,#743,#744)); +#3403=EDGE_LOOP('',(#745,#746,#747,#748)); +#3404=EDGE_LOOP('',(#749,#750,#751,#752)); +#3405=EDGE_LOOP('',(#753,#754,#755,#756)); +#3406=EDGE_LOOP('',(#757,#758,#759,#760)); +#3407=EDGE_LOOP('',(#761,#762,#763,#764)); +#3408=EDGE_LOOP('',(#765,#766,#767,#768)); +#3409=EDGE_LOOP('',(#769,#770,#771,#772)); +#3410=EDGE_LOOP('',(#773,#774,#775,#776)); +#3411=EDGE_LOOP('',(#777,#778,#779,#780)); +#3412=EDGE_LOOP('',(#781,#782,#783,#784)); +#3413=EDGE_LOOP('',(#785,#786,#787,#788)); +#3414=EDGE_LOOP('',(#789,#790,#791,#792)); +#3415=EDGE_LOOP('',(#793,#794,#795,#796)); +#3416=EDGE_LOOP('',(#797,#798,#799,#800)); +#3417=EDGE_LOOP('',(#801,#802,#803,#804)); +#3418=EDGE_LOOP('',(#805,#806,#807,#808)); +#3419=EDGE_LOOP('',(#809,#810,#811,#812)); +#3420=EDGE_LOOP('',(#813,#814,#815,#816)); +#3421=EDGE_LOOP('',(#817,#818,#819,#820)); +#3422=EDGE_LOOP('',(#821,#822,#823,#824)); +#3423=EDGE_LOOP('',(#825,#826,#827,#828)); +#3424=EDGE_LOOP('',(#829,#830,#831,#832)); +#3425=EDGE_LOOP('',(#833,#834,#835,#836)); +#3426=EDGE_LOOP('',(#837,#838,#839,#840)); +#3427=EDGE_LOOP('',(#841,#842,#843,#844)); +#3428=EDGE_LOOP('',(#845,#846,#847,#848)); +#3429=EDGE_LOOP('',(#849,#850,#851,#852)); +#3430=EDGE_LOOP('',(#853,#854,#855,#856)); +#3431=EDGE_LOOP('',(#857)); +#3432=EDGE_LOOP('',(#858)); +#3433=EDGE_LOOP('',(#859,#860,#861,#862,#863,#864,#865,#866,#867,#868,#869, +#870,#871,#872,#873,#874,#875,#876,#877,#878,#879,#880,#881,#882,#883,#884, +#885,#886,#887,#888,#889,#890,#891,#892,#893,#894,#895,#896,#897,#898,#899, +#900,#901,#902)); +#3434=EDGE_LOOP('',(#903,#904,#905,#906)); +#3435=EDGE_LOOP('',(#907,#908,#909,#910)); +#3436=EDGE_LOOP('',(#911,#912,#913,#914)); +#3437=EDGE_LOOP('',(#915,#916,#917,#918)); +#3438=EDGE_LOOP('',(#919,#920,#921,#922)); +#3439=EDGE_LOOP('',(#923,#924,#925,#926)); +#3440=EDGE_LOOP('',(#927,#928,#929,#930)); +#3441=EDGE_LOOP('',(#931,#932,#933,#934)); +#3442=EDGE_LOOP('',(#935,#936,#937,#938)); +#3443=EDGE_LOOP('',(#939,#940,#941,#942)); +#3444=EDGE_LOOP('',(#943,#944,#945,#946)); +#3445=EDGE_LOOP('',(#947,#948,#949,#950)); +#3446=EDGE_LOOP('',(#951,#952,#953,#954)); +#3447=EDGE_LOOP('',(#955,#956,#957,#958)); +#3448=EDGE_LOOP('',(#959,#960,#961,#962)); +#3449=EDGE_LOOP('',(#963,#964,#965,#966)); +#3450=EDGE_LOOP('',(#967,#968,#969,#970)); +#3451=EDGE_LOOP('',(#971,#972,#973,#974)); +#3452=EDGE_LOOP('',(#975,#976,#977,#978)); +#3453=EDGE_LOOP('',(#979,#980,#981,#982)); +#3454=EDGE_LOOP('',(#983,#984,#985,#986)); +#3455=EDGE_LOOP('',(#987,#988,#989,#990)); +#3456=EDGE_LOOP('',(#991,#992,#993,#994)); +#3457=EDGE_LOOP('',(#995,#996,#997,#998)); +#3458=EDGE_LOOP('',(#999,#1000,#1001,#1002)); +#3459=EDGE_LOOP('',(#1003,#1004,#1005,#1006)); +#3460=EDGE_LOOP('',(#1007,#1008,#1009,#1010)); +#3461=EDGE_LOOP('',(#1011,#1012,#1013,#1014)); +#3462=EDGE_LOOP('',(#1015,#1016,#1017,#1018)); +#3463=EDGE_LOOP('',(#1019,#1020,#1021,#1022)); +#3464=EDGE_LOOP('',(#1023,#1024,#1025,#1026)); +#3465=EDGE_LOOP('',(#1027,#1028,#1029,#1030)); +#3466=EDGE_LOOP('',(#1031,#1032,#1033,#1034)); +#3467=EDGE_LOOP('',(#1035,#1036,#1037,#1038)); +#3468=EDGE_LOOP('',(#1039,#1040,#1041,#1042)); +#3469=EDGE_LOOP('',(#1043,#1044,#1045,#1046)); +#3470=EDGE_LOOP('',(#1047,#1048,#1049,#1050)); +#3471=EDGE_LOOP('',(#1051,#1052,#1053,#1054)); +#3472=EDGE_LOOP('',(#1055,#1056,#1057,#1058)); +#3473=EDGE_LOOP('',(#1059,#1060,#1061,#1062)); +#3474=EDGE_LOOP('',(#1063,#1064,#1065,#1066)); +#3475=EDGE_LOOP('',(#1067,#1068,#1069,#1070)); +#3476=EDGE_LOOP('',(#1071,#1072,#1073,#1074)); +#3477=EDGE_LOOP('',(#1075,#1076,#1077,#1078)); +#3478=EDGE_LOOP('',(#1079,#1080,#1081,#1082)); +#3479=EDGE_LOOP('',(#1083,#1084,#1085,#1086)); +#3480=EDGE_LOOP('',(#1087,#1088,#1089,#1090)); +#3481=EDGE_LOOP('',(#1091,#1092,#1093,#1094)); +#3482=EDGE_LOOP('',(#1095,#1096,#1097,#1098)); +#3483=EDGE_LOOP('',(#1099,#1100,#1101,#1102)); +#3484=EDGE_LOOP('',(#1103,#1104,#1105,#1106)); +#3485=EDGE_LOOP('',(#1107,#1108,#1109,#1110)); +#3486=EDGE_LOOP('',(#1111,#1112,#1113,#1114)); +#3487=EDGE_LOOP('',(#1115,#1116,#1117,#1118)); +#3488=EDGE_LOOP('',(#1119,#1120,#1121,#1122)); +#3489=EDGE_LOOP('',(#1123,#1124,#1125,#1126)); +#3490=EDGE_LOOP('',(#1127,#1128,#1129,#1130)); +#3491=EDGE_LOOP('',(#1131,#1132,#1133,#1134)); +#3492=EDGE_LOOP('',(#1135,#1136,#1137,#1138)); +#3493=EDGE_LOOP('',(#1139,#1140,#1141,#1142)); +#3494=EDGE_LOOP('',(#1143,#1144,#1145,#1146)); +#3495=EDGE_LOOP('',(#1147,#1148,#1149,#1150,#1151,#1152,#1153,#1154)); +#3496=EDGE_LOOP('',(#1155,#1156,#1157,#1158,#1159,#1160,#1161,#1162)); +#3497=EDGE_LOOP('',(#1163,#1164,#1165,#1166,#1167,#1168,#1169,#1170)); +#3498=EDGE_LOOP('',(#1171,#1172,#1173,#1174,#1175,#1176,#1177,#1178)); +#3499=EDGE_LOOP('',(#1179,#1180,#1181,#1182,#1183,#1184,#1185,#1186)); +#3500=EDGE_LOOP('',(#1187,#1188,#1189,#1190,#1191,#1192,#1193,#1194)); +#3501=EDGE_LOOP('',(#1195,#1196,#1197,#1198,#1199,#1200,#1201,#1202)); +#3502=EDGE_LOOP('',(#1203,#1204,#1205,#1206,#1207,#1208,#1209,#1210)); +#3503=EDGE_LOOP('',(#1211,#1212,#1213,#1214,#1215,#1216,#1217,#1218)); +#3504=EDGE_LOOP('',(#1219,#1220,#1221,#1222,#1223,#1224,#1225,#1226)); +#3505=EDGE_LOOP('',(#1227,#1228,#1229,#1230)); +#3506=EDGE_LOOP('',(#1231,#1232,#1233,#1234)); +#3507=EDGE_LOOP('',(#1235,#1236,#1237,#1238)); +#3508=EDGE_LOOP('',(#1239,#1240,#1241,#1242)); +#3509=EDGE_LOOP('',(#1243,#1244,#1245,#1246)); +#3510=EDGE_LOOP('',(#1247,#1248,#1249,#1250)); +#3511=EDGE_LOOP('',(#1251,#1252,#1253,#1254)); +#3512=EDGE_LOOP('',(#1255,#1256,#1257,#1258)); +#3513=EDGE_LOOP('',(#1259,#1260,#1261,#1262)); +#3514=EDGE_LOOP('',(#1263,#1264,#1265,#1266)); +#3515=EDGE_LOOP('',(#1267)); +#3516=EDGE_LOOP('',(#1268)); +#3517=EDGE_LOOP('',(#1269)); +#3518=EDGE_LOOP('',(#1270)); +#3519=EDGE_LOOP('',(#1271)); +#3520=EDGE_LOOP('',(#1272)); +#3521=EDGE_LOOP('',(#1273)); +#3522=EDGE_LOOP('',(#1274)); +#3523=EDGE_LOOP('',(#1275)); +#3524=EDGE_LOOP('',(#1276)); +#3525=FACE_BOUND('',#3289,.T.); +#3526=FACE_BOUND('',#3290,.T.); +#3527=FACE_BOUND('',#3291,.T.); +#3528=FACE_BOUND('',#3292,.T.); +#3529=FACE_BOUND('',#3293,.T.); +#3530=FACE_BOUND('',#3294,.T.); +#3531=FACE_BOUND('',#3295,.T.); +#3532=FACE_BOUND('',#3296,.T.); +#3533=FACE_BOUND('',#3297,.T.); +#3534=FACE_BOUND('',#3298,.T.); +#3535=FACE_BOUND('',#3299,.T.); +#3536=FACE_BOUND('',#3300,.T.); +#3537=FACE_BOUND('',#3301,.T.); +#3538=FACE_BOUND('',#3302,.T.); +#3539=FACE_BOUND('',#3303,.T.); +#3540=FACE_BOUND('',#3304,.T.); +#3541=FACE_BOUND('',#3305,.T.); +#3542=FACE_BOUND('',#3306,.T.); +#3543=FACE_BOUND('',#3307,.T.); +#3544=FACE_BOUND('',#3308,.T.); +#3545=FACE_BOUND('',#3309,.T.); +#3546=FACE_BOUND('',#3310,.T.); +#3547=FACE_BOUND('',#3311,.T.); +#3548=FACE_BOUND('',#3312,.T.); +#3549=FACE_BOUND('',#3313,.T.); +#3550=FACE_BOUND('',#3314,.T.); +#3551=FACE_BOUND('',#3315,.T.); +#3552=FACE_BOUND('',#3316,.T.); +#3553=FACE_BOUND('',#3317,.T.); +#3554=FACE_BOUND('',#3318,.T.); +#3555=FACE_BOUND('',#3319,.T.); +#3556=FACE_BOUND('',#3320,.T.); +#3557=FACE_BOUND('',#3321,.T.); +#3558=FACE_BOUND('',#3322,.T.); +#3559=FACE_BOUND('',#3323,.T.); +#3560=FACE_BOUND('',#3324,.T.); +#3561=FACE_BOUND('',#3325,.T.); +#3562=FACE_BOUND('',#3326,.T.); +#3563=FACE_BOUND('',#3327,.T.); +#3564=FACE_BOUND('',#3328,.T.); +#3565=FACE_BOUND('',#3329,.T.); +#3566=FACE_BOUND('',#3330,.T.); +#3567=FACE_BOUND('',#3331,.T.); +#3568=FACE_BOUND('',#3332,.T.); +#3569=FACE_BOUND('',#3333,.T.); +#3570=FACE_BOUND('',#3334,.T.); +#3571=FACE_BOUND('',#3335,.T.); +#3572=FACE_BOUND('',#3336,.T.); +#3573=FACE_BOUND('',#3337,.T.); +#3574=FACE_BOUND('',#3338,.T.); +#3575=FACE_BOUND('',#3339,.T.); +#3576=FACE_BOUND('',#3340,.T.); +#3577=FACE_BOUND('',#3341,.T.); +#3578=FACE_BOUND('',#3342,.T.); +#3579=FACE_BOUND('',#3343,.T.); +#3580=FACE_BOUND('',#3344,.T.); +#3581=FACE_BOUND('',#3345,.T.); +#3582=FACE_BOUND('',#3346,.T.); +#3583=FACE_BOUND('',#3347,.T.); +#3584=FACE_BOUND('',#3348,.T.); +#3585=FACE_BOUND('',#3349,.T.); +#3586=FACE_BOUND('',#3350,.T.); +#3587=FACE_BOUND('',#3351,.T.); +#3588=FACE_BOUND('',#3352,.T.); +#3589=FACE_BOUND('',#3353,.T.); +#3590=FACE_BOUND('',#3354,.T.); +#3591=FACE_BOUND('',#3355,.T.); +#3592=FACE_BOUND('',#3356,.T.); +#3593=FACE_BOUND('',#3357,.T.); +#3594=FACE_BOUND('',#3358,.T.); +#3595=FACE_BOUND('',#3359,.T.); +#3596=FACE_BOUND('',#3360,.T.); +#3597=FACE_BOUND('',#3361,.T.); +#3598=FACE_BOUND('',#3362,.T.); +#3599=FACE_BOUND('',#3363,.T.); +#3600=FACE_BOUND('',#3364,.T.); +#3601=FACE_BOUND('',#3365,.T.); +#3602=FACE_BOUND('',#3366,.T.); +#3603=FACE_BOUND('',#3367,.T.); +#3604=FACE_BOUND('',#3368,.T.); +#3605=FACE_BOUND('',#3369,.T.); +#3606=FACE_BOUND('',#3370,.T.); +#3607=FACE_BOUND('',#3371,.T.); +#3608=FACE_BOUND('',#3372,.T.); +#3609=FACE_BOUND('',#3373,.T.); +#3610=FACE_BOUND('',#3374,.T.); +#3611=FACE_BOUND('',#3375,.T.); +#3612=FACE_BOUND('',#3376,.T.); +#3613=FACE_BOUND('',#3377,.T.); +#3614=FACE_BOUND('',#3378,.T.); +#3615=FACE_BOUND('',#3379,.T.); +#3616=FACE_BOUND('',#3380,.T.); +#3617=FACE_BOUND('',#3381,.T.); +#3618=FACE_BOUND('',#3382,.T.); +#3619=FACE_BOUND('',#3383,.T.); +#3620=FACE_BOUND('',#3384,.T.); +#3621=FACE_BOUND('',#3385,.T.); +#3622=FACE_BOUND('',#3386,.T.); +#3623=FACE_BOUND('',#3387,.T.); +#3624=FACE_BOUND('',#3388,.T.); +#3625=FACE_BOUND('',#3389,.T.); +#3626=FACE_BOUND('',#3390,.T.); +#3627=FACE_BOUND('',#3391,.T.); +#3628=FACE_BOUND('',#3392,.T.); +#3629=FACE_BOUND('',#3393,.T.); +#3630=FACE_BOUND('',#3394,.T.); +#3631=FACE_BOUND('',#3395,.T.); +#3632=FACE_BOUND('',#3396,.T.); +#3633=FACE_BOUND('',#3397,.T.); +#3634=FACE_BOUND('',#3398,.T.); +#3635=FACE_BOUND('',#3399,.T.); +#3636=FACE_BOUND('',#3400,.T.); +#3637=FACE_BOUND('',#3401,.T.); +#3638=FACE_BOUND('',#3402,.T.); +#3639=FACE_BOUND('',#3403,.T.); +#3640=FACE_BOUND('',#3404,.T.); +#3641=FACE_BOUND('',#3405,.T.); +#3642=FACE_BOUND('',#3406,.T.); +#3643=FACE_BOUND('',#3407,.T.); +#3644=FACE_BOUND('',#3408,.T.); +#3645=FACE_BOUND('',#3409,.T.); +#3646=FACE_BOUND('',#3410,.T.); +#3647=FACE_BOUND('',#3411,.T.); +#3648=FACE_BOUND('',#3412,.T.); +#3649=FACE_BOUND('',#3413,.T.); +#3650=FACE_BOUND('',#3414,.T.); +#3651=FACE_BOUND('',#3415,.T.); +#3652=FACE_BOUND('',#3416,.T.); +#3653=FACE_BOUND('',#3417,.T.); +#3654=FACE_BOUND('',#3418,.T.); +#3655=FACE_BOUND('',#3419,.T.); +#3656=FACE_BOUND('',#3420,.T.); +#3657=FACE_BOUND('',#3421,.T.); +#3658=FACE_BOUND('',#3422,.T.); +#3659=FACE_BOUND('',#3423,.T.); +#3660=FACE_BOUND('',#3424,.T.); +#3661=FACE_BOUND('',#3425,.T.); +#3662=FACE_BOUND('',#3426,.T.); +#3663=FACE_BOUND('',#3427,.T.); +#3664=FACE_BOUND('',#3428,.T.); +#3665=FACE_BOUND('',#3429,.T.); +#3666=FACE_BOUND('',#3430,.T.); +#3667=FACE_BOUND('',#3431,.T.); +#3668=FACE_BOUND('',#3432,.T.); +#3669=FACE_BOUND('',#3433,.T.); +#3670=FACE_BOUND('',#3434,.T.); +#3671=FACE_BOUND('',#3435,.T.); +#3672=FACE_BOUND('',#3436,.T.); +#3673=FACE_BOUND('',#3437,.T.); +#3674=FACE_BOUND('',#3438,.T.); +#3675=FACE_BOUND('',#3439,.T.); +#3676=FACE_BOUND('',#3440,.T.); +#3677=FACE_BOUND('',#3441,.T.); +#3678=FACE_BOUND('',#3442,.T.); +#3679=FACE_BOUND('',#3443,.T.); +#3680=FACE_BOUND('',#3444,.T.); +#3681=FACE_BOUND('',#3445,.T.); +#3682=FACE_BOUND('',#3446,.T.); +#3683=FACE_BOUND('',#3447,.T.); +#3684=FACE_BOUND('',#3448,.T.); +#3685=FACE_BOUND('',#3449,.T.); +#3686=FACE_BOUND('',#3450,.T.); +#3687=FACE_BOUND('',#3451,.T.); +#3688=FACE_BOUND('',#3452,.T.); +#3689=FACE_BOUND('',#3453,.T.); +#3690=FACE_BOUND('',#3454,.T.); +#3691=FACE_BOUND('',#3455,.T.); +#3692=FACE_BOUND('',#3456,.T.); +#3693=FACE_BOUND('',#3457,.T.); +#3694=FACE_BOUND('',#3458,.T.); +#3695=FACE_BOUND('',#3459,.T.); +#3696=FACE_BOUND('',#3460,.T.); +#3697=FACE_BOUND('',#3461,.T.); +#3698=FACE_BOUND('',#3462,.T.); +#3699=FACE_BOUND('',#3463,.T.); +#3700=FACE_BOUND('',#3464,.T.); +#3701=FACE_BOUND('',#3465,.T.); +#3702=FACE_BOUND('',#3466,.T.); +#3703=FACE_BOUND('',#3467,.T.); +#3704=FACE_BOUND('',#3468,.T.); +#3705=FACE_BOUND('',#3469,.T.); +#3706=FACE_BOUND('',#3470,.T.); +#3707=FACE_BOUND('',#3471,.T.); +#3708=FACE_BOUND('',#3472,.T.); +#3709=FACE_BOUND('',#3473,.T.); +#3710=FACE_BOUND('',#3474,.T.); +#3711=FACE_BOUND('',#3475,.T.); +#3712=FACE_BOUND('',#3476,.T.); +#3713=FACE_BOUND('',#3477,.T.); +#3714=FACE_BOUND('',#3478,.T.); +#3715=FACE_BOUND('',#3479,.T.); +#3716=FACE_BOUND('',#3480,.T.); +#3717=FACE_BOUND('',#3481,.T.); +#3718=FACE_BOUND('',#3482,.T.); +#3719=FACE_BOUND('',#3483,.T.); +#3720=FACE_BOUND('',#3484,.T.); +#3721=FACE_BOUND('',#3485,.T.); +#3722=FACE_BOUND('',#3486,.T.); +#3723=FACE_BOUND('',#3487,.T.); +#3724=FACE_BOUND('',#3488,.T.); +#3725=FACE_BOUND('',#3489,.T.); +#3726=FACE_BOUND('',#3490,.T.); +#3727=FACE_BOUND('',#3491,.T.); +#3728=FACE_BOUND('',#3492,.T.); +#3729=FACE_BOUND('',#3493,.T.); +#3730=FACE_BOUND('',#3494,.T.); +#3731=FACE_BOUND('',#3495,.T.); +#3732=FACE_BOUND('',#3496,.T.); +#3733=FACE_BOUND('',#3497,.T.); +#3734=FACE_BOUND('',#3498,.T.); +#3735=FACE_BOUND('',#3499,.T.); +#3736=FACE_BOUND('',#3500,.T.); +#3737=FACE_BOUND('',#3501,.T.); +#3738=FACE_BOUND('',#3502,.T.); +#3739=FACE_BOUND('',#3503,.T.); +#3740=FACE_BOUND('',#3504,.T.); +#3741=FACE_BOUND('',#3505,.T.); +#3742=FACE_BOUND('',#3506,.T.); +#3743=FACE_BOUND('',#3507,.T.); +#3744=FACE_BOUND('',#3508,.T.); +#3745=FACE_BOUND('',#3509,.T.); +#3746=FACE_BOUND('',#3510,.T.); +#3747=FACE_BOUND('',#3511,.T.); +#3748=FACE_BOUND('',#3512,.T.); +#3749=FACE_BOUND('',#3513,.T.); +#3750=FACE_BOUND('',#3514,.T.); +#3751=FACE_BOUND('',#3515,.T.); +#3752=FACE_BOUND('',#3516,.T.); +#3753=FACE_BOUND('',#3517,.T.); +#3754=FACE_BOUND('',#3518,.T.); +#3755=FACE_BOUND('',#3519,.T.); +#3756=FACE_BOUND('',#3520,.T.); +#3757=FACE_BOUND('',#3521,.T.); +#3758=FACE_BOUND('',#3522,.T.); +#3759=FACE_BOUND('',#3523,.T.); +#3760=FACE_BOUND('',#3524,.T.); +#3761=PLANE('',#4195); +#3762=PLANE('',#4196); +#3763=PLANE('',#4197); +#3764=PLANE('',#4198); +#3765=PLANE('',#4199); +#3766=PLANE('',#4200); +#3767=PLANE('',#4201); +#3768=PLANE('',#4202); +#3769=PLANE('',#4215); +#3770=PLANE('',#4216); +#3771=PLANE('',#4217); +#3772=PLANE('',#4218); +#3773=PLANE('',#4243); +#3774=PLANE('',#4244); +#3775=PLANE('',#4245); +#3776=PLANE('',#4246); +#3777=PLANE('',#4259); +#3778=PLANE('',#4260); +#3779=PLANE('',#4264); +#3780=PLANE('',#4271); +#3781=PLANE('',#4275); +#3782=PLANE('',#4276); +#3783=PLANE('',#4280); +#3784=PLANE('',#4284); +#3785=PLANE('',#4285); +#3786=PLANE('',#4289); +#3787=PLANE('',#4293); +#3788=PLANE('',#4294); +#3789=PLANE('',#4295); +#3790=PLANE('',#4296); +#3791=PLANE('',#4297); +#3792=PLANE('',#4298); +#3793=PLANE('',#4299); +#3794=PLANE('',#4300); +#3795=PLANE('',#4301); +#3796=PLANE('',#4302); +#3797=PLANE('',#4303); +#3798=PLANE('',#4304); +#3799=PLANE('',#4305); +#3800=PLANE('',#4306); +#3801=PLANE('',#4307); +#3802=PLANE('',#4308); +#3803=PLANE('',#4309); +#3804=PLANE('',#4310); +#3805=PLANE('',#4311); +#3806=PLANE('',#4312); +#3807=PLANE('',#4313); +#3808=PLANE('',#4314); +#3809=PLANE('',#4315); +#3810=PLANE('',#4316); +#3811=PLANE('',#4317); +#3812=PLANE('',#4318); +#3813=PLANE('',#4319); +#3814=PLANE('',#4320); +#3815=PLANE('',#4321); +#3816=PLANE('',#4322); +#3817=PLANE('',#4323); +#3818=PLANE('',#4324); +#3819=PLANE('',#4325); +#3820=PLANE('',#4326); +#3821=PLANE('',#4327); +#3822=PLANE('',#4328); +#3823=PLANE('',#4329); +#3824=PLANE('',#4330); +#3825=PLANE('',#4331); +#3826=PLANE('',#4332); +#3827=PLANE('',#4333); +#3828=PLANE('',#4334); +#3829=PLANE('',#4335); +#3830=PLANE('',#4336); +#3831=PLANE('',#4337); +#3832=PLANE('',#4338); +#3833=PLANE('',#4339); +#3834=PLANE('',#4340); +#3835=PLANE('',#4341); +#3836=PLANE('',#4342); +#3837=PLANE('',#4343); +#3838=PLANE('',#4344); +#3839=PLANE('',#4345); +#3840=PLANE('',#4346); +#3841=PLANE('',#4347); +#3842=PLANE('',#4348); +#3843=PLANE('',#4349); +#3844=PLANE('',#4350); +#3845=PLANE('',#4351); +#3846=PLANE('',#4352); +#3847=PLANE('',#4353); +#3848=PLANE('',#4354); +#3849=PLANE('',#4355); +#3850=PLANE('',#4356); +#3851=PLANE('',#4357); +#3852=PLANE('',#4358); +#3853=PLANE('',#4359); +#3854=PLANE('',#4360); +#3855=PLANE('',#4361); +#3856=PLANE('',#4362); +#3857=PLANE('',#4363); +#3858=PLANE('',#4364); +#3859=PLANE('',#4365); +#3860=PLANE('',#4366); +#3861=PLANE('',#4367); +#3862=PLANE('',#4368); +#3863=PLANE('',#4369); +#3864=PLANE('',#4370); +#3865=PLANE('',#4371); +#3866=PLANE('',#4372); +#3867=PLANE('',#4373); +#3868=PLANE('',#4374); +#3869=PLANE('',#4375); +#3870=PLANE('',#4376); +#3871=PLANE('',#4377); +#3872=PLANE('',#4378); +#3873=PLANE('',#4379); +#3874=PLANE('',#4380); +#3875=PLANE('',#4381); +#3876=PLANE('',#4382); +#3877=PLANE('',#4383); +#3878=PLANE('',#4384); +#3879=PLANE('',#4386); +#3880=PLANE('',#4389); +#3881=PLANE('',#4390); +#3882=PLANE('',#4391); +#3883=PLANE('',#4392); +#3884=PLANE('',#4393); +#3885=PLANE('',#4394); +#3886=PLANE('',#4395); +#3887=PLANE('',#4396); +#3888=PLANE('',#4397); +#3889=PLANE('',#4398); +#3890=PLANE('',#4399); +#3891=PLANE('',#4400); +#3892=PLANE('',#4401); +#3893=PLANE('',#4402); +#3894=PLANE('',#4403); +#3895=PLANE('',#4404); +#3896=PLANE('',#4405); +#3897=PLANE('',#4406); +#3898=PLANE('',#4407); +#3899=PLANE('',#4408); +#3900=PLANE('',#4409); +#3901=PLANE('',#4410); +#3902=PLANE('',#4411); +#3903=PLANE('',#4412); +#3904=PLANE('',#4413); +#3905=PLANE('',#4414); +#3906=PLANE('',#4415); +#3907=PLANE('',#4416); +#3908=PLANE('',#4417); +#3909=PLANE('',#4418); +#3910=PLANE('',#4419); +#3911=PLANE('',#4420); +#3912=PLANE('',#4421); +#3913=PLANE('',#4422); +#3914=PLANE('',#4423); +#3915=PLANE('',#4424); +#3916=PLANE('',#4425); +#3917=PLANE('',#4426); +#3918=PLANE('',#4427); +#3919=PLANE('',#4428); +#3920=PLANE('',#4429); +#3921=PLANE('',#4430); +#3922=PLANE('',#4431); +#3923=PLANE('',#4432); +#3924=PLANE('',#4433); +#3925=PLANE('',#4434); +#3926=PLANE('',#4435); +#3927=PLANE('',#4436); +#3928=PLANE('',#4437); +#3929=PLANE('',#4438); +#3930=PLANE('',#4439); +#3931=PLANE('',#4440); +#3932=PLANE('',#4441); +#3933=PLANE('',#4442); +#3934=PLANE('',#4443); +#3935=PLANE('',#4444); +#3936=PLANE('',#4445); +#3937=PLANE('',#4446); +#3938=PLANE('',#4447); +#3939=PLANE('',#4448); +#3940=PLANE('',#4449); +#3941=PLANE('',#4450); +#3942=PLANE('',#4451); +#3943=PLANE('',#4452); +#3944=PLANE('',#4453); +#3945=PLANE('',#4454); +#3946=PLANE('',#4455); +#3947=PLANE('',#4456); +#3948=PLANE('',#4457); +#3949=PLANE('',#4462); +#3950=PLANE('',#4467); +#3951=ADVANCED_FACE('',(#3525),#3761,.F.); +#3952=ADVANCED_FACE('',(#3526),#3762,.F.); +#3953=ADVANCED_FACE('',(#3527),#3763,.F.); +#3954=ADVANCED_FACE('',(#3528),#3764,.F.); +#3955=ADVANCED_FACE('',(#3529),#3765,.F.); +#3956=ADVANCED_FACE('',(#3530),#3766,.F.); +#3957=ADVANCED_FACE('',(#3531),#3767,.F.); +#3958=ADVANCED_FACE('',(#3532),#3768,.F.); +#3959=ADVANCED_FACE('',(#3533),#87,.F.); +#3960=ADVANCED_FACE('',(#3534),#88,.F.); +#3961=ADVANCED_FACE('',(#3535),#89,.F.); +#3962=ADVANCED_FACE('',(#3536),#90,.F.); +#3963=ADVANCED_FACE('',(#3537),#3769,.F.); +#3964=ADVANCED_FACE('',(#3538),#3770,.F.); +#3965=ADVANCED_FACE('',(#3539),#3771,.F.); +#3966=ADVANCED_FACE('',(#3540),#3772,.F.); +#3967=ADVANCED_FACE('',(#3541),#91,.T.); +#3968=ADVANCED_FACE('',(#3542),#92,.T.); +#3969=ADVANCED_FACE('',(#3543),#93,.T.); +#3970=ADVANCED_FACE('',(#3544),#94,.T.); +#3971=ADVANCED_FACE('',(#3545),#95,.F.); +#3972=ADVANCED_FACE('',(#3546),#96,.F.); +#3973=ADVANCED_FACE('',(#3547),#97,.F.); +#3974=ADVANCED_FACE('',(#3548),#98,.F.); +#3975=ADVANCED_FACE('',(#3549),#3773,.F.); +#3976=ADVANCED_FACE('',(#3550),#3774,.F.); +#3977=ADVANCED_FACE('',(#3551),#3775,.F.); +#3978=ADVANCED_FACE('',(#3552),#3776,.F.); +#3979=ADVANCED_FACE('',(#3553),#99,.T.); +#3980=ADVANCED_FACE('',(#3554),#100,.T.); +#3981=ADVANCED_FACE('',(#3555),#101,.T.); +#3982=ADVANCED_FACE('',(#3556),#102,.T.); +#3983=ADVANCED_FACE('',(#3557),#3777,.F.); +#3984=ADVANCED_FACE('',(#3558),#3778,.F.); +#3985=ADVANCED_FACE('',(#3559),#103,.F.); +#3986=ADVANCED_FACE('',(#3560),#3779,.F.); +#3987=ADVANCED_FACE('',(#3561),#104,.T.); +#3988=ADVANCED_FACE('',(#3562),#105,.F.); +#3989=ADVANCED_FACE('',(#3563),#3780,.F.); +#3990=ADVANCED_FACE('',(#3564),#106,.T.); +#3991=ADVANCED_FACE('',(#3565),#3781,.F.); +#3992=ADVANCED_FACE('',(#3566),#3782,.F.); +#3993=ADVANCED_FACE('',(#3567),#107,.F.); +#3994=ADVANCED_FACE('',(#3568),#3783,.F.); +#3995=ADVANCED_FACE('',(#3569),#108,.T.); +#3996=ADVANCED_FACE('',(#3570),#3784,.F.); +#3997=ADVANCED_FACE('',(#3571),#3785,.F.); +#3998=ADVANCED_FACE('',(#3572),#109,.F.); +#3999=ADVANCED_FACE('',(#3573),#3786,.F.); +#4000=ADVANCED_FACE('',(#3574),#110,.T.); +#4001=ADVANCED_FACE('',(#3575),#3787,.T.); +#4002=ADVANCED_FACE('',(#3576),#3788,.F.); +#4003=ADVANCED_FACE('',(#3577),#3789,.F.); +#4004=ADVANCED_FACE('',(#3578),#3790,.F.); +#4005=ADVANCED_FACE('',(#3579),#3791,.F.); +#4006=ADVANCED_FACE('',(#3580),#3792,.F.); +#4007=ADVANCED_FACE('',(#3581),#3793,.F.); +#4008=ADVANCED_FACE('',(#3582),#3794,.F.); +#4009=ADVANCED_FACE('',(#3583),#3795,.F.); +#4010=ADVANCED_FACE('',(#3584),#3796,.F.); +#4011=ADVANCED_FACE('',(#3585),#3797,.F.); +#4012=ADVANCED_FACE('',(#3586),#3798,.F.); +#4013=ADVANCED_FACE('',(#3587),#3799,.F.); +#4014=ADVANCED_FACE('',(#3588),#3800,.F.); +#4015=ADVANCED_FACE('',(#3589),#3801,.F.); +#4016=ADVANCED_FACE('',(#3590),#3802,.F.); +#4017=ADVANCED_FACE('',(#3591),#3803,.F.); +#4018=ADVANCED_FACE('',(#3592),#3804,.F.); +#4019=ADVANCED_FACE('',(#3593),#3805,.F.); +#4020=ADVANCED_FACE('',(#3594),#3806,.F.); +#4021=ADVANCED_FACE('',(#3595),#3807,.F.); +#4022=ADVANCED_FACE('',(#3596),#3808,.F.); +#4023=ADVANCED_FACE('',(#3597),#3809,.F.); +#4024=ADVANCED_FACE('',(#3598),#3810,.F.); +#4025=ADVANCED_FACE('',(#3599),#3811,.F.); +#4026=ADVANCED_FACE('',(#3600),#3812,.F.); +#4027=ADVANCED_FACE('',(#3601),#3813,.F.); +#4028=ADVANCED_FACE('',(#3602),#3814,.F.); +#4029=ADVANCED_FACE('',(#3603),#3815,.F.); +#4030=ADVANCED_FACE('',(#3604),#3816,.F.); +#4031=ADVANCED_FACE('',(#3605),#3817,.F.); +#4032=ADVANCED_FACE('',(#3606),#3818,.F.); +#4033=ADVANCED_FACE('',(#3607),#3819,.T.); +#4034=ADVANCED_FACE('',(#3608),#3820,.T.); +#4035=ADVANCED_FACE('',(#3609),#3821,.T.); +#4036=ADVANCED_FACE('',(#3610),#3822,.T.); +#4037=ADVANCED_FACE('',(#3611),#3823,.T.); +#4038=ADVANCED_FACE('',(#3612),#3824,.T.); +#4039=ADVANCED_FACE('',(#3613),#3825,.T.); +#4040=ADVANCED_FACE('',(#3614),#3826,.T.); +#4041=ADVANCED_FACE('',(#3615),#3827,.T.); +#4042=ADVANCED_FACE('',(#3616),#3828,.T.); +#4043=ADVANCED_FACE('',(#3617),#3829,.T.); +#4044=ADVANCED_FACE('',(#3618),#3830,.T.); +#4045=ADVANCED_FACE('',(#3619),#3831,.T.); +#4046=ADVANCED_FACE('',(#3620),#3832,.T.); +#4047=ADVANCED_FACE('',(#3621),#3833,.T.); +#4048=ADVANCED_FACE('',(#3622),#3834,.T.); +#4049=ADVANCED_FACE('',(#3623),#3835,.T.); +#4050=ADVANCED_FACE('',(#3624),#3836,.T.); +#4051=ADVANCED_FACE('',(#3625),#3837,.T.); +#4052=ADVANCED_FACE('',(#3626),#3838,.T.); +#4053=ADVANCED_FACE('',(#3627),#3839,.T.); +#4054=ADVANCED_FACE('',(#3628),#3840,.T.); +#4055=ADVANCED_FACE('',(#3629),#3841,.T.); +#4056=ADVANCED_FACE('',(#3630),#3842,.T.); +#4057=ADVANCED_FACE('',(#3631),#3843,.T.); +#4058=ADVANCED_FACE('',(#3632),#3844,.T.); +#4059=ADVANCED_FACE('',(#3633),#3845,.T.); +#4060=ADVANCED_FACE('',(#3634),#3846,.T.); +#4061=ADVANCED_FACE('',(#3635),#3847,.T.); +#4062=ADVANCED_FACE('',(#3636),#3848,.T.); +#4063=ADVANCED_FACE('',(#3637),#3849,.T.); +#4064=ADVANCED_FACE('',(#3638),#3850,.T.); +#4065=ADVANCED_FACE('',(#3639),#3851,.T.); +#4066=ADVANCED_FACE('',(#3640),#3852,.T.); +#4067=ADVANCED_FACE('',(#3641),#3853,.F.); +#4068=ADVANCED_FACE('',(#3642),#3854,.T.); +#4069=ADVANCED_FACE('',(#3643),#3855,.T.); +#4070=ADVANCED_FACE('',(#3644),#3856,.T.); +#4071=ADVANCED_FACE('',(#3645),#3857,.T.); +#4072=ADVANCED_FACE('',(#3646),#3858,.F.); +#4073=ADVANCED_FACE('',(#3647),#3859,.T.); +#4074=ADVANCED_FACE('',(#3648),#3860,.T.); +#4075=ADVANCED_FACE('',(#3649),#3861,.T.); +#4076=ADVANCED_FACE('',(#3650),#3862,.T.); +#4077=ADVANCED_FACE('',(#3651),#3863,.F.); +#4078=ADVANCED_FACE('',(#3652),#3864,.T.); +#4079=ADVANCED_FACE('',(#3653),#3865,.T.); +#4080=ADVANCED_FACE('',(#3654),#3866,.T.); +#4081=ADVANCED_FACE('',(#3655),#3867,.T.); +#4082=ADVANCED_FACE('',(#3656),#3868,.F.); +#4083=ADVANCED_FACE('',(#3657),#3869,.T.); +#4084=ADVANCED_FACE('',(#3658),#3870,.T.); +#4085=ADVANCED_FACE('',(#3659),#3871,.T.); +#4086=ADVANCED_FACE('',(#3660),#3872,.T.); +#4087=ADVANCED_FACE('',(#3661),#3873,.F.); +#4088=ADVANCED_FACE('',(#3662),#3874,.T.); +#4089=ADVANCED_FACE('',(#3663),#3875,.T.); +#4090=ADVANCED_FACE('',(#3664),#3876,.T.); +#4091=ADVANCED_FACE('',(#3665),#3877,.T.); +#4092=ADVANCED_FACE('',(#3666),#3878,.F.); +#4093=ADVANCED_FACE('',(#3667,#3668,#3669),#3879,.F.); +#4094=ADVANCED_FACE('',(#3670),#3880,.T.); +#4095=ADVANCED_FACE('',(#3671),#3881,.T.); +#4096=ADVANCED_FACE('',(#3672),#3882,.T.); +#4097=ADVANCED_FACE('',(#3673),#3883,.T.); +#4098=ADVANCED_FACE('',(#3674),#3884,.T.); +#4099=ADVANCED_FACE('',(#3675,#3676),#3885,.T.); +#4100=ADVANCED_FACE('',(#3677,#3678),#3886,.T.); +#4101=ADVANCED_FACE('',(#3679,#3680),#3887,.T.); +#4102=ADVANCED_FACE('',(#3681,#3682),#3888,.T.); +#4103=ADVANCED_FACE('',(#3683,#3684),#3889,.T.); +#4104=ADVANCED_FACE('',(#3685,#3686),#3890,.T.); +#4105=ADVANCED_FACE('',(#3687,#3688),#3891,.F.); +#4106=ADVANCED_FACE('',(#3689,#3690),#3892,.F.); +#4107=ADVANCED_FACE('',(#3691,#3692),#3893,.F.); +#4108=ADVANCED_FACE('',(#3693,#3694),#3894,.F.); +#4109=ADVANCED_FACE('',(#3695,#3696),#3895,.F.); +#4110=ADVANCED_FACE('',(#3697,#3698),#3896,.F.); +#4111=ADVANCED_FACE('',(#3699),#3897,.F.); +#4112=ADVANCED_FACE('',(#3700),#3898,.F.); +#4113=ADVANCED_FACE('',(#3701),#3899,.F.); +#4114=ADVANCED_FACE('',(#3702),#3900,.F.); +#4115=ADVANCED_FACE('',(#3703),#3901,.F.); +#4116=ADVANCED_FACE('',(#3704),#3902,.F.); +#4117=ADVANCED_FACE('',(#3705),#3903,.F.); +#4118=ADVANCED_FACE('',(#3706),#3904,.T.); +#4119=ADVANCED_FACE('',(#3707),#3905,.T.); +#4120=ADVANCED_FACE('',(#3708),#3906,.T.); +#4121=ADVANCED_FACE('',(#3709),#3907,.T.); +#4122=ADVANCED_FACE('',(#3710),#3908,.T.); +#4123=ADVANCED_FACE('',(#3711),#3909,.T.); +#4124=ADVANCED_FACE('',(#3712),#3910,.T.); +#4125=ADVANCED_FACE('',(#3713),#3911,.T.); +#4126=ADVANCED_FACE('',(#3714),#3912,.T.); +#4127=ADVANCED_FACE('',(#3715),#3913,.T.); +#4128=ADVANCED_FACE('',(#3716),#3914,.T.); +#4129=ADVANCED_FACE('',(#3717),#3915,.T.); +#4130=ADVANCED_FACE('',(#3718),#3916,.T.); +#4131=ADVANCED_FACE('',(#3719),#3917,.T.); +#4132=ADVANCED_FACE('',(#3720),#3918,.T.); +#4133=ADVANCED_FACE('',(#3721),#3919,.T.); +#4134=ADVANCED_FACE('',(#3722),#3920,.T.); +#4135=ADVANCED_FACE('',(#3723),#3921,.T.); +#4136=ADVANCED_FACE('',(#3724),#3922,.T.); +#4137=ADVANCED_FACE('',(#3725),#3923,.T.); +#4138=ADVANCED_FACE('',(#3726),#3924,.T.); +#4139=ADVANCED_FACE('',(#3727),#3925,.T.); +#4140=ADVANCED_FACE('',(#3728),#3926,.T.); +#4141=ADVANCED_FACE('',(#3729),#3927,.T.); +#4142=ADVANCED_FACE('',(#3730),#3928,.F.); +#4143=ADVANCED_FACE('',(#3731),#3929,.T.); +#4144=ADVANCED_FACE('',(#3732),#3930,.T.); +#4145=ADVANCED_FACE('',(#3733),#3931,.T.); +#4146=ADVANCED_FACE('',(#3734),#3932,.T.); +#4147=ADVANCED_FACE('',(#3735),#3933,.T.); +#4148=ADVANCED_FACE('',(#3736),#3934,.T.); +#4149=ADVANCED_FACE('',(#3737),#3935,.T.); +#4150=ADVANCED_FACE('',(#3738),#3936,.T.); +#4151=ADVANCED_FACE('',(#3739),#3937,.T.); +#4152=ADVANCED_FACE('',(#3740),#3938,.T.); +#4153=ADVANCED_FACE('',(#3741),#3939,.T.); +#4154=ADVANCED_FACE('',(#3742),#3940,.T.); +#4155=ADVANCED_FACE('',(#3743),#3941,.T.); +#4156=ADVANCED_FACE('',(#3744),#3942,.T.); +#4157=ADVANCED_FACE('',(#3745),#3943,.T.); +#4158=ADVANCED_FACE('',(#3746),#3944,.T.); +#4159=ADVANCED_FACE('',(#3747),#3945,.T.); +#4160=ADVANCED_FACE('',(#3748),#3946,.T.); +#4161=ADVANCED_FACE('',(#3749),#3947,.T.); +#4162=ADVANCED_FACE('',(#3750),#3948,.T.); +#4163=ADVANCED_FACE('',(#3751,#3752),#111,.T.); +#4164=ADVANCED_FACE('',(#3753,#3754),#18,.T.); +#4165=ADVANCED_FACE('',(#3755),#3949,.F.); +#4166=ADVANCED_FACE('',(#3756,#3757),#112,.T.); +#4167=ADVANCED_FACE('',(#3758,#3759),#19,.T.); +#4168=ADVANCED_FACE('',(#3760),#3950,.F.); +#4169=CLOSED_SHELL('',(#3951,#3952,#3953,#3954,#3955,#3956,#3957,#3958, +#3959,#3960,#3961,#3962,#3963,#3964,#3965,#3966,#3967,#3968,#3969,#3970, +#3971,#3972,#3973,#3974,#3975,#3976,#3977,#3978,#3979,#3980,#3981,#3982, +#3983,#3984,#3985,#3986,#3987,#3988,#3989,#3990,#3991,#3992,#3993,#3994, +#3995,#3996,#3997,#3998,#3999,#4000,#4001,#4002,#4003,#4004,#4005,#4006, +#4007,#4008,#4009,#4010,#4011,#4012,#4013,#4014,#4015,#4016,#4017,#4018, +#4019,#4020,#4021,#4022,#4023,#4024,#4025,#4026,#4027,#4028,#4029,#4030, +#4031,#4032,#4033,#4034,#4035,#4036,#4037,#4038,#4039,#4040,#4041,#4042, +#4043,#4044,#4045,#4046,#4047,#4048,#4049,#4050,#4051,#4052,#4053,#4054, +#4055,#4056,#4057,#4058,#4059,#4060,#4061,#4062,#4063,#4064,#4065,#4066, +#4067,#4068,#4069,#4070,#4071,#4072,#4073,#4074,#4075,#4076,#4077,#4078, +#4079,#4080,#4081,#4082,#4083,#4084,#4085,#4086,#4087,#4088,#4089,#4090, +#4091,#4092)); +#4170=CLOSED_SHELL('',(#4093,#4094,#4095,#4096,#4097,#4098,#4099,#4100, +#4101,#4102,#4103,#4104,#4105,#4106,#4107,#4108,#4109,#4110,#4111,#4112, +#4113,#4114,#4115,#4116,#4117,#4118,#4119,#4120,#4121,#4122,#4123,#4124, +#4125,#4126,#4127,#4128,#4129,#4130,#4131,#4132,#4133,#4134,#4135,#4136, +#4137,#4138,#4139,#4140,#4141,#4142,#4143,#4144,#4145,#4146,#4147,#4148, +#4149,#4150,#4151,#4152,#4153,#4154,#4155,#4156,#4157,#4158,#4159,#4160, +#4161,#4162,#4163,#4164,#4165,#4166,#4167,#4168)); +#4171=STYLED_ITEM('',(#4173),#4186); +#4172=STYLED_ITEM('',(#4174),#4187); +#4173=PRESENTATION_STYLE_ASSIGNMENT((#4175)); +#4174=PRESENTATION_STYLE_ASSIGNMENT((#4176)); +#4175=SURFACE_STYLE_USAGE(.BOTH.,#4177); +#4176=SURFACE_STYLE_USAGE(.BOTH.,#4178); +#4177=SURFACE_SIDE_STYLE('',(#4179)); +#4178=SURFACE_SIDE_STYLE('',(#4180)); +#4179=SURFACE_STYLE_FILL_AREA(#4181); +#4180=SURFACE_STYLE_FILL_AREA(#4182); +#4181=FILL_AREA_STYLE('',(#4183)); +#4182=FILL_AREA_STYLE('',(#4184)); +#4183=FILL_AREA_STYLE_COLOUR('',#4185); +#4184=FILL_AREA_STYLE_COLOUR('',#20); +#4185=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#4186=MANIFOLD_SOLID_BREP('T-1S6-08(-01-6-SH)',#4169); +#4187=MANIFOLD_SOLID_BREP('TSM-106-01-SH-A_body',#4170); +#4188=SHAPE_DEFINITION_REPRESENTATION(#6737,#4191); +#4189=SHAPE_DEFINITION_REPRESENTATION(#6738,#4192); +#4190=SHAPE_DEFINITION_REPRESENTATION(#6740,#4193); +#4191=SHAPE_REPRESENTATION('TSM-106-01-T-SH-A',(#4194,#4385,#4468),#6725); +#4192=SHAPE_REPRESENTATION('T-1S6-08(-01-6-SH)',(#4194),#6726); +#4193=SHAPE_REPRESENTATION('TSM-106-01-SH-A_body',(#4194),#6727); +#4194=AXIS2_PLACEMENT_3D('',#5547,#4469,#4470); +#4195=AXIS2_PLACEMENT_3D('',#5548,#4471,#4472); +#4196=AXIS2_PLACEMENT_3D('',#5557,#4477,#4478); +#4197=AXIS2_PLACEMENT_3D('',#5566,#4483,#4484); +#4198=AXIS2_PLACEMENT_3D('',#5575,#4489,#4490); +#4199=AXIS2_PLACEMENT_3D('',#5584,#4495,#4496); +#4200=AXIS2_PLACEMENT_3D('',#5593,#4501,#4502); +#4201=AXIS2_PLACEMENT_3D('',#5602,#4507,#4508); +#4202=AXIS2_PLACEMENT_3D('',#5611,#4513,#4514); +#4203=AXIS2_PLACEMENT_3D('',#5620,#4519,#4520); +#4204=AXIS2_PLACEMENT_3D('',#5621,#4521,#4522); +#4205=AXIS2_PLACEMENT_3D('',#5623,#4523,#4524); +#4206=AXIS2_PLACEMENT_3D('',#5626,#4526,#4527); +#4207=AXIS2_PLACEMENT_3D('',#5627,#4528,#4529); +#4208=AXIS2_PLACEMENT_3D('',#5631,#4531,#4532); +#4209=AXIS2_PLACEMENT_3D('',#5632,#4533,#4534); +#4210=AXIS2_PLACEMENT_3D('',#5633,#4535,#4536); +#4211=AXIS2_PLACEMENT_3D('',#5637,#4538,#4539); +#4212=AXIS2_PLACEMENT_3D('',#5638,#4540,#4541); +#4213=AXIS2_PLACEMENT_3D('',#5639,#4542,#4543); +#4214=AXIS2_PLACEMENT_3D('',#5643,#4545,#4546); +#4215=AXIS2_PLACEMENT_3D('',#5644,#4547,#4548); +#4216=AXIS2_PLACEMENT_3D('',#5650,#4552,#4553); +#4217=AXIS2_PLACEMENT_3D('',#5656,#4557,#4558); +#4218=AXIS2_PLACEMENT_3D('',#5662,#4562,#4563); +#4219=AXIS2_PLACEMENT_3D('',#5668,#4567,#4568); +#4220=AXIS2_PLACEMENT_3D('',#5672,#4570,#4571); +#4221=AXIS2_PLACEMENT_3D('',#5673,#4572,#4573); +#4222=AXIS2_PLACEMENT_3D('',#5674,#4574,#4575); +#4223=AXIS2_PLACEMENT_3D('',#5675,#4576,#4577); +#4224=AXIS2_PLACEMENT_3D('',#5679,#4579,#4580); +#4225=AXIS2_PLACEMENT_3D('',#5680,#4581,#4582); +#4226=AXIS2_PLACEMENT_3D('',#5681,#4583,#4584); +#4227=AXIS2_PLACEMENT_3D('',#5685,#4586,#4587); +#4228=AXIS2_PLACEMENT_3D('',#5686,#4588,#4589); +#4229=AXIS2_PLACEMENT_3D('',#5687,#4590,#4591); +#4230=AXIS2_PLACEMENT_3D('',#5691,#4593,#4594); +#4231=AXIS2_PLACEMENT_3D('',#5692,#4595,#4596); +#4232=AXIS2_PLACEMENT_3D('',#5693,#4597,#4598); +#4233=AXIS2_PLACEMENT_3D('',#5698,#4600,#4601); +#4234=AXIS2_PLACEMENT_3D('',#5701,#4603,#4604); +#4235=AXIS2_PLACEMENT_3D('',#5702,#4605,#4606); +#4236=AXIS2_PLACEMENT_3D('',#5707,#4608,#4609); +#4237=AXIS2_PLACEMENT_3D('',#5710,#4611,#4612); +#4238=AXIS2_PLACEMENT_3D('',#5711,#4613,#4614); +#4239=AXIS2_PLACEMENT_3D('',#5716,#4616,#4617); +#4240=AXIS2_PLACEMENT_3D('',#5719,#4619,#4620); +#4241=AXIS2_PLACEMENT_3D('',#5720,#4621,#4622); +#4242=AXIS2_PLACEMENT_3D('',#5725,#4624,#4625); +#4243=AXIS2_PLACEMENT_3D('',#5728,#4627,#4628); +#4244=AXIS2_PLACEMENT_3D('',#5734,#4632,#4633); +#4245=AXIS2_PLACEMENT_3D('',#5740,#4637,#4638); +#4246=AXIS2_PLACEMENT_3D('',#5746,#4642,#4643); +#4247=AXIS2_PLACEMENT_3D('',#5752,#4647,#4648); +#4248=AXIS2_PLACEMENT_3D('',#5753,#4649,#4650); +#4249=AXIS2_PLACEMENT_3D('',#5754,#4651,#4652); +#4250=AXIS2_PLACEMENT_3D('',#5755,#4653,#4654); +#4251=AXIS2_PLACEMENT_3D('',#5756,#4655,#4656); +#4252=AXIS2_PLACEMENT_3D('',#5757,#4657,#4658); +#4253=AXIS2_PLACEMENT_3D('',#5758,#4659,#4660); +#4254=AXIS2_PLACEMENT_3D('',#5759,#4661,#4662); +#4255=AXIS2_PLACEMENT_3D('',#5760,#4663,#4664); +#4256=AXIS2_PLACEMENT_3D('',#5761,#4665,#4666); +#4257=AXIS2_PLACEMENT_3D('',#5762,#4667,#4668); +#4258=AXIS2_PLACEMENT_3D('',#5763,#4669,#4670); +#4259=AXIS2_PLACEMENT_3D('',#5764,#4671,#4672); +#4260=AXIS2_PLACEMENT_3D('',#5773,#4677,#4678); +#4261=AXIS2_PLACEMENT_3D('',#5782,#4683,#4684); +#4262=AXIS2_PLACEMENT_3D('',#5783,#4685,#4686); +#4263=AXIS2_PLACEMENT_3D('',#5785,#4687,#4688); +#4264=AXIS2_PLACEMENT_3D('',#5788,#4690,#4691); +#4265=AXIS2_PLACEMENT_3D('',#5794,#4695,#4696); +#4266=AXIS2_PLACEMENT_3D('',#5798,#4698,#4699); +#4267=AXIS2_PLACEMENT_3D('',#5799,#4700,#4701); +#4268=AXIS2_PLACEMENT_3D('',#5800,#4702,#4703); +#4269=AXIS2_PLACEMENT_3D('',#5801,#4704,#4705); +#4270=AXIS2_PLACEMENT_3D('',#5806,#4707,#4708); +#4271=AXIS2_PLACEMENT_3D('',#5809,#4710,#4711); +#4272=AXIS2_PLACEMENT_3D('',#5815,#4715,#4716); +#4273=AXIS2_PLACEMENT_3D('',#5816,#4717,#4718); +#4274=AXIS2_PLACEMENT_3D('',#5817,#4719,#4720); +#4275=AXIS2_PLACEMENT_3D('',#5818,#4721,#4722); +#4276=AXIS2_PLACEMENT_3D('',#5827,#4727,#4728); +#4277=AXIS2_PLACEMENT_3D('',#5836,#4733,#4734); +#4278=AXIS2_PLACEMENT_3D('',#5837,#4735,#4736); +#4279=AXIS2_PLACEMENT_3D('',#5841,#4738,#4739); +#4280=AXIS2_PLACEMENT_3D('',#5842,#4740,#4741); +#4281=AXIS2_PLACEMENT_3D('',#5848,#4745,#4746); +#4282=AXIS2_PLACEMENT_3D('',#5849,#4747,#4748); +#4283=AXIS2_PLACEMENT_3D('',#5853,#4750,#4751); +#4284=AXIS2_PLACEMENT_3D('',#5854,#4752,#4753); +#4285=AXIS2_PLACEMENT_3D('',#5925,#4792,#4793); +#4286=AXIS2_PLACEMENT_3D('',#5999,#4833,#4834); +#4287=AXIS2_PLACEMENT_3D('',#6000,#4835,#4836); +#4288=AXIS2_PLACEMENT_3D('',#6004,#4838,#4839); +#4289=AXIS2_PLACEMENT_3D('',#6005,#4840,#4841); +#4290=AXIS2_PLACEMENT_3D('',#6011,#4845,#4846); +#4291=AXIS2_PLACEMENT_3D('',#6012,#4847,#4848); +#4292=AXIS2_PLACEMENT_3D('',#6013,#4849,#4850); +#4293=AXIS2_PLACEMENT_3D('',#6014,#4851,#4852); +#4294=AXIS2_PLACEMENT_3D('',#6017,#4855,#4856); +#4295=AXIS2_PLACEMENT_3D('',#6020,#4859,#4860); +#4296=AXIS2_PLACEMENT_3D('',#6023,#4863,#4864); +#4297=AXIS2_PLACEMENT_3D('',#6025,#4866,#4867); +#4298=AXIS2_PLACEMENT_3D('',#6027,#4869,#4870); +#4299=AXIS2_PLACEMENT_3D('',#6030,#4873,#4874); +#4300=AXIS2_PLACEMENT_3D('',#6032,#4876,#4877); +#4301=AXIS2_PLACEMENT_3D('',#6034,#4879,#4880); +#4302=AXIS2_PLACEMENT_3D('',#6037,#4883,#4884); +#4303=AXIS2_PLACEMENT_3D('',#6039,#4886,#4887); +#4304=AXIS2_PLACEMENT_3D('',#6041,#4889,#4890); +#4305=AXIS2_PLACEMENT_3D('',#6044,#4893,#4894); +#4306=AXIS2_PLACEMENT_3D('',#6046,#4896,#4897); +#4307=AXIS2_PLACEMENT_3D('',#6048,#4899,#4900); +#4308=AXIS2_PLACEMENT_3D('',#6051,#4903,#4904); +#4309=AXIS2_PLACEMENT_3D('',#6053,#4906,#4907); +#4310=AXIS2_PLACEMENT_3D('',#6055,#4909,#4910); +#4311=AXIS2_PLACEMENT_3D('',#6058,#4913,#4914); +#4312=AXIS2_PLACEMENT_3D('',#6060,#4916,#4917); +#4313=AXIS2_PLACEMENT_3D('',#6062,#4919,#4920); +#4314=AXIS2_PLACEMENT_3D('',#6065,#4923,#4924); +#4315=AXIS2_PLACEMENT_3D('',#6067,#4926,#4927); +#4316=AXIS2_PLACEMENT_3D('',#6069,#4929,#4930); +#4317=AXIS2_PLACEMENT_3D('',#6072,#4933,#4934); +#4318=AXIS2_PLACEMENT_3D('',#6074,#4936,#4937); +#4319=AXIS2_PLACEMENT_3D('',#6076,#4939,#4940); +#4320=AXIS2_PLACEMENT_3D('',#6079,#4943,#4944); +#4321=AXIS2_PLACEMENT_3D('',#6081,#4946,#4947); +#4322=AXIS2_PLACEMENT_3D('',#6083,#4949,#4950); +#4323=AXIS2_PLACEMENT_3D('',#6086,#4953,#4954); +#4324=AXIS2_PLACEMENT_3D('',#6088,#4956,#4957); +#4325=AXIS2_PLACEMENT_3D('',#6090,#4959,#4960); +#4326=AXIS2_PLACEMENT_3D('',#6096,#4964,#4965); +#4327=AXIS2_PLACEMENT_3D('',#6100,#4968,#4969); +#4328=AXIS2_PLACEMENT_3D('',#6104,#4972,#4973); +#4329=AXIS2_PLACEMENT_3D('',#6106,#4975,#4976); +#4330=AXIS2_PLACEMENT_3D('',#6107,#4977,#4978); +#4331=AXIS2_PLACEMENT_3D('',#6113,#4982,#4983); +#4332=AXIS2_PLACEMENT_3D('',#6117,#4986,#4987); +#4333=AXIS2_PLACEMENT_3D('',#6121,#4990,#4991); +#4334=AXIS2_PLACEMENT_3D('',#6123,#4993,#4994); +#4335=AXIS2_PLACEMENT_3D('',#6124,#4995,#4996); +#4336=AXIS2_PLACEMENT_3D('',#6130,#5000,#5001); +#4337=AXIS2_PLACEMENT_3D('',#6134,#5004,#5005); +#4338=AXIS2_PLACEMENT_3D('',#6138,#5008,#5009); +#4339=AXIS2_PLACEMENT_3D('',#6140,#5011,#5012); +#4340=AXIS2_PLACEMENT_3D('',#6141,#5013,#5014); +#4341=AXIS2_PLACEMENT_3D('',#6147,#5018,#5019); +#4342=AXIS2_PLACEMENT_3D('',#6151,#5022,#5023); +#4343=AXIS2_PLACEMENT_3D('',#6155,#5026,#5027); +#4344=AXIS2_PLACEMENT_3D('',#6157,#5029,#5030); +#4345=AXIS2_PLACEMENT_3D('',#6158,#5031,#5032); +#4346=AXIS2_PLACEMENT_3D('',#6164,#5036,#5037); +#4347=AXIS2_PLACEMENT_3D('',#6168,#5040,#5041); +#4348=AXIS2_PLACEMENT_3D('',#6172,#5044,#5045); +#4349=AXIS2_PLACEMENT_3D('',#6174,#5047,#5048); +#4350=AXIS2_PLACEMENT_3D('',#6175,#5049,#5050); +#4351=AXIS2_PLACEMENT_3D('',#6181,#5054,#5055); +#4352=AXIS2_PLACEMENT_3D('',#6185,#5058,#5059); +#4353=AXIS2_PLACEMENT_3D('',#6189,#5062,#5063); +#4354=AXIS2_PLACEMENT_3D('',#6191,#5065,#5066); +#4355=AXIS2_PLACEMENT_3D('',#6192,#5067,#5068); +#4356=AXIS2_PLACEMENT_3D('',#6198,#5072,#5073); +#4357=AXIS2_PLACEMENT_3D('',#6202,#5076,#5077); +#4358=AXIS2_PLACEMENT_3D('',#6206,#5080,#5081); +#4359=AXIS2_PLACEMENT_3D('',#6208,#5083,#5084); +#4360=AXIS2_PLACEMENT_3D('',#6209,#5085,#5086); +#4361=AXIS2_PLACEMENT_3D('',#6215,#5090,#5091); +#4362=AXIS2_PLACEMENT_3D('',#6219,#5094,#5095); +#4363=AXIS2_PLACEMENT_3D('',#6223,#5098,#5099); +#4364=AXIS2_PLACEMENT_3D('',#6225,#5101,#5102); +#4365=AXIS2_PLACEMENT_3D('',#6226,#5103,#5104); +#4366=AXIS2_PLACEMENT_3D('',#6232,#5108,#5109); +#4367=AXIS2_PLACEMENT_3D('',#6236,#5112,#5113); +#4368=AXIS2_PLACEMENT_3D('',#6240,#5116,#5117); +#4369=AXIS2_PLACEMENT_3D('',#6242,#5119,#5120); +#4370=AXIS2_PLACEMENT_3D('',#6243,#5121,#5122); +#4371=AXIS2_PLACEMENT_3D('',#6249,#5126,#5127); +#4372=AXIS2_PLACEMENT_3D('',#6253,#5130,#5131); +#4373=AXIS2_PLACEMENT_3D('',#6257,#5134,#5135); +#4374=AXIS2_PLACEMENT_3D('',#6259,#5137,#5138); +#4375=AXIS2_PLACEMENT_3D('',#6260,#5139,#5140); +#4376=AXIS2_PLACEMENT_3D('',#6266,#5144,#5145); +#4377=AXIS2_PLACEMENT_3D('',#6270,#5148,#5149); +#4378=AXIS2_PLACEMENT_3D('',#6274,#5152,#5153); +#4379=AXIS2_PLACEMENT_3D('',#6276,#5155,#5156); +#4380=AXIS2_PLACEMENT_3D('',#6277,#5157,#5158); +#4381=AXIS2_PLACEMENT_3D('',#6283,#5162,#5163); +#4382=AXIS2_PLACEMENT_3D('',#6287,#5166,#5167); +#4383=AXIS2_PLACEMENT_3D('',#6291,#5170,#5171); +#4384=AXIS2_PLACEMENT_3D('',#6293,#5173,#5174); +#4385=AXIS2_PLACEMENT_3D('',#6294,#5175,#5176); +#4386=AXIS2_PLACEMENT_3D('',#6295,#5177,#5178); +#4387=AXIS2_PLACEMENT_3D('',#6296,#5179,#5180); +#4388=AXIS2_PLACEMENT_3D('',#6298,#5181,#5182); +#4389=AXIS2_PLACEMENT_3D('',#6388,#5227,#5228); +#4390=AXIS2_PLACEMENT_3D('',#6397,#5233,#5234); +#4391=AXIS2_PLACEMENT_3D('',#6406,#5239,#5240); +#4392=AXIS2_PLACEMENT_3D('',#6415,#5245,#5246); +#4393=AXIS2_PLACEMENT_3D('',#6424,#5251,#5252); +#4394=AXIS2_PLACEMENT_3D('',#6433,#5257,#5258); +#4395=AXIS2_PLACEMENT_3D('',#6447,#5266,#5267); +#4396=AXIS2_PLACEMENT_3D('',#6461,#5275,#5276); +#4397=AXIS2_PLACEMENT_3D('',#6475,#5284,#5285); +#4398=AXIS2_PLACEMENT_3D('',#6489,#5293,#5294); +#4399=AXIS2_PLACEMENT_3D('',#6503,#5302,#5303); +#4400=AXIS2_PLACEMENT_3D('',#6517,#5311,#5312); +#4401=AXIS2_PLACEMENT_3D('',#6531,#5320,#5321); +#4402=AXIS2_PLACEMENT_3D('',#6545,#5329,#5330); +#4403=AXIS2_PLACEMENT_3D('',#6559,#5338,#5339); +#4404=AXIS2_PLACEMENT_3D('',#6573,#5347,#5348); +#4405=AXIS2_PLACEMENT_3D('',#6587,#5356,#5357); +#4406=AXIS2_PLACEMENT_3D('',#6601,#5365,#5366); +#4407=AXIS2_PLACEMENT_3D('',#6604,#5369,#5370); +#4408=AXIS2_PLACEMENT_3D('',#6607,#5373,#5374); +#4409=AXIS2_PLACEMENT_3D('',#6610,#5377,#5378); +#4410=AXIS2_PLACEMENT_3D('',#6613,#5381,#5382); +#4411=AXIS2_PLACEMENT_3D('',#6616,#5385,#5386); +#4412=AXIS2_PLACEMENT_3D('',#6619,#5389,#5390); +#4413=AXIS2_PLACEMENT_3D('',#6620,#5391,#5392); +#4414=AXIS2_PLACEMENT_3D('',#6623,#5395,#5396); +#4415=AXIS2_PLACEMENT_3D('',#6625,#5398,#5399); +#4416=AXIS2_PLACEMENT_3D('',#6627,#5401,#5402); +#4417=AXIS2_PLACEMENT_3D('',#6630,#5405,#5406); +#4418=AXIS2_PLACEMENT_3D('',#6632,#5408,#5409); +#4419=AXIS2_PLACEMENT_3D('',#6634,#5411,#5412); +#4420=AXIS2_PLACEMENT_3D('',#6637,#5415,#5416); +#4421=AXIS2_PLACEMENT_3D('',#6639,#5418,#5419); +#4422=AXIS2_PLACEMENT_3D('',#6641,#5421,#5422); +#4423=AXIS2_PLACEMENT_3D('',#6644,#5425,#5426); +#4424=AXIS2_PLACEMENT_3D('',#6646,#5428,#5429); +#4425=AXIS2_PLACEMENT_3D('',#6648,#5431,#5432); +#4426=AXIS2_PLACEMENT_3D('',#6651,#5435,#5436); +#4427=AXIS2_PLACEMENT_3D('',#6653,#5438,#5439); +#4428=AXIS2_PLACEMENT_3D('',#6655,#5441,#5442); +#4429=AXIS2_PLACEMENT_3D('',#6658,#5445,#5446); +#4430=AXIS2_PLACEMENT_3D('',#6660,#5448,#5449); +#4431=AXIS2_PLACEMENT_3D('',#6662,#5451,#5452); +#4432=AXIS2_PLACEMENT_3D('',#6663,#5453,#5454); +#4433=AXIS2_PLACEMENT_3D('',#6664,#5455,#5456); +#4434=AXIS2_PLACEMENT_3D('',#6665,#5457,#5458); +#4435=AXIS2_PLACEMENT_3D('',#6666,#5459,#5460); +#4436=AXIS2_PLACEMENT_3D('',#6667,#5461,#5462); +#4437=AXIS2_PLACEMENT_3D('',#6668,#5463,#5464); +#4438=AXIS2_PLACEMENT_3D('',#6669,#5465,#5466); +#4439=AXIS2_PLACEMENT_3D('',#6672,#5469,#5470); +#4440=AXIS2_PLACEMENT_3D('',#6675,#5473,#5474); +#4441=AXIS2_PLACEMENT_3D('',#6678,#5477,#5478); +#4442=AXIS2_PLACEMENT_3D('',#6681,#5481,#5482); +#4443=AXIS2_PLACEMENT_3D('',#6684,#5485,#5486); +#4444=AXIS2_PLACEMENT_3D('',#6687,#5489,#5490); +#4445=AXIS2_PLACEMENT_3D('',#6690,#5493,#5494); +#4446=AXIS2_PLACEMENT_3D('',#6693,#5497,#5498); +#4447=AXIS2_PLACEMENT_3D('',#6696,#5501,#5502); +#4448=AXIS2_PLACEMENT_3D('',#6699,#5505,#5506); +#4449=AXIS2_PLACEMENT_3D('',#6700,#5507,#5508); +#4450=AXIS2_PLACEMENT_3D('',#6701,#5509,#5510); +#4451=AXIS2_PLACEMENT_3D('',#6702,#5511,#5512); +#4452=AXIS2_PLACEMENT_3D('',#6703,#5513,#5514); +#4453=AXIS2_PLACEMENT_3D('',#6704,#5515,#5516); +#4454=AXIS2_PLACEMENT_3D('',#6705,#5517,#5518); +#4455=AXIS2_PLACEMENT_3D('',#6706,#5519,#5520); +#4456=AXIS2_PLACEMENT_3D('',#6707,#5521,#5522); +#4457=AXIS2_PLACEMENT_3D('',#6708,#5523,#5524); +#4458=AXIS2_PLACEMENT_3D('',#6709,#5525,#5526); +#4459=AXIS2_PLACEMENT_3D('',#6710,#5527,#5528); +#4460=AXIS2_PLACEMENT_3D('',#6712,#5529,#5530); +#4461=AXIS2_PLACEMENT_3D('',#6713,#5531,#5532); +#4462=AXIS2_PLACEMENT_3D('',#6715,#5533,#5534); +#4463=AXIS2_PLACEMENT_3D('',#6716,#5535,#5536); +#4464=AXIS2_PLACEMENT_3D('',#6717,#5537,#5538); +#4465=AXIS2_PLACEMENT_3D('',#6719,#5539,#5540); +#4466=AXIS2_PLACEMENT_3D('',#6720,#5541,#5542); +#4467=AXIS2_PLACEMENT_3D('',#6722,#5543,#5544); +#4468=AXIS2_PLACEMENT_3D('',#6723,#5545,#5546); +#4469=DIRECTION('',(0.,0.,1.)); +#4470=DIRECTION('',(1.,0.,0.)); +#4471=DIRECTION('',(0.,0.,-1.)); +#4472=DIRECTION('',(-1.,0.,0.)); +#4473=DIRECTION('',(-1.,0.,0.)); +#4474=DIRECTION('',(0.,-1.,0.)); +#4475=DIRECTION('',(-1.,0.,0.)); +#4476=DIRECTION('',(0.,1.,0.)); +#4477=DIRECTION('',(0.,0.,-1.)); +#4478=DIRECTION('',(-1.,0.,0.)); +#4479=DIRECTION('',(-1.,0.,0.)); +#4480=DIRECTION('',(0.,1.,0.)); +#4481=DIRECTION('',(-1.,0.,0.)); +#4482=DIRECTION('',(0.,-1.,0.)); +#4483=DIRECTION('',(0.,0.,-1.)); +#4484=DIRECTION('',(-1.,0.,0.)); +#4485=DIRECTION('',(-1.,0.,0.)); +#4486=DIRECTION('',(0.,1.,0.)); +#4487=DIRECTION('',(-1.,0.,0.)); +#4488=DIRECTION('',(0.,-1.,0.)); +#4489=DIRECTION('',(0.,0.,-1.)); +#4490=DIRECTION('',(-1.,0.,0.)); +#4491=DIRECTION('',(-1.,0.,0.)); +#4492=DIRECTION('',(0.,1.,0.)); +#4493=DIRECTION('',(-1.,0.,0.)); +#4494=DIRECTION('',(0.,-1.,0.)); +#4495=DIRECTION('',(0.,0.,1.)); +#4496=DIRECTION('',(1.,0.,0.)); +#4497=DIRECTION('',(-1.,0.,0.)); +#4498=DIRECTION('',(0.,-1.,0.)); +#4499=DIRECTION('',(-1.,0.,0.)); +#4500=DIRECTION('',(0.,1.,0.)); +#4501=DIRECTION('',(0.,0.,1.)); +#4502=DIRECTION('',(1.,0.,0.)); +#4503=DIRECTION('',(-1.,0.,0.)); +#4504=DIRECTION('',(0.,1.,0.)); +#4505=DIRECTION('',(-1.,0.,0.)); +#4506=DIRECTION('',(0.,-1.,0.)); +#4507=DIRECTION('',(0.,0.,1.)); +#4508=DIRECTION('',(1.,0.,0.)); +#4509=DIRECTION('',(-1.,0.,0.)); +#4510=DIRECTION('',(0.,1.,0.)); +#4511=DIRECTION('',(-1.,0.,0.)); +#4512=DIRECTION('',(0.,-1.,0.)); +#4513=DIRECTION('',(0.,0.,1.)); +#4514=DIRECTION('',(1.,0.,0.)); +#4515=DIRECTION('',(-1.,0.,0.)); +#4516=DIRECTION('',(0.,1.,0.)); +#4517=DIRECTION('',(-1.,0.,0.)); +#4518=DIRECTION('',(0.,-1.,0.)); +#4519=DIRECTION('',(-1.,0.,0.)); +#4520=DIRECTION('',(0.,0.,1.)); +#4521=DIRECTION('',(-1.,0.,0.)); +#4522=DIRECTION('',(0.,0.,1.)); +#4523=DIRECTION('',(1.,0.,0.)); +#4524=DIRECTION('',(0.,0.,-1.)); +#4525=DIRECTION('',(-1.,0.,0.)); +#4526=DIRECTION('',(-1.,0.,0.)); +#4527=DIRECTION('',(0.,0.,1.)); +#4528=DIRECTION('',(1.,0.,0.)); +#4529=DIRECTION('',(0.,0.,-1.)); +#4530=DIRECTION('',(-1.,0.,0.)); +#4531=DIRECTION('',(-1.,0.,0.)); +#4532=DIRECTION('',(0.,0.,1.)); +#4533=DIRECTION('',(-1.,0.,0.)); +#4534=DIRECTION('',(0.,0.,1.)); +#4535=DIRECTION('',(1.,0.,0.)); +#4536=DIRECTION('',(0.,0.,-1.)); +#4537=DIRECTION('',(-1.,0.,0.)); +#4538=DIRECTION('',(-1.,0.,0.)); +#4539=DIRECTION('',(0.,0.,1.)); +#4540=DIRECTION('',(-1.,0.,0.)); +#4541=DIRECTION('',(0.,0.,1.)); +#4542=DIRECTION('',(1.,0.,0.)); +#4543=DIRECTION('',(0.,0.,-1.)); +#4544=DIRECTION('',(-1.,0.,0.)); +#4545=DIRECTION('',(-1.,0.,0.)); +#4546=DIRECTION('',(0.,0.,1.)); +#4547=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4548=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4549=DIRECTION('',(-1.,0.,0.)); +#4550=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4551=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4552=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4553=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4554=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4555=DIRECTION('',(-1.,0.,0.)); +#4556=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4557=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4558=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4559=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4560=DIRECTION('',(-1.,0.,0.)); +#4561=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4562=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4563=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4564=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4565=DIRECTION('',(-1.,0.,0.)); +#4566=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4567=DIRECTION('',(-1.,0.,0.)); +#4568=DIRECTION('',(0.,0.,1.)); +#4569=DIRECTION('',(-1.,0.,0.)); +#4570=DIRECTION('',(-1.,0.,0.)); +#4571=DIRECTION('',(0.,0.,1.)); +#4572=DIRECTION('',(1.,0.,0.)); +#4573=DIRECTION('',(0.,0.,-1.)); +#4574=DIRECTION('',(-1.,0.,0.)); +#4575=DIRECTION('',(0.,0.,1.)); +#4576=DIRECTION('',(1.,0.,0.)); +#4577=DIRECTION('',(0.,0.,-1.)); +#4578=DIRECTION('',(-1.,0.,0.)); +#4579=DIRECTION('',(-1.,0.,0.)); +#4580=DIRECTION('',(0.,0.,1.)); +#4581=DIRECTION('',(-1.,0.,0.)); +#4582=DIRECTION('',(0.,0.,1.)); +#4583=DIRECTION('',(1.,0.,0.)); +#4584=DIRECTION('',(0.,0.,-1.)); +#4585=DIRECTION('',(-1.,0.,0.)); +#4586=DIRECTION('',(-1.,0.,0.)); +#4587=DIRECTION('',(0.,0.,1.)); +#4588=DIRECTION('',(-1.,0.,0.)); +#4589=DIRECTION('',(0.,0.,1.)); +#4590=DIRECTION('',(1.,0.,0.)); +#4591=DIRECTION('',(0.,0.,-1.)); +#4592=DIRECTION('',(-1.,0.,0.)); +#4593=DIRECTION('',(-1.,0.,0.)); +#4594=DIRECTION('',(0.,0.,1.)); +#4595=DIRECTION('',(-1.,0.,0.)); +#4596=DIRECTION('',(0.,0.,1.)); +#4597=DIRECTION('',(-1.,0.,0.)); +#4598=DIRECTION('',(0.,0.,1.)); +#4599=DIRECTION('',(-1.,0.,0.)); +#4600=DIRECTION('',(1.,0.,0.)); +#4601=DIRECTION('',(0.,0.,-1.)); +#4602=DIRECTION('',(-1.,0.,0.)); +#4603=DIRECTION('',(-1.,0.,0.)); +#4604=DIRECTION('',(0.,0.,1.)); +#4605=DIRECTION('',(1.,0.,0.)); +#4606=DIRECTION('',(0.,0.,-1.)); +#4607=DIRECTION('',(-1.,0.,0.)); +#4608=DIRECTION('',(-1.,0.,0.)); +#4609=DIRECTION('',(0.,0.,1.)); +#4610=DIRECTION('',(-1.,0.,0.)); +#4611=DIRECTION('',(-1.,0.,0.)); +#4612=DIRECTION('',(0.,0.,1.)); +#4613=DIRECTION('',(1.,0.,0.)); +#4614=DIRECTION('',(0.,0.,-1.)); +#4615=DIRECTION('',(-1.,0.,0.)); +#4616=DIRECTION('',(-1.,0.,0.)); +#4617=DIRECTION('',(0.,0.,1.)); +#4618=DIRECTION('',(-1.,0.,0.)); +#4619=DIRECTION('',(-1.,0.,0.)); +#4620=DIRECTION('',(0.,0.,1.)); +#4621=DIRECTION('',(1.,0.,0.)); +#4622=DIRECTION('',(0.,0.,-1.)); +#4623=DIRECTION('',(-1.,0.,0.)); +#4624=DIRECTION('',(-1.,0.,0.)); +#4625=DIRECTION('',(0.,0.,1.)); +#4626=DIRECTION('',(-1.,0.,0.)); +#4627=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4628=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4629=DIRECTION('',(-1.,0.,0.)); +#4630=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4631=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4632=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4633=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4634=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4635=DIRECTION('',(-1.,0.,0.)); +#4636=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4637=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4638=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4639=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4640=DIRECTION('',(-1.,0.,0.)); +#4641=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4642=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4643=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4644=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4645=DIRECTION('',(-1.,0.,0.)); +#4646=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4647=DIRECTION('',(-1.,0.,0.)); +#4648=DIRECTION('',(0.,0.,1.)); +#4649=DIRECTION('',(-1.,0.,0.)); +#4650=DIRECTION('',(0.,0.,1.)); +#4651=DIRECTION('',(1.,0.,0.)); +#4652=DIRECTION('',(0.,0.,-1.)); +#4653=DIRECTION('',(-1.,0.,0.)); +#4654=DIRECTION('',(0.,0.,1.)); +#4655=DIRECTION('',(1.,0.,0.)); +#4656=DIRECTION('',(0.,0.,-1.)); +#4657=DIRECTION('',(-1.,0.,0.)); +#4658=DIRECTION('',(0.,0.,1.)); +#4659=DIRECTION('',(-1.,0.,0.)); +#4660=DIRECTION('',(0.,0.,1.)); +#4661=DIRECTION('',(1.,0.,0.)); +#4662=DIRECTION('',(0.,0.,-1.)); +#4663=DIRECTION('',(-1.,0.,0.)); +#4664=DIRECTION('',(0.,0.,1.)); +#4665=DIRECTION('',(-1.,0.,0.)); +#4666=DIRECTION('',(0.,0.,1.)); +#4667=DIRECTION('',(1.,0.,0.)); +#4668=DIRECTION('',(0.,0.,-1.)); +#4669=DIRECTION('',(-1.,0.,0.)); +#4670=DIRECTION('',(0.,0.,1.)); +#4671=DIRECTION('',(0.,0.,-1.)); +#4672=DIRECTION('',(-1.,0.,0.)); +#4673=DIRECTION('',(-1.,0.,0.)); +#4674=DIRECTION('',(0.,-1.,0.)); +#4675=DIRECTION('',(-1.,0.,0.)); +#4676=DIRECTION('',(0.,-1.,0.)); +#4677=DIRECTION('',(0.,0.,1.)); +#4678=DIRECTION('',(1.,0.,0.)); +#4679=DIRECTION('',(-1.,0.,0.)); +#4680=DIRECTION('',(0.,-1.,0.)); +#4681=DIRECTION('',(-1.,0.,0.)); +#4682=DIRECTION('',(0.,1.,0.)); +#4683=DIRECTION('',(-1.,0.,0.)); +#4684=DIRECTION('',(0.,0.,1.)); +#4685=DIRECTION('',(-1.,0.,0.)); +#4686=DIRECTION('',(0.,0.,1.)); +#4687=DIRECTION('',(1.,0.,0.)); +#4688=DIRECTION('',(0.,0.,-1.)); +#4689=DIRECTION('',(-1.,0.,0.)); +#4690=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4691=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4692=DIRECTION('',(-1.,0.,0.)); +#4693=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4694=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4695=DIRECTION('',(-1.,0.,0.)); +#4696=DIRECTION('',(0.,0.,1.)); +#4697=DIRECTION('',(-1.,0.,0.)); +#4698=DIRECTION('',(-1.,0.,0.)); +#4699=DIRECTION('',(0.,0.,1.)); +#4700=DIRECTION('',(1.,0.,0.)); +#4701=DIRECTION('',(0.,0.,-1.)); +#4702=DIRECTION('',(-1.,0.,0.)); +#4703=DIRECTION('',(0.,0.,1.)); +#4704=DIRECTION('',(-1.,0.,0.)); +#4705=DIRECTION('',(0.,0.,1.)); +#4706=DIRECTION('',(-1.,0.,0.)); +#4707=DIRECTION('',(1.,0.,0.)); +#4708=DIRECTION('',(0.,0.,-1.)); +#4709=DIRECTION('',(-1.,0.,0.)); +#4710=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4711=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4712=DIRECTION('',(-1.,0.,0.)); +#4713=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4714=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4715=DIRECTION('',(-1.,0.,0.)); +#4716=DIRECTION('',(0.,0.,1.)); +#4717=DIRECTION('',(-1.,0.,0.)); +#4718=DIRECTION('',(0.,0.,1.)); +#4719=DIRECTION('',(1.,0.,0.)); +#4720=DIRECTION('',(0.,0.,-1.)); +#4721=DIRECTION('',(0.,0.,-1.)); +#4722=DIRECTION('',(-1.,0.,0.)); +#4723=DIRECTION('',(-1.,0.,0.)); +#4724=DIRECTION('',(0.,1.,0.)); +#4725=DIRECTION('',(-1.,0.,0.)); +#4726=DIRECTION('',(0.,-1.,0.)); +#4727=DIRECTION('',(0.,0.,1.)); +#4728=DIRECTION('',(1.,0.,0.)); +#4729=DIRECTION('',(-1.,0.,0.)); +#4730=DIRECTION('',(0.,1.,0.)); +#4731=DIRECTION('',(-1.,0.,0.)); +#4732=DIRECTION('',(0.,1.,0.)); +#4733=DIRECTION('',(-1.,0.,0.)); +#4734=DIRECTION('',(0.,0.,1.)); +#4735=DIRECTION('',(1.,0.,0.)); +#4736=DIRECTION('',(0.,0.,-1.)); +#4737=DIRECTION('',(-1.,0.,0.)); +#4738=DIRECTION('',(1.,0.,0.)); +#4739=DIRECTION('',(0.,0.,-1.)); +#4740=DIRECTION('',(0.,0.707106753107475,0.707106809265619)); +#4741=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4742=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4743=DIRECTION('',(-1.,0.,0.)); +#4744=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4745=DIRECTION('',(-1.,0.,0.)); +#4746=DIRECTION('',(0.,0.,1.)); +#4747=DIRECTION('',(1.,0.,0.)); +#4748=DIRECTION('',(0.,0.,-1.)); +#4749=DIRECTION('',(-1.,0.,0.)); +#4750=DIRECTION('',(1.,0.,0.)); +#4751=DIRECTION('',(0.,0.,-1.)); +#4752=DIRECTION('',(0.,0.,1.)); +#4753=DIRECTION('',(1.,0.,0.)); +#4754=DIRECTION('',(-1.,0.,0.)); +#4755=DIRECTION('',(0.,-1.,0.)); +#4756=DIRECTION('',(0.,1.,0.)); +#4757=DIRECTION('',(-1.,0.,0.)); +#4758=DIRECTION('',(0.,-1.,0.)); +#4759=DIRECTION('',(0.,1.,0.)); +#4760=DIRECTION('',(-1.,0.,0.)); +#4761=DIRECTION('',(0.,-1.,0.)); +#4762=DIRECTION('',(1.,0.,0.)); +#4763=DIRECTION('',(0.,1.,0.)); +#4764=DIRECTION('',(-1.,0.,0.)); +#4765=DIRECTION('',(0.,-1.,0.)); +#4766=DIRECTION('',(1.,0.,0.)); +#4767=DIRECTION('',(0.,1.,0.)); +#4768=DIRECTION('',(-1.,0.,0.)); +#4769=DIRECTION('',(0.,-1.,0.)); +#4770=DIRECTION('',(1.,0.,0.)); +#4771=DIRECTION('',(0.,1.,0.)); +#4772=DIRECTION('',(-1.,0.,0.)); +#4773=DIRECTION('',(0.,-1.,0.)); +#4774=DIRECTION('',(1.,0.,0.)); +#4775=DIRECTION('',(0.,1.,0.)); +#4776=DIRECTION('',(-1.,0.,0.)); +#4777=DIRECTION('',(0.,-1.,0.)); +#4778=DIRECTION('',(1.,0.,0.)); +#4779=DIRECTION('',(0.,1.,0.)); +#4780=DIRECTION('',(-1.,0.,0.)); +#4781=DIRECTION('',(0.,1.,0.)); +#4782=DIRECTION('',(0.,1.,0.)); +#4783=DIRECTION('',(-1.,0.,0.)); +#4784=DIRECTION('',(0.,-1.,0.)); +#4785=DIRECTION('',(0.,1.,0.)); +#4786=DIRECTION('',(-1.,0.,0.)); +#4787=DIRECTION('',(0.,-1.,0.)); +#4788=DIRECTION('',(0.,1.,0.)); +#4789=DIRECTION('',(-1.,0.,0.)); +#4790=DIRECTION('',(0.,-1.,0.)); +#4791=DIRECTION('',(0.,1.,0.)); +#4792=DIRECTION('',(0.,0.,-1.)); +#4793=DIRECTION('',(-1.,0.,0.)); +#4794=DIRECTION('',(0.,1.,0.)); +#4795=DIRECTION('',(1.,0.,0.)); +#4796=DIRECTION('',(0.,-1.,0.)); +#4797=DIRECTION('',(0.,1.,0.)); +#4798=DIRECTION('',(1.,0.,0.)); +#4799=DIRECTION('',(0.,-1.,0.)); +#4800=DIRECTION('',(0.,1.,0.)); +#4801=DIRECTION('',(1.,0.,0.)); +#4802=DIRECTION('',(0.,-1.,0.)); +#4803=DIRECTION('',(0.,1.,0.)); +#4804=DIRECTION('',(1.,0.,0.)); +#4805=DIRECTION('',(0.,-1.,0.)); +#4806=DIRECTION('',(-1.,0.,0.)); +#4807=DIRECTION('',(0.,-1.,0.)); +#4808=DIRECTION('',(-1.,0.,0.)); +#4809=DIRECTION('',(0.,-1.,0.)); +#4810=DIRECTION('',(-1.,0.,0.)); +#4811=DIRECTION('',(0.,1.,0.)); +#4812=DIRECTION('',(-1.,0.,0.)); +#4813=DIRECTION('',(0.,-1.,0.)); +#4814=DIRECTION('',(-1.,0.,0.)); +#4815=DIRECTION('',(0.,1.,0.)); +#4816=DIRECTION('',(-1.,0.,0.)); +#4817=DIRECTION('',(0.,-1.,0.)); +#4818=DIRECTION('',(-1.,0.,0.)); +#4819=DIRECTION('',(0.,1.,0.)); +#4820=DIRECTION('',(-1.,0.,0.)); +#4821=DIRECTION('',(0.,-1.,0.)); +#4822=DIRECTION('',(-1.,0.,0.)); +#4823=DIRECTION('',(0.,1.,0.)); +#4824=DIRECTION('',(-1.,0.,0.)); +#4825=DIRECTION('',(0.,-1.,0.)); +#4826=DIRECTION('',(-1.,0.,0.)); +#4827=DIRECTION('',(0.,1.,0.)); +#4828=DIRECTION('',(-1.,0.,0.)); +#4829=DIRECTION('',(0.,-1.,0.)); +#4830=DIRECTION('',(0.,1.,0.)); +#4831=DIRECTION('',(1.,0.,0.)); +#4832=DIRECTION('',(0.,-1.,0.)); +#4833=DIRECTION('',(-1.,0.,0.)); +#4834=DIRECTION('',(0.,0.,1.)); +#4835=DIRECTION('',(1.,0.,0.)); +#4836=DIRECTION('',(0.,0.,-1.)); +#4837=DIRECTION('',(-1.,0.,0.)); +#4838=DIRECTION('',(1.,0.,0.)); +#4839=DIRECTION('',(0.,0.,-1.)); +#4840=DIRECTION('',(0.,-0.707106753107475,-0.707106809265619)); +#4841=DIRECTION('',(0.,0.707106809265619,-0.707106753107475)); +#4842=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4843=DIRECTION('',(-1.,0.,0.)); +#4844=DIRECTION('',(0.,-0.707106809265619,0.707106753107475)); +#4845=DIRECTION('',(-1.,0.,0.)); +#4846=DIRECTION('',(0.,0.,1.)); +#4847=DIRECTION('',(1.,0.,0.)); +#4848=DIRECTION('',(0.,0.,-1.)); +#4849=DIRECTION('',(1.,0.,0.)); +#4850=DIRECTION('',(0.,0.,-1.)); +#4851=DIRECTION('',(1.,0.,0.)); +#4852=DIRECTION('',(0.,0.,-1.)); +#4853=DIRECTION('',(0.,0.,-1.)); +#4854=DIRECTION('',(0.,0.,1.)); +#4855=DIRECTION('',(1.,0.,0.)); +#4856=DIRECTION('',(0.,0.,-1.)); +#4857=DIRECTION('',(0.,0.,1.)); +#4858=DIRECTION('',(0.,0.,-1.)); +#4859=DIRECTION('',(-1.,0.,0.)); +#4860=DIRECTION('',(0.,0.,1.)); +#4861=DIRECTION('',(0.,0.,-1.)); +#4862=DIRECTION('',(0.,0.,1.)); +#4863=DIRECTION('',(0.,-1.,0.)); +#4864=DIRECTION('',(0.,0.,-1.)); +#4865=DIRECTION('',(0.,0.,1.)); +#4866=DIRECTION('',(1.,0.,0.)); +#4867=DIRECTION('',(0.,0.,-1.)); +#4868=DIRECTION('',(0.,0.,1.)); +#4869=DIRECTION('',(-1.,0.,0.)); +#4870=DIRECTION('',(0.,0.,1.)); +#4871=DIRECTION('',(0.,0.,-1.)); +#4872=DIRECTION('',(0.,0.,1.)); +#4873=DIRECTION('',(0.,-1.,0.)); +#4874=DIRECTION('',(0.,0.,-1.)); +#4875=DIRECTION('',(0.,0.,1.)); +#4876=DIRECTION('',(1.,0.,0.)); +#4877=DIRECTION('',(0.,0.,-1.)); +#4878=DIRECTION('',(0.,0.,1.)); +#4879=DIRECTION('',(-1.,0.,0.)); +#4880=DIRECTION('',(0.,0.,1.)); +#4881=DIRECTION('',(0.,0.,-1.)); +#4882=DIRECTION('',(0.,0.,1.)); +#4883=DIRECTION('',(0.,-1.,0.)); +#4884=DIRECTION('',(0.,0.,-1.)); +#4885=DIRECTION('',(0.,0.,1.)); +#4886=DIRECTION('',(1.,0.,0.)); +#4887=DIRECTION('',(0.,0.,-1.)); +#4888=DIRECTION('',(0.,0.,1.)); +#4889=DIRECTION('',(-1.,0.,0.)); +#4890=DIRECTION('',(0.,0.,1.)); +#4891=DIRECTION('',(0.,0.,-1.)); +#4892=DIRECTION('',(0.,0.,1.)); +#4893=DIRECTION('',(0.,-1.,0.)); +#4894=DIRECTION('',(0.,0.,-1.)); +#4895=DIRECTION('',(0.,0.,1.)); +#4896=DIRECTION('',(1.,0.,0.)); +#4897=DIRECTION('',(0.,0.,-1.)); +#4898=DIRECTION('',(0.,0.,1.)); +#4899=DIRECTION('',(-1.,0.,0.)); +#4900=DIRECTION('',(0.,0.,1.)); +#4901=DIRECTION('',(0.,0.,-1.)); +#4902=DIRECTION('',(0.,0.,1.)); +#4903=DIRECTION('',(0.,-1.,0.)); +#4904=DIRECTION('',(0.,0.,-1.)); +#4905=DIRECTION('',(0.,0.,1.)); +#4906=DIRECTION('',(1.,0.,0.)); +#4907=DIRECTION('',(0.,0.,-1.)); +#4908=DIRECTION('',(0.,0.,1.)); +#4909=DIRECTION('',(0.,1.,0.)); +#4910=DIRECTION('',(0.,0.,1.)); +#4911=DIRECTION('',(0.,0.,1.)); +#4912=DIRECTION('',(0.,0.,1.)); +#4913=DIRECTION('',(-1.,0.,0.)); +#4914=DIRECTION('',(0.,0.,1.)); +#4915=DIRECTION('',(0.,0.,1.)); +#4916=DIRECTION('',(1.,0.,0.)); +#4917=DIRECTION('',(0.,0.,-1.)); +#4918=DIRECTION('',(0.,0.,-1.)); +#4919=DIRECTION('',(0.,1.,0.)); +#4920=DIRECTION('',(0.,0.,1.)); +#4921=DIRECTION('',(0.,0.,1.)); +#4922=DIRECTION('',(0.,0.,1.)); +#4923=DIRECTION('',(-1.,0.,0.)); +#4924=DIRECTION('',(0.,0.,1.)); +#4925=DIRECTION('',(0.,0.,1.)); +#4926=DIRECTION('',(1.,0.,0.)); +#4927=DIRECTION('',(0.,0.,-1.)); +#4928=DIRECTION('',(0.,0.,-1.)); +#4929=DIRECTION('',(0.,1.,0.)); +#4930=DIRECTION('',(0.,0.,1.)); +#4931=DIRECTION('',(0.,0.,1.)); +#4932=DIRECTION('',(0.,0.,1.)); +#4933=DIRECTION('',(-1.,0.,0.)); +#4934=DIRECTION('',(0.,0.,1.)); +#4935=DIRECTION('',(0.,0.,1.)); +#4936=DIRECTION('',(1.,0.,0.)); +#4937=DIRECTION('',(0.,0.,-1.)); +#4938=DIRECTION('',(0.,0.,-1.)); +#4939=DIRECTION('',(0.,1.,0.)); +#4940=DIRECTION('',(0.,0.,1.)); +#4941=DIRECTION('',(0.,0.,1.)); +#4942=DIRECTION('',(0.,0.,1.)); +#4943=DIRECTION('',(-1.,0.,0.)); +#4944=DIRECTION('',(0.,0.,1.)); +#4945=DIRECTION('',(0.,0.,1.)); +#4946=DIRECTION('',(1.,0.,0.)); +#4947=DIRECTION('',(0.,0.,-1.)); +#4948=DIRECTION('',(0.,0.,-1.)); +#4949=DIRECTION('',(0.,1.,0.)); +#4950=DIRECTION('',(0.,0.,1.)); +#4951=DIRECTION('',(0.,0.,1.)); +#4952=DIRECTION('',(0.,0.,1.)); +#4953=DIRECTION('',(-1.,0.,0.)); +#4954=DIRECTION('',(0.,0.,1.)); +#4955=DIRECTION('',(0.,0.,1.)); +#4956=DIRECTION('',(1.,0.,0.)); +#4957=DIRECTION('',(0.,0.,-1.)); +#4958=DIRECTION('',(0.,0.,-1.)); +#4959=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#4960=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#4961=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#4962=DIRECTION('',(0.,0.,-1.)); +#4963=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4964=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#4965=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#4966=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#4967=DIRECTION('',(-1.,0.,0.)); +#4968=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#4969=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#4970=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4971=DIRECTION('',(0.,0.,1.)); +#4972=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#4973=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#4974=DIRECTION('',(1.,0.,0.)); +#4975=DIRECTION('',(0.,1.,0.)); +#4976=DIRECTION('',(0.,0.,1.)); +#4977=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#4978=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#4979=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#4980=DIRECTION('',(0.,0.,-1.)); +#4981=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4982=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#4983=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#4984=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#4985=DIRECTION('',(-1.,0.,0.)); +#4986=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#4987=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#4988=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#4989=DIRECTION('',(0.,0.,1.)); +#4990=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#4991=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#4992=DIRECTION('',(1.,0.,0.)); +#4993=DIRECTION('',(0.,1.,0.)); +#4994=DIRECTION('',(0.,0.,1.)); +#4995=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#4996=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#4997=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#4998=DIRECTION('',(0.,0.,-1.)); +#4999=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#5000=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#5001=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#5002=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#5003=DIRECTION('',(-1.,0.,0.)); +#5004=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#5005=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#5006=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#5007=DIRECTION('',(0.,0.,1.)); +#5008=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#5009=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#5010=DIRECTION('',(1.,0.,0.)); +#5011=DIRECTION('',(0.,1.,0.)); +#5012=DIRECTION('',(0.,0.,1.)); +#5013=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#5014=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#5015=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#5016=DIRECTION('',(0.,0.,-1.)); +#5017=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#5018=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#5019=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#5020=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#5021=DIRECTION('',(-1.,0.,0.)); +#5022=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#5023=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#5024=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#5025=DIRECTION('',(0.,0.,1.)); +#5026=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#5027=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#5028=DIRECTION('',(1.,0.,0.)); +#5029=DIRECTION('',(0.,1.,0.)); +#5030=DIRECTION('',(0.,0.,1.)); +#5031=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#5032=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#5033=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#5034=DIRECTION('',(0.,0.,-1.)); +#5035=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#5036=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#5037=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#5038=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#5039=DIRECTION('',(-1.,0.,0.)); +#5040=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#5041=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#5042=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#5043=DIRECTION('',(0.,0.,1.)); +#5044=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#5045=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#5046=DIRECTION('',(1.,0.,0.)); +#5047=DIRECTION('',(0.,1.,0.)); +#5048=DIRECTION('',(0.,0.,1.)); +#5049=DIRECTION('',(-0.939692620785908,0.342020143325669,0.)); +#5050=DIRECTION('',(-0.342020143325669,-0.939692620785908,0.)); +#5051=DIRECTION('',(-0.323615577118185,-0.889126490715988,0.323615577118185)); +#5052=DIRECTION('',(0.,0.,-1.)); +#5053=DIRECTION('',(-0.323615577118185,-0.889126490715988,-0.323615577118185)); +#5054=DIRECTION('',(0.,0.342020143325669,0.939692620785908)); +#5055=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#5056=DIRECTION('',(0.323615577118185,-0.889126490715988,0.323615577118185)); +#5057=DIRECTION('',(-1.,0.,0.)); +#5058=DIRECTION('',(0.939692620785908,0.342020143325669,0.)); +#5059=DIRECTION('',(-0.342020143325669,0.939692620785908,0.)); +#5060=DIRECTION('',(0.323615577118185,-0.889126490715988,-0.323615577118185)); +#5061=DIRECTION('',(0.,0.,1.)); +#5062=DIRECTION('',(0.,0.342020143325669,-0.939692620785908)); +#5063=DIRECTION('',(0.,0.939692620785908,0.342020143325669)); +#5064=DIRECTION('',(1.,0.,0.)); +#5065=DIRECTION('',(0.,1.,0.)); +#5066=DIRECTION('',(0.,0.,1.)); +#5067=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5068=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5069=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5070=DIRECTION('',(0.,0.,1.)); +#5071=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5072=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5073=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5074=DIRECTION('',(1.,0.,0.)); +#5075=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5076=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5077=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5078=DIRECTION('',(0.,0.,-1.)); +#5079=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5080=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5081=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5082=DIRECTION('',(-1.,0.,0.)); +#5083=DIRECTION('',(0.,1.,0.)); +#5084=DIRECTION('',(0.,0.,1.)); +#5085=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5086=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5087=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5088=DIRECTION('',(0.,0.,1.)); +#5089=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5090=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5091=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5092=DIRECTION('',(1.,0.,0.)); +#5093=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5094=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5095=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5096=DIRECTION('',(0.,0.,-1.)); +#5097=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5098=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5099=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5100=DIRECTION('',(-1.,0.,0.)); +#5101=DIRECTION('',(0.,1.,0.)); +#5102=DIRECTION('',(0.,0.,1.)); +#5103=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5104=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5105=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5106=DIRECTION('',(0.,0.,1.)); +#5107=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5108=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5109=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5110=DIRECTION('',(1.,0.,0.)); +#5111=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5112=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5113=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5114=DIRECTION('',(0.,0.,-1.)); +#5115=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5116=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5117=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5118=DIRECTION('',(-1.,0.,0.)); +#5119=DIRECTION('',(0.,1.,0.)); +#5120=DIRECTION('',(0.,0.,1.)); +#5121=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5122=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5123=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5124=DIRECTION('',(0.,0.,1.)); +#5125=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5126=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5127=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5128=DIRECTION('',(1.,0.,0.)); +#5129=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5130=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5131=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5132=DIRECTION('',(0.,0.,-1.)); +#5133=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5134=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5135=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5136=DIRECTION('',(-1.,0.,0.)); +#5137=DIRECTION('',(0.,1.,0.)); +#5138=DIRECTION('',(0.,0.,1.)); +#5139=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5140=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5141=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5142=DIRECTION('',(0.,0.,1.)); +#5143=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5144=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5145=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5146=DIRECTION('',(1.,0.,0.)); +#5147=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5148=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5149=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5150=DIRECTION('',(0.,0.,-1.)); +#5151=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5152=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5153=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5154=DIRECTION('',(-1.,0.,0.)); +#5155=DIRECTION('',(0.,1.,0.)); +#5156=DIRECTION('',(0.,0.,1.)); +#5157=DIRECTION('',(-0.939692620785908,-0.342020143325669,0.)); +#5158=DIRECTION('',(0.342020143325669,-0.939692620785908,0.)); +#5159=DIRECTION('',(-0.323615577118185,0.889126490715988,-0.323615577118185)); +#5160=DIRECTION('',(0.,0.,1.)); +#5161=DIRECTION('',(-0.323615577118185,0.889126490715988,0.323615577118185)); +#5162=DIRECTION('',(0.,-0.342020143325669,0.939692620785908)); +#5163=DIRECTION('',(0.,-0.939692620785908,-0.342020143325669)); +#5164=DIRECTION('',(1.,0.,0.)); +#5165=DIRECTION('',(0.323615577118185,0.889126490715988,0.323615577118185)); +#5166=DIRECTION('',(0.939692620785908,-0.342020143325669,0.)); +#5167=DIRECTION('',(0.342020143325669,0.939692620785908,0.)); +#5168=DIRECTION('',(0.,0.,-1.)); +#5169=DIRECTION('',(0.323615577118185,0.889126490715988,-0.323615577118185)); +#5170=DIRECTION('',(0.,-0.342020143325669,-0.939692620785908)); +#5171=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5172=DIRECTION('',(-1.,0.,0.)); +#5173=DIRECTION('',(0.,1.,0.)); +#5174=DIRECTION('',(0.,0.,1.)); +#5175=DIRECTION('',(0.,-1.,0.)); +#5176=DIRECTION('',(1.,0.,0.)); +#5177=DIRECTION('',(0.,1.,0.)); +#5178=DIRECTION('',(0.,0.,1.)); +#5179=DIRECTION('',(0.,1.,0.)); +#5180=DIRECTION('',(0.,0.,1.)); +#5181=DIRECTION('',(0.,1.,0.)); +#5182=DIRECTION('',(0.,0.,1.)); +#5183=DIRECTION('',(-3.41480999208033E-16,0.,1.)); +#5184=DIRECTION('',(1.,0.,0.)); +#5185=DIRECTION('',(0.,0.,-1.)); +#5186=DIRECTION('',(1.,0.,0.)); +#5187=DIRECTION('',(0.,0.,1.)); +#5188=DIRECTION('',(1.,0.,0.)); +#5189=DIRECTION('',(0.,0.,-1.)); +#5190=DIRECTION('',(1.,0.,0.)); +#5191=DIRECTION('',(0.,0.,1.)); +#5192=DIRECTION('',(1.,0.,0.)); +#5193=DIRECTION('',(0.,0.,-1.)); +#5194=DIRECTION('',(1.,0.,0.)); +#5195=DIRECTION('',(0.,0.,1.)); +#5196=DIRECTION('',(1.,0.,0.)); +#5197=DIRECTION('',(0.,0.,-1.)); +#5198=DIRECTION('',(1.,0.,0.)); +#5199=DIRECTION('',(0.,0.,1.)); +#5200=DIRECTION('',(1.,0.,0.)); +#5201=DIRECTION('',(3.41480999208033E-16,0.,-1.)); +#5202=DIRECTION('',(1.,0.,0.)); +#5203=DIRECTION('',(0.,0.,-1.)); +#5204=DIRECTION('',(1.,0.,0.)); +#5205=DIRECTION('',(3.41480999208033E-16,0.,-1.)); +#5206=DIRECTION('',(-1.,0.,0.)); +#5207=DIRECTION('',(0.,0.,1.)); +#5208=DIRECTION('',(1.,0.,0.)); +#5209=DIRECTION('',(0.,0.,-1.)); +#5210=DIRECTION('',(-1.,0.,0.)); +#5211=DIRECTION('',(0.,0.,1.)); +#5212=DIRECTION('',(1.,0.,0.)); +#5213=DIRECTION('',(0.,0.,-1.)); +#5214=DIRECTION('',(-1.,0.,0.)); +#5215=DIRECTION('',(0.,0.,1.)); +#5216=DIRECTION('',(1.,0.,0.)); +#5217=DIRECTION('',(0.,0.,-1.)); +#5218=DIRECTION('',(-1.,0.,0.)); +#5219=DIRECTION('',(0.,0.,1.)); +#5220=DIRECTION('',(1.,0.,0.)); +#5221=DIRECTION('',(0.,0.,-1.)); +#5222=DIRECTION('',(-1.,0.,0.)); +#5223=DIRECTION('',(-3.41480999208033E-16,0.,1.)); +#5224=DIRECTION('',(1.,0.,0.)); +#5225=DIRECTION('',(0.,0.,-1.)); +#5226=DIRECTION('',(1.,0.,0.)); +#5227=DIRECTION('',(0.,1.,0.)); +#5228=DIRECTION('',(0.,0.,1.)); +#5229=DIRECTION('',(0.,0.,-1.)); +#5230=DIRECTION('',(1.,0.,0.)); +#5231=DIRECTION('',(0.,0.,-1.)); +#5232=DIRECTION('',(-1.,0.,0.)); +#5233=DIRECTION('',(0.,1.,0.)); +#5234=DIRECTION('',(0.,0.,1.)); +#5235=DIRECTION('',(0.,0.,-1.)); +#5236=DIRECTION('',(1.,0.,0.)); +#5237=DIRECTION('',(0.,0.,-1.)); +#5238=DIRECTION('',(-1.,0.,0.)); +#5239=DIRECTION('',(0.,1.,0.)); +#5240=DIRECTION('',(0.,0.,1.)); +#5241=DIRECTION('',(0.,0.,-1.)); +#5242=DIRECTION('',(1.,0.,0.)); +#5243=DIRECTION('',(0.,0.,-1.)); +#5244=DIRECTION('',(-1.,0.,0.)); +#5245=DIRECTION('',(0.,1.,0.)); +#5246=DIRECTION('',(0.,0.,1.)); +#5247=DIRECTION('',(0.,0.,-1.)); +#5248=DIRECTION('',(1.,0.,0.)); +#5249=DIRECTION('',(0.,0.,-1.)); +#5250=DIRECTION('',(-1.,0.,0.)); +#5251=DIRECTION('',(0.,1.,0.)); +#5252=DIRECTION('',(0.,0.,1.)); +#5253=DIRECTION('',(0.,0.,-1.)); +#5254=DIRECTION('',(1.,0.,0.)); +#5255=DIRECTION('',(0.,0.,-1.)); +#5256=DIRECTION('',(-1.,0.,0.)); +#5257=DIRECTION('',(0.,0.,1.)); +#5258=DIRECTION('',(1.,0.,0.)); +#5259=DIRECTION('',(-1.,0.,0.)); +#5260=DIRECTION('',(0.,1.,0.)); +#5261=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5262=DIRECTION('',(0.,-1.,0.)); +#5263=DIRECTION('',(-3.41480999208033E-16,-1.,0.)); +#5264=DIRECTION('',(-1.,0.,0.)); +#5265=DIRECTION('',(0.,-1.,0.)); +#5266=DIRECTION('',(0.,0.,1.)); +#5267=DIRECTION('',(1.,0.,0.)); +#5268=DIRECTION('',(-1.,0.,0.)); +#5269=DIRECTION('',(0.,1.,0.)); +#5270=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5271=DIRECTION('',(0.,-1.,0.)); +#5272=DIRECTION('',(3.41480999208033E-16,1.,0.)); +#5273=DIRECTION('',(0.,1.,0.)); +#5274=DIRECTION('',(-1.,0.,0.)); +#5275=DIRECTION('',(0.,0.,1.)); +#5276=DIRECTION('',(1.,0.,0.)); +#5277=DIRECTION('',(-1.,0.,0.)); +#5278=DIRECTION('',(0.,1.,0.)); +#5279=DIRECTION('',(1.,-5.44453123737298E-17,0.)); +#5280=DIRECTION('',(0.,-1.,0.)); +#5281=DIRECTION('',(0.,-1.,0.)); +#5282=DIRECTION('',(-1.,0.,0.)); +#5283=DIRECTION('',(0.,1.,0.)); +#5284=DIRECTION('',(0.,0.,1.)); +#5285=DIRECTION('',(1.,0.,0.)); +#5286=DIRECTION('',(-1.,0.,0.)); +#5287=DIRECTION('',(0.,1.,0.)); +#5288=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5289=DIRECTION('',(0.,-1.,0.)); +#5290=DIRECTION('',(0.,-1.,0.)); +#5291=DIRECTION('',(-1.,0.,0.)); +#5292=DIRECTION('',(0.,1.,0.)); +#5293=DIRECTION('',(0.,0.,1.)); +#5294=DIRECTION('',(1.,0.,0.)); +#5295=DIRECTION('',(-1.,0.,0.)); +#5296=DIRECTION('',(0.,1.,0.)); +#5297=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5298=DIRECTION('',(0.,-1.,0.)); +#5299=DIRECTION('',(0.,-1.,0.)); +#5300=DIRECTION('',(-1.,0.,0.)); +#5301=DIRECTION('',(0.,1.,0.)); +#5302=DIRECTION('',(0.,0.,1.)); +#5303=DIRECTION('',(1.,0.,0.)); +#5304=DIRECTION('',(-1.,0.,0.)); +#5305=DIRECTION('',(0.,1.,0.)); +#5306=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5307=DIRECTION('',(0.,-1.,0.)); +#5308=DIRECTION('',(0.,-1.,0.)); +#5309=DIRECTION('',(-1.,0.,0.)); +#5310=DIRECTION('',(0.,1.,0.)); +#5311=DIRECTION('',(0.,0.,1.)); +#5312=DIRECTION('',(1.,0.,0.)); +#5313=DIRECTION('',(-1.,0.,0.)); +#5314=DIRECTION('',(0.,-1.,0.)); +#5315=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5316=DIRECTION('',(0.,1.,0.)); +#5317=DIRECTION('',(3.41480999208033E-16,1.,0.)); +#5318=DIRECTION('',(0.,-1.,0.)); +#5319=DIRECTION('',(-1.,0.,0.)); +#5320=DIRECTION('',(0.,0.,1.)); +#5321=DIRECTION('',(1.,0.,0.)); +#5322=DIRECTION('',(-1.,0.,0.)); +#5323=DIRECTION('',(0.,-1.,0.)); +#5324=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5325=DIRECTION('',(0.,1.,0.)); +#5326=DIRECTION('',(0.,1.,0.)); +#5327=DIRECTION('',(-1.,0.,0.)); +#5328=DIRECTION('',(0.,1.,0.)); +#5329=DIRECTION('',(0.,0.,1.)); +#5330=DIRECTION('',(1.,0.,0.)); +#5331=DIRECTION('',(-1.,0.,0.)); +#5332=DIRECTION('',(0.,-1.,0.)); +#5333=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5334=DIRECTION('',(0.,1.,0.)); +#5335=DIRECTION('',(0.,1.,0.)); +#5336=DIRECTION('',(-1.,0.,0.)); +#5337=DIRECTION('',(0.,1.,0.)); +#5338=DIRECTION('',(0.,0.,1.)); +#5339=DIRECTION('',(1.,0.,0.)); +#5340=DIRECTION('',(-1.,0.,0.)); +#5341=DIRECTION('',(0.,-1.,0.)); +#5342=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5343=DIRECTION('',(0.,1.,0.)); +#5344=DIRECTION('',(0.,1.,0.)); +#5345=DIRECTION('',(-1.,0.,0.)); +#5346=DIRECTION('',(0.,1.,0.)); +#5347=DIRECTION('',(0.,0.,1.)); +#5348=DIRECTION('',(1.,0.,0.)); +#5349=DIRECTION('',(-1.,0.,0.)); +#5350=DIRECTION('',(0.,-1.,0.)); +#5351=DIRECTION('',(1.,-5.44453123737298E-17,0.)); +#5352=DIRECTION('',(0.,1.,0.)); +#5353=DIRECTION('',(0.,1.,0.)); +#5354=DIRECTION('',(-1.,0.,0.)); +#5355=DIRECTION('',(0.,1.,0.)); +#5356=DIRECTION('',(0.,0.,1.)); +#5357=DIRECTION('',(1.,0.,0.)); +#5358=DIRECTION('',(-1.,0.,0.)); +#5359=DIRECTION('',(0.,-1.,0.)); +#5360=DIRECTION('',(1.,-5.44453123737297E-17,0.)); +#5361=DIRECTION('',(0.,1.,0.)); +#5362=DIRECTION('',(3.41480999208033E-16,1.,0.)); +#5363=DIRECTION('',(-1.,0.,0.)); +#5364=DIRECTION('',(0.,1.,0.)); +#5365=DIRECTION('',(0.,-1.,0.)); +#5366=DIRECTION('',(0.,0.,-1.)); +#5367=DIRECTION('',(0.,0.,1.)); +#5368=DIRECTION('',(0.,0.,-1.)); +#5369=DIRECTION('',(0.,-1.,0.)); +#5370=DIRECTION('',(0.,0.,-1.)); +#5371=DIRECTION('',(0.,0.,-1.)); +#5372=DIRECTION('',(0.,0.,1.)); +#5373=DIRECTION('',(0.,-1.,0.)); +#5374=DIRECTION('',(0.,0.,-1.)); +#5375=DIRECTION('',(0.,0.,-1.)); +#5376=DIRECTION('',(0.,0.,1.)); +#5377=DIRECTION('',(0.,-1.,0.)); +#5378=DIRECTION('',(0.,0.,-1.)); +#5379=DIRECTION('',(0.,0.,-1.)); +#5380=DIRECTION('',(0.,0.,1.)); +#5381=DIRECTION('',(0.,-1.,0.)); +#5382=DIRECTION('',(0.,0.,-1.)); +#5383=DIRECTION('',(0.,0.,-1.)); +#5384=DIRECTION('',(0.,0.,1.)); +#5385=DIRECTION('',(0.,-1.,0.)); +#5386=DIRECTION('',(0.,0.,-1.)); +#5387=DIRECTION('',(0.,0.,-1.)); +#5388=DIRECTION('',(0.,0.,-1.)); +#5389=DIRECTION('',(1.,0.,0.)); +#5390=DIRECTION('',(0.,0.,-1.)); +#5391=DIRECTION('',(0.,-1.,0.)); +#5392=DIRECTION('',(0.,0.,-1.)); +#5393=DIRECTION('',(0.,0.,-1.)); +#5394=DIRECTION('',(0.,0.,-1.)); +#5395=DIRECTION('',(-1.,0.,0.)); +#5396=DIRECTION('',(0.,0.,1.)); +#5397=DIRECTION('',(0.,0.,-1.)); +#5398=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5399=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5400=DIRECTION('',(0.,0.,-1.)); +#5401=DIRECTION('',(0.,-1.,0.)); +#5402=DIRECTION('',(0.,0.,-1.)); +#5403=DIRECTION('',(0.,0.,-1.)); +#5404=DIRECTION('',(0.,0.,-1.)); +#5405=DIRECTION('',(-1.,0.,0.)); +#5406=DIRECTION('',(0.,0.,1.)); +#5407=DIRECTION('',(0.,0.,-1.)); +#5408=DIRECTION('',(5.44453123737298E-17,1.,0.)); +#5409=DIRECTION('',(-1.,5.44453123737298E-17,0.)); +#5410=DIRECTION('',(0.,0.,-1.)); +#5411=DIRECTION('',(0.,-1.,0.)); +#5412=DIRECTION('',(0.,0.,-1.)); +#5413=DIRECTION('',(0.,0.,-1.)); +#5414=DIRECTION('',(0.,0.,-1.)); +#5415=DIRECTION('',(-1.,0.,0.)); +#5416=DIRECTION('',(0.,0.,1.)); +#5417=DIRECTION('',(0.,0.,-1.)); +#5418=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5419=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5420=DIRECTION('',(0.,0.,-1.)); +#5421=DIRECTION('',(0.,-1.,0.)); +#5422=DIRECTION('',(0.,0.,-1.)); +#5423=DIRECTION('',(0.,0.,-1.)); +#5424=DIRECTION('',(0.,0.,-1.)); +#5425=DIRECTION('',(-1.,0.,0.)); +#5426=DIRECTION('',(0.,0.,1.)); +#5427=DIRECTION('',(0.,0.,-1.)); +#5428=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5429=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5430=DIRECTION('',(0.,0.,-1.)); +#5431=DIRECTION('',(0.,-1.,0.)); +#5432=DIRECTION('',(0.,0.,-1.)); +#5433=DIRECTION('',(0.,0.,-1.)); +#5434=DIRECTION('',(0.,0.,-1.)); +#5435=DIRECTION('',(-1.,0.,0.)); +#5436=DIRECTION('',(0.,0.,1.)); +#5437=DIRECTION('',(0.,0.,-1.)); +#5438=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5439=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5440=DIRECTION('',(0.,0.,-1.)); +#5441=DIRECTION('',(0.,-1.,0.)); +#5442=DIRECTION('',(0.,0.,-1.)); +#5443=DIRECTION('',(0.,0.,-1.)); +#5444=DIRECTION('',(0.,0.,-1.)); +#5445=DIRECTION('',(-1.,0.,0.)); +#5446=DIRECTION('',(0.,0.,1.)); +#5447=DIRECTION('',(0.,0.,-1.)); +#5448=DIRECTION('',(5.44453123737297E-17,1.,0.)); +#5449=DIRECTION('',(-1.,5.44453123737297E-17,0.)); +#5450=DIRECTION('',(0.,0.,-1.)); +#5451=DIRECTION('',(1.,0.,0.)); +#5452=DIRECTION('',(0.,0.,-1.)); +#5453=DIRECTION('',(1.,0.,0.)); +#5454=DIRECTION('',(0.,0.,-1.)); +#5455=DIRECTION('',(1.,0.,0.)); +#5456=DIRECTION('',(0.,0.,-1.)); +#5457=DIRECTION('',(1.,0.,0.)); +#5458=DIRECTION('',(0.,0.,-1.)); +#5459=DIRECTION('',(1.,0.,0.)); +#5460=DIRECTION('',(0.,0.,-1.)); +#5461=DIRECTION('',(1.,0.,0.)); +#5462=DIRECTION('',(0.,0.,-1.)); +#5463=DIRECTION('',(-1.,0.,0.)); +#5464=DIRECTION('',(0.,0.,1.)); +#5465=DIRECTION('',(-1.,3.41480999208033E-16,0.)); +#5466=DIRECTION('',(-3.41480999208033E-16,-1.,0.)); +#5467=DIRECTION('',(0.,-1.,0.)); +#5468=DIRECTION('',(0.,-1.,0.)); +#5469=DIRECTION('',(1.,0.,0.)); +#5470=DIRECTION('',(0.,0.,-1.)); +#5471=DIRECTION('',(0.,-1.,0.)); +#5472=DIRECTION('',(0.,-1.,0.)); +#5473=DIRECTION('',(-1.,0.,0.)); +#5474=DIRECTION('',(0.,0.,1.)); +#5475=DIRECTION('',(0.,-1.,0.)); +#5476=DIRECTION('',(0.,-1.,0.)); +#5477=DIRECTION('',(1.,0.,0.)); +#5478=DIRECTION('',(0.,0.,-1.)); +#5479=DIRECTION('',(0.,-1.,0.)); +#5480=DIRECTION('',(0.,-1.,0.)); +#5481=DIRECTION('',(-1.,0.,0.)); +#5482=DIRECTION('',(0.,0.,1.)); +#5483=DIRECTION('',(0.,-1.,0.)); +#5484=DIRECTION('',(0.,-1.,0.)); +#5485=DIRECTION('',(1.,0.,0.)); +#5486=DIRECTION('',(0.,0.,-1.)); +#5487=DIRECTION('',(0.,-1.,0.)); +#5488=DIRECTION('',(0.,-1.,0.)); +#5489=DIRECTION('',(-1.,0.,0.)); +#5490=DIRECTION('',(0.,0.,1.)); +#5491=DIRECTION('',(0.,-1.,0.)); +#5492=DIRECTION('',(0.,-1.,0.)); +#5493=DIRECTION('',(1.,0.,0.)); +#5494=DIRECTION('',(0.,0.,-1.)); +#5495=DIRECTION('',(0.,-1.,0.)); +#5496=DIRECTION('',(0.,-1.,0.)); +#5497=DIRECTION('',(1.,-3.41480999208033E-16,0.)); +#5498=DIRECTION('',(3.41480999208033E-16,1.,0.)); +#5499=DIRECTION('',(0.,-1.,0.)); +#5500=DIRECTION('',(0.,-1.,0.)); +#5501=DIRECTION('',(-1.,0.,0.)); +#5502=DIRECTION('',(0.,0.,1.)); +#5503=DIRECTION('',(0.,-1.,0.)); +#5504=DIRECTION('',(0.,-1.,0.)); +#5505=DIRECTION('',(0.,0.,-1.)); +#5506=DIRECTION('',(-1.,0.,0.)); +#5507=DIRECTION('',(0.,0.,-1.)); +#5508=DIRECTION('',(-1.,0.,0.)); +#5509=DIRECTION('',(0.,0.,-1.)); +#5510=DIRECTION('',(-1.,0.,0.)); +#5511=DIRECTION('',(0.,0.,-1.)); +#5512=DIRECTION('',(-1.,0.,0.)); +#5513=DIRECTION('',(0.,0.,-1.)); +#5514=DIRECTION('',(-1.,0.,0.)); +#5515=DIRECTION('',(0.,0.,1.)); +#5516=DIRECTION('',(1.,0.,0.)); +#5517=DIRECTION('',(0.,0.,1.)); +#5518=DIRECTION('',(1.,0.,0.)); +#5519=DIRECTION('',(0.,0.,1.)); +#5520=DIRECTION('',(1.,0.,0.)); +#5521=DIRECTION('',(0.,0.,1.)); +#5522=DIRECTION('',(1.,0.,0.)); +#5523=DIRECTION('',(0.,0.,1.)); +#5524=DIRECTION('',(1.,0.,0.)); +#5525=DIRECTION('',(0.,-1.,0.)); +#5526=DIRECTION('',(0.,0.,-1.)); +#5527=DIRECTION('',(0.,-1.,0.)); +#5528=DIRECTION('',(0.,0.,-1.)); +#5529=DIRECTION('',(0.,1.,0.)); +#5530=DIRECTION('',(0.,0.,1.)); +#5531=DIRECTION('',(0.,-1.,0.)); +#5532=DIRECTION('',(0.,0.,-1.)); +#5533=DIRECTION('',(0.,1.,0.)); +#5534=DIRECTION('',(0.,0.,1.)); +#5535=DIRECTION('',(0.,-1.,0.)); +#5536=DIRECTION('',(0.,0.,-1.)); +#5537=DIRECTION('',(0.,-1.,0.)); +#5538=DIRECTION('',(0.,0.,-1.)); +#5539=DIRECTION('',(0.,1.,0.)); +#5540=DIRECTION('',(0.,0.,1.)); +#5541=DIRECTION('',(0.,-1.,0.)); +#5542=DIRECTION('',(0.,0.,-1.)); +#5543=DIRECTION('',(0.,1.,0.)); +#5544=DIRECTION('',(0.,0.,1.)); +#5545=DIRECTION('',(0.,0.,1.)); +#5546=DIRECTION('',(1.,0.,0.)); +#5547=CARTESIAN_POINT('',(0.,0.,0.)); +#5548=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5549=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5550=CARTESIAN_POINT('',(-0.1375,0.02,0.)); +#5551=CARTESIAN_POINT('',(-0.1625,0.02,0.)); +#5552=CARTESIAN_POINT('',(-0.1375,0.225,0.)); +#5553=CARTESIAN_POINT('',(-0.1375,0.08,0.)); +#5554=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5555=CARTESIAN_POINT('',(-0.1625,0.08,-8.53702498020082E-18)); +#5556=CARTESIAN_POINT('',(-0.1625,-0.185,0.)); +#5557=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5558=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5559=CARTESIAN_POINT('',(-0.0375,0.08,0.)); +#5560=CARTESIAN_POINT('',(-0.0625,0.08,-8.53702498020082E-18)); +#5561=CARTESIAN_POINT('',(-0.0625,-0.185,0.)); +#5562=CARTESIAN_POINT('',(-0.0625,0.02,0.)); +#5563=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5564=CARTESIAN_POINT('',(-0.0375,0.02,0.)); +#5565=CARTESIAN_POINT('',(-0.0375,0.225,0.)); +#5566=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5567=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5568=CARTESIAN_POINT('',(0.0625,0.08,0.)); +#5569=CARTESIAN_POINT('',(0.0375,0.08,-8.53702498020082E-18)); +#5570=CARTESIAN_POINT('',(0.0375,-0.185,0.)); +#5571=CARTESIAN_POINT('',(0.0375,0.02,0.)); +#5572=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5573=CARTESIAN_POINT('',(0.0625,0.02,0.)); +#5574=CARTESIAN_POINT('',(0.0625,0.225,0.)); +#5575=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5576=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5577=CARTESIAN_POINT('',(0.1625,0.08,0.)); +#5578=CARTESIAN_POINT('',(0.1375,0.08,-8.53702498020082E-18)); +#5579=CARTESIAN_POINT('',(0.1375,-0.185,0.)); +#5580=CARTESIAN_POINT('',(0.1375,0.02,0.)); +#5581=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5582=CARTESIAN_POINT('',(0.1625,0.02,0.)); +#5583=CARTESIAN_POINT('',(0.1625,0.225,0.)); +#5584=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5585=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5586=CARTESIAN_POINT('',(-0.1375,0.08,-0.025)); +#5587=CARTESIAN_POINT('',(-0.1625,0.08,-0.025)); +#5588=CARTESIAN_POINT('',(-0.1375,0.02,-0.025)); +#5589=CARTESIAN_POINT('',(-0.1375,0.02,-0.025)); +#5590=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5591=CARTESIAN_POINT('',(-0.1625,0.02,-0.025)); +#5592=CARTESIAN_POINT('',(-0.1625,0.02,-0.025)); +#5593=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5594=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5595=CARTESIAN_POINT('',(-0.0375,0.02,-0.025)); +#5596=CARTESIAN_POINT('',(-0.0625,0.02,-0.025)); +#5597=CARTESIAN_POINT('',(-0.0625,0.02,-0.025)); +#5598=CARTESIAN_POINT('',(-0.0625,0.08,-0.025)); +#5599=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5600=CARTESIAN_POINT('',(-0.0375,0.08,-0.025)); +#5601=CARTESIAN_POINT('',(-0.0375,0.02,-0.025)); +#5602=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5603=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5604=CARTESIAN_POINT('',(0.0625,0.02,-0.025)); +#5605=CARTESIAN_POINT('',(0.0375,0.02,-0.025)); +#5606=CARTESIAN_POINT('',(0.0375,0.02,-0.025)); +#5607=CARTESIAN_POINT('',(0.0375,0.08,-0.025)); +#5608=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5609=CARTESIAN_POINT('',(0.0625,0.08,-0.025)); +#5610=CARTESIAN_POINT('',(0.0625,0.02,-0.025)); +#5611=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5612=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5613=CARTESIAN_POINT('',(0.1625,0.02,-0.025)); +#5614=CARTESIAN_POINT('',(0.1375,0.02,-0.025)); +#5615=CARTESIAN_POINT('',(0.1375,0.02,-0.025)); +#5616=CARTESIAN_POINT('',(0.1375,0.08,-0.025)); +#5617=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5618=CARTESIAN_POINT('',(0.1625,0.08,-0.025)); +#5619=CARTESIAN_POINT('',(0.1625,0.02,-0.025)); +#5620=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5621=CARTESIAN_POINT('',(-0.1375,0.08,-0.05)); +#5622=CARTESIAN_POINT('',(-0.1375,0.0976776688276869,-0.0323223297683595)); +#5623=CARTESIAN_POINT('',(-0.1625,0.08,-0.05)); +#5624=CARTESIAN_POINT('',(-0.1625,0.0976776688276869,-0.0323223297683595)); +#5625=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5626=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5627=CARTESIAN_POINT('',(-0.0625,0.08,-0.05)); +#5628=CARTESIAN_POINT('',(-0.0625,0.0976776688276869,-0.0323223297683595)); +#5629=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5630=CARTESIAN_POINT('',(-0.0375,0.0976776688276869,-0.0323223297683595)); +#5631=CARTESIAN_POINT('',(-0.0375,0.08,-0.05)); +#5632=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5633=CARTESIAN_POINT('',(0.0375,0.08,-0.05)); +#5634=CARTESIAN_POINT('',(0.0375,0.0976776688276869,-0.0323223297683595)); +#5635=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5636=CARTESIAN_POINT('',(0.0625,0.0976776688276869,-0.0323223297683595)); +#5637=CARTESIAN_POINT('',(0.0625,0.08,-0.05)); +#5638=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5639=CARTESIAN_POINT('',(0.1375,0.08,-0.05)); +#5640=CARTESIAN_POINT('',(0.1375,0.0976776688276869,-0.0323223297683595)); +#5641=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5642=CARTESIAN_POINT('',(0.1625,0.0976776688276869,-0.0323223297683595)); +#5643=CARTESIAN_POINT('',(0.1625,0.08,-0.05)); +#5644=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5645=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5646=CARTESIAN_POINT('',(-0.1375,0.133210682344626,-0.067855340463281)); +#5647=CARTESIAN_POINT('',(-0.1625,0.133210682344626,-0.067855340463281)); +#5648=CARTESIAN_POINT('',(-0.1375,0.0976776688276869,-0.0323223297683595)); +#5649=CARTESIAN_POINT('',(-0.1625,0.0976776688276869,-0.0323223297683595)); +#5650=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5651=CARTESIAN_POINT('',(-0.0625,0.0976776688276869,-0.0323223297683595)); +#5652=CARTESIAN_POINT('',(-0.0625,0.133210682344626,-0.067855340463281)); +#5653=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5654=CARTESIAN_POINT('',(-0.0375,0.133210682344626,-0.067855340463281)); +#5655=CARTESIAN_POINT('',(-0.0375,0.0976776688276869,-0.0323223297683595)); +#5656=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5657=CARTESIAN_POINT('',(0.0375,0.0976776688276869,-0.0323223297683595)); +#5658=CARTESIAN_POINT('',(0.0375,0.133210682344626,-0.067855340463281)); +#5659=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5660=CARTESIAN_POINT('',(0.0625,0.133210682344626,-0.067855340463281)); +#5661=CARTESIAN_POINT('',(0.0625,0.0976776688276869,-0.0323223297683595)); +#5662=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5663=CARTESIAN_POINT('',(0.1375,0.0976776688276869,-0.0323223297683595)); +#5664=CARTESIAN_POINT('',(0.1375,0.133210682344626,-0.067855340463281)); +#5665=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5666=CARTESIAN_POINT('',(0.1625,0.133210682344626,-0.067855340463281)); +#5667=CARTESIAN_POINT('',(0.1625,0.0976776688276869,-0.0323223297683595)); +#5668=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5669=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5670=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0825)); +#5671=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0825)); +#5672=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0324999999999999)); +#5673=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0324999999999999)); +#5674=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5675=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0324999999999999)); +#5676=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0825)); +#5677=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5678=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0825)); +#5679=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0324999999999999)); +#5680=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5681=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0324999999999999)); +#5682=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0825)); +#5683=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5684=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0825)); +#5685=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0324999999999999)); +#5686=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5687=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0324999999999999)); +#5688=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0825)); +#5689=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5690=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0825)); +#5691=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0324999999999999)); +#5692=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5693=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0325)); +#5694=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0575)); +#5695=CARTESIAN_POINT('',(-0.1375,0.150888351172313,-0.0501776702316405)); +#5696=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5697=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0575)); +#5698=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0325)); +#5699=CARTESIAN_POINT('',(-0.1625,0.150888351172313,-0.0501776702316405)); +#5700=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5701=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5702=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0325)); +#5703=CARTESIAN_POINT('',(-0.0625,0.150888351172313,-0.0501776702316405)); +#5704=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0575)); +#5705=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5706=CARTESIAN_POINT('',(-0.0375,0.150888351172313,-0.0501776702316405)); +#5707=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0325)); +#5708=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0575)); +#5709=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5710=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5711=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0325)); +#5712=CARTESIAN_POINT('',(0.0375,0.150888351172313,-0.0501776702316405)); +#5713=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0575)); +#5714=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5715=CARTESIAN_POINT('',(0.0625,0.150888351172313,-0.0501776702316405)); +#5716=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0325)); +#5717=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0575)); +#5718=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5719=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5720=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0325)); +#5721=CARTESIAN_POINT('',(0.1375,0.150888351172313,-0.0501776702316405)); +#5722=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0575)); +#5723=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5724=CARTESIAN_POINT('',(0.1625,0.150888351172313,-0.0501776702316405)); +#5725=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0325)); +#5726=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0575)); +#5727=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5728=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5729=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5730=CARTESIAN_POINT('',(-0.1375,0.115355337655374,-0.014644659536719)); +#5731=CARTESIAN_POINT('',(-0.1625,0.115355337655374,-0.014644659536719)); +#5732=CARTESIAN_POINT('',(-0.1375,0.150888351172313,-0.0501776702316405)); +#5733=CARTESIAN_POINT('',(-0.1625,0.150888351172313,-0.0501776702316405)); +#5734=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5735=CARTESIAN_POINT('',(-0.0625,0.150888351172313,-0.0501776702316405)); +#5736=CARTESIAN_POINT('',(-0.0625,0.115355337655374,-0.014644659536719)); +#5737=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5738=CARTESIAN_POINT('',(-0.0375,0.115355337655374,-0.014644659536719)); +#5739=CARTESIAN_POINT('',(-0.0375,0.150888351172313,-0.0501776702316405)); +#5740=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5741=CARTESIAN_POINT('',(0.0375,0.150888351172313,-0.0501776702316405)); +#5742=CARTESIAN_POINT('',(0.0375,0.115355337655374,-0.014644659536719)); +#5743=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5744=CARTESIAN_POINT('',(0.0625,0.115355337655374,-0.014644659536719)); +#5745=CARTESIAN_POINT('',(0.0625,0.150888351172313,-0.0501776702316405)); +#5746=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5747=CARTESIAN_POINT('',(0.1375,0.150888351172313,-0.0501776702316405)); +#5748=CARTESIAN_POINT('',(0.1375,0.115355337655374,-0.014644659536719)); +#5749=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5750=CARTESIAN_POINT('',(0.1625,0.115355337655374,-0.014644659536719)); +#5751=CARTESIAN_POINT('',(0.1625,0.150888351172313,-0.0501776702316405)); +#5752=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5753=CARTESIAN_POINT('',(-0.1375,0.08,-0.0500000000000001)); +#5754=CARTESIAN_POINT('',(-0.1625,0.08,-0.0500000000000001)); +#5755=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5756=CARTESIAN_POINT('',(-0.0625,0.08,-0.0500000000000001)); +#5757=CARTESIAN_POINT('',(-0.0375,0.08,-0.0500000000000001)); +#5758=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5759=CARTESIAN_POINT('',(0.0375,0.08,-0.0500000000000001)); +#5760=CARTESIAN_POINT('',(0.0625,0.08,-0.0500000000000001)); +#5761=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5762=CARTESIAN_POINT('',(0.1375,0.08,-0.0500000000000001)); +#5763=CARTESIAN_POINT('',(0.1625,0.08,-0.0500000000000001)); +#5764=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5765=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5766=CARTESIAN_POINT('',(-0.2375,0.02,0.)); +#5767=CARTESIAN_POINT('',(-0.2625,0.02,0.)); +#5768=CARTESIAN_POINT('',(-0.2375,0.225,0.)); +#5769=CARTESIAN_POINT('',(-0.2375,0.08,0.)); +#5770=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5771=CARTESIAN_POINT('',(-0.2625,0.08,-8.53702498020082E-18)); +#5772=CARTESIAN_POINT('',(-0.2625,0.08,0.)); +#5773=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5774=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5775=CARTESIAN_POINT('',(-0.2375,0.08,-0.025)); +#5776=CARTESIAN_POINT('',(-0.2625,0.08,-0.025)); +#5777=CARTESIAN_POINT('',(-0.2375,0.02,-0.025)); +#5778=CARTESIAN_POINT('',(-0.2375,0.02,-0.025)); +#5779=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5780=CARTESIAN_POINT('',(-0.2625,0.02,-0.025)); +#5781=CARTESIAN_POINT('',(-0.2625,0.02,-0.025)); +#5782=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5783=CARTESIAN_POINT('',(-0.2375,0.08,-0.05)); +#5784=CARTESIAN_POINT('',(-0.2375,0.0976776688276869,-0.0323223297683595)); +#5785=CARTESIAN_POINT('',(-0.2625,0.08,-0.05)); +#5786=CARTESIAN_POINT('',(-0.2625,0.0976776688276869,-0.0323223297683595)); +#5787=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5788=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5789=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5790=CARTESIAN_POINT('',(-0.2375,0.133210682344626,-0.067855340463281)); +#5791=CARTESIAN_POINT('',(-0.2625,0.133210682344626,-0.067855340463281)); +#5792=CARTESIAN_POINT('',(-0.2375,0.0976776688276869,-0.0323223297683595)); +#5793=CARTESIAN_POINT('',(-0.2625,0.0976776688276869,-0.0323223297683595)); +#5794=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5795=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5796=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0825)); +#5797=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0825)); +#5798=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0324999999999999)); +#5799=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0324999999999999)); +#5800=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#5801=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0325)); +#5802=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0575)); +#5803=CARTESIAN_POINT('',(-0.2375,0.150888351172313,-0.0501776702316405)); +#5804=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5805=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0575)); +#5806=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0325)); +#5807=CARTESIAN_POINT('',(-0.2625,0.150888351172313,-0.0501776702316404)); +#5808=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#5809=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#5810=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#5811=CARTESIAN_POINT('',(-0.2375,0.115355337655374,-0.014644659536719)); +#5812=CARTESIAN_POINT('',(-0.2625,0.115355337655374,-0.014644659536719)); +#5813=CARTESIAN_POINT('',(-0.2375,0.150888351172313,-0.0501776702316405)); +#5814=CARTESIAN_POINT('',(-0.2625,0.150888351172313,-0.0501776702316405)); +#5815=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#5816=CARTESIAN_POINT('',(-0.2375,0.08,-0.0500000000000001)); +#5817=CARTESIAN_POINT('',(-0.2625,0.08,-0.0500000000000001)); +#5818=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5819=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5820=CARTESIAN_POINT('',(0.2625,0.08,-8.53702498020082E-18)); +#5821=CARTESIAN_POINT('',(0.2375,0.08,-8.53702498020082E-18)); +#5822=CARTESIAN_POINT('',(0.2375,-0.185,0.)); +#5823=CARTESIAN_POINT('',(0.2375,0.02,0.)); +#5824=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5825=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#5826=CARTESIAN_POINT('',(0.2625,0.08,0.)); +#5827=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5828=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5829=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5830=CARTESIAN_POINT('',(0.2375,0.02,-0.025)); +#5831=CARTESIAN_POINT('',(0.2375,0.02,-0.025)); +#5832=CARTESIAN_POINT('',(0.2375,0.08,-0.025)); +#5833=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5834=CARTESIAN_POINT('',(0.2625,0.08,-0.025)); +#5835=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#5836=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5837=CARTESIAN_POINT('',(0.2375,0.08,-0.05)); +#5838=CARTESIAN_POINT('',(0.2375,0.0976776688276869,-0.0323223297683595)); +#5839=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5840=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5841=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#5842=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5843=CARTESIAN_POINT('',(0.2375,0.0976776688276869,-0.0323223297683595)); +#5844=CARTESIAN_POINT('',(0.2375,0.133210682344626,-0.067855340463281)); +#5845=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5846=CARTESIAN_POINT('',(0.2625,0.133210682344626,-0.067855340463281)); +#5847=CARTESIAN_POINT('',(0.2625,0.0976776688276869,-0.0323223297683595)); +#5848=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5849=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0324999999999999)); +#5850=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0825)); +#5851=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5852=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5853=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0324999999999999)); +#5854=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5855=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5856=CARTESIAN_POINT('',(-0.0625,0.225,-0.0825)); +#5857=CARTESIAN_POINT('',(-0.1375,0.225,-0.0825)); +#5858=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0825)); +#5859=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0825)); +#5860=CARTESIAN_POINT('',(-0.1625,0.225,-0.0825)); +#5861=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5862=CARTESIAN_POINT('',(-0.2375,0.225,-0.0825)); +#5863=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0825)); +#5864=CARTESIAN_POINT('',(-0.2625,0.16856602,-0.0825)); +#5865=CARTESIAN_POINT('',(-0.2625,0.49,-0.0825)); +#5866=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5867=CARTESIAN_POINT('',(-0.2375,0.49,-0.0825)); +#5868=CARTESIAN_POINT('',(-0.2375,0.16856602,-0.0825)); +#5869=CARTESIAN_POINT('',(-0.2375,0.235,-0.0825)); +#5870=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5871=CARTESIAN_POINT('',(-0.1625,0.235,-0.0825)); +#5872=CARTESIAN_POINT('',(-0.1625,0.16856602,-0.0825)); +#5873=CARTESIAN_POINT('',(-0.1625,0.49,-0.0825)); +#5874=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5875=CARTESIAN_POINT('',(-0.1375,0.49,-0.0825)); +#5876=CARTESIAN_POINT('',(-0.1375,0.16856602,-0.0825)); +#5877=CARTESIAN_POINT('',(-0.1375,0.235,-0.0825)); +#5878=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5879=CARTESIAN_POINT('',(-0.0625,0.235,-0.0825)); +#5880=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0825)); +#5881=CARTESIAN_POINT('',(-0.0625,0.49,-0.0825)); +#5882=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5883=CARTESIAN_POINT('',(-0.0375,0.49,-0.0825)); +#5884=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0825)); +#5885=CARTESIAN_POINT('',(-0.0375,0.235,-0.0825)); +#5886=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5887=CARTESIAN_POINT('',(0.0375,0.235,-0.0825)); +#5888=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0825)); +#5889=CARTESIAN_POINT('',(0.0375,0.49,-0.0825)); +#5890=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5891=CARTESIAN_POINT('',(0.0625,0.49,-0.0825)); +#5892=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0825)); +#5893=CARTESIAN_POINT('',(0.0625,0.235,-0.0825)); +#5894=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5895=CARTESIAN_POINT('',(0.1375,0.235,-0.0825)); +#5896=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0825)); +#5897=CARTESIAN_POINT('',(0.1375,0.49,-0.0825)); +#5898=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5899=CARTESIAN_POINT('',(0.1625,0.49,-0.0825)); +#5900=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0825)); +#5901=CARTESIAN_POINT('',(0.1625,0.235,-0.0825)); +#5902=CARTESIAN_POINT('',(0.2625,0.235,-0.0825)); +#5903=CARTESIAN_POINT('',(0.2375,0.235,-0.0825)); +#5904=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0825)); +#5905=CARTESIAN_POINT('',(0.2375,0.49,-0.0825)); +#5906=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5907=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#5908=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0825)); +#5909=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0825)); +#5910=CARTESIAN_POINT('',(0.2375,0.225,-0.0825)); +#5911=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5912=CARTESIAN_POINT('',(0.1625,0.225,-0.0825)); +#5913=CARTESIAN_POINT('',(0.1625,0.16856602,-0.0825)); +#5914=CARTESIAN_POINT('',(0.1375,0.16856602,-0.0825)); +#5915=CARTESIAN_POINT('',(0.1375,0.225,-0.0825)); +#5916=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5917=CARTESIAN_POINT('',(0.0625,0.225,-0.0825)); +#5918=CARTESIAN_POINT('',(0.0625,0.16856602,-0.0825)); +#5919=CARTESIAN_POINT('',(0.0375,0.16856602,-0.0825)); +#5920=CARTESIAN_POINT('',(0.0375,0.225,-0.0825)); +#5921=CARTESIAN_POINT('',(0.2625,0.225,-0.0825)); +#5922=CARTESIAN_POINT('',(-0.0375,0.225,-0.0825)); +#5923=CARTESIAN_POINT('',(-0.0375,0.16856602,-0.0825)); +#5924=CARTESIAN_POINT('',(-0.0625,0.16856602,-0.0825)); +#5925=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5926=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#5927=CARTESIAN_POINT('',(-0.1375,0.225,-0.0575)); +#5928=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5929=CARTESIAN_POINT('',(-0.0625,0.225,-0.0575)); +#5930=CARTESIAN_POINT('',(-0.0625,0.49,-0.0575)); +#5931=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#5932=CARTESIAN_POINT('',(-0.0375,0.225,-0.0575)); +#5933=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5934=CARTESIAN_POINT('',(0.0375,0.225,-0.0575)); +#5935=CARTESIAN_POINT('',(0.0375,0.49,-0.0575)); +#5936=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#5937=CARTESIAN_POINT('',(0.0625,0.225,-0.0575)); +#5938=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5939=CARTESIAN_POINT('',(0.1375,0.225,-0.0575)); +#5940=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#5941=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#5942=CARTESIAN_POINT('',(0.1625,0.225,-0.0575)); +#5943=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5944=CARTESIAN_POINT('',(0.2375,0.225,-0.0575)); +#5945=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#5946=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0575)); +#5947=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5948=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0575)); +#5949=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5950=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5951=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5952=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#5953=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#5954=CARTESIAN_POINT('',(0.2375,0.235,-0.0575)); +#5955=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5956=CARTESIAN_POINT('',(0.1625,0.235,-0.0575)); +#5957=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#5958=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#5959=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5960=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#5961=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#5962=CARTESIAN_POINT('',(0.1375,0.235,-0.0575)); +#5963=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5964=CARTESIAN_POINT('',(0.0625,0.235,-0.0575)); +#5965=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#5966=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#5967=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5968=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0575)); +#5969=CARTESIAN_POINT('',(0.0375,0.49,-0.0575)); +#5970=CARTESIAN_POINT('',(0.0375,0.235,-0.0575)); +#5971=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5972=CARTESIAN_POINT('',(-0.0375,0.235,-0.0575)); +#5973=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#5974=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#5975=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5976=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0575)); +#5977=CARTESIAN_POINT('',(-0.0625,0.49,-0.0575)); +#5978=CARTESIAN_POINT('',(-0.0625,0.235,-0.0575)); +#5979=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5980=CARTESIAN_POINT('',(-0.1375,0.235,-0.0575)); +#5981=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#5982=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#5983=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5984=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#5985=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#5986=CARTESIAN_POINT('',(-0.1625,0.235,-0.0575)); +#5987=CARTESIAN_POINT('',(0.2625,0.235,-0.0575)); +#5988=CARTESIAN_POINT('',(-0.2375,0.235,-0.0575)); +#5989=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#5990=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#5991=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#5992=CARTESIAN_POINT('',(-0.2625,0.49,-0.0575)); +#5993=CARTESIAN_POINT('',(-0.2625,0.49,-0.0575)); +#5994=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#5995=CARTESIAN_POINT('',(-0.2375,0.225,-0.0575)); +#5996=CARTESIAN_POINT('',(0.2625,0.225,-0.0575)); +#5997=CARTESIAN_POINT('',(-0.1625,0.225,-0.0575)); +#5998=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#5999=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#6000=CARTESIAN_POINT('',(0.2375,0.16856602,-0.0325)); +#6001=CARTESIAN_POINT('',(0.2375,0.150888351172313,-0.0501776702316405)); +#6002=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#6003=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316404)); +#6004=CARTESIAN_POINT('',(0.2625,0.16856602,-0.0325)); +#6005=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#6006=CARTESIAN_POINT('',(0.2375,0.150888351172313,-0.0501776702316405)); +#6007=CARTESIAN_POINT('',(0.2375,0.115355337655374,-0.014644659536719)); +#6008=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#6009=CARTESIAN_POINT('',(0.2625,0.115355337655374,-0.014644659536719)); +#6010=CARTESIAN_POINT('',(0.2625,0.150888351172313,-0.0501776702316405)); +#6011=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#6012=CARTESIAN_POINT('',(0.2375,0.08,-0.0500000000000001)); +#6013=CARTESIAN_POINT('',(0.2625,0.08,-0.0500000000000001)); +#6014=CARTESIAN_POINT('',(0.2625,0.08,-0.05)); +#6015=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#6016=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#6017=CARTESIAN_POINT('',(-0.2625,0.08,-0.05)); +#6018=CARTESIAN_POINT('',(-0.2625,0.49,-0.0825)); +#6019=CARTESIAN_POINT('',(-0.2625,0.02,0.)); +#6020=CARTESIAN_POINT('',(-0.2375,0.645,-0.23)); +#6021=CARTESIAN_POINT('',(-0.2375,0.49,-0.0825)); +#6022=CARTESIAN_POINT('',(-0.2375,0.235,-0.23)); +#6023=CARTESIAN_POINT('',(-0.2375,0.235,-0.23)); +#6024=CARTESIAN_POINT('',(-0.1625,0.235,-0.23)); +#6025=CARTESIAN_POINT('',(-0.1625,0.235,-0.23)); +#6026=CARTESIAN_POINT('',(-0.1625,0.49,-0.0825)); +#6027=CARTESIAN_POINT('',(0.1625,0.645,-0.23)); +#6028=CARTESIAN_POINT('',(0.1625,0.49,-0.0825)); +#6029=CARTESIAN_POINT('',(0.1625,0.235,-0.23)); +#6030=CARTESIAN_POINT('',(0.1625,0.235,-0.23)); +#6031=CARTESIAN_POINT('',(0.2375,0.235,-0.23)); +#6032=CARTESIAN_POINT('',(0.2375,0.235,-0.23)); +#6033=CARTESIAN_POINT('',(0.2375,0.49,-0.0825)); +#6034=CARTESIAN_POINT('',(0.0625,0.645,-0.23)); +#6035=CARTESIAN_POINT('',(0.0625,0.49,-0.0825)); +#6036=CARTESIAN_POINT('',(0.0625,0.235,-0.23)); +#6037=CARTESIAN_POINT('',(0.0625,0.235,-0.23)); +#6038=CARTESIAN_POINT('',(0.1375,0.235,-0.23)); +#6039=CARTESIAN_POINT('',(0.1375,0.235,-0.23)); +#6040=CARTESIAN_POINT('',(0.1375,0.49,-0.0825)); +#6041=CARTESIAN_POINT('',(-0.0375,0.645,-0.23)); +#6042=CARTESIAN_POINT('',(-0.0375,0.49,-0.0825)); +#6043=CARTESIAN_POINT('',(-0.0375,0.235,-0.23)); +#6044=CARTESIAN_POINT('',(-0.0375,0.235,-0.23)); +#6045=CARTESIAN_POINT('',(0.0375,0.235,-0.23)); +#6046=CARTESIAN_POINT('',(0.0375,0.235,-0.23)); +#6047=CARTESIAN_POINT('',(0.0375,0.49,-0.0825)); +#6048=CARTESIAN_POINT('',(-0.1375,0.645,-0.23)); +#6049=CARTESIAN_POINT('',(-0.1375,0.49,-0.0825)); +#6050=CARTESIAN_POINT('',(-0.1375,0.235,-0.23)); +#6051=CARTESIAN_POINT('',(-0.1375,0.235,-0.23)); +#6052=CARTESIAN_POINT('',(-0.0625,0.235,-0.23)); +#6053=CARTESIAN_POINT('',(-0.0625,0.235,-0.23)); +#6054=CARTESIAN_POINT('',(-0.0625,0.49,-0.0825)); +#6055=CARTESIAN_POINT('',(-0.1625,0.225,-0.23)); +#6056=CARTESIAN_POINT('',(-0.1625,0.225,-0.23)); +#6057=CARTESIAN_POINT('',(-0.2375,0.225,-0.23)); +#6058=CARTESIAN_POINT('',(-0.2375,0.225,-0.23)); +#6059=CARTESIAN_POINT('',(-0.2375,0.02,0.)); +#6060=CARTESIAN_POINT('',(-0.1625,-0.185,-0.23)); +#6061=CARTESIAN_POINT('',(-0.1625,0.02,0.)); +#6062=CARTESIAN_POINT('',(0.2375,0.225,-0.23)); +#6063=CARTESIAN_POINT('',(0.2375,0.225,-0.23)); +#6064=CARTESIAN_POINT('',(0.1625,0.225,-0.23)); +#6065=CARTESIAN_POINT('',(0.1625,0.225,-0.23)); +#6066=CARTESIAN_POINT('',(0.1625,0.02,0.)); +#6067=CARTESIAN_POINT('',(0.2375,-0.185,-0.23)); +#6068=CARTESIAN_POINT('',(0.2375,0.02,0.)); +#6069=CARTESIAN_POINT('',(0.1375,0.225,-0.23)); +#6070=CARTESIAN_POINT('',(0.1375,0.225,-0.23)); +#6071=CARTESIAN_POINT('',(0.0625,0.225,-0.23)); +#6072=CARTESIAN_POINT('',(0.0625,0.225,-0.23)); +#6073=CARTESIAN_POINT('',(0.0625,0.02,0.)); +#6074=CARTESIAN_POINT('',(0.1375,-0.185,-0.23)); +#6075=CARTESIAN_POINT('',(0.1375,0.02,0.)); +#6076=CARTESIAN_POINT('',(0.0375,0.225,-0.23)); +#6077=CARTESIAN_POINT('',(0.0375,0.225,-0.23)); +#6078=CARTESIAN_POINT('',(-0.0375,0.225,-0.23)); +#6079=CARTESIAN_POINT('',(-0.0375,0.225,-0.23)); +#6080=CARTESIAN_POINT('',(-0.0375,0.02,0.)); +#6081=CARTESIAN_POINT('',(0.0375,-0.185,-0.23)); +#6082=CARTESIAN_POINT('',(0.0375,0.02,0.)); +#6083=CARTESIAN_POINT('',(-0.0625,0.225,-0.23)); +#6084=CARTESIAN_POINT('',(-0.0625,0.225,-0.23)); +#6085=CARTESIAN_POINT('',(-0.1375,0.225,-0.23)); +#6086=CARTESIAN_POINT('',(-0.1375,0.225,-0.23)); +#6087=CARTESIAN_POINT('',(-0.1375,0.02,0.)); +#6088=CARTESIAN_POINT('',(-0.0625,-0.185,-0.23)); +#6089=CARTESIAN_POINT('',(-0.0625,0.02,0.)); +#6090=CARTESIAN_POINT('',(-0.2625,0.49,-0.0825)); +#6091=CARTESIAN_POINT('',(-0.2625,0.49,-0.0575)); +#6092=CARTESIAN_POINT('',(-0.255220595314676,0.51,-0.064779404685324)); +#6093=CARTESIAN_POINT('',(-0.255220595314676,0.51,-0.0825)); +#6094=CARTESIAN_POINT('',(-0.255220595314676,0.51,-0.075220595314676)); +#6095=CARTESIAN_POINT('',(-0.2625,0.49,-0.0825)); +#6096=CARTESIAN_POINT('',(-0.2625,0.49,-0.0575)); +#6097=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#6098=CARTESIAN_POINT('',(-0.244779404685324,0.51,-0.064779404685324)); +#6099=CARTESIAN_POINT('',(-0.2625,0.51,-0.064779404685324)); +#6100=CARTESIAN_POINT('',(-0.2375,0.49,-0.0575)); +#6101=CARTESIAN_POINT('',(-0.2375,0.49,-0.0825)); +#6102=CARTESIAN_POINT('',(-0.244779404685324,0.51,-0.075220595314676)); +#6103=CARTESIAN_POINT('',(-0.244779404685324,0.51,-0.0575)); +#6104=CARTESIAN_POINT('',(-0.2375,0.49,-0.0825)); +#6105=CARTESIAN_POINT('',(-0.2375,0.51,-0.075220595314676)); +#6106=CARTESIAN_POINT('',(0.,0.51,0.)); +#6107=CARTESIAN_POINT('',(-0.1625,0.49,-0.0825)); +#6108=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#6109=CARTESIAN_POINT('',(-0.155220595314676,0.51,-0.064779404685324)); +#6110=CARTESIAN_POINT('',(-0.155220595314676,0.51,-0.0825)); +#6111=CARTESIAN_POINT('',(-0.155220595314676,0.51,-0.075220595314676)); +#6112=CARTESIAN_POINT('',(-0.1625,0.49,-0.0825)); +#6113=CARTESIAN_POINT('',(-0.1625,0.49,-0.0575)); +#6114=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#6115=CARTESIAN_POINT('',(-0.144779404685324,0.51,-0.064779404685324)); +#6116=CARTESIAN_POINT('',(-0.1625,0.51,-0.064779404685324)); +#6117=CARTESIAN_POINT('',(-0.1375,0.49,-0.0575)); +#6118=CARTESIAN_POINT('',(-0.1375,0.49,-0.0825)); +#6119=CARTESIAN_POINT('',(-0.144779404685324,0.51,-0.075220595314676)); +#6120=CARTESIAN_POINT('',(-0.144779404685324,0.51,-0.0575)); +#6121=CARTESIAN_POINT('',(-0.1375,0.49,-0.0825)); +#6122=CARTESIAN_POINT('',(-0.1375,0.51,-0.075220595314676)); +#6123=CARTESIAN_POINT('',(0.1,0.51,0.)); +#6124=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0825)); +#6125=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0575)); +#6126=CARTESIAN_POINT('',(-0.055220595314676,0.51,-0.064779404685324)); +#6127=CARTESIAN_POINT('',(-0.055220595314676,0.51,-0.0825)); +#6128=CARTESIAN_POINT('',(-0.055220595314676,0.51,-0.075220595314676)); +#6129=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0825)); +#6130=CARTESIAN_POINT('',(-0.0625000000000001,0.49,-0.0575)); +#6131=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#6132=CARTESIAN_POINT('',(-0.0447794046853241,0.51,-0.064779404685324)); +#6133=CARTESIAN_POINT('',(-0.0625000000000001,0.51,-0.064779404685324)); +#6134=CARTESIAN_POINT('',(-0.0375,0.49,-0.0575)); +#6135=CARTESIAN_POINT('',(-0.0375,0.49,-0.0825)); +#6136=CARTESIAN_POINT('',(-0.0447794046853241,0.51,-0.075220595314676)); +#6137=CARTESIAN_POINT('',(-0.0447794046853241,0.51,-0.0575)); +#6138=CARTESIAN_POINT('',(-0.0375,0.49,-0.0825)); +#6139=CARTESIAN_POINT('',(-0.0375,0.51,-0.075220595314676)); +#6140=CARTESIAN_POINT('',(0.2,0.51,0.)); +#6141=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0825)); +#6142=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0575)); +#6143=CARTESIAN_POINT('',(0.044779404685324,0.51,-0.064779404685324)); +#6144=CARTESIAN_POINT('',(0.044779404685324,0.51,-0.0825)); +#6145=CARTESIAN_POINT('',(0.044779404685324,0.51,-0.075220595314676)); +#6146=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0825)); +#6147=CARTESIAN_POINT('',(0.0374999999999999,0.49,-0.0575)); +#6148=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#6149=CARTESIAN_POINT('',(0.0552205953146759,0.51,-0.064779404685324)); +#6150=CARTESIAN_POINT('',(0.0374999999999999,0.51,-0.064779404685324)); +#6151=CARTESIAN_POINT('',(0.0625,0.49,-0.0575)); +#6152=CARTESIAN_POINT('',(0.0625,0.49,-0.0825)); +#6153=CARTESIAN_POINT('',(0.0552205953146759,0.51,-0.075220595314676)); +#6154=CARTESIAN_POINT('',(0.0552205953146759,0.51,-0.0575)); +#6155=CARTESIAN_POINT('',(0.0625,0.49,-0.0825)); +#6156=CARTESIAN_POINT('',(0.0625,0.51,-0.075220595314676)); +#6157=CARTESIAN_POINT('',(0.3,0.51,0.)); +#6158=CARTESIAN_POINT('',(0.1375,0.49,-0.0825)); +#6159=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#6160=CARTESIAN_POINT('',(0.144779404685324,0.51,-0.064779404685324)); +#6161=CARTESIAN_POINT('',(0.144779404685324,0.51,-0.0825)); +#6162=CARTESIAN_POINT('',(0.144779404685324,0.51,-0.075220595314676)); +#6163=CARTESIAN_POINT('',(0.1375,0.49,-0.0825)); +#6164=CARTESIAN_POINT('',(0.1375,0.49,-0.0575)); +#6165=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#6166=CARTESIAN_POINT('',(0.155220595314676,0.51,-0.064779404685324)); +#6167=CARTESIAN_POINT('',(0.1375,0.51,-0.064779404685324)); +#6168=CARTESIAN_POINT('',(0.1625,0.49,-0.0575)); +#6169=CARTESIAN_POINT('',(0.1625,0.49,-0.0825)); +#6170=CARTESIAN_POINT('',(0.155220595314676,0.51,-0.075220595314676)); +#6171=CARTESIAN_POINT('',(0.155220595314676,0.51,-0.0575)); +#6172=CARTESIAN_POINT('',(0.1625,0.49,-0.0825)); +#6173=CARTESIAN_POINT('',(0.1625,0.51,-0.075220595314676)); +#6174=CARTESIAN_POINT('',(0.4,0.51,0.)); +#6175=CARTESIAN_POINT('',(0.2375,0.49,-0.0825)); +#6176=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#6177=CARTESIAN_POINT('',(0.244779404685324,0.51,-0.064779404685324)); +#6178=CARTESIAN_POINT('',(0.244779404685324,0.51,-0.0825)); +#6179=CARTESIAN_POINT('',(0.244779404685324,0.51,-0.075220595314676)); +#6180=CARTESIAN_POINT('',(0.2375,0.49,-0.0825)); +#6181=CARTESIAN_POINT('',(0.2375,0.49,-0.0575)); +#6182=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#6183=CARTESIAN_POINT('',(0.255220595314676,0.51,-0.064779404685324)); +#6184=CARTESIAN_POINT('',(0.2375,0.51,-0.064779404685324)); +#6185=CARTESIAN_POINT('',(0.2625,0.49,-0.0575)); +#6186=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#6187=CARTESIAN_POINT('',(0.255220595314676,0.51,-0.075220595314676)); +#6188=CARTESIAN_POINT('',(0.255220595314676,0.51,-0.0575)); +#6189=CARTESIAN_POINT('',(0.2625,0.49,-0.0825)); +#6190=CARTESIAN_POINT('',(0.2625,0.51,-0.075220595314676)); +#6191=CARTESIAN_POINT('',(0.5,0.51,0.)); +#6192=CARTESIAN_POINT('',(-0.2625,0.02,-0.025)); +#6193=CARTESIAN_POINT('',(-0.259881823956162,0.012806620439397,-0.0223818239561616)); +#6194=CARTESIAN_POINT('',(-0.255220595314676,0.,-0.017720595314676)); +#6195=CARTESIAN_POINT('',(-0.255220595314676,0.,0.)); +#6196=CARTESIAN_POINT('',(-0.255220595314676,0.,-0.00727940468532405)); +#6197=CARTESIAN_POINT('',(-0.259881823956162,0.012806620439397,-0.00261817604383839)); +#6198=CARTESIAN_POINT('',(-0.2625,0.02,0.)); +#6199=CARTESIAN_POINT('',(0.,0.,-0.00727940468532405)); +#6200=CARTESIAN_POINT('',(-0.244779404685324,0.,-0.00727940468532405)); +#6201=CARTESIAN_POINT('',(-0.240118176043838,0.012806620439397,-0.00261817604383839)); +#6202=CARTESIAN_POINT('',(-0.2375,0.02,0.)); +#6203=CARTESIAN_POINT('',(-0.244779404685324,0.,0.)); +#6204=CARTESIAN_POINT('',(-0.244779404685324,0.,-0.017720595314676)); +#6205=CARTESIAN_POINT('',(-0.240118176043838,0.012806620439397,-0.0223818239561616)); +#6206=CARTESIAN_POINT('',(-0.2375,0.02,-0.025)); +#6207=CARTESIAN_POINT('',(0.,0.,-0.017720595314676)); +#6208=CARTESIAN_POINT('',(0.,0.,0.)); +#6209=CARTESIAN_POINT('',(-0.1625,0.02,-0.025)); +#6210=CARTESIAN_POINT('',(-0.159881823956162,0.012806620439397,-0.0223818239561616)); +#6211=CARTESIAN_POINT('',(-0.155220595314676,0.,-0.017720595314676)); +#6212=CARTESIAN_POINT('',(-0.155220595314676,0.,0.)); +#6213=CARTESIAN_POINT('',(-0.155220595314676,0.,-0.00727940468532405)); +#6214=CARTESIAN_POINT('',(-0.159881823956162,0.012806620439397,-0.00261817604383839)); +#6215=CARTESIAN_POINT('',(-0.1625,0.02,0.)); +#6216=CARTESIAN_POINT('',(0.1,0.,-0.00727940468532405)); +#6217=CARTESIAN_POINT('',(-0.144779404685324,0.,-0.00727940468532405)); +#6218=CARTESIAN_POINT('',(-0.140118176043838,0.012806620439397,-0.00261817604383839)); +#6219=CARTESIAN_POINT('',(-0.1375,0.02,0.)); +#6220=CARTESIAN_POINT('',(-0.144779404685324,0.,0.)); +#6221=CARTESIAN_POINT('',(-0.144779404685324,0.,-0.017720595314676)); +#6222=CARTESIAN_POINT('',(-0.140118176043838,0.012806620439397,-0.0223818239561616)); +#6223=CARTESIAN_POINT('',(-0.1375,0.02,-0.025)); +#6224=CARTESIAN_POINT('',(0.1,0.,-0.017720595314676)); +#6225=CARTESIAN_POINT('',(0.1,0.,0.)); +#6226=CARTESIAN_POINT('',(-0.0625000000000001,0.02,-0.025)); +#6227=CARTESIAN_POINT('',(-0.0598818239561617,0.012806620439397,-0.0223818239561616)); +#6228=CARTESIAN_POINT('',(-0.055220595314676,0.,-0.017720595314676)); +#6229=CARTESIAN_POINT('',(-0.055220595314676,0.,0.)); +#6230=CARTESIAN_POINT('',(-0.055220595314676,0.,-0.00727940468532405)); +#6231=CARTESIAN_POINT('',(-0.0598818239561617,0.012806620439397,-0.00261817604383839)); +#6232=CARTESIAN_POINT('',(-0.0625000000000001,0.02,0.)); +#6233=CARTESIAN_POINT('',(0.2,0.,-0.00727940468532405)); +#6234=CARTESIAN_POINT('',(-0.0447794046853241,0.,-0.00727940468532405)); +#6235=CARTESIAN_POINT('',(-0.0401181760438384,0.012806620439397,-0.00261817604383839)); +#6236=CARTESIAN_POINT('',(-0.0375,0.02,0.)); +#6237=CARTESIAN_POINT('',(-0.0447794046853241,0.,0.)); +#6238=CARTESIAN_POINT('',(-0.0447794046853241,0.,-0.017720595314676)); +#6239=CARTESIAN_POINT('',(-0.0401181760438384,0.012806620439397,-0.0223818239561616)); +#6240=CARTESIAN_POINT('',(-0.0375,0.02,-0.025)); +#6241=CARTESIAN_POINT('',(0.2,0.,-0.017720595314676)); +#6242=CARTESIAN_POINT('',(0.2,0.,0.)); +#6243=CARTESIAN_POINT('',(0.0374999999999999,0.02,-0.025)); +#6244=CARTESIAN_POINT('',(0.0401181760438383,0.012806620439397,-0.0223818239561616)); +#6245=CARTESIAN_POINT('',(0.044779404685324,0.,-0.017720595314676)); +#6246=CARTESIAN_POINT('',(0.044779404685324,0.,0.)); +#6247=CARTESIAN_POINT('',(0.044779404685324,0.,-0.00727940468532405)); +#6248=CARTESIAN_POINT('',(0.0401181760438383,0.012806620439397,-0.00261817604383839)); +#6249=CARTESIAN_POINT('',(0.0374999999999999,0.02,0.)); +#6250=CARTESIAN_POINT('',(0.3,0.,-0.00727940468532405)); +#6251=CARTESIAN_POINT('',(0.0552205953146759,0.,-0.00727940468532405)); +#6252=CARTESIAN_POINT('',(0.0598818239561616,0.012806620439397,-0.00261817604383839)); +#6253=CARTESIAN_POINT('',(0.0625,0.02,0.)); +#6254=CARTESIAN_POINT('',(0.0552205953146759,0.,0.)); +#6255=CARTESIAN_POINT('',(0.0552205953146759,0.,-0.017720595314676)); +#6256=CARTESIAN_POINT('',(0.0598818239561616,0.012806620439397,-0.0223818239561616)); +#6257=CARTESIAN_POINT('',(0.0625,0.02,-0.025)); +#6258=CARTESIAN_POINT('',(0.3,0.,-0.017720595314676)); +#6259=CARTESIAN_POINT('',(0.3,0.,0.)); +#6260=CARTESIAN_POINT('',(0.1375,0.02,-0.025)); +#6261=CARTESIAN_POINT('',(0.140118176043838,0.012806620439397,-0.0223818239561616)); +#6262=CARTESIAN_POINT('',(0.144779404685324,0.,-0.017720595314676)); +#6263=CARTESIAN_POINT('',(0.144779404685324,0.,0.)); +#6264=CARTESIAN_POINT('',(0.144779404685324,0.,-0.00727940468532405)); +#6265=CARTESIAN_POINT('',(0.140118176043838,0.012806620439397,-0.00261817604383839)); +#6266=CARTESIAN_POINT('',(0.1375,0.02,0.)); +#6267=CARTESIAN_POINT('',(0.4,0.,-0.00727940468532405)); +#6268=CARTESIAN_POINT('',(0.155220595314676,0.,-0.00727940468532405)); +#6269=CARTESIAN_POINT('',(0.159881823956162,0.012806620439397,-0.00261817604383839)); +#6270=CARTESIAN_POINT('',(0.1625,0.02,0.)); +#6271=CARTESIAN_POINT('',(0.155220595314676,0.,0.)); +#6272=CARTESIAN_POINT('',(0.155220595314676,0.,-0.017720595314676)); +#6273=CARTESIAN_POINT('',(0.159881823956162,0.012806620439397,-0.0223818239561616)); +#6274=CARTESIAN_POINT('',(0.1625,0.02,-0.025)); +#6275=CARTESIAN_POINT('',(0.4,0.,-0.017720595314676)); +#6276=CARTESIAN_POINT('',(0.4,0.,0.)); +#6277=CARTESIAN_POINT('',(0.2375,0.02,-0.025)); +#6278=CARTESIAN_POINT('',(0.240118176043838,0.012806620439397,-0.0223818239561616)); +#6279=CARTESIAN_POINT('',(0.244779404685324,0.,-0.017720595314676)); +#6280=CARTESIAN_POINT('',(0.244779404685324,0.,0.)); +#6281=CARTESIAN_POINT('',(0.244779404685324,0.,-0.00727940468532405)); +#6282=CARTESIAN_POINT('',(0.240118176043838,0.012806620439397,-0.00261817604383839)); +#6283=CARTESIAN_POINT('',(0.2375,0.02,0.)); +#6284=CARTESIAN_POINT('',(0.5,0.,-0.00727940468532405)); +#6285=CARTESIAN_POINT('',(0.255220595314676,0.,-0.00727940468532405)); +#6286=CARTESIAN_POINT('',(0.259881823956162,0.012806620439397,-0.00261817604383839)); +#6287=CARTESIAN_POINT('',(0.2625,0.02,0.)); +#6288=CARTESIAN_POINT('',(0.255220595314676,0.,0.)); +#6289=CARTESIAN_POINT('',(0.255220595314676,0.,-0.017720595314676)); +#6290=CARTESIAN_POINT('',(0.259881823956162,0.012806620439397,-0.0223818239561616)); +#6291=CARTESIAN_POINT('',(0.2625,0.02,-0.025)); +#6292=CARTESIAN_POINT('',(0.5,0.,-0.017720595314676)); +#6293=CARTESIAN_POINT('',(0.5,0.,0.)); +#6294=CARTESIAN_POINT('',(0.,-1.38777878078145E-17,-0.23)); +#6295=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6296=CARTESIAN_POINT('',(0.2,-0.06,0.)); +#6297=CARTESIAN_POINT('',(0.2,-0.06,0.031)); +#6298=CARTESIAN_POINT('',(-0.2,-0.06,0.)); +#6299=CARTESIAN_POINT('',(-0.2,-0.06,0.031)); +#6300=CARTESIAN_POINT('',(0.215,-0.06,0.0500000000000002)); +#6301=CARTESIAN_POINT('',(0.215,-0.0599999999999999,0.045)); +#6302=CARTESIAN_POINT('',(0.215,-0.06,0.05)); +#6303=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6304=CARTESIAN_POINT('',(0.185,-0.0599999999999999,0.045)); +#6305=CARTESIAN_POINT('',(0.185,-0.06,0.05)); +#6306=CARTESIAN_POINT('',(0.185,-0.06,0.05)); +#6307=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6308=CARTESIAN_POINT('',(0.115,-0.06,0.05)); +#6309=CARTESIAN_POINT('',(0.115,-0.06,0.05)); +#6310=CARTESIAN_POINT('',(0.115,-0.0599999999999999,0.045)); +#6311=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6312=CARTESIAN_POINT('',(0.0849999999999999,-0.0599999999999999,0.045)); +#6313=CARTESIAN_POINT('',(0.0849999999999999,-0.06,0.05)); +#6314=CARTESIAN_POINT('',(0.0849999999999999,-0.06,0.05)); +#6315=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6316=CARTESIAN_POINT('',(0.0150000000000002,-0.06,0.05)); +#6317=CARTESIAN_POINT('',(0.0150000000000002,-0.06,0.05)); +#6318=CARTESIAN_POINT('',(0.0150000000000002,-0.0599999999999999,0.045)); +#6319=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6320=CARTESIAN_POINT('',(-0.0149999999999998,-0.0599999999999999,0.045)); +#6321=CARTESIAN_POINT('',(-0.0149999999999998,-0.06,0.05)); +#6322=CARTESIAN_POINT('',(-0.0149999999999998,-0.06,0.05)); +#6323=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6324=CARTESIAN_POINT('',(-0.085,-0.06,0.05)); +#6325=CARTESIAN_POINT('',(-0.085,-0.06,0.05)); +#6326=CARTESIAN_POINT('',(-0.085,-0.0599999999999999,0.045)); +#6327=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6328=CARTESIAN_POINT('',(-0.115,-0.0599999999999999,0.045)); +#6329=CARTESIAN_POINT('',(-0.115,-0.06,0.05)); +#6330=CARTESIAN_POINT('',(-0.115,-0.06,0.05)); +#6331=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6332=CARTESIAN_POINT('',(-0.185,-0.06,0.05)); +#6333=CARTESIAN_POINT('',(-0.185,-0.06,0.05)); +#6334=CARTESIAN_POINT('',(-0.185,-0.0599999999999999,0.045)); +#6335=CARTESIAN_POINT('',(-0.3,-0.06,0.045)); +#6336=CARTESIAN_POINT('',(-0.215,-0.0599999999999999,0.045)); +#6337=CARTESIAN_POINT('',(-0.215,-0.06,0.05)); +#6338=CARTESIAN_POINT('',(-0.215,-0.06,0.05)); +#6339=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6340=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6341=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6342=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6343=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6344=CARTESIAN_POINT('',(-0.215,-0.06,-0.05)); +#6345=CARTESIAN_POINT('',(-0.215,-0.06,0.05)); +#6346=CARTESIAN_POINT('',(-0.215,-0.0599999999999999,-0.045)); +#6347=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6348=CARTESIAN_POINT('',(-0.185,-0.0599999999999999,-0.045)); +#6349=CARTESIAN_POINT('',(-0.185,-0.06,0.05)); +#6350=CARTESIAN_POINT('',(-0.185,-0.06,-0.05)); +#6351=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6352=CARTESIAN_POINT('',(-0.115,-0.06,-0.05)); +#6353=CARTESIAN_POINT('',(-0.115,-0.06,0.05)); +#6354=CARTESIAN_POINT('',(-0.115,-0.0599999999999999,-0.045)); +#6355=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6356=CARTESIAN_POINT('',(-0.085,-0.0599999999999999,-0.045)); +#6357=CARTESIAN_POINT('',(-0.085,-0.06,0.05)); +#6358=CARTESIAN_POINT('',(-0.085,-0.06,-0.05)); +#6359=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6360=CARTESIAN_POINT('',(-0.0149999999999999,-0.06,-0.05)); +#6361=CARTESIAN_POINT('',(-0.0149999999999999,-0.06,0.05)); +#6362=CARTESIAN_POINT('',(-0.015,-0.0599999999999999,-0.045)); +#6363=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6364=CARTESIAN_POINT('',(0.015,-0.0599999999999999,-0.045)); +#6365=CARTESIAN_POINT('',(0.015,-0.06,0.05)); +#6366=CARTESIAN_POINT('',(0.015,-0.06,-0.05)); +#6367=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6368=CARTESIAN_POINT('',(0.085,-0.06,-0.05)); +#6369=CARTESIAN_POINT('',(0.085,-0.06,0.05)); +#6370=CARTESIAN_POINT('',(0.085,-0.0599999999999999,-0.045)); +#6371=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6372=CARTESIAN_POINT('',(0.115,-0.0599999999999999,-0.045)); +#6373=CARTESIAN_POINT('',(0.115,-0.06,0.05)); +#6374=CARTESIAN_POINT('',(0.115,-0.06,-0.05)); +#6375=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6376=CARTESIAN_POINT('',(0.185,-0.06,-0.05)); +#6377=CARTESIAN_POINT('',(0.185,-0.06,0.05)); +#6378=CARTESIAN_POINT('',(0.185,-0.0599999999999999,-0.045)); +#6379=CARTESIAN_POINT('',(-0.3,-0.06,-0.045)); +#6380=CARTESIAN_POINT('',(0.215,-0.0599999999999999,-0.045)); +#6381=CARTESIAN_POINT('',(0.215,-0.06,0.0500000000000002)); +#6382=CARTESIAN_POINT('',(0.215,-0.06,-0.05)); +#6383=CARTESIAN_POINT('',(-0.3,-0.06,-0.05)); +#6384=CARTESIAN_POINT('',(0.3,-0.06,-0.05)); +#6385=CARTESIAN_POINT('',(0.3,-0.06,0.05)); +#6386=CARTESIAN_POINT('',(0.3,-0.06,0.05)); +#6387=CARTESIAN_POINT('',(-0.3,-0.06,0.05)); +#6388=CARTESIAN_POINT('',(0.185,0.048,0.05)); +#6389=CARTESIAN_POINT('',(0.185,0.048,0.05)); +#6390=CARTESIAN_POINT('',(0.185,0.048,0.045)); +#6391=CARTESIAN_POINT('',(0.185,0.048,-0.045)); +#6392=CARTESIAN_POINT('',(0.185,0.048,0.045)); +#6393=CARTESIAN_POINT('',(0.215,0.048,0.045)); +#6394=CARTESIAN_POINT('',(0.215,0.048,0.05)); +#6395=CARTESIAN_POINT('',(0.215,0.048,-0.045)); +#6396=CARTESIAN_POINT('',(0.185,0.048,-0.045)); +#6397=CARTESIAN_POINT('',(0.085,0.048,0.05)); +#6398=CARTESIAN_POINT('',(0.085,0.048,0.05)); +#6399=CARTESIAN_POINT('',(0.0849999999999999,0.048,0.045)); +#6400=CARTESIAN_POINT('',(0.085,0.048,-0.045)); +#6401=CARTESIAN_POINT('',(0.085,0.048,0.045)); +#6402=CARTESIAN_POINT('',(0.115,0.048,0.045)); +#6403=CARTESIAN_POINT('',(0.115,0.048,0.05)); +#6404=CARTESIAN_POINT('',(0.115,0.048,-0.045)); +#6405=CARTESIAN_POINT('',(0.085,0.048,-0.045)); +#6406=CARTESIAN_POINT('',(-0.015,0.048,0.05)); +#6407=CARTESIAN_POINT('',(-0.015,0.048,0.05)); +#6408=CARTESIAN_POINT('',(-0.0149999999999998,0.048,0.045)); +#6409=CARTESIAN_POINT('',(-0.015,0.048,-0.045)); +#6410=CARTESIAN_POINT('',(-0.015,0.048,0.045)); +#6411=CARTESIAN_POINT('',(0.0150000000000002,0.048,0.045)); +#6412=CARTESIAN_POINT('',(0.015,0.048,0.05)); +#6413=CARTESIAN_POINT('',(0.015,0.048,-0.045)); +#6414=CARTESIAN_POINT('',(-0.015,0.048,-0.045)); +#6415=CARTESIAN_POINT('',(-0.115,0.048,0.05)); +#6416=CARTESIAN_POINT('',(-0.115,0.048,0.05)); +#6417=CARTESIAN_POINT('',(-0.115,0.048,0.045)); +#6418=CARTESIAN_POINT('',(-0.115,0.048,-0.045)); +#6419=CARTESIAN_POINT('',(-0.115,0.048,0.045)); +#6420=CARTESIAN_POINT('',(-0.085,0.048,0.045)); +#6421=CARTESIAN_POINT('',(-0.085,0.048,0.05)); +#6422=CARTESIAN_POINT('',(-0.085,0.048,-0.045)); +#6423=CARTESIAN_POINT('',(-0.115,0.048,-0.045)); +#6424=CARTESIAN_POINT('',(-0.215,0.048,0.05)); +#6425=CARTESIAN_POINT('',(-0.215,0.048,0.05)); +#6426=CARTESIAN_POINT('',(-0.215,0.048,0.045)); +#6427=CARTESIAN_POINT('',(-0.215,0.048,-0.045)); +#6428=CARTESIAN_POINT('',(-0.215,0.048,0.045)); +#6429=CARTESIAN_POINT('',(-0.185,0.048,0.045)); +#6430=CARTESIAN_POINT('',(-0.185,0.048,0.05)); +#6431=CARTESIAN_POINT('',(-0.185,0.048,-0.045)); +#6432=CARTESIAN_POINT('',(-0.215,0.048,-0.045)); +#6433=CARTESIAN_POINT('',(0.,0.,0.05)); +#6434=CARTESIAN_POINT('',(-0.23775,0.02225,0.05)); +#6435=CARTESIAN_POINT('',(-0.23775,0.02225,0.05)); +#6436=CARTESIAN_POINT('',(-0.26225,0.02225,0.05)); +#6437=CARTESIAN_POINT('',(-0.23775,-0.00225,0.05)); +#6438=CARTESIAN_POINT('',(-0.23775,-0.00225,0.05)); +#6439=CARTESIAN_POINT('',(-0.26225,-0.00225,0.05)); +#6440=CARTESIAN_POINT('',(-0.26225,-0.00225,0.05)); +#6441=CARTESIAN_POINT('',(-0.26225,0.02225,0.05)); +#6442=CARTESIAN_POINT('',(-0.215,0.148,0.05)); +#6443=CARTESIAN_POINT('',(-0.215,0.06,0.05)); +#6444=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6445=CARTESIAN_POINT('',(-0.3,0.06,0.05)); +#6446=CARTESIAN_POINT('',(-0.3,0.06,0.05)); +#6447=CARTESIAN_POINT('',(0.,0.,0.05)); +#6448=CARTESIAN_POINT('',(0.26225,0.02225,0.05)); +#6449=CARTESIAN_POINT('',(0.26225,0.02225,0.05)); +#6450=CARTESIAN_POINT('',(0.23775,0.02225,0.05)); +#6451=CARTESIAN_POINT('',(0.26225,-0.00225,0.05)); +#6452=CARTESIAN_POINT('',(0.26225,-0.00225,0.05)); +#6453=CARTESIAN_POINT('',(0.23775,-0.00225,0.05)); +#6454=CARTESIAN_POINT('',(0.23775,-0.00225,0.05)); +#6455=CARTESIAN_POINT('',(0.23775,0.02225,0.05)); +#6456=CARTESIAN_POINT('',(0.215,0.048,0.05)); +#6457=CARTESIAN_POINT('',(0.215,0.06,0.05)); +#6458=CARTESIAN_POINT('',(0.3,-0.06,0.05)); +#6459=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6460=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6461=CARTESIAN_POINT('',(0.,0.,0.05)); +#6462=CARTESIAN_POINT('',(0.16225,0.02225,0.05)); +#6463=CARTESIAN_POINT('',(0.16225,0.02225,0.05)); +#6464=CARTESIAN_POINT('',(0.13775,0.02225,0.05)); +#6465=CARTESIAN_POINT('',(0.16225,-0.00225,0.05)); +#6466=CARTESIAN_POINT('',(0.16225,-0.00225,0.05)); +#6467=CARTESIAN_POINT('',(0.13775,-0.00225,0.05)); +#6468=CARTESIAN_POINT('',(0.13775,-0.00225,0.05)); +#6469=CARTESIAN_POINT('',(0.13775,0.02225,0.05)); +#6470=CARTESIAN_POINT('',(0.185,0.1,0.05)); +#6471=CARTESIAN_POINT('',(0.185,0.06,0.05)); +#6472=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6473=CARTESIAN_POINT('',(0.115,0.06,0.05)); +#6474=CARTESIAN_POINT('',(0.115,0.048,0.05)); +#6475=CARTESIAN_POINT('',(0.,0.,0.05)); +#6476=CARTESIAN_POINT('',(0.06225,0.02225,0.05)); +#6477=CARTESIAN_POINT('',(0.06225,0.02225,0.05)); +#6478=CARTESIAN_POINT('',(0.03775,0.02225,0.05)); +#6479=CARTESIAN_POINT('',(0.06225,-0.00225,0.05)); +#6480=CARTESIAN_POINT('',(0.06225,-0.00225,0.05)); +#6481=CARTESIAN_POINT('',(0.03775,-0.00225,0.05)); +#6482=CARTESIAN_POINT('',(0.03775,-0.00225,0.05)); +#6483=CARTESIAN_POINT('',(0.03775,0.02225,0.05)); +#6484=CARTESIAN_POINT('',(0.085,0.1,0.05)); +#6485=CARTESIAN_POINT('',(0.085,0.06,0.05)); +#6486=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6487=CARTESIAN_POINT('',(0.015,0.06,0.05)); +#6488=CARTESIAN_POINT('',(0.015,0.048,0.05)); +#6489=CARTESIAN_POINT('',(0.,0.,0.05)); +#6490=CARTESIAN_POINT('',(-0.03775,0.02225,0.05)); +#6491=CARTESIAN_POINT('',(-0.03775,0.02225,0.05)); +#6492=CARTESIAN_POINT('',(-0.06225,0.02225,0.05)); +#6493=CARTESIAN_POINT('',(-0.03775,-0.00225,0.05)); +#6494=CARTESIAN_POINT('',(-0.03775,-0.00225,0.05)); +#6495=CARTESIAN_POINT('',(-0.06225,-0.00225,0.05)); +#6496=CARTESIAN_POINT('',(-0.06225,-0.00225,0.05)); +#6497=CARTESIAN_POINT('',(-0.06225,0.02225,0.05)); +#6498=CARTESIAN_POINT('',(-0.015,0.1,0.05)); +#6499=CARTESIAN_POINT('',(-0.015,0.06,0.05)); +#6500=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6501=CARTESIAN_POINT('',(-0.085,0.06,0.05)); +#6502=CARTESIAN_POINT('',(-0.085,0.048,0.05)); +#6503=CARTESIAN_POINT('',(0.,0.,0.05)); +#6504=CARTESIAN_POINT('',(-0.13775,0.02225,0.05)); +#6505=CARTESIAN_POINT('',(-0.13775,0.02225,0.05)); +#6506=CARTESIAN_POINT('',(-0.16225,0.02225,0.05)); +#6507=CARTESIAN_POINT('',(-0.13775,-0.00225,0.05)); +#6508=CARTESIAN_POINT('',(-0.13775,-0.00225,0.05)); +#6509=CARTESIAN_POINT('',(-0.16225,-0.00225,0.05)); +#6510=CARTESIAN_POINT('',(-0.16225,-0.00225,0.05)); +#6511=CARTESIAN_POINT('',(-0.16225,0.02225,0.05)); +#6512=CARTESIAN_POINT('',(-0.115,0.1,0.05)); +#6513=CARTESIAN_POINT('',(-0.115,0.06,0.05)); +#6514=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6515=CARTESIAN_POINT('',(-0.185,0.06,0.05)); +#6516=CARTESIAN_POINT('',(-0.185,0.048,0.05)); +#6517=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6518=CARTESIAN_POINT('',(-0.23775,0.02225,-0.05)); +#6519=CARTESIAN_POINT('',(-0.23775,0.02225,-0.05)); +#6520=CARTESIAN_POINT('',(-0.26225,0.02225,-0.05)); +#6521=CARTESIAN_POINT('',(-0.26225,0.02225,-0.05)); +#6522=CARTESIAN_POINT('',(-0.26225,-0.00225,-0.05)); +#6523=CARTESIAN_POINT('',(-0.26225,-0.00225,-0.05)); +#6524=CARTESIAN_POINT('',(-0.23775,-0.00225,-0.05)); +#6525=CARTESIAN_POINT('',(-0.23775,-0.00225,-0.05)); +#6526=CARTESIAN_POINT('',(-0.215,7.3418414829727E-17,-0.05)); +#6527=CARTESIAN_POINT('',(-0.215,0.06,-0.05)); +#6528=CARTESIAN_POINT('',(-0.3,0.06,-0.05)); +#6529=CARTESIAN_POINT('',(-0.3,0.06,-0.05)); +#6530=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6531=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6532=CARTESIAN_POINT('',(-0.13775,0.02225,-0.05)); +#6533=CARTESIAN_POINT('',(-0.13775,0.02225,-0.05)); +#6534=CARTESIAN_POINT('',(-0.16225,0.02225,-0.05)); +#6535=CARTESIAN_POINT('',(-0.16225,0.02225,-0.05)); +#6536=CARTESIAN_POINT('',(-0.16225,-0.00225,-0.05)); +#6537=CARTESIAN_POINT('',(-0.16225,-0.00225,-0.05)); +#6538=CARTESIAN_POINT('',(-0.13775,-0.00225,-0.05)); +#6539=CARTESIAN_POINT('',(-0.13775,-0.00225,-0.05)); +#6540=CARTESIAN_POINT('',(-0.185,0.048,-0.05)); +#6541=CARTESIAN_POINT('',(-0.185,0.06,-0.05)); +#6542=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6543=CARTESIAN_POINT('',(-0.115,0.06,-0.05)); +#6544=CARTESIAN_POINT('',(-0.115,0.,-0.05)); +#6545=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6546=CARTESIAN_POINT('',(-0.03775,0.02225,-0.05)); +#6547=CARTESIAN_POINT('',(-0.03775,0.02225,-0.05)); +#6548=CARTESIAN_POINT('',(-0.06225,0.02225,-0.05)); +#6549=CARTESIAN_POINT('',(-0.06225,0.02225,-0.05)); +#6550=CARTESIAN_POINT('',(-0.06225,-0.00225,-0.05)); +#6551=CARTESIAN_POINT('',(-0.06225,-0.00225,-0.05)); +#6552=CARTESIAN_POINT('',(-0.03775,-0.00225,-0.05)); +#6553=CARTESIAN_POINT('',(-0.03775,-0.00225,-0.05)); +#6554=CARTESIAN_POINT('',(-0.085,0.048,-0.05)); +#6555=CARTESIAN_POINT('',(-0.0849999999999998,0.06,-0.05)); +#6556=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6557=CARTESIAN_POINT('',(-0.015,0.06,-0.05)); +#6558=CARTESIAN_POINT('',(-0.015,0.,-0.05)); +#6559=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6560=CARTESIAN_POINT('',(0.06225,0.02225,-0.05)); +#6561=CARTESIAN_POINT('',(0.06225,0.02225,-0.05)); +#6562=CARTESIAN_POINT('',(0.03775,0.02225,-0.05)); +#6563=CARTESIAN_POINT('',(0.03775,0.02225,-0.05)); +#6564=CARTESIAN_POINT('',(0.03775,-0.00225,-0.05)); +#6565=CARTESIAN_POINT('',(0.03775,-0.00225,-0.05)); +#6566=CARTESIAN_POINT('',(0.06225,-0.00225,-0.05)); +#6567=CARTESIAN_POINT('',(0.06225,-0.00225,-0.05)); +#6568=CARTESIAN_POINT('',(0.015,0.048,-0.05)); +#6569=CARTESIAN_POINT('',(0.0150000000000001,0.06,-0.05)); +#6570=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6571=CARTESIAN_POINT('',(0.085,0.06,-0.05)); +#6572=CARTESIAN_POINT('',(0.085,0.,-0.05)); +#6573=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6574=CARTESIAN_POINT('',(0.16225,0.02225,-0.05)); +#6575=CARTESIAN_POINT('',(0.16225,0.02225,-0.05)); +#6576=CARTESIAN_POINT('',(0.13775,0.02225,-0.05)); +#6577=CARTESIAN_POINT('',(0.13775,0.02225,-0.05)); +#6578=CARTESIAN_POINT('',(0.13775,-0.00225,-0.05)); +#6579=CARTESIAN_POINT('',(0.13775,-0.00225,-0.05)); +#6580=CARTESIAN_POINT('',(0.16225,-0.00225,-0.05)); +#6581=CARTESIAN_POINT('',(0.16225,-0.00225,-0.05)); +#6582=CARTESIAN_POINT('',(0.115,0.048,-0.05)); +#6583=CARTESIAN_POINT('',(0.115,0.06,-0.05)); +#6584=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6585=CARTESIAN_POINT('',(0.185,0.06,-0.05)); +#6586=CARTESIAN_POINT('',(0.185,0.,-0.05)); +#6587=CARTESIAN_POINT('',(0.,0.,-0.05)); +#6588=CARTESIAN_POINT('',(0.26225,0.02225,-0.05)); +#6589=CARTESIAN_POINT('',(0.26225,0.02225,-0.05)); +#6590=CARTESIAN_POINT('',(0.23775,0.02225,-0.05)); +#6591=CARTESIAN_POINT('',(0.23775,0.02225,-0.05)); +#6592=CARTESIAN_POINT('',(0.23775,-0.00225,-0.05)); +#6593=CARTESIAN_POINT('',(0.23775,-0.00225,-0.05)); +#6594=CARTESIAN_POINT('',(0.26225,-0.00225,-0.05)); +#6595=CARTESIAN_POINT('',(0.26225,-0.00225,-0.05)); +#6596=CARTESIAN_POINT('',(0.215,0.048,-0.05)); +#6597=CARTESIAN_POINT('',(0.215,0.06,-0.05)); +#6598=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6599=CARTESIAN_POINT('',(0.3,0.06,-0.05)); +#6600=CARTESIAN_POINT('',(0.3,-0.06,-0.05)); +#6601=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6602=CARTESIAN_POINT('',(-0.215,0.06,0.05)); +#6603=CARTESIAN_POINT('',(-0.3,0.06,0.05)); +#6604=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6605=CARTESIAN_POINT('',(-0.185,0.06,0.05)); +#6606=CARTESIAN_POINT('',(-0.115,0.06,0.05)); +#6607=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6608=CARTESIAN_POINT('',(-0.085,0.06,0.05)); +#6609=CARTESIAN_POINT('',(-0.0149999999999999,0.06,0.05)); +#6610=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6611=CARTESIAN_POINT('',(0.015,0.06,0.05)); +#6612=CARTESIAN_POINT('',(0.085,0.06,0.05)); +#6613=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6614=CARTESIAN_POINT('',(0.115,0.06,0.05)); +#6615=CARTESIAN_POINT('',(0.185,0.06,0.05)); +#6616=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6617=CARTESIAN_POINT('',(0.215,0.06,0.05)); +#6618=CARTESIAN_POINT('',(0.3,0.06,0.05)); +#6619=CARTESIAN_POINT('',(-0.3,0.06,0.05)); +#6620=CARTESIAN_POINT('',(0.26225,0.02225,0.05)); +#6621=CARTESIAN_POINT('',(0.26225,0.02225,0.05)); +#6622=CARTESIAN_POINT('',(0.23775,0.02225,0.05)); +#6623=CARTESIAN_POINT('',(0.26225,-0.00225,0.05)); +#6624=CARTESIAN_POINT('',(0.26225,-0.00225,0.05)); +#6625=CARTESIAN_POINT('',(0.23775,-0.00225,0.05)); +#6626=CARTESIAN_POINT('',(0.23775,-0.00225,0.05)); +#6627=CARTESIAN_POINT('',(0.16225,0.02225,0.05)); +#6628=CARTESIAN_POINT('',(0.16225,0.02225,0.05)); +#6629=CARTESIAN_POINT('',(0.13775,0.02225,0.05)); +#6630=CARTESIAN_POINT('',(0.16225,-0.00225,0.05)); +#6631=CARTESIAN_POINT('',(0.16225,-0.00225,0.05)); +#6632=CARTESIAN_POINT('',(0.13775,-0.00225,0.05)); +#6633=CARTESIAN_POINT('',(0.13775,-0.00225,0.05)); +#6634=CARTESIAN_POINT('',(0.06225,0.02225,0.05)); +#6635=CARTESIAN_POINT('',(0.06225,0.02225,0.05)); +#6636=CARTESIAN_POINT('',(0.03775,0.02225,0.05)); +#6637=CARTESIAN_POINT('',(0.06225,-0.00225,0.05)); +#6638=CARTESIAN_POINT('',(0.06225,-0.00225,0.05)); +#6639=CARTESIAN_POINT('',(0.03775,-0.00225,0.05)); +#6640=CARTESIAN_POINT('',(0.03775,-0.00225,0.05)); +#6641=CARTESIAN_POINT('',(-0.03775,0.02225,0.05)); +#6642=CARTESIAN_POINT('',(-0.03775,0.02225,0.05)); +#6643=CARTESIAN_POINT('',(-0.06225,0.02225,0.05)); +#6644=CARTESIAN_POINT('',(-0.03775,-0.00225,0.05)); +#6645=CARTESIAN_POINT('',(-0.03775,-0.00225,0.05)); +#6646=CARTESIAN_POINT('',(-0.06225,-0.00225,0.05)); +#6647=CARTESIAN_POINT('',(-0.06225,-0.00225,0.05)); +#6648=CARTESIAN_POINT('',(-0.13775,0.02225,0.05)); +#6649=CARTESIAN_POINT('',(-0.13775,0.02225,0.05)); +#6650=CARTESIAN_POINT('',(-0.16225,0.02225,0.05)); +#6651=CARTESIAN_POINT('',(-0.13775,-0.00225,0.05)); +#6652=CARTESIAN_POINT('',(-0.13775,-0.00225,0.05)); +#6653=CARTESIAN_POINT('',(-0.16225,-0.00225,0.05)); +#6654=CARTESIAN_POINT('',(-0.16225,-0.00225,0.05)); +#6655=CARTESIAN_POINT('',(-0.23775,0.02225,0.05)); +#6656=CARTESIAN_POINT('',(-0.23775,0.02225,0.05)); +#6657=CARTESIAN_POINT('',(-0.26225,0.02225,0.05)); +#6658=CARTESIAN_POINT('',(-0.23775,-0.00225,0.05)); +#6659=CARTESIAN_POINT('',(-0.23775,-0.00225,0.05)); +#6660=CARTESIAN_POINT('',(-0.26225,-0.00225,0.05)); +#6661=CARTESIAN_POINT('',(-0.26225,-0.00225,0.05)); +#6662=CARTESIAN_POINT('',(0.23775,0.02225,0.05)); +#6663=CARTESIAN_POINT('',(0.13775,0.02225,0.05)); +#6664=CARTESIAN_POINT('',(0.03775,0.02225,0.05)); +#6665=CARTESIAN_POINT('',(-0.06225,0.02225,0.05)); +#6666=CARTESIAN_POINT('',(-0.16225,0.02225,0.05)); +#6667=CARTESIAN_POINT('',(-0.26225,0.02225,0.05)); +#6668=CARTESIAN_POINT('',(0.3,-0.06,0.05)); +#6669=CARTESIAN_POINT('',(0.215,0.048,0.05)); +#6670=CARTESIAN_POINT('',(0.215,0.12,-0.045)); +#6671=CARTESIAN_POINT('',(0.215,0.12,0.045)); +#6672=CARTESIAN_POINT('',(0.185,0.1,0.05)); +#6673=CARTESIAN_POINT('',(0.185,0.12,0.045)); +#6674=CARTESIAN_POINT('',(0.185,0.12,-0.045)); +#6675=CARTESIAN_POINT('',(0.115,0.048,0.05)); +#6676=CARTESIAN_POINT('',(0.115,0.12,-0.045)); +#6677=CARTESIAN_POINT('',(0.115,0.12,0.045)); +#6678=CARTESIAN_POINT('',(0.085,0.1,0.05)); +#6679=CARTESIAN_POINT('',(0.0849999999999999,0.12,0.045)); +#6680=CARTESIAN_POINT('',(0.085,0.12,-0.045)); +#6681=CARTESIAN_POINT('',(0.015,0.048,0.05)); +#6682=CARTESIAN_POINT('',(0.015,0.12,-0.045)); +#6683=CARTESIAN_POINT('',(0.0150000000000002,0.12,0.045)); +#6684=CARTESIAN_POINT('',(-0.015,0.1,0.05)); +#6685=CARTESIAN_POINT('',(-0.0149999999999998,0.12,0.045)); +#6686=CARTESIAN_POINT('',(-0.015,0.12,-0.045)); +#6687=CARTESIAN_POINT('',(-0.085,0.048,0.05)); +#6688=CARTESIAN_POINT('',(-0.085,0.12,-0.045)); +#6689=CARTESIAN_POINT('',(-0.085,0.12,0.045)); +#6690=CARTESIAN_POINT('',(-0.115,0.1,0.05)); +#6691=CARTESIAN_POINT('',(-0.115,0.12,0.045)); +#6692=CARTESIAN_POINT('',(-0.115,0.12,-0.045)); +#6693=CARTESIAN_POINT('',(-0.215,0.148,0.05)); +#6694=CARTESIAN_POINT('',(-0.215,0.12,0.045)); +#6695=CARTESIAN_POINT('',(-0.215,0.12,-0.045)); +#6696=CARTESIAN_POINT('',(-0.185,0.048,0.05)); +#6697=CARTESIAN_POINT('',(-0.185,0.12,-0.045)); +#6698=CARTESIAN_POINT('',(-0.185,0.12,0.045)); +#6699=CARTESIAN_POINT('',(-0.215,0.12,-0.045)); +#6700=CARTESIAN_POINT('',(-0.115,0.12,-0.045)); +#6701=CARTESIAN_POINT('',(-0.015,0.12,-0.045)); +#6702=CARTESIAN_POINT('',(0.085,0.12,-0.045)); +#6703=CARTESIAN_POINT('',(0.185,0.12,-0.045)); +#6704=CARTESIAN_POINT('',(-0.185,0.12,0.045)); +#6705=CARTESIAN_POINT('',(-0.085,0.12,0.045)); +#6706=CARTESIAN_POINT('',(0.0150000000000002,0.12,0.045)); +#6707=CARTESIAN_POINT('',(0.115,0.12,0.045)); +#6708=CARTESIAN_POINT('',(0.215,0.12,0.045)); +#6709=CARTESIAN_POINT('',(-0.2,-0.115,0.)); +#6710=CARTESIAN_POINT('',(-0.2,-0.09,0.)); +#6711=CARTESIAN_POINT('',(-0.2,-0.09,-0.031)); +#6712=CARTESIAN_POINT('',(-0.2,-0.09,0.)); +#6713=CARTESIAN_POINT('',(-0.2,-0.115,0.)); +#6714=CARTESIAN_POINT('',(-0.2,-0.115,-0.0235)); +#6715=CARTESIAN_POINT('',(-0.1765,-0.115,0.)); +#6716=CARTESIAN_POINT('',(0.2,-0.115,0.)); +#6717=CARTESIAN_POINT('',(0.2,-0.09,0.)); +#6718=CARTESIAN_POINT('',(0.2,-0.09,-0.031)); +#6719=CARTESIAN_POINT('',(0.2,-0.09,0.)); +#6720=CARTESIAN_POINT('',(0.2,-0.115,0.)); +#6721=CARTESIAN_POINT('',(0.2,-0.115,-0.0235)); +#6722=CARTESIAN_POINT('',(0.2235,-0.115,0.)); +#6723=CARTESIAN_POINT('',(0.,0.06,7.34788079488412E-18)); +#6724=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#4171, +#4172),#6725); +#6725=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6728)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#6733,#6732,#6731)) +REPRESENTATION_CONTEXT('TSM-106-01-T-SH-A','TOP_LEVEL_ASSEMBLY_PART') +); +#6726=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6729)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#6733,#6732,#6731)) +REPRESENTATION_CONTEXT('T-1S6-08(-01-6-SH)','COMPONENT_PART') +); +#6727=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#6730)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#6733,#6732,#6731)) +REPRESENTATION_CONTEXT('TSM-106-01-SH-A_body','COMPONENT_PART') +); +#6728=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#6733,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#6729=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#6733,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#6730=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#6733,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#6731=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#6732=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#6733=( +CONVERSION_BASED_UNIT('INCH',#6735) +LENGTH_UNIT() +NAMED_UNIT(#6734) +); +#6734=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.); +#6735=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#6736); +#6736=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#6737=PRODUCT_DEFINITION_SHAPE('','',#6742); +#6738=PRODUCT_DEFINITION_SHAPE('','',#6743); +#6739=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#23); +#6740=PRODUCT_DEFINITION_SHAPE('','',#6744); +#6741=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#24); +#6742=PRODUCT_DEFINITION('','',#6748,#6745); +#6743=PRODUCT_DEFINITION('','',#6749,#6746); +#6744=PRODUCT_DEFINITION('','',#6750,#6747); +#6745=PRODUCT_DEFINITION_CONTEXT('',#6764,'design'); +#6746=PRODUCT_DEFINITION_CONTEXT('',#6764,'design'); +#6747=PRODUCT_DEFINITION_CONTEXT('',#6764,'design'); +#6748=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#6754, + .NOT_KNOWN.); +#6749=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#6755, + .NOT_KNOWN.); +#6750=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#6756, + .NOT_KNOWN.); +#6751=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#6754)); +#6752=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#6755)); +#6753=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#6756)); +#6754=PRODUCT('TSM-106-01-T-SH-A','TSM-106-01-T-SH-A', +'TSM-106-01-T-SH-A',(#6760)); +#6755=PRODUCT('T-1S6-08(-01-6-SH)','T-1S6-08(-01-6-SH)', +'T-1S6-08(-01-6-SH)',(#6761)); +#6756=PRODUCT('TSM-106-01-SH-A_body','TSM-106-01-SH-A_body', +'TSM-106-01-SH-A_body',(#6762)); +#6757=PRODUCT_CATEGORY('',''); +#6758=PRODUCT_CATEGORY('',''); +#6759=PRODUCT_CATEGORY('',''); +#6760=PRODUCT_CONTEXT('',#6764,'mechanical'); +#6761=PRODUCT_CONTEXT('',#6764,'mechanical'); +#6762=PRODUCT_CONTEXT('',#6764,'mechanical'); +#6763=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2010,#6764); +#6764=APPLICATION_CONTEXT( +'core data for automotive mechanical design processes'); +ENDSEC; +END-ISO-10303-21; diff --git a/nubus-to-ztex/fan.sch b/nubus-to-ztex/fan.sch index 745c99a..d3466ad 100644 --- a/nubus-to-ztex/fan.sch +++ b/nubus-to-ztex/fan.sch @@ -27,6 +27,7 @@ F 6 "https://www.mouser.fr/ProductDetail/Molex/22-27-2031?qs=%2Fha2pyFadugXOaGYK F 7 "640456-3" H 4400 4750 50 0001 C CNN "MPN" F 8 "TE Connectivity" H 4400 4750 50 0001 C CNN "Manufacturer" F 9 "https://www.lcsc.com/product-detail/Wire-To-Board-Wire-To-Wire-Connector_TE-Connectivity-640456-3_C86503.html" H 4400 4750 50 0001 C CNN "URL" +F 10 "DNP" H 4400 4750 50 0000 C CNN "DNP" 1 4400 4750 1 0 0 -1 $EndComp @@ -78,6 +79,7 @@ F 4 "C2012X5R1A476MTJ00E" H 5150 4800 50 0001 C CNN "MPN-ALT" F 5 "https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html" H 5150 4800 50 0001 C CNN "URL-ALT" F 6 "GRM21BR61A476ME15K" H 5150 4800 50 0001 C CNN "MPN" F 7 "https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Murata-Electronics-GRM21BR61A476ME15K_C2292827.html" H 5150 4800 50 0001 C CNN "URL" +F 8 "DNP" H 5150 4800 50 0000 C CNN "DNP" 1 5150 4800 1 0 0 -1 $EndComp diff --git a/nubus-to-ztex/hdmi.sch b/nubus-to-ztex/hdmi.sch index bc831cf..bd9ff53 100644 --- a/nubus-to-ztex/hdmi.sch +++ b/nubus-to-ztex/hdmi.sch @@ -360,72 +360,68 @@ Text HLabel 7300 1450 2 50 Input ~ 0 HDMI_5V Text HLabel 4000 1900 2 50 Input ~ 0 HDMI_5V -Text GLabel 6400 4500 0 50 Input ~ 0 +Text GLabel 8200 4500 0 50 Input ~ 0 SHIELD $Comp -L power:GND #PWR? -U 1 1 63467FDF -P 6400 4800 -AR Path="/6193AB43/63467FDF" Ref="#PWR?" Part="1" -AR Path="/61B62C00/63467FDF" Ref="#PWR0132" Part="1" -F 0 "#PWR0132" H 6400 4550 50 0001 C CNN -F 1 "GND" H 6405 4627 50 0000 C CNN -F 2 "" H 6400 4800 50 0001 C CNN -F 3 "" H 6400 4800 50 0001 C CNN - 1 6400 4800 - 1 0 0 -1 -$EndComp -Wire Wire Line - 6650 4800 6400 4800 -Wire Wire Line - 6650 4500 6400 4500 -$Comp -L Device:C C? -U 1 1 63467FEB -P 6650 4650 -AR Path="/6193AB43/63467FEB" Ref="C?" Part="1" -AR Path="/61B62C00/63467FEB" Ref="C39" Part="1" -F 0 "C39" H 6765 4696 50 0000 L CNN -F 1 "1uF 250V Radial 6.3x2.5" H 6765 4605 50 0000 L CNN -F 2 "Capacitor_THT:CP_Radial_D6.3mm_P2.50mm" H 6688 4500 50 0001 C CNN -F 3 "~" H 6650 4650 50 0001 C CNN -F 4 "860021373002" H 6650 4650 50 0001 C CNN "MPN-ALT" -F 5 "https://www2.mouser.com/ProductDetail/Wurth-Elektronik/860021373002?qs=0KOYDY2FL28tNXbPyU6hsg%3D%3D" H 6650 4650 50 0001 C CNN "URL-ALT" -F 6 "KM010M400E110A" H 6650 4650 50 0001 C CNN "MPN" -F 7 "https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html" H 6650 4650 50 0001 C CNN "URL" - 1 6650 4650 - 1 0 0 -1 -$EndComp -Connection ~ 6400 4800 -$Comp -L Device:R R? -U 1 1 63467FF7 -P 6400 4650 -AR Path="/6193AB43/63467FF7" Ref="R?" Part="1" -AR Path="/61B62C00/63467FF7" Ref="R33" Part="1" -F 0 "R33" H 6470 4696 50 0000 L CNN -F 1 "1M 1210" H 6470 4605 50 0000 L CNN -F 2 "Resistor_SMD:R_1210_3225Metric" V 6330 4650 50 0001 C CNN -F 3 "~" H 6400 4650 50 0001 C CNN -F 4 "RC1210FR-071ML" H 6400 4650 50 0001 C CNN "MPN-ALT" -F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_YAGEO-RC1210FR-071ML_C470029.html" H 6400 4650 50 0001 C CNN "URL-ALT" -F 6 "1210W2F1004T5E" H 6400 4650 50 0001 C CNN "MPN" -F 7 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html" H 6400 4650 50 0001 C CNN "URL" - 1 6400 4650 - 1 0 0 -1 -$EndComp -$Comp L Mechanical:MountingHole_Pad H? U 1 1 63467FFE -P 6650 4400 +P 8500 4400 AR Path="/6193AB43/63467FFE" Ref="H?" Part="1" AR Path="/61B62C00/63467FFE" Ref="H1" Part="1" -F 0 "H1" H 6750 4451 50 0000 L CNN -F 1 "MountingHole_Pad" H 6750 4360 50 0000 L CNN -F 2 "MountingHole:MountingHole_2.2mm_M2_Pad" H 6650 4400 50 0001 C CNN -F 3 "~" H 6650 4400 50 0001 C CNN - 1 6650 4400 +F 0 "H1" H 8600 4451 50 0000 L CNN +F 1 "MountingHole_Pad" H 8600 4360 50 0000 L CNN +F 2 "MountingHole:MountingHole_2.2mm_M2_Pad" H 8500 4400 50 0001 C CNN +F 3 "~" H 8500 4400 50 0001 C CNN + 1 8500 4400 1 0 0 -1 $EndComp -Connection ~ 6650 4500 +$Comp +L power:GND #PWR? +U 1 1 641A2752 +P 8500 4800 +AR Path="/61B62C00/641A0A1A/641A2752" Ref="#PWR?" Part="1" +AR Path="/61B62C00/641A2752" Ref="#PWR0132" Part="1" +F 0 "#PWR0132" H 8500 4550 50 0001 C CNN +F 1 "GND" H 8505 4627 50 0000 C CNN +F 2 "" H 8500 4800 50 0001 C CNN +F 3 "" H 8500 4800 50 0001 C CNN + 1 8500 4800 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C? +U 1 1 641A275A +P 8200 4650 +AR Path="/61B62C00/641A0A1A/641A275A" Ref="C?" Part="1" +AR Path="/61B62C00/641A275A" Ref="C7" Part="1" +F 0 "C7" H 8315 4696 50 0000 L CNN +F 1 "10nF 1kV 0805" H 8315 4605 50 0000 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric" H 8238 4500 50 0001 C CNN +F 3 "~" H 8200 4650 50 0001 C CNN +F 4 "C0805V103KCRACTU" H 8200 4650 50 0001 C CNN "MPN" +F 5 "https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_KEMET-C0805V103KCRACTU_C2170011.html" H 8200 4650 50 0001 C CNN "URL" + 1 8200 4650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8500 4800 8200 4800 +$Comp +L Device:R R? +U 1 1 641A2763 +P 8500 4650 +AR Path="/61B62C00/641A0A1A/641A2763" Ref="R?" Part="1" +AR Path="/61B62C00/641A2763" Ref="R9" Part="1" +F 0 "R9" H 8570 4696 50 0000 L CNN +F 1 "1M 500V 2512" H 8570 4605 50 0000 L CNN +F 2 "Resistor_SMD:R_2512_6332Metric" V 8430 4650 50 0001 C CNN +F 3 "~" H 8500 4650 50 0001 C CNN +F 4 "AS25J1004ET" H 8500 4650 50 0001 C CNN "MPN" +F 5 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Ohmite-AS25J1004ET_C2546765.html" H 8500 4650 50 0001 C CNN "URL" + 1 8500 4650 + 1 0 0 -1 +$EndComp +Connection ~ 8500 4800 +Wire Wire Line + 8200 4500 8500 4500 +Connection ~ 8500 4500 $EndSCHEMATC diff --git a/nubus-to-ztex/nubus-to-ztex-B_Cu.gbr b/nubus-to-ztex/nubus-to-ztex-B_Cu.gbr index 2a21adf..01068c1 100644 --- a/nubus-to-ztex/nubus-to-ztex-B_Cu.gbr +++ b/nubus-to-ztex/nubus-to-ztex-B_Cu.gbr @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L4,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* @@ -33,59 +33,53 @@ G04 #@! TA.AperFunction,ComponentPad* %ADD16C,2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD17R,1.600000X1.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ComponentPad* -%ADD18C,1.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ComponentPad* -%ADD19C,1.550000*% +%ADD17C,1.550000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD20C,0.800000*% +%ADD18C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.500000*% +%ADD19C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.152400*% +%ADD20C,0.152400*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD23C,0.250000*% +%ADD21C,0.250000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,1.200000*% +%ADD22C,1.200000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD25C,1.500000*% +%ADD23C,1.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD26C,1.000000*% +%ADD24C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD27C,0.800000*% +%ADD25C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD28C,1.600000*% +%ADD26C,1.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD29C,0.650000*% +%ADD27C,0.650000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD30C,0.200000*% +%ADD28C,0.200000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD31C,0.300000*% +%ADD29C,0.300000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD32C,0.254000*% +%ADD30C,0.254000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD33C,0.100000*% +%ADD31C,0.100000*% G04 #@! TD* G04 APERTURE END LIST* D10* -X257350000Y-47090000D03* +X269197500Y-89500000D03* D11* X269197500Y-81570000D03* X269197500Y-76570000D03* @@ -192,10 +186,6 @@ X271800000Y-70150000D03* X271800000Y-54450000D03* X266850000Y-69550000D03* X266850000Y-55050000D03* -D17* -X266850000Y-44280000D03* -D18* -X264350000Y-44280000D03* G04 #@! TA.AperFunction,ComponentPad* G36* G01* @@ -222,7 +212,7 @@ X200775000Y-99474998I0J-249998D01* G01* G37* G04 #@! TD.AperFunction* -D19* +D17* X197460000Y-100000000D03* X194920000Y-100000000D03* X192380000Y-100000000D03* @@ -319,14 +309,6 @@ X126340000Y-94920000D03* X123800000Y-94920000D03* X121260000Y-94920000D03* D15* -X121900000Y-20800000D03* -X121900000Y-23340000D03* -X121900000Y-25880000D03* -X121900000Y-28420000D03* -X121900000Y-30960000D03* -D14* -X121900000Y-33500000D03* -D15* X208740000Y-59210000D03* X208740000Y-61750000D03* X206200000Y-59210000D03* @@ -392,7 +374,9 @@ X132540000Y-61750000D03* X130000000Y-59210000D03* D14* X130000000Y-61750000D03* -D20* +D18* +X265875000Y-51000000D03* +X222740000Y-36800000D03* X234000000Y-34000000D03* X174500000Y-71000000D03* X194880000Y-35760000D03* @@ -467,6 +451,12 @@ X147900000Y-8080000D03* X256000000Y-35315000D03* X256000000Y-14000000D03* X234000000Y-14000000D03* +X125722000Y-33528000D03* +X228670000Y-36800000D03* +X143040000Y-68680000D03* +X268560000Y-51000000D03* +X265875000Y-49425000D03* +X265875000Y-44295000D03* X232000000Y-34000000D03* X161750000Y-73687500D03* X176885673Y-21678535D03* @@ -518,6 +508,7 @@ X137965000Y-75700000D03* X132000000Y-76565000D03* X137410000Y-24400000D03* X134880000Y-24400000D03* +X271800000Y-49380000D03* X226000000Y-34000000D03* X228000000Y-34000000D03* X224000000Y-34000000D03* @@ -538,63 +529,63 @@ X185110000Y-70590000D03* X183710000Y-70850000D03* X261760000Y-65782500D03* X255920000Y-63320000D03* -D21* +D19* X158100000Y-96200000D02* X158100000Y-92000000D01* X159360000Y-97460000D02* X158100000Y-96200000D01* -D22* +D20* X152880000Y-42180000D02* X152880000Y-52210000D01* -D21* +D19* X156820000Y-97460000D02* X158080000Y-96200000D01* -D22* +D20* X158080000Y-96200000D02* X158100000Y-96200000D01* X152880000Y-52210000D02* X156670000Y-56000000D01* -D21* +D19* X194920000Y-97460000D02* X196180000Y-96200000D01* -D22* +D20* X196180000Y-96200000D02* X196200000Y-96200000D01* -D23* +D21* X156670000Y-56000000D02* X156670000Y-64670000D01* -D21* +D19* X123800000Y-94920000D02* X123800000Y-93000000D01* -D22* +D20* X156670000Y-64670000D02* X163000000Y-71000000D01* -D21* +D19* X196200000Y-96200000D02* X196200000Y-92490000D01* X197460000Y-97460000D02* X196200000Y-96200000D01* -D22* +D20* X208740000Y-61750000D02* X228230000Y-42260000D01* X232000000Y-38490000D02* X228230000Y-42260000D01* X232000000Y-34000000D02* X232000000Y-38490000D01* -D24* +D22* X189840000Y-97460000D02* X192380000Y-97460000D01* -D25* +D23* X128880000Y-100000000D02* X128880000Y-101096015D01* X128880000Y-101096015D02* X130633985Y-102850000D01* X130633985Y-102850000D02* X132675000Y-102850000D01* -D21* +D19* X184760000Y-97460000D02* X183500000Y-98720000D01* -D24* +D22* X187300000Y-97460000D02* X184760000Y-97460000D01* X192380000Y-94920000D02* @@ -603,38 +594,38 @@ X194920000Y-94920000D02* X192380000Y-94920000D01* X130000000Y-61750000D02* X128998000Y-61750000D01* -D25* +D23* X123050000Y-89750000D02* X124930000Y-91630000D01* X123050000Y-67697600D02* X123050000Y-89750000D01* -D26* +D24* X243540000Y-97970000D02* X253850000Y-87660000D01* X220840000Y-97970000D02* X243540000Y-97970000D01* -D27* +D25* X241617500Y-61872500D02* X205520000Y-97970000D01* X246230000Y-61872500D02* X241617500Y-61872500D01* -D26* +D24* X220840000Y-97970000D02* X205520000Y-97970000D01* -D24* +D22* X126340000Y-94920000D02* X126340000Y-93040000D01* -D25* +D23* X126340000Y-93040000D02* X124930000Y-91630000D01* X127897927Y-62850000D02* X123050000Y-67697600D01* X128998000Y-61750000D02* X127897927Y-62850000D01* -D28* +D26* X130000000Y-61750000D02* X130000000Y-59210000D01* -D26* +D24* X128998000Y-61750000D02* X128998000Y-59252000D01* X129040000Y-59210000D02* @@ -643,19 +634,19 @@ X128998000Y-59252000D02* X129040000Y-59210000D01* X200640000Y-102850000D02* X205520000Y-97970000D01* -D22* +D20* X183500000Y-102800000D02* X183450000Y-102850000D01* -D21* +D19* X183500000Y-98720000D02* X183500000Y-102800000D01* -D26* +D24* X193650000Y-102850000D02* X200640000Y-102850000D01* -D21* +D19* X193650000Y-98730000D02* X192380000Y-97460000D01* -D22* +D20* X131712814Y-88014972D02* X131697842Y-88000000D01* X133421568Y-88014972D02* @@ -668,78 +659,78 @@ X124930000Y-91167842D02* X124930000Y-91630000D01* X128097842Y-88000000D02* X124930000Y-91167842D01* -D25* +D23* X128880000Y-97460000D02* X131420000Y-97460000D01* X128880000Y-97460000D02* X128880000Y-100000000D01* X128880000Y-94920000D02* X128880000Y-97460000D01* -D21* +D19* X193650000Y-102850000D02* X193650000Y-98730000D01* X187300000Y-97460000D02* X186025000Y-98735000D01* -D22* +D20* X186025000Y-102825000D02* X186050000Y-102850000D01* -D21* +D19* X186025000Y-98735000D02* X186025000Y-102825000D01* -D25* +D23* X183450000Y-102850000D02* X186050000Y-102850000D01* -D21* +D19* X189840000Y-97460000D02* X191100000Y-98720000D01* X191100000Y-98720000D02* X191100000Y-102650000D01* -D22* +D20* X191100000Y-102650000D02* X191300000Y-102850000D01* -D25* +D23* X191300000Y-102850000D02* X193650000Y-102850000D01* X186050000Y-102850000D02* X188575000Y-102850000D01* X188575000Y-102850000D02* X191300000Y-102850000D01* -D21* +D19* X132675000Y-98715000D02* X132675000Y-102850000D01* X131420000Y-97460000D02* X132675000Y-98715000D01* -D25* +D23* X183450000Y-102850000D02* X132675000Y-102850000D01* -D24* +D22* X126340000Y-94920000D02* X128880000Y-94920000D01* X127000000Y-93040000D02* X126340000Y-93040000D01* -D22* +D20* X127585000Y-93675000D02* X127635000Y-93675000D01* -D24* +D22* X127635000Y-93675000D02* X127000000Y-93040000D01* X126340000Y-94920000D02* X127585000Y-93675000D01* X128880000Y-94920000D02* X127635000Y-93675000D01* -D25* +D23* X188575000Y-102850000D02* X188575000Y-102850000D01* -D22* +D20* X220840000Y-91330000D02* X220780000Y-91270000D01* -D29* +D27* X220840000Y-97970000D02* X220840000Y-91330000D01* -D30* +D28* X200000000Y-100000000D02* X200000000Y-97460000D01* -D22* +D20* X195845000Y-54800000D02* X187800000Y-54800000D01* X200000000Y-58955000D02* @@ -768,10 +759,10 @@ X185738601Y-76661399D02* X185738601Y-67908601D01* X183600000Y-78800000D02* X185738601Y-76661399D01* -D31* +D29* X121260000Y-97460000D02* X121260000Y-100000000D01* -D22* +D20* X182220000Y-97460000D02* X183223601Y-96456399D01* X187263411Y-79833791D02* @@ -1030,12 +1021,12 @@ X183310001Y-70450001D02* X183310001Y-69410001D01* X183710000Y-70850000D02* X183310001Y-70450001D01* -D23* +D21* X258382500Y-65782500D02* X261760000Y-65782500D01* X255920000Y-63320000D02* X258382500Y-65782500D01* -D32* +D30* X266654985Y-5874284D02* X266304284Y-6224985D01* X266028739Y-6637366D01* @@ -1135,6 +1126,34 @@ X262430723Y-13736612D01* X262465123Y-13740000D01* X272085909Y-13740000D01* X272620001Y-14274092D01* +X272620001Y-48744267D01* +X272603937Y-48720226D01* +X272459774Y-48576063D01* +X272290256Y-48462795D01* +X272101898Y-48384774D01* +X271901939Y-48345000D01* +X271698061Y-48345000D01* +X271498102Y-48384774D01* +X271309744Y-48462795D01* +X271140226Y-48576063D01* +X270996063Y-48720226D01* +X270882795Y-48889744D01* +X270804774Y-49078102D01* +X270765000Y-49278061D01* +X270765000Y-49481939D01* +X270804774Y-49681898D01* +X270882795Y-49870256D01* +X270996063Y-50039774D01* +X271140226Y-50183937D01* +X271309744Y-50297205D01* +X271498102Y-50375226D01* +X271698061Y-50415000D01* +X271901939Y-50415000D01* +X272101898Y-50375226D01* +X272290256Y-50297205D01* +X272459774Y-50183937D01* +X272603937Y-50039774D01* +X272620001Y-50015733D01* X272620001Y-53031509D01* X272574463Y-53001082D01* X272276912Y-52877832D01* @@ -1400,6 +1419,41 @@ X266006691Y-93875581D01* X265815017Y-94338323D01* X265717303Y-94829566D01* X248285565Y-94829566D01* +X253894354Y-89220777D01* +X266362500Y-89220777D01* +X266362500Y-89779223D01* +X266471448Y-90326939D01* +X266685156Y-90842876D01* +X266995412Y-91307207D01* +X267390293Y-91702088D01* +X267854624Y-92012344D01* +X268370561Y-92226052D01* +X268918277Y-92335000D01* +X269476723Y-92335000D01* +X270024439Y-92226052D01* +X270540376Y-92012344D01* +X271004707Y-91702088D01* +X271399588Y-91307207D01* +X271709844Y-90842876D01* +X271923552Y-90326939D01* +X272032500Y-89779223D01* +X272032500Y-89220777D01* +X271923552Y-88673061D01* +X271709844Y-88157124D01* +X271399588Y-87692793D01* +X271004707Y-87297912D01* +X270540376Y-86987656D01* +X270024439Y-86773948D01* +X269476723Y-86665000D01* +X268918277Y-86665000D01* +X268370561Y-86773948D01* +X267854624Y-86987656D01* +X267390293Y-87297912D01* +X266995412Y-87692793D01* +X266685156Y-88157124D01* +X266471448Y-88673061D01* +X266362500Y-89220777D01* +X253894354Y-89220777D01* X254691988Y-88423144D01* X254798284Y-88293623D01* X254903676Y-88096447D01* @@ -1934,123 +1988,6 @@ X256632795Y-54897244D01* X256554774Y-55085602D01* X256515000Y-55285561D01* X216210226Y-55285561D01* -X224685011Y-46810777D01* -X254515000Y-46810777D01* -X254515000Y-47369223D01* -X254623948Y-47916939D01* -X254837656Y-48432876D01* -X255147912Y-48897207D01* -X255542793Y-49292088D01* -X256007124Y-49602344D01* -X256523061Y-49816052D01* -X257070777Y-49925000D01* -X257629223Y-49925000D01* -X258176939Y-49816052D01* -X258692876Y-49602344D01* -X259157207Y-49292088D01* -X259552088Y-48897207D01* -X259862344Y-48432876D01* -X260076052Y-47916939D01* -X260185000Y-47369223D01* -X260185000Y-46810777D01* -X260076052Y-46263061D01* -X259862344Y-45747124D01* -X259552088Y-45282793D01* -X259541997Y-45272702D01* -X263536903Y-45272702D01* -X263608486Y-45516671D01* -X263863996Y-45637571D01* -X264138184Y-45706300D01* -X264420512Y-45720217D01* -X264700130Y-45678787D01* -X264966292Y-45583603D01* -X265091514Y-45516671D01* -X265163097Y-45272702D01* -X264350000Y-44459605D01* -X263536903Y-45272702D01* -X259541997Y-45272702D01* -X259157207Y-44887912D01* -X258692876Y-44577656D01* -X258176939Y-44363948D01* -X258109392Y-44350512D01* -X262909783Y-44350512D01* -X262951213Y-44630130D01* -X263046397Y-44896292D01* -X263113329Y-45021514D01* -X263357298Y-45093097D01* -X264170395Y-44280000D01* -X264529605Y-44280000D01* -X265342702Y-45093097D01* -X265411928Y-45072785D01* -X265411928Y-45080000D01* -X265424188Y-45204482D01* -X265460498Y-45324180D01* -X265519463Y-45434494D01* -X265598815Y-45531185D01* -X265695506Y-45610537D01* -X265805820Y-45669502D01* -X265925518Y-45705812D01* -X266050000Y-45718072D01* -X267650000Y-45718072D01* -X267774482Y-45705812D01* -X267894180Y-45669502D01* -X268004494Y-45610537D01* -X268101185Y-45531185D01* -X268180537Y-45434494D01* -X268239502Y-45324180D01* -X268275812Y-45204482D01* -X268288072Y-45080000D01* -X268288072Y-43480000D01* -X268275812Y-43355518D01* -X268239502Y-43235820D01* -X268180537Y-43125506D01* -X268101185Y-43028815D01* -X268004494Y-42949463D01* -X267894180Y-42890498D01* -X267774482Y-42854188D01* -X267650000Y-42841928D01* -X266050000Y-42841928D01* -X265925518Y-42854188D01* -X265805820Y-42890498D01* -X265695506Y-42949463D01* -X265598815Y-43028815D01* -X265519463Y-43125506D01* -X265460498Y-43235820D01* -X265424188Y-43355518D01* -X265411928Y-43480000D01* -X265411928Y-43487215D01* -X265342702Y-43466903D01* -X264529605Y-44280000D01* -X264170395Y-44280000D01* -X263357298Y-43466903D01* -X263113329Y-43538486D01* -X262992429Y-43793996D01* -X262923700Y-44068184D01* -X262909783Y-44350512D01* -X258109392Y-44350512D01* -X257629223Y-44255000D01* -X257070777Y-44255000D01* -X256523061Y-44363948D01* -X256007124Y-44577656D01* -X255542793Y-44887912D01* -X255147912Y-45282793D01* -X254837656Y-45747124D01* -X254623948Y-46263061D01* -X254515000Y-46810777D01* -X224685011Y-46810777D01* -X228208490Y-43287298D01* -X263536903Y-43287298D01* -X264350000Y-44100395D01* -X265163097Y-43287298D01* -X265091514Y-43043329D01* -X264836004Y-42922429D01* -X264561816Y-42853700D01* -X264279488Y-42839783D01* -X263999870Y-42881213D01* -X263733708Y-42976397D01* -X263608486Y-43043329D01* -X263536903Y-43287298D01* -X228208490Y-43287298D01* X228757597Y-42738192D01* X228757606Y-42738181D01* X232478191Y-39017597D01* @@ -2714,6 +2651,7 @@ X177818051Y-49163411D01* X177814611Y-49128482D01* X177814611Y-49128475D01* X177804320Y-49023991D01* +X177763653Y-48889930D01* X177763653Y-48889929D01* X177697613Y-48766378D01* X177631009Y-48685220D01* @@ -2997,7 +2935,7 @@ X133409969Y-24878192D01* X133407198Y-24881568D01* X133341158Y-25005120D01* X133300491Y-25139181D01* -X133296807Y-25176589D01* +X133294113Y-25203937D01* X133286759Y-25278601D01* X133290200Y-25313537D01* X133290200Y-26180395D01* @@ -3541,70 +3479,7 @@ X117347929Y-44909744D01* X117269908Y-45098102D01* X117230134Y-45298061D01* X112440000Y-45298061D01* -X112440000Y-34350000D01* -X120411928Y-34350000D01* -X120424188Y-34474482D01* -X120460498Y-34594180D01* -X120519463Y-34704494D01* -X120598815Y-34801185D01* -X120695506Y-34880537D01* -X120805820Y-34939502D01* -X120925518Y-34975812D01* -X121050000Y-34988072D01* -X121614250Y-34985000D01* -X121773000Y-34826250D01* -X121773000Y-33627000D01* -X122027000Y-33627000D01* -X122027000Y-34826250D01* -X122185750Y-34985000D01* -X122750000Y-34988072D01* -X122874482Y-34975812D01* -X122994180Y-34939502D01* -X123104494Y-34880537D01* -X123201185Y-34801185D01* -X123280537Y-34704494D01* -X123339502Y-34594180D01* -X123375812Y-34474482D01* -X123388072Y-34350000D01* -X123385000Y-33785750D01* -X123226250Y-33627000D01* -X122027000Y-33627000D01* -X121773000Y-33627000D01* -X120573750Y-33627000D01* -X120415000Y-33785750D01* -X120411928Y-34350000D01* -X112440000Y-34350000D01* -X112440000Y-32650000D01* -X120411928Y-32650000D01* -X120415000Y-33214250D01* -X120573750Y-33373000D01* -X121773000Y-33373000D01* -X121773000Y-33353000D01* -X122027000Y-33353000D01* -X122027000Y-33373000D01* -X123226250Y-33373000D01* -X123385000Y-33214250D01* -X123388072Y-32650000D01* -X123375812Y-32525518D01* -X123339502Y-32405820D01* -X123280537Y-32295506D01* -X123201185Y-32198815D01* -X123104494Y-32119463D01* -X122994180Y-32060498D01* -X122921620Y-32038487D01* -X123053475Y-31906632D01* -X123215990Y-31663411D01* -X123327932Y-31393158D01* -X123385000Y-31106260D01* -X123385000Y-30813740D01* -X123327932Y-30526842D01* -X123215990Y-30256589D01* -X123053475Y-30013368D01* -X122846632Y-29806525D01* -X122672240Y-29690000D01* -X122846632Y-29573475D01* -X123053475Y-29366632D01* -X123198223Y-29150000D01* +X112440000Y-29150000D01* X128511928Y-29150000D01* X128511928Y-30850000D01* X128524188Y-30974482D01* @@ -3668,41 +3543,8 @@ X128619463Y-28795506D01* X128560498Y-28905820D01* X128524188Y-29025518D01* X128511928Y-29150000D01* -X123198223Y-29150000D01* -X123215990Y-29123411D01* -X123327932Y-28853158D01* -X123385000Y-28566260D01* -X123385000Y-28273740D01* -X123327932Y-27986842D01* -X123215990Y-27716589D01* -X123053475Y-27473368D01* -X122846632Y-27266525D01* -X122672240Y-27150000D01* -X122846632Y-27033475D01* -X123053475Y-26826632D01* -X123215990Y-26583411D01* -X123327932Y-26313158D01* -X123385000Y-26026260D01* -X123385000Y-25733740D01* -X123327932Y-25446842D01* -X123215990Y-25176589D01* -X123053475Y-24933368D01* -X122846632Y-24726525D01* -X122672240Y-24610000D01* -X122846632Y-24493475D01* -X123053475Y-24286632D01* -X123215990Y-24043411D01* -X123327932Y-23773158D01* -X123385000Y-23486260D01* -X123385000Y-23193740D01* -X123327932Y-22906842D01* -X123215990Y-22636589D01* -X123053475Y-22393368D01* -X122846632Y-22186525D01* -X122672240Y-22070000D01* -X122846632Y-21953475D01* -X123053475Y-21746632D01* -X123167089Y-21576596D01* +X112440000Y-29150000D01* +X112440000Y-21576596D01* X175850673Y-21576596D01* X175850673Y-21780474D01* X175890447Y-21980433D01* @@ -3736,83 +3578,7 @@ X176081736Y-21018761D01* X175968468Y-21188279D01* X175890447Y-21376637D01* X175850673Y-21576596D01* -X123167089Y-21576596D01* -X123215990Y-21503411D01* -X123327932Y-21233158D01* -X123385000Y-20946260D01* -X123385000Y-20653740D01* -X123327932Y-20366842D01* -X123215990Y-20096589D01* -X123053475Y-19853368D01* -X122846632Y-19646525D01* -X122603411Y-19484010D01* -X122333158Y-19372068D01* -X122046260Y-19315000D01* -X121753740Y-19315000D01* -X121466842Y-19372068D01* -X121196589Y-19484010D01* -X120953368Y-19646525D01* -X120746525Y-19853368D01* -X120584010Y-20096589D01* -X120472068Y-20366842D01* -X120415000Y-20653740D01* -X120415000Y-20946260D01* -X120472068Y-21233158D01* -X120584010Y-21503411D01* -X120746525Y-21746632D01* -X120953368Y-21953475D01* -X121127760Y-22070000D01* -X120953368Y-22186525D01* -X120746525Y-22393368D01* -X120584010Y-22636589D01* -X120472068Y-22906842D01* -X120415000Y-23193740D01* -X120415000Y-23486260D01* -X120472068Y-23773158D01* -X120584010Y-24043411D01* -X120746525Y-24286632D01* -X120953368Y-24493475D01* -X121127760Y-24610000D01* -X120953368Y-24726525D01* -X120746525Y-24933368D01* -X120584010Y-25176589D01* -X120472068Y-25446842D01* -X120415000Y-25733740D01* -X120415000Y-26026260D01* -X120472068Y-26313158D01* -X120584010Y-26583411D01* -X120746525Y-26826632D01* -X120953368Y-27033475D01* -X121127760Y-27150000D01* -X120953368Y-27266525D01* -X120746525Y-27473368D01* -X120584010Y-27716589D01* -X120472068Y-27986842D01* -X120415000Y-28273740D01* -X120415000Y-28566260D01* -X120472068Y-28853158D01* -X120584010Y-29123411D01* -X120746525Y-29366632D01* -X120953368Y-29573475D01* -X121127760Y-29690000D01* -X120953368Y-29806525D01* -X120746525Y-30013368D01* -X120584010Y-30256589D01* -X120472068Y-30526842D01* -X120415000Y-30813740D01* -X120415000Y-31106260D01* -X120472068Y-31393158D01* -X120584010Y-31663411D01* -X120746525Y-31906632D01* -X120878380Y-32038487D01* -X120805820Y-32060498D01* -X120695506Y-32119463D01* -X120598815Y-32198815D01* -X120519463Y-32295506D01* -X120460498Y-32405820D01* -X120424188Y-32525518D01* -X120411928Y-32650000D01* -X112440000Y-32650000D01* +X112440000Y-21576596D01* X112440000Y-19098061D01* X155495000Y-19098061D01* X155495000Y-19301939D01* @@ -4060,7 +3826,7 @@ X112440000Y-5810000D01* X266751193Y-5810000D01* X266654985Y-5874284D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X266654985Y-5874284D02* G01* @@ -4162,6 +3928,34 @@ X262430723Y-13736612D01* X262465123Y-13740000D01* X272085909Y-13740000D01* X272620001Y-14274092D01* +X272620001Y-48744267D01* +X272603937Y-48720226D01* +X272459774Y-48576063D01* +X272290256Y-48462795D01* +X272101898Y-48384774D01* +X271901939Y-48345000D01* +X271698061Y-48345000D01* +X271498102Y-48384774D01* +X271309744Y-48462795D01* +X271140226Y-48576063D01* +X270996063Y-48720226D01* +X270882795Y-48889744D01* +X270804774Y-49078102D01* +X270765000Y-49278061D01* +X270765000Y-49481939D01* +X270804774Y-49681898D01* +X270882795Y-49870256D01* +X270996063Y-50039774D01* +X271140226Y-50183937D01* +X271309744Y-50297205D01* +X271498102Y-50375226D01* +X271698061Y-50415000D01* +X271901939Y-50415000D01* +X272101898Y-50375226D01* +X272290256Y-50297205D01* +X272459774Y-50183937D01* +X272603937Y-50039774D01* +X272620001Y-50015733D01* X272620001Y-53031509D01* X272574463Y-53001082D01* X272276912Y-52877832D01* @@ -4427,6 +4221,41 @@ X266006691Y-93875581D01* X265815017Y-94338323D01* X265717303Y-94829566D01* X248285565Y-94829566D01* +X253894354Y-89220777D01* +X266362500Y-89220777D01* +X266362500Y-89779223D01* +X266471448Y-90326939D01* +X266685156Y-90842876D01* +X266995412Y-91307207D01* +X267390293Y-91702088D01* +X267854624Y-92012344D01* +X268370561Y-92226052D01* +X268918277Y-92335000D01* +X269476723Y-92335000D01* +X270024439Y-92226052D01* +X270540376Y-92012344D01* +X271004707Y-91702088D01* +X271399588Y-91307207D01* +X271709844Y-90842876D01* +X271923552Y-90326939D01* +X272032500Y-89779223D01* +X272032500Y-89220777D01* +X271923552Y-88673061D01* +X271709844Y-88157124D01* +X271399588Y-87692793D01* +X271004707Y-87297912D01* +X270540376Y-86987656D01* +X270024439Y-86773948D01* +X269476723Y-86665000D01* +X268918277Y-86665000D01* +X268370561Y-86773948D01* +X267854624Y-86987656D01* +X267390293Y-87297912D01* +X266995412Y-87692793D01* +X266685156Y-88157124D01* +X266471448Y-88673061D01* +X266362500Y-89220777D01* +X253894354Y-89220777D01* X254691988Y-88423144D01* X254798284Y-88293623D01* X254903676Y-88096447D01* @@ -4961,123 +4790,6 @@ X256632795Y-54897244D01* X256554774Y-55085602D01* X256515000Y-55285561D01* X216210226Y-55285561D01* -X224685011Y-46810777D01* -X254515000Y-46810777D01* -X254515000Y-47369223D01* -X254623948Y-47916939D01* -X254837656Y-48432876D01* -X255147912Y-48897207D01* -X255542793Y-49292088D01* -X256007124Y-49602344D01* -X256523061Y-49816052D01* -X257070777Y-49925000D01* -X257629223Y-49925000D01* -X258176939Y-49816052D01* -X258692876Y-49602344D01* -X259157207Y-49292088D01* -X259552088Y-48897207D01* -X259862344Y-48432876D01* -X260076052Y-47916939D01* -X260185000Y-47369223D01* -X260185000Y-46810777D01* -X260076052Y-46263061D01* -X259862344Y-45747124D01* -X259552088Y-45282793D01* -X259541997Y-45272702D01* -X263536903Y-45272702D01* -X263608486Y-45516671D01* -X263863996Y-45637571D01* -X264138184Y-45706300D01* -X264420512Y-45720217D01* -X264700130Y-45678787D01* -X264966292Y-45583603D01* -X265091514Y-45516671D01* -X265163097Y-45272702D01* -X264350000Y-44459605D01* -X263536903Y-45272702D01* -X259541997Y-45272702D01* -X259157207Y-44887912D01* -X258692876Y-44577656D01* -X258176939Y-44363948D01* -X258109392Y-44350512D01* -X262909783Y-44350512D01* -X262951213Y-44630130D01* -X263046397Y-44896292D01* -X263113329Y-45021514D01* -X263357298Y-45093097D01* -X264170395Y-44280000D01* -X264529605Y-44280000D01* -X265342702Y-45093097D01* -X265411928Y-45072785D01* -X265411928Y-45080000D01* -X265424188Y-45204482D01* -X265460498Y-45324180D01* -X265519463Y-45434494D01* -X265598815Y-45531185D01* -X265695506Y-45610537D01* -X265805820Y-45669502D01* -X265925518Y-45705812D01* -X266050000Y-45718072D01* -X267650000Y-45718072D01* -X267774482Y-45705812D01* -X267894180Y-45669502D01* -X268004494Y-45610537D01* -X268101185Y-45531185D01* -X268180537Y-45434494D01* -X268239502Y-45324180D01* -X268275812Y-45204482D01* -X268288072Y-45080000D01* -X268288072Y-43480000D01* -X268275812Y-43355518D01* -X268239502Y-43235820D01* -X268180537Y-43125506D01* -X268101185Y-43028815D01* -X268004494Y-42949463D01* -X267894180Y-42890498D01* -X267774482Y-42854188D01* -X267650000Y-42841928D01* -X266050000Y-42841928D01* -X265925518Y-42854188D01* -X265805820Y-42890498D01* -X265695506Y-42949463D01* -X265598815Y-43028815D01* -X265519463Y-43125506D01* -X265460498Y-43235820D01* -X265424188Y-43355518D01* -X265411928Y-43480000D01* -X265411928Y-43487215D01* -X265342702Y-43466903D01* -X264529605Y-44280000D01* -X264170395Y-44280000D01* -X263357298Y-43466903D01* -X263113329Y-43538486D01* -X262992429Y-43793996D01* -X262923700Y-44068184D01* -X262909783Y-44350512D01* -X258109392Y-44350512D01* -X257629223Y-44255000D01* -X257070777Y-44255000D01* -X256523061Y-44363948D01* -X256007124Y-44577656D01* -X255542793Y-44887912D01* -X255147912Y-45282793D01* -X254837656Y-45747124D01* -X254623948Y-46263061D01* -X254515000Y-46810777D01* -X224685011Y-46810777D01* -X228208490Y-43287298D01* -X263536903Y-43287298D01* -X264350000Y-44100395D01* -X265163097Y-43287298D01* -X265091514Y-43043329D01* -X264836004Y-42922429D01* -X264561816Y-42853700D01* -X264279488Y-42839783D01* -X263999870Y-42881213D01* -X263733708Y-42976397D01* -X263608486Y-43043329D01* -X263536903Y-43287298D01* -X228208490Y-43287298D01* X228757597Y-42738192D01* X228757606Y-42738181D01* X232478191Y-39017597D01* @@ -5741,6 +5453,7 @@ X177818051Y-49163411D01* X177814611Y-49128482D01* X177814611Y-49128475D01* X177804320Y-49023991D01* +X177763653Y-48889930D01* X177763653Y-48889929D01* X177697613Y-48766378D01* X177631009Y-48685220D01* @@ -6024,7 +5737,7 @@ X133409969Y-24878192D01* X133407198Y-24881568D01* X133341158Y-25005120D01* X133300491Y-25139181D01* -X133296807Y-25176589D01* +X133294113Y-25203937D01* X133286759Y-25278601D01* X133290200Y-25313537D01* X133290200Y-26180395D01* @@ -6568,70 +6281,7 @@ X117347929Y-44909744D01* X117269908Y-45098102D01* X117230134Y-45298061D01* X112440000Y-45298061D01* -X112440000Y-34350000D01* -X120411928Y-34350000D01* -X120424188Y-34474482D01* -X120460498Y-34594180D01* -X120519463Y-34704494D01* -X120598815Y-34801185D01* -X120695506Y-34880537D01* -X120805820Y-34939502D01* -X120925518Y-34975812D01* -X121050000Y-34988072D01* -X121614250Y-34985000D01* -X121773000Y-34826250D01* -X121773000Y-33627000D01* -X122027000Y-33627000D01* -X122027000Y-34826250D01* -X122185750Y-34985000D01* -X122750000Y-34988072D01* -X122874482Y-34975812D01* -X122994180Y-34939502D01* -X123104494Y-34880537D01* -X123201185Y-34801185D01* -X123280537Y-34704494D01* -X123339502Y-34594180D01* -X123375812Y-34474482D01* -X123388072Y-34350000D01* -X123385000Y-33785750D01* -X123226250Y-33627000D01* -X122027000Y-33627000D01* -X121773000Y-33627000D01* -X120573750Y-33627000D01* -X120415000Y-33785750D01* -X120411928Y-34350000D01* -X112440000Y-34350000D01* -X112440000Y-32650000D01* -X120411928Y-32650000D01* -X120415000Y-33214250D01* -X120573750Y-33373000D01* -X121773000Y-33373000D01* -X121773000Y-33353000D01* -X122027000Y-33353000D01* -X122027000Y-33373000D01* -X123226250Y-33373000D01* -X123385000Y-33214250D01* -X123388072Y-32650000D01* -X123375812Y-32525518D01* -X123339502Y-32405820D01* -X123280537Y-32295506D01* -X123201185Y-32198815D01* -X123104494Y-32119463D01* -X122994180Y-32060498D01* -X122921620Y-32038487D01* -X123053475Y-31906632D01* -X123215990Y-31663411D01* -X123327932Y-31393158D01* -X123385000Y-31106260D01* -X123385000Y-30813740D01* -X123327932Y-30526842D01* -X123215990Y-30256589D01* -X123053475Y-30013368D01* -X122846632Y-29806525D01* -X122672240Y-29690000D01* -X122846632Y-29573475D01* -X123053475Y-29366632D01* -X123198223Y-29150000D01* +X112440000Y-29150000D01* X128511928Y-29150000D01* X128511928Y-30850000D01* X128524188Y-30974482D01* @@ -6695,41 +6345,8 @@ X128619463Y-28795506D01* X128560498Y-28905820D01* X128524188Y-29025518D01* X128511928Y-29150000D01* -X123198223Y-29150000D01* -X123215990Y-29123411D01* -X123327932Y-28853158D01* -X123385000Y-28566260D01* -X123385000Y-28273740D01* -X123327932Y-27986842D01* -X123215990Y-27716589D01* -X123053475Y-27473368D01* -X122846632Y-27266525D01* -X122672240Y-27150000D01* -X122846632Y-27033475D01* -X123053475Y-26826632D01* -X123215990Y-26583411D01* -X123327932Y-26313158D01* -X123385000Y-26026260D01* -X123385000Y-25733740D01* -X123327932Y-25446842D01* -X123215990Y-25176589D01* -X123053475Y-24933368D01* -X122846632Y-24726525D01* -X122672240Y-24610000D01* -X122846632Y-24493475D01* -X123053475Y-24286632D01* -X123215990Y-24043411D01* -X123327932Y-23773158D01* -X123385000Y-23486260D01* -X123385000Y-23193740D01* -X123327932Y-22906842D01* -X123215990Y-22636589D01* -X123053475Y-22393368D01* -X122846632Y-22186525D01* -X122672240Y-22070000D01* -X122846632Y-21953475D01* -X123053475Y-21746632D01* -X123167089Y-21576596D01* +X112440000Y-29150000D01* +X112440000Y-21576596D01* X175850673Y-21576596D01* X175850673Y-21780474D01* X175890447Y-21980433D01* @@ -6763,83 +6380,7 @@ X176081736Y-21018761D01* X175968468Y-21188279D01* X175890447Y-21376637D01* X175850673Y-21576596D01* -X123167089Y-21576596D01* -X123215990Y-21503411D01* -X123327932Y-21233158D01* -X123385000Y-20946260D01* -X123385000Y-20653740D01* -X123327932Y-20366842D01* -X123215990Y-20096589D01* -X123053475Y-19853368D01* -X122846632Y-19646525D01* -X122603411Y-19484010D01* -X122333158Y-19372068D01* -X122046260Y-19315000D01* -X121753740Y-19315000D01* -X121466842Y-19372068D01* -X121196589Y-19484010D01* -X120953368Y-19646525D01* -X120746525Y-19853368D01* -X120584010Y-20096589D01* -X120472068Y-20366842D01* -X120415000Y-20653740D01* -X120415000Y-20946260D01* -X120472068Y-21233158D01* -X120584010Y-21503411D01* -X120746525Y-21746632D01* -X120953368Y-21953475D01* -X121127760Y-22070000D01* -X120953368Y-22186525D01* -X120746525Y-22393368D01* -X120584010Y-22636589D01* -X120472068Y-22906842D01* -X120415000Y-23193740D01* -X120415000Y-23486260D01* -X120472068Y-23773158D01* -X120584010Y-24043411D01* -X120746525Y-24286632D01* -X120953368Y-24493475D01* -X121127760Y-24610000D01* -X120953368Y-24726525D01* -X120746525Y-24933368D01* -X120584010Y-25176589D01* -X120472068Y-25446842D01* -X120415000Y-25733740D01* -X120415000Y-26026260D01* -X120472068Y-26313158D01* -X120584010Y-26583411D01* -X120746525Y-26826632D01* -X120953368Y-27033475D01* -X121127760Y-27150000D01* -X120953368Y-27266525D01* -X120746525Y-27473368D01* -X120584010Y-27716589D01* -X120472068Y-27986842D01* -X120415000Y-28273740D01* -X120415000Y-28566260D01* -X120472068Y-28853158D01* -X120584010Y-29123411D01* -X120746525Y-29366632D01* -X120953368Y-29573475D01* -X121127760Y-29690000D01* -X120953368Y-29806525D01* -X120746525Y-30013368D01* -X120584010Y-30256589D01* -X120472068Y-30526842D01* -X120415000Y-30813740D01* -X120415000Y-31106260D01* -X120472068Y-31393158D01* -X120584010Y-31663411D01* -X120746525Y-31906632D01* -X120878380Y-32038487D01* -X120805820Y-32060498D01* -X120695506Y-32119463D01* -X120598815Y-32198815D01* -X120519463Y-32295506D01* -X120460498Y-32405820D01* -X120424188Y-32525518D01* -X120411928Y-32650000D01* -X112440000Y-32650000D01* +X112440000Y-21576596D01* X112440000Y-19098061D01* X155495000Y-19098061D01* X155495000Y-19301939D01* @@ -7088,7 +6629,7 @@ X266751193Y-5810000D01* X266654985Y-5874284D01* G37* G04 #@! TD.AperFunction* -D32* +D30* X161866525Y-62696632D02* X162073368Y-62903475D01* X162316589Y-63065990D01* @@ -8299,7 +7840,7 @@ X161633475Y-62696632D01* X161750000Y-62522240D01* X161866525Y-62696632D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X161866525Y-62696632D02* G01* @@ -9513,7 +9054,7 @@ X161750000Y-62522240D01* X161866525Y-62696632D01* G37* G04 #@! TD.AperFunction* -D32* +D30* X198750475Y-95587885D02* X198904782Y-95818822D01* X199101178Y-96015218D01* @@ -9618,7 +9159,7 @@ X198709525Y-95587885D01* X198730000Y-95538454D01* X198750475Y-95587885D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X198750475Y-95587885D02* G01* @@ -9726,7 +9267,320 @@ X198730000Y-95538454D01* X198750475Y-95587885D01* G37* G04 #@! TD.AperFunction* -D32* +D30* +X197426525Y-62696632D02* +X197633368Y-62903475D01* +X197876589Y-63065990D01* +X198146842Y-63177932D01* +X198433740Y-63235000D01* +X198726260Y-63235000D01* +X199013158Y-63177932D01* +X199283411Y-63065990D01* +X199288801Y-63062389D01* +X199288800Y-93699417D01* +X199101178Y-93824782D01* +X198904782Y-94021178D01* +X198750475Y-94252115D01* +X198730000Y-94301546D01* +X198709525Y-94252115D01* +X198555218Y-94021178D01* +X198358822Y-93824782D01* +X198127885Y-93670475D01* +X197871282Y-93564186D01* +X197598873Y-93510000D01* +X197321127Y-93510000D01* +X197048718Y-93564186D01* +X196792115Y-93670475D01* +X196561178Y-93824782D01* +X196364782Y-94021178D01* +X196210475Y-94252115D01* +X196190000Y-94301546D01* +X196169525Y-94252115D01* +X196015218Y-94021178D01* +X195818822Y-93824782D01* +X195587885Y-93670475D01* +X195331282Y-93564186D01* +X195058873Y-93510000D01* +X194781127Y-93510000D01* +X194508718Y-93564186D01* +X194252115Y-93670475D01* +X194230377Y-93685000D01* +X193069623Y-93685000D01* +X193047885Y-93670475D01* +X192791282Y-93564186D01* +X192518873Y-93510000D01* +X192241127Y-93510000D01* +X191968718Y-93564186D01* +X191712115Y-93670475D01* +X191481178Y-93824782D01* +X191326199Y-93979761D01* +X191326199Y-89849927D01* +X191329639Y-89814999D01* +X191326199Y-89780070D01* +X191326199Y-89780063D01* +X191315908Y-89675579D01* +X191275241Y-89541518D01* +X191209201Y-89417966D01* +X191120326Y-89309672D01* +X191093190Y-89287402D01* +X186511200Y-84705413D01* +X186511200Y-84627511D01* +X186603937Y-84534774D01* +X186717205Y-84365256D01* +X186795226Y-84176898D01* +X186835000Y-83976939D01* +X186835000Y-83773061D01* +X186795226Y-83573102D01* +X186717205Y-83384744D01* +X186603937Y-83215226D01* +X186459774Y-83071063D01* +X186290256Y-82957795D01* +X186101898Y-82879774D01* +X185901939Y-82840000D01* +X185698061Y-82840000D01* +X185498102Y-82879774D01* +X185309744Y-82957795D01* +X185140226Y-83071063D01* +X184996063Y-83215226D01* +X184882795Y-83384744D01* +X184843448Y-83479737D01* +X184809774Y-83446063D01* +X184718148Y-83384841D01* +X187741606Y-80361384D01* +X187768737Y-80339118D01* +X187791004Y-80311986D01* +X187791008Y-80311982D01* +X187857613Y-80230824D01* +X187882243Y-80184744D01* +X187923653Y-80107272D01* +X187964320Y-79973211D01* +X187974611Y-79868727D01* +X187974611Y-79868718D01* +X187978051Y-79833792D01* +X187974611Y-79798866D01* +X187974611Y-74687999D01* +X188148061Y-74722500D01* +X188351939Y-74722500D01* +X188551898Y-74682726D01* +X188740256Y-74604705D01* +X188909774Y-74491437D01* +X189053937Y-74347274D01* +X189167205Y-74177756D01* +X189245226Y-73989398D01* +X189285000Y-73789439D01* +X189285000Y-73585561D01* +X189245226Y-73385602D01* +X189167205Y-73197244D01* +X189053937Y-73027726D01* +X188909774Y-72883563D01* +X188740256Y-72770295D01* +X188551898Y-72692274D01* +X188351939Y-72652500D01* +X188148061Y-72652500D01* +X187974611Y-72687001D01* +X187974611Y-63172866D01* +X187986842Y-63177932D01* +X188273740Y-63235000D01* +X188566260Y-63235000D01* +X188853158Y-63177932D01* +X189123411Y-63065990D01* +X189366632Y-62903475D01* +X189573475Y-62696632D01* +X189690000Y-62522240D01* +X189806525Y-62696632D01* +X190013368Y-62903475D01* +X190256589Y-63065990D01* +X190526842Y-63177932D01* +X190813740Y-63235000D01* +X191106260Y-63235000D01* +X191393158Y-63177932D01* +X191663411Y-63065990D01* +X191906632Y-62903475D01* +X192113475Y-62696632D01* +X192230000Y-62522240D01* +X192346525Y-62696632D01* +X192553368Y-62903475D01* +X192796589Y-63065990D01* +X193066842Y-63177932D01* +X193353740Y-63235000D01* +X193646260Y-63235000D01* +X193933158Y-63177932D01* +X194203411Y-63065990D01* +X194446632Y-62903475D01* +X194653475Y-62696632D01* +X194770000Y-62522240D01* +X194886525Y-62696632D01* +X195093368Y-62903475D01* +X195336589Y-63065990D01* +X195606842Y-63177932D01* +X195893740Y-63235000D01* +X196186260Y-63235000D01* +X196473158Y-63177932D01* +X196743411Y-63065990D01* +X196986632Y-62903475D01* +X197193475Y-62696632D01* +X197310000Y-62522240D01* +X197426525Y-62696632D01* +G04 #@! TA.AperFunction,Conductor* +D31* +G36* +X197426525Y-62696632D02* +G01* +X197633368Y-62903475D01* +X197876589Y-63065990D01* +X198146842Y-63177932D01* +X198433740Y-63235000D01* +X198726260Y-63235000D01* +X199013158Y-63177932D01* +X199283411Y-63065990D01* +X199288801Y-63062389D01* +X199288800Y-93699417D01* +X199101178Y-93824782D01* +X198904782Y-94021178D01* +X198750475Y-94252115D01* +X198730000Y-94301546D01* +X198709525Y-94252115D01* +X198555218Y-94021178D01* +X198358822Y-93824782D01* +X198127885Y-93670475D01* +X197871282Y-93564186D01* +X197598873Y-93510000D01* +X197321127Y-93510000D01* +X197048718Y-93564186D01* +X196792115Y-93670475D01* +X196561178Y-93824782D01* +X196364782Y-94021178D01* +X196210475Y-94252115D01* +X196190000Y-94301546D01* +X196169525Y-94252115D01* +X196015218Y-94021178D01* +X195818822Y-93824782D01* +X195587885Y-93670475D01* +X195331282Y-93564186D01* +X195058873Y-93510000D01* +X194781127Y-93510000D01* +X194508718Y-93564186D01* +X194252115Y-93670475D01* +X194230377Y-93685000D01* +X193069623Y-93685000D01* +X193047885Y-93670475D01* +X192791282Y-93564186D01* +X192518873Y-93510000D01* +X192241127Y-93510000D01* +X191968718Y-93564186D01* +X191712115Y-93670475D01* +X191481178Y-93824782D01* +X191326199Y-93979761D01* +X191326199Y-89849927D01* +X191329639Y-89814999D01* +X191326199Y-89780070D01* +X191326199Y-89780063D01* +X191315908Y-89675579D01* +X191275241Y-89541518D01* +X191209201Y-89417966D01* +X191120326Y-89309672D01* +X191093190Y-89287402D01* +X186511200Y-84705413D01* +X186511200Y-84627511D01* +X186603937Y-84534774D01* +X186717205Y-84365256D01* +X186795226Y-84176898D01* +X186835000Y-83976939D01* +X186835000Y-83773061D01* +X186795226Y-83573102D01* +X186717205Y-83384744D01* +X186603937Y-83215226D01* +X186459774Y-83071063D01* +X186290256Y-82957795D01* +X186101898Y-82879774D01* +X185901939Y-82840000D01* +X185698061Y-82840000D01* +X185498102Y-82879774D01* +X185309744Y-82957795D01* +X185140226Y-83071063D01* +X184996063Y-83215226D01* +X184882795Y-83384744D01* +X184843448Y-83479737D01* +X184809774Y-83446063D01* +X184718148Y-83384841D01* +X187741606Y-80361384D01* +X187768737Y-80339118D01* +X187791004Y-80311986D01* +X187791008Y-80311982D01* +X187857613Y-80230824D01* +X187882243Y-80184744D01* +X187923653Y-80107272D01* +X187964320Y-79973211D01* +X187974611Y-79868727D01* +X187974611Y-79868718D01* +X187978051Y-79833792D01* +X187974611Y-79798866D01* +X187974611Y-74687999D01* +X188148061Y-74722500D01* +X188351939Y-74722500D01* +X188551898Y-74682726D01* +X188740256Y-74604705D01* +X188909774Y-74491437D01* +X189053937Y-74347274D01* +X189167205Y-74177756D01* +X189245226Y-73989398D01* +X189285000Y-73789439D01* +X189285000Y-73585561D01* +X189245226Y-73385602D01* +X189167205Y-73197244D01* +X189053937Y-73027726D01* +X188909774Y-72883563D01* +X188740256Y-72770295D01* +X188551898Y-72692274D01* +X188351939Y-72652500D01* +X188148061Y-72652500D01* +X187974611Y-72687001D01* +X187974611Y-63172866D01* +X187986842Y-63177932D01* +X188273740Y-63235000D01* +X188566260Y-63235000D01* +X188853158Y-63177932D01* +X189123411Y-63065990D01* +X189366632Y-62903475D01* +X189573475Y-62696632D01* +X189690000Y-62522240D01* +X189806525Y-62696632D01* +X190013368Y-62903475D01* +X190256589Y-63065990D01* +X190526842Y-63177932D01* +X190813740Y-63235000D01* +X191106260Y-63235000D01* +X191393158Y-63177932D01* +X191663411Y-63065990D01* +X191906632Y-62903475D01* +X192113475Y-62696632D01* +X192230000Y-62522240D01* +X192346525Y-62696632D01* +X192553368Y-62903475D01* +X192796589Y-63065990D01* +X193066842Y-63177932D01* +X193353740Y-63235000D01* +X193646260Y-63235000D01* +X193933158Y-63177932D01* +X194203411Y-63065990D01* +X194446632Y-62903475D01* +X194653475Y-62696632D01* +X194770000Y-62522240D01* +X194886525Y-62696632D01* +X195093368Y-62903475D01* +X195336589Y-63065990D01* +X195606842Y-63177932D01* +X195893740Y-63235000D01* +X196186260Y-63235000D01* +X196473158Y-63177932D01* +X196743411Y-63065990D01* +X196986632Y-62903475D01* +X197193475Y-62696632D01* +X197310000Y-62522240D01* +X197426525Y-62696632D01* +G37* +G04 #@! TD.AperFunction* +D30* X142553740Y-63235000D02* X142846260Y-63235000D01* X142949398Y-63214485D01* @@ -10051,7 +9905,7 @@ X142247034Y-63194201D01* X142266855Y-63177935D01* X142553740Y-63235000D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X142553740Y-63235000D02* G01* @@ -10379,320 +10233,7 @@ X142266855Y-63177935D01* X142553740Y-63235000D01* G37* G04 #@! TD.AperFunction* -D32* -X197426525Y-62696632D02* -X197633368Y-62903475D01* -X197876589Y-63065990D01* -X198146842Y-63177932D01* -X198433740Y-63235000D01* -X198726260Y-63235000D01* -X199013158Y-63177932D01* -X199283411Y-63065990D01* -X199288801Y-63062389D01* -X199288800Y-93699417D01* -X199101178Y-93824782D01* -X198904782Y-94021178D01* -X198750475Y-94252115D01* -X198730000Y-94301546D01* -X198709525Y-94252115D01* -X198555218Y-94021178D01* -X198358822Y-93824782D01* -X198127885Y-93670475D01* -X197871282Y-93564186D01* -X197598873Y-93510000D01* -X197321127Y-93510000D01* -X197048718Y-93564186D01* -X196792115Y-93670475D01* -X196561178Y-93824782D01* -X196364782Y-94021178D01* -X196210475Y-94252115D01* -X196190000Y-94301546D01* -X196169525Y-94252115D01* -X196015218Y-94021178D01* -X195818822Y-93824782D01* -X195587885Y-93670475D01* -X195331282Y-93564186D01* -X195058873Y-93510000D01* -X194781127Y-93510000D01* -X194508718Y-93564186D01* -X194252115Y-93670475D01* -X194230377Y-93685000D01* -X193069623Y-93685000D01* -X193047885Y-93670475D01* -X192791282Y-93564186D01* -X192518873Y-93510000D01* -X192241127Y-93510000D01* -X191968718Y-93564186D01* -X191712115Y-93670475D01* -X191481178Y-93824782D01* -X191326199Y-93979761D01* -X191326199Y-89849927D01* -X191329639Y-89814999D01* -X191326199Y-89780070D01* -X191326199Y-89780063D01* -X191315908Y-89675579D01* -X191275241Y-89541518D01* -X191209201Y-89417966D01* -X191120326Y-89309672D01* -X191093190Y-89287402D01* -X186511200Y-84705413D01* -X186511200Y-84627511D01* -X186603937Y-84534774D01* -X186717205Y-84365256D01* -X186795226Y-84176898D01* -X186835000Y-83976939D01* -X186835000Y-83773061D01* -X186795226Y-83573102D01* -X186717205Y-83384744D01* -X186603937Y-83215226D01* -X186459774Y-83071063D01* -X186290256Y-82957795D01* -X186101898Y-82879774D01* -X185901939Y-82840000D01* -X185698061Y-82840000D01* -X185498102Y-82879774D01* -X185309744Y-82957795D01* -X185140226Y-83071063D01* -X184996063Y-83215226D01* -X184882795Y-83384744D01* -X184843448Y-83479737D01* -X184809774Y-83446063D01* -X184718148Y-83384841D01* -X187741606Y-80361384D01* -X187768737Y-80339118D01* -X187791004Y-80311986D01* -X187791008Y-80311982D01* -X187857613Y-80230824D01* -X187882243Y-80184744D01* -X187923653Y-80107272D01* -X187964320Y-79973211D01* -X187974611Y-79868727D01* -X187974611Y-79868718D01* -X187978051Y-79833792D01* -X187974611Y-79798866D01* -X187974611Y-74687999D01* -X188148061Y-74722500D01* -X188351939Y-74722500D01* -X188551898Y-74682726D01* -X188740256Y-74604705D01* -X188909774Y-74491437D01* -X189053937Y-74347274D01* -X189167205Y-74177756D01* -X189245226Y-73989398D01* -X189285000Y-73789439D01* -X189285000Y-73585561D01* -X189245226Y-73385602D01* -X189167205Y-73197244D01* -X189053937Y-73027726D01* -X188909774Y-72883563D01* -X188740256Y-72770295D01* -X188551898Y-72692274D01* -X188351939Y-72652500D01* -X188148061Y-72652500D01* -X187974611Y-72687001D01* -X187974611Y-63172866D01* -X187986842Y-63177932D01* -X188273740Y-63235000D01* -X188566260Y-63235000D01* -X188853158Y-63177932D01* -X189123411Y-63065990D01* -X189366632Y-62903475D01* -X189573475Y-62696632D01* -X189690000Y-62522240D01* -X189806525Y-62696632D01* -X190013368Y-62903475D01* -X190256589Y-63065990D01* -X190526842Y-63177932D01* -X190813740Y-63235000D01* -X191106260Y-63235000D01* -X191393158Y-63177932D01* -X191663411Y-63065990D01* -X191906632Y-62903475D01* -X192113475Y-62696632D01* -X192230000Y-62522240D01* -X192346525Y-62696632D01* -X192553368Y-62903475D01* -X192796589Y-63065990D01* -X193066842Y-63177932D01* -X193353740Y-63235000D01* -X193646260Y-63235000D01* -X193933158Y-63177932D01* -X194203411Y-63065990D01* -X194446632Y-62903475D01* -X194653475Y-62696632D01* -X194770000Y-62522240D01* -X194886525Y-62696632D01* -X195093368Y-62903475D01* -X195336589Y-63065990D01* -X195606842Y-63177932D01* -X195893740Y-63235000D01* -X196186260Y-63235000D01* -X196473158Y-63177932D01* -X196743411Y-63065990D01* -X196986632Y-62903475D01* -X197193475Y-62696632D01* -X197310000Y-62522240D01* -X197426525Y-62696632D01* -G04 #@! TA.AperFunction,Conductor* -D33* -G36* -X197426525Y-62696632D02* -G01* -X197633368Y-62903475D01* -X197876589Y-63065990D01* -X198146842Y-63177932D01* -X198433740Y-63235000D01* -X198726260Y-63235000D01* -X199013158Y-63177932D01* -X199283411Y-63065990D01* -X199288801Y-63062389D01* -X199288800Y-93699417D01* -X199101178Y-93824782D01* -X198904782Y-94021178D01* -X198750475Y-94252115D01* -X198730000Y-94301546D01* -X198709525Y-94252115D01* -X198555218Y-94021178D01* -X198358822Y-93824782D01* -X198127885Y-93670475D01* -X197871282Y-93564186D01* -X197598873Y-93510000D01* -X197321127Y-93510000D01* -X197048718Y-93564186D01* -X196792115Y-93670475D01* -X196561178Y-93824782D01* -X196364782Y-94021178D01* -X196210475Y-94252115D01* -X196190000Y-94301546D01* -X196169525Y-94252115D01* -X196015218Y-94021178D01* -X195818822Y-93824782D01* -X195587885Y-93670475D01* -X195331282Y-93564186D01* -X195058873Y-93510000D01* -X194781127Y-93510000D01* -X194508718Y-93564186D01* -X194252115Y-93670475D01* -X194230377Y-93685000D01* -X193069623Y-93685000D01* -X193047885Y-93670475D01* -X192791282Y-93564186D01* -X192518873Y-93510000D01* -X192241127Y-93510000D01* -X191968718Y-93564186D01* -X191712115Y-93670475D01* -X191481178Y-93824782D01* -X191326199Y-93979761D01* -X191326199Y-89849927D01* -X191329639Y-89814999D01* -X191326199Y-89780070D01* -X191326199Y-89780063D01* -X191315908Y-89675579D01* -X191275241Y-89541518D01* -X191209201Y-89417966D01* -X191120326Y-89309672D01* -X191093190Y-89287402D01* -X186511200Y-84705413D01* -X186511200Y-84627511D01* -X186603937Y-84534774D01* -X186717205Y-84365256D01* -X186795226Y-84176898D01* -X186835000Y-83976939D01* -X186835000Y-83773061D01* -X186795226Y-83573102D01* -X186717205Y-83384744D01* -X186603937Y-83215226D01* -X186459774Y-83071063D01* -X186290256Y-82957795D01* -X186101898Y-82879774D01* -X185901939Y-82840000D01* -X185698061Y-82840000D01* -X185498102Y-82879774D01* -X185309744Y-82957795D01* -X185140226Y-83071063D01* -X184996063Y-83215226D01* -X184882795Y-83384744D01* -X184843448Y-83479737D01* -X184809774Y-83446063D01* -X184718148Y-83384841D01* -X187741606Y-80361384D01* -X187768737Y-80339118D01* -X187791004Y-80311986D01* -X187791008Y-80311982D01* -X187857613Y-80230824D01* -X187882243Y-80184744D01* -X187923653Y-80107272D01* -X187964320Y-79973211D01* -X187974611Y-79868727D01* -X187974611Y-79868718D01* -X187978051Y-79833792D01* -X187974611Y-79798866D01* -X187974611Y-74687999D01* -X188148061Y-74722500D01* -X188351939Y-74722500D01* -X188551898Y-74682726D01* -X188740256Y-74604705D01* -X188909774Y-74491437D01* -X189053937Y-74347274D01* -X189167205Y-74177756D01* -X189245226Y-73989398D01* -X189285000Y-73789439D01* -X189285000Y-73585561D01* -X189245226Y-73385602D01* -X189167205Y-73197244D01* -X189053937Y-73027726D01* -X188909774Y-72883563D01* -X188740256Y-72770295D01* -X188551898Y-72692274D01* -X188351939Y-72652500D01* -X188148061Y-72652500D01* -X187974611Y-72687001D01* -X187974611Y-63172866D01* -X187986842Y-63177932D01* -X188273740Y-63235000D01* -X188566260Y-63235000D01* -X188853158Y-63177932D01* -X189123411Y-63065990D01* -X189366632Y-62903475D01* -X189573475Y-62696632D01* -X189690000Y-62522240D01* -X189806525Y-62696632D01* -X190013368Y-62903475D01* -X190256589Y-63065990D01* -X190526842Y-63177932D01* -X190813740Y-63235000D01* -X191106260Y-63235000D01* -X191393158Y-63177932D01* -X191663411Y-63065990D01* -X191906632Y-62903475D01* -X192113475Y-62696632D01* -X192230000Y-62522240D01* -X192346525Y-62696632D01* -X192553368Y-62903475D01* -X192796589Y-63065990D01* -X193066842Y-63177932D01* -X193353740Y-63235000D01* -X193646260Y-63235000D01* -X193933158Y-63177932D01* -X194203411Y-63065990D01* -X194446632Y-62903475D01* -X194653475Y-62696632D01* -X194770000Y-62522240D01* -X194886525Y-62696632D01* -X195093368Y-62903475D01* -X195336589Y-63065990D01* -X195606842Y-63177932D01* -X195893740Y-63235000D01* -X196186260Y-63235000D01* -X196473158Y-63177932D01* -X196743411Y-63065990D01* -X196986632Y-62903475D01* -X197193475Y-62696632D01* -X197310000Y-62522240D01* -X197426525Y-62696632D01* -G37* -G04 #@! TD.AperFunction* -D32* +D30* X176087402Y-51693191D02* X176087401Y-57768988D01* X175866260Y-57725000D01* @@ -10874,7 +10415,7 @@ X167349801Y-43743665D01* X167339510Y-43639181D01* X167298843Y-43505120D01* X167232803Y-43381568D01* -X167211424Y-43355518D01* +X167222300Y-43368770D01* X167166198Y-43300409D01* X167166194Y-43300405D01* X167143928Y-43273274D01* @@ -10884,7 +10425,7 @@ X155546260Y-31485000D01* X155823972Y-31429759D01* X176087402Y-51693191D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X176087402Y-51693191D02* G01* @@ -11068,7 +10609,7 @@ X167349801Y-43743665D01* X167339510Y-43639181D01* X167298843Y-43505120D01* X167232803Y-43381568D01* -X167211424Y-43355518D01* +X167222300Y-43368770D01* X167166198Y-43300409D01* X167166194Y-43300405D01* X167143928Y-43273274D01* @@ -11079,7 +10620,7 @@ X155823972Y-31429759D01* X176087402Y-51693191D01* G37* G04 #@! TD.AperFunction* -D32* +D30* X144392274Y-66301898D02* X144470295Y-66490256D01* X144583563Y-66659774D01* @@ -11095,7 +10636,7 @@ X137911200Y-72624587D01* X144365997Y-66169792D01* X144392274Y-66301898D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X144392274Y-66301898D02* G01* @@ -11114,7 +10655,7 @@ X144365997Y-66169792D01* X144392274Y-66301898D01* G37* G04 #@! TD.AperFunction* -D32* +D30* X231004774Y-34301898D02* X231082795Y-34490256D01* X231196063Y-34659774D01* @@ -11180,7 +10721,7 @@ X230995226Y-34301898D01* X231000000Y-34277897D01* X231004774Y-34301898D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X231004774Y-34301898D02* G01* @@ -11249,7 +10790,7 @@ X231000000Y-34277897D01* X231004774Y-34301898D01* G37* G04 #@! TD.AperFunction* -D32* +D30* X163387401Y-46613189D02* X163387402Y-57768988D01* X163166260Y-57725000D01* @@ -11327,7 +10868,7 @@ X147926260Y-31485000D01* X148203972Y-31429759D01* X163387401Y-46613189D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X163387401Y-46613189D02* G01* @@ -11408,7 +10949,7 @@ X148203972Y-31429759D01* X163387401Y-46613189D01* G37* G04 #@! TD.AperFunction* -D32* +D30* X144086525Y-30946632D02* X144293368Y-31153475D01* X144536589Y-31315990D01* @@ -11527,7 +11068,7 @@ X143853475Y-30946632D01* X143970000Y-30772240D01* X144086525Y-30946632D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X144086525Y-30946632D02* G01* @@ -11649,7 +11190,7 @@ X143970000Y-30772240D01* X144086525Y-30946632D01* G37* G04 #@! TD.AperFunction* -D32* +D30* X225004774Y-34301898D02* X225082795Y-34490256D01* X225196063Y-34659774D01* @@ -11677,7 +11218,7 @@ X224995226Y-34301898D01* X225000000Y-34277897D01* X225004774Y-34301898D01* G04 #@! TA.AperFunction,Conductor* -D33* +D31* G36* X225004774Y-34301898D02* G01* diff --git a/nubus-to-ztex/nubus-to-ztex-B_Mask.gbr b/nubus-to-ztex/nubus-to-ztex-B_Mask.gbr index e3da37f..b608f54 100644 --- a/nubus-to-ztex/nubus-to-ztex-B_Mask.gbr +++ b/nubus-to-ztex/nubus-to-ztex-B_Mask.gbr @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Bot* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* @@ -18,16 +18,15 @@ G04 APERTURE LIST* %ADD14O,1.842000X2.302000*% %ADD15O,1.802000X1.802000*% %ADD16C,2.102000*% -%ADD17C,1.702000*% -%ADD18C,2.952000*% -%ADD19C,1.652000*% -%ADD20C,0.100000*% +%ADD17C,2.952000*% +%ADD18C,1.652000*% +%ADD19C,0.100000*% G04 APERTURE END LIST* D10* X221000000Y-29590000D03* X231000000Y-29590000D03* D11* -X257350000Y-47090000D03* +X269197500Y-89500000D03* D12* X269197500Y-81570000D03* X269197500Y-76570000D03* @@ -154,33 +153,7 @@ X271800000Y-70150000D03* X271800000Y-54450000D03* X266850000Y-69550000D03* X266850000Y-55050000D03* -G36* -G01* -X267701000Y-43480000D02* -X267701000Y-45080000D01* -G75* -G02* -X267650000Y-45131000I-51000J0D01* -G01* -X266050000Y-45131000D01* -G75* -G02* -X265999000Y-45080000I0J51000D01* -G01* -X265999000Y-43480000D01* -G75* -G02* -X266050000Y-43429000I51000J0D01* -G01* -X267650000Y-43429000D01* -G75* -G02* -X267701000Y-43480000I0J-51000D01* -G01* -G37* D17* -X264350000Y-44280000D03* -D18* X205080000Y-102540000D03* X116180000Y-102540000D03* G36* @@ -207,7 +180,7 @@ G02* X200826000Y-99440449I0J-266449D01* G01* G37* -D19* +D18* X197460000Y-100000000D03* X194920000Y-100000000D03* X192380000Y-100000000D03* @@ -304,35 +277,6 @@ X126340000Y-94920000D03* X123800000Y-94920000D03* X121260000Y-94920000D03* D15* -X121900000Y-20800000D03* -X121900000Y-23340000D03* -X121900000Y-25880000D03* -X121900000Y-28420000D03* -X121900000Y-30960000D03* -G36* -G01* -X122801000Y-32650000D02* -X122801000Y-34350000D01* -G75* -G02* -X122750000Y-34401000I-51000J0D01* -G01* -X121050000Y-34401000D01* -G75* -G02* -X120999000Y-34350000I0J51000D01* -G01* -X120999000Y-32650000D01* -G75* -G02* -X121050000Y-32599000I51000J0D01* -G01* -X122750000Y-32599000D01* -G75* -G02* -X122801000Y-32650000I0J-51000D01* -G01* -G37* X208740000Y-59210000D03* X208740000Y-61750000D03* X206200000Y-59210000D03* @@ -420,7 +364,7 @@ G02* X130850000Y-62651000I-51000J0D01* G01* G37* -D20* +D19* G36* X217320990Y-92156150D02* G01* diff --git a/nubus-to-ztex/nubus-to-ztex-B_Paste.gbr b/nubus-to-ztex/nubus-to-ztex-B_Paste.gbr index 01e73e2..46aa37f 100644 --- a/nubus-to-ztex/nubus-to-ztex-B_Paste.gbr +++ b/nubus-to-ztex/nubus-to-ztex-B_Paste.gbr @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Paste,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* diff --git a/nubus-to-ztex/nubus-to-ztex-B_SilkS.gbr b/nubus-to-ztex/nubus-to-ztex-B_SilkS.gbr index 28385e9..f146219 100644 --- a/nubus-to-ztex/nubus-to-ztex-B_SilkS.gbr +++ b/nubus-to-ztex/nubus-to-ztex-B_SilkS.gbr @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Legend,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* diff --git a/nubus-to-ztex/nubus-to-ztex-Edge_Cuts.gbr b/nubus-to-ztex/nubus-to-ztex-Edge_Cuts.gbr index f371165..781da77 100644 --- a/nubus-to-ztex/nubus-to-ztex-Edge_Cuts.gbr +++ b/nubus-to-ztex/nubus-to-ztex-Edge_Cuts.gbr @@ -1,11 +1,11 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* diff --git a/nubus-to-ztex/nubus-to-ztex-F_Cu.gbr b/nubus-to-ztex/nubus-to-ztex-F_Cu.gbr index 77d39e1..5a7223c 100644 --- a/nubus-to-ztex/nubus-to-ztex-F_Cu.gbr +++ b/nubus-to-ztex/nubus-to-ztex-F_Cu.gbr @@ -1,120 +1,120 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L1,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* G04 APERTURE LIST* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD10R,2.240000X1.420010*% +%ADD10R,3.180000X1.270000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD11R,2.340000X1.420010*% +%ADD11R,2.240000X1.420010*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD12R,1.000000X2.750000*% +%ADD12R,2.340000X1.420010*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD13R,1.550000X0.450000*% +%ADD13R,1.000000X2.750000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD14R,0.450000X1.550000*% +%ADD14R,1.550000X0.450000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD15R,0.450000X1.550000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD15C,4.400000*% +%ADD16C,4.400000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD16R,0.800000X1.500000*% +%ADD17R,0.800000X1.500000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD17R,1.500000X2.050000*% +%ADD18R,1.500000X2.050000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD18R,0.450000X1.450000*% +%ADD19R,0.450000X1.450000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD19R,1.450000X0.450000*% +%ADD20R,1.450000X0.450000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD20R,1.570000X0.410000*% +%ADD21R,1.570000X0.410000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD21R,1.900000X1.500000*% +%ADD22R,1.900000X1.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD22C,1.450000*% +%ADD23C,1.450000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD23R,1.350000X0.400000*% +%ADD24R,1.350000X0.400000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD24O,1.900000X1.200000*% +%ADD25O,1.900000X1.200000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD25R,1.900000X1.200000*% +%ADD26R,1.900000X1.200000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD26O,1.740000X2.200000*% +%ADD27O,1.740000X2.200000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD27R,1.700000X1.700000*% +%ADD28R,1.700000X1.700000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD28O,1.700000X1.700000*% +%ADD29O,1.700000X1.700000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD29R,0.650000X1.060000*% +%ADD30R,0.650000X1.060000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD30R,1.060000X0.650000*% +%ADD31R,1.060000X0.650000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD31C,2.000000*% +%ADD32C,2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD32R,1.900000X0.300000*% +%ADD33R,1.900000X0.300000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD33R,1.600000X1.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ComponentPad* -%ADD34C,1.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ComponentPad* -%ADD35C,1.550000*% +%ADD34C,1.550000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD36C,0.800000*% +%ADD35C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD37C,0.250000*% +%ADD36C,0.250000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD38C,0.500000*% +%ADD37C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD39C,0.800000*% +%ADD38C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD40C,0.152400*% +%ADD39C,0.152400*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD41C,0.300000*% +%ADD40C,0.300000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD42C,1.000000*% +%ADD41C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD43C,0.200000*% +%ADD42C,0.200000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD44C,0.650000*% +%ADD43C,0.650000*% +G04 #@! TD* +G04 #@! TA.AperFunction,Conductor* +%ADD44C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* %ADD45C,0.254000*% @@ -123,39 +123,150 @@ G04 #@! TA.AperFunction,Conductor* %ADD46C,0.100000*% G04 #@! TD* G04 APERTURE END LIST* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +G01* +X266487500Y-45505000D02* +X266487500Y-48355000D01* +G75* +G02* +X266237500Y-48605000I-250000J0D01* +G01* +X265512500Y-48605000D01* +G75* +G02* +X265262500Y-48355000I0J250000D01* +G01* +X265262500Y-45505000D01* +G75* +G02* +X265512500Y-45255000I250000J0D01* +G01* +X266237500Y-45255000D01* +G75* +G02* +X266487500Y-45505000I0J-250000D01* +G01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +G01* +X272412500Y-45505000D02* +X272412500Y-48355000D01* +G75* +G02* +X272162500Y-48605000I-250000J0D01* +G01* +X271437500Y-48605000D01* +G75* +G02* +X271187500Y-48355000I0J250000D01* +G01* +X271187500Y-45505000D01* +G75* +G02* +X271437500Y-45255000I250000J0D01* +G01* +X272162500Y-45255000D01* +G75* +G02* +X272412500Y-45505000I0J-250000D01* +G01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +G01* +X270400000Y-50525000D02* +X270400000Y-51475000D01* +G75* +G02* +X270150000Y-51725000I-250000J0D01* +G01* +X269650000Y-51725000D01* +G75* +G02* +X269400000Y-51475000I0J250000D01* +G01* +X269400000Y-50525000D01* +G75* +G02* +X269650000Y-50275000I250000J0D01* +G01* +X270150000Y-50275000D01* +G75* +G02* +X270400000Y-50525000I0J-250000D01* +G01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +G01* +X272300000Y-50525000D02* +X272300000Y-51475000D01* +G75* +G02* +X272050000Y-51725000I-250000J0D01* +G01* +X271550000Y-51725000D01* +G75* +G02* +X271300000Y-51475000I0J250000D01* +G01* +X271300000Y-50525000D01* +G75* +G02* +X271550000Y-50275000I250000J0D01* +G01* +X272050000Y-50275000D01* +G75* +G02* +X272300000Y-50525000I0J-250000D01* +G01* +G37* +G04 #@! TD.AperFunction* D10* +X123136000Y-20828000D03* +X123136000Y-23368000D03* +X123136000Y-25908000D03* +X123136000Y-28448000D03* +X123136000Y-30988000D03* +X123136000Y-33528000D03* +D11* X259000000Y-36890000D03* -D11* -X262861000Y-36890000D03* -D10* -X259000000Y-34350000D03* -D11* -X262861000Y-34350000D03* -D10* -X259000000Y-31810000D03* -D11* -X262861000Y-31810000D03* -D10* -X259000000Y-29270000D03* -D11* -X262861000Y-29270000D03* -D10* -X259000000Y-26730000D03* -D11* -X262861000Y-26730000D03* -D10* -X259000000Y-24190000D03* -D11* -X262861000Y-24190000D03* -D10* -X259000000Y-21650000D03* -D11* -X262861000Y-21650000D03* -D10* -X259000000Y-19110000D03* -D11* -X262861000Y-19110000D03* D12* +X262861000Y-36890000D03* +D11* +X259000000Y-34350000D03* +D12* +X262861000Y-34350000D03* +D11* +X259000000Y-31810000D03* +D12* +X262861000Y-31810000D03* +D11* +X259000000Y-29270000D03* +D12* +X262861000Y-29270000D03* +D11* +X259000000Y-26730000D03* +D12* +X262861000Y-26730000D03* +D11* +X259000000Y-24190000D03* +D12* +X262861000Y-24190000D03* +D11* +X259000000Y-21650000D03* +D12* +X262861000Y-21650000D03* +D11* +X259000000Y-19110000D03* +D12* +X262861000Y-19110000D03* +D13* X220000000Y-31720000D03* X220000000Y-27460000D03* X222000000Y-31720000D03* @@ -222,7 +333,7 @@ X130790000Y-79896250I0J218750D01* G01* G37* G04 #@! TD.AperFunction* -D13* +D14* X135250000Y-77865000D03* X135250000Y-77215000D03* X135250000Y-76565000D03* @@ -295,7 +406,7 @@ X189467621Y-51112953I-218750J0D01* G01* G37* G04 #@! TD.AperFunction* -D14* +D15* X187436371Y-46662953D03* X186786371Y-46662953D03* X186136371Y-46662953D03* @@ -316,8 +427,8 @@ X185486371Y-52262953D03* X186136371Y-52262953D03* X186786371Y-52262953D03* X187436371Y-52262953D03* -D15* -X257350000Y-47090000D03* +D16* +X269197500Y-89500000D03* G04 #@! TA.AperFunction,SMDPad,CuDef* G36* G01* @@ -890,7 +1001,7 @@ X175860439Y-20541035I-218750J0D01* G01* G37* G04 #@! TD.AperFunction* -D14* +D15* X139275000Y-51600000D03* X139925000Y-51600000D03* X140575000Y-51600000D03* @@ -1015,7 +1126,7 @@ X157790000Y-24456250I0J243750D01* G01* G37* G04 #@! TD.AperFunction* -D16* +D17* X153230000Y-10680000D03* X154330000Y-10680000D03* X155430000Y-10680000D03* @@ -1024,7 +1135,7 @@ X157630000Y-10680000D03* X158730000Y-10680000D03* X159830000Y-10680000D03* X160930000Y-10680000D03* -D17* +D18* X149555000Y-8080000D03* X149555000Y-16380000D03* X163305000Y-16380000D03* @@ -1809,7 +1920,7 @@ X182756250Y-72550000I-218750J0D01* G01* G37* G04 #@! TD.AperFunction* -D18* +D19* X182550000Y-81450000D03* X183200000Y-81450000D03* X183850000Y-81450000D03* @@ -1824,7 +1935,7 @@ X184500000Y-75550000D03* X183850000Y-75550000D03* X183200000Y-75550000D03* X182550000Y-75550000D03* -D14* +D15* X162575000Y-81300000D03* X163225000Y-81300000D03* X163875000Y-81300000D03* @@ -1957,7 +2068,7 @@ X118850000Y-84523750I0J-218750D01* G01* G37* G04 #@! TD.AperFunction* -D19* +D20* X113750000Y-86830000D03* X113750000Y-87480000D03* X113750000Y-88130000D03* @@ -2038,7 +2149,7 @@ X140800000Y-88750000D03* X140800000Y-89400000D03* X140800000Y-90050000D03* X140800000Y-90700000D03* -D20* +D21* X248080000Y-55375000D03* X248080000Y-56025000D03* X248080000Y-56675000D03* @@ -2479,24 +2590,24 @@ X119402634Y-50166624I0J218750D01* G01* G37* G04 #@! TD.AperFunction* -D21* -X271897500Y-78070000D03* D22* -X269197500Y-81570000D03* +X271897500Y-78070000D03* D23* +X269197500Y-81570000D03* +D24* X269197500Y-79720000D03* X269197500Y-80370000D03* X269197500Y-77770000D03* X269197500Y-78420000D03* X269197500Y-79070000D03* -D22* +D23* X269197500Y-76570000D03* -D21* +D22* X271897500Y-80070000D03* -D24* +D25* X271897500Y-82570000D03* X271897500Y-75570000D03* -D25* +D26* X271897500Y-76170000D03* X271897500Y-81970000D03* G04 #@! TA.AperFunction,SMDPad,CuDef* @@ -2785,7 +2896,7 @@ X217370000Y-92120001I0J249999D01* G01* G37* G04 #@! TD.AperFunction* -D26* +D27* X220780000Y-91270000D03* X223320000Y-91270000D03* G04 #@! TA.AperFunction,SMDPad,CuDef* @@ -3048,9 +3159,9 @@ X254076250Y-52670000I-218750J0D01* G01* G37* G04 #@! TD.AperFunction* -D27* -X130000000Y-30000000D03* D28* +X130000000Y-30000000D03* +D29* X130000000Y-27460000D03* X132540000Y-30000000D03* X132540000Y-27460000D03* @@ -3374,13 +3485,13 @@ X258320000Y-84526250I0J243750D01* G01* G37* G04 #@! TD.AperFunction* -D29* +D30* X253850000Y-84110000D03* X252900000Y-84110000D03* X251950000Y-84110000D03* X251950000Y-86310000D03* X253850000Y-86310000D03* -D30* +D31* X266500000Y-80305000D03* X266500000Y-79355000D03* X266500000Y-78405000D03* @@ -4115,12 +4226,12 @@ X259090000Y-53353750I0J-243750D01* G01* G37* G04 #@! TD.AperFunction* -D31* +D32* X271800000Y-70150000D03* X271800000Y-54450000D03* X266850000Y-69550000D03* X266850000Y-55050000D03* -D32* +D33* X265950000Y-66550000D03* X265950000Y-66050000D03* X265950000Y-65550000D03* @@ -4140,62 +4251,6 @@ X265950000Y-59050000D03* X265950000Y-58550000D03* X265950000Y-58050000D03* X265950000Y-57550000D03* -D33* -X266850000Y-44280000D03* -D34* -X264350000Y-44280000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X267475000Y-49245000D02* -X267475000Y-51395000D01* -G75* -G02* -X267225000Y-51645000I-250000J0D01* -G01* -X266475000Y-51645000D01* -G75* -G02* -X266225000Y-51395000I0J250000D01* -G01* -X266225000Y-49245000D01* -G75* -G02* -X266475000Y-48995000I250000J0D01* -G01* -X267225000Y-48995000D01* -G75* -G02* -X267475000Y-49245000I0J-250000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X264675000Y-49245000D02* -X264675000Y-51395000D01* -G75* -G02* -X264425000Y-51645000I-250000J0D01* -G01* -X263675000Y-51645000D01* -G75* -G02* -X263425000Y-51395000I0J250000D01* -G01* -X263425000Y-49245000D01* -G75* -G02* -X263675000Y-48995000I250000J0D01* -G01* -X264425000Y-48995000D01* -G75* -G02* -X264675000Y-49245000I0J-250000D01* -G01* -G37* -G04 #@! TD.AperFunction* G04 #@! TA.AperFunction,ComponentPad* G36* G01* @@ -4222,7 +4277,7 @@ X200775000Y-99474998I0J-249998D01* G01* G37* G04 #@! TD.AperFunction* -D35* +D34* X197460000Y-100000000D03* X194920000Y-100000000D03* X192380000Y-100000000D03* @@ -4422,15 +4477,7 @@ X161725000Y-71943750I0J-218750D01* G01* G37* G04 #@! TD.AperFunction* -D28* -X121900000Y-20800000D03* -X121900000Y-23340000D03* -X121900000Y-25880000D03* -X121900000Y-28420000D03* -X121900000Y-30960000D03* -D27* -X121900000Y-33500000D03* -D28* +D29* X208740000Y-59210000D03* X208740000Y-61750000D03* X206200000Y-59210000D03* @@ -4494,9 +4541,11 @@ X135080000Y-61750000D03* X132540000Y-59210000D03* X132540000Y-61750000D03* X130000000Y-59210000D03* -D27* +D28* X130000000Y-61750000D03* -D36* +D35* +X265875000Y-51000000D03* +X222740000Y-36800000D03* X234000000Y-34000000D03* X174500000Y-71000000D03* X194880000Y-35760000D03* @@ -4571,6 +4620,12 @@ X147900000Y-8080000D03* X256000000Y-35315000D03* X256000000Y-14000000D03* X234000000Y-14000000D03* +X125722000Y-33528000D03* +X228670000Y-36800000D03* +X143040000Y-68680000D03* +X268560000Y-51000000D03* +X265875000Y-49425000D03* +X265875000Y-44295000D03* X232000000Y-34000000D03* X161750000Y-73687500D03* X176885673Y-21678535D03* @@ -4622,6 +4677,7 @@ X137965000Y-75700000D03* X132000000Y-76565000D03* X137410000Y-24400000D03* X134880000Y-24400000D03* +X271800000Y-49380000D03* X226000000Y-34000000D03* X228000000Y-34000000D03* X224000000Y-34000000D03* @@ -4642,10 +4698,10 @@ X185110000Y-70590000D03* X183710000Y-70850000D03* X261760000Y-65782500D03* X255920000Y-63320000D03* -D37* +D36* X174500000Y-72112500D02* X174500000Y-71000000D01* -D38* +D37* X232000000Y-27460000D02* X230000000Y-27460000D01* X230000000Y-27460000D02* @@ -4664,24 +4720,24 @@ X220000000Y-27460000D02* X215540000Y-27460000D01* X215540000Y-27460000D02* X208740000Y-27460000D01* -D39* +D38* X223320000Y-95397500D02* X223320000Y-91270000D01* -D40* +D39* X260865000Y-79355000D02* X260860000Y-79360000D01* -D37* +D36* X268000000Y-61030000D02* X268000000Y-59510000D01* X146700000Y-88100000D02* X145400000Y-88100000D01* -D40* +D39* X269197500Y-77770000D02* X268370100Y-77770000D01* -D38* +D37* X255417500Y-89060000D02* X256010000Y-88467500D01* -D37* +D36* X186450000Y-81450000D02* X186450000Y-82550000D01* X183850000Y-75550000D02* @@ -4694,55 +4750,55 @@ X255040000Y-53812500D02* X255040000Y-52877500D01* X264200000Y-67357500D02* X263030000Y-67357500D01* -D40* +D39* X260860000Y-78597500D02* X260860000Y-79360000D01* -D37* +D36* X267980000Y-61050000D02* X268000000Y-61030000D01* X256290000Y-53812500D02* X255040000Y-53812500D01* -D40* +D39* X264300000Y-79355000D02* X262640000Y-79355000D01* -D37* +D36* X138700000Y-89312500D02* X137500000Y-89312500D01* -D38* +D37* X132237500Y-56100000D02* X132237500Y-58907500D01* -D40* +D39* X132237500Y-58907500D02* X132540000Y-59210000D01* -D41* +D40* X246062500Y-59100000D02* X244860000Y-60302500D01* -D37* +D36* X265950000Y-65550000D02* X268000000Y-65550000D01* -D38* +D37* X252262500Y-82035000D02* X252900000Y-82672500D01* -D40* +D39* X182236371Y-52262953D02* X182886371Y-52262953D01* X181586371Y-52262953D02* X182236371Y-52262953D01* -D37* +D36* X181586371Y-46662953D02* X181586371Y-48162953D01* X155425000Y-81300000D02* X155425000Y-79817500D01* -D40* +D39* X155425000Y-79817500D02* X155357500Y-79750000D01* -D38* +D37* X256010000Y-88467500D02* X256010000Y-87920000D01* -D39* +D38* X222717500Y-96000000D02* X223320000Y-95397500D01* -D40* +D39* X182236371Y-46662953D02* X182886371Y-46662953D01* X182236371Y-46662953D02* @@ -4751,177 +4807,174 @@ X139025000Y-47600000D02* X139252400Y-47600000D01* X139925000Y-46927400D02* X139925000Y-46000000D01* -D37* +D36* X113750000Y-90730000D02* X115200000Y-90730000D01* X135250000Y-72015000D02* X135250000Y-70800000D01* X129650000Y-77215000D02* X131100000Y-77215000D01* -D40* +D39* X135250000Y-73965000D02* X135250000Y-73315000D01* X183536371Y-46662953D02* X184186371Y-46662953D01* X182886371Y-46662953D02* X183536371Y-46662953D01* -D37* +D36* X186786371Y-52262953D02* X186786371Y-50762953D01* X268000000Y-65550000D02* X268000000Y-66590000D01* -D40* +D39* X268310000Y-77770000D02* X267720000Y-77180000D01* X194925000Y-79817500D02* X194857500Y-79750000D01* -D37* +D36* X186873871Y-50675453D02* X186786371Y-50762953D01* -D38* +D37* X195740000Y-79750000D02* X196200000Y-80210000D01* -D41* +D40* X253820000Y-58625000D02* X255500000Y-58625000D01* -D37* +D36* X168425000Y-81300000D02* X168425000Y-79817500D01* -D40* +D39* X168425000Y-79817500D02* X168357500Y-79750000D01* -D39* -X264050000Y-50320000D02* -X264050000Y-44580000D01* -D38* +D37* X156820000Y-97460000D02* X158100000Y-96180000D01* -D37* +D36* X167627500Y-58737500D02* X168100000Y-59210000D01* -D40* +D39* X179925000Y-79817500D02* X179857500Y-79750000D01* -D37* +D36* X183850000Y-73462500D02* X183850000Y-73462500D01* X183850000Y-73462500D02* X182500000Y-72112500D01* X131227500Y-77342500D02* X131100000Y-77215000D01* -D40* +D39* X116992500Y-39610000D02* X116992500Y-38158334D01* -D37* +D36* X254395000Y-52232500D02* X253820000Y-52232500D01* X181586371Y-52262953D02* X181586371Y-53962953D01* -D40* +D39* X145125000Y-51600000D02* X145125000Y-50200000D01* X139252400Y-47600000D02* X139012500Y-47587500D01* -D42* +D41* X146660000Y-94920000D02* X146660000Y-93340000D01* -D40* +D39* X182886371Y-52262953D02* X183536371Y-52262953D01* -D37* +D36* X189211371Y-50675453D02* X186873871Y-50675453D01* X158127500Y-21800000D02* X158127500Y-23850000D01* X158127500Y-23850000D02* X158277500Y-24000000D01* -D38* +D37* X158100000Y-96180000D02* X158100000Y-92000000D01* -D40* +D39* X116992500Y-40410000D02* X115670000Y-40410000D01* X116992500Y-40410000D02* X116992500Y-39610000D01* -D38* +D37* X197460000Y-97460000D02* X196685001Y-96685001D01* X196685001Y-96665001D02* X196200000Y-96180000D01* -D37* +D36* X140800000Y-89400000D02* X138787500Y-89400000D01* X131227500Y-79640000D02* X132800000Y-79640000D01* -D40* +D39* X264300000Y-79355000D02* X266500000Y-79355000D01* -D37* +D36* X149555000Y-8080000D02* X147900000Y-8080000D01* X163305000Y-8080000D02* X165000000Y-8080000D01* -D40* +D39* X262640000Y-79355000D02* X260865000Y-79355000D01* -D41* +D40* X246537500Y-58625000D02* X246062500Y-59100000D01* -D37* +D36* X146700000Y-90050000D02* X145300000Y-90050000D01* -D40* +D39* X137700000Y-47587500D02* X139252400Y-47600000D01* -D41* +D40* X253820000Y-61875000D02* X256220000Y-61875000D01* -D37* +D36* X268000000Y-66590000D02* X267232500Y-67357500D01* X158127500Y-21800000D02* X158127500Y-19200000D01* -D40* +D39* X129650000Y-72665000D02* X129650000Y-73315000D01* -D37* +D36* X268000000Y-61050000D02* X268000000Y-61030000D01* X265950000Y-62550000D02* X267990000Y-62550000D01* X149900000Y-72112500D02* X149900000Y-71000000D01* -D40* +D39* X135250000Y-72665000D02* X135250000Y-72015000D01* X135250000Y-73315000D02* X135250000Y-72665000D01* -D38* +D37* X196200000Y-96180000D02* X196200000Y-81092500D01* X194920000Y-97460000D02* X196200000Y-96180000D01* -D40* +D39* X268370100Y-77770000D02* X268320000Y-77770000D01* -D37* +D36* X146700000Y-86800000D02* X148200000Y-86800000D01* X163305000Y-16380000D02* X163305000Y-18100000D01* -D40* +D39* X268370100Y-77770000D02* X268310000Y-77770000D01* -D37* +D36* X189500000Y-72112500D02* X189500000Y-71000000D01* -D40* +D39* X139252400Y-47600000D02* X139925000Y-46927400D01* -D38* +D37* X256010000Y-87920000D02* X256010000Y-86360000D01* -D37* +D36* X113750000Y-87480000D02* X115200000Y-87480000D01* X265950000Y-58050000D02* @@ -4930,41 +4983,41 @@ X256290000Y-53812500D02* X258600000Y-53812500D01* X268000000Y-62560000D02* X268000000Y-61050000D01* -D40* +D39* X196685001Y-96685001D02* X196685001Y-96665001D01* -D37* +D36* X268000000Y-59510000D02* X268000000Y-58050000D01* X171587500Y-33600000D02* X171587500Y-30947500D01* X171587500Y-30947500D02* X170640000Y-30000000D01* -D38* +D37* X159360000Y-97460000D02* X158100000Y-96200000D01* -D40* +D39* X114800000Y-16437500D02* X116300000Y-16437500D01* X129650000Y-72015000D02* X129650000Y-72665000D01* X158100000Y-96200000D02* X158100000Y-96180000D01* -D37* +D36* X255040000Y-52877500D02* X254395000Y-52232500D01* -D38* +D37* X252262500Y-81460000D02* X252262500Y-82035000D01* X196200000Y-80210000D02* X196200000Y-81092500D01* -D41* +D40* X248080000Y-58625000D02* X246537500Y-58625000D01* -D38* +D37* X123800000Y-94920000D02* X123800000Y-93000000D01* -D37* +D36* X131227500Y-79640000D02* X131227500Y-77342500D01* X189211371Y-50675453D02* @@ -4975,10 +5028,6 @@ X186450000Y-82550000D02* X187000000Y-83100000D01* X194925000Y-81300000D02* X194925000Y-79817500D01* -D39* -X264050000Y-44580000D02* -X264350000Y-44280000D01* -D37* X267232500Y-67357500D02* X264200000Y-67357500D01* X265950000Y-59550000D02* @@ -4987,49 +5036,49 @@ X267960000Y-59550000D02* X268000000Y-59510000D01* X167627500Y-55760000D02* X167627500Y-58737500D01* -D38* +D37* X252900000Y-82730000D02* X252900000Y-84110000D01* -D40* +D39* X139012500Y-47587500D02* X139025000Y-47600000D01* -D38* +D37* X252900000Y-82672500D02* X252900000Y-82730000D01* -D37* +D36* X267990000Y-62550000D02* X268000000Y-62560000D01* X268000000Y-65550000D02* X268000000Y-62560000D01* -D40* +D39* X260860000Y-79360000D02* X260860000Y-80112500D01* -D37* +D36* X149555000Y-16380000D02* X149555000Y-18100000D01* -D38* +D37* X194857500Y-79750000D02* X195740000Y-79750000D01* -D37* +D36* X129650000Y-72015000D02* X127900000Y-72015000D01* X118412500Y-84780000D02* X117200000Y-84780000D01* -D40* +D39* X266500000Y-79355000D02* X267730000Y-79355000D01* -D37* +D36* X140800000Y-87450000D02* X139300000Y-87450000D01* -D40* +D39* X138787500Y-89400000D02* X138700000Y-89312500D01* -D37* +D36* X179925000Y-81300000D02* X179925000Y-79817500D01* X158730000Y-10680000D02* X158730000Y-9200000D01* -D38* +D37* X232000000Y-27460000D02* X233260000Y-27460000D01* X234000000Y-28200000D02* @@ -5044,10 +5093,25 @@ X259000000Y-34350000D02* X256965000Y-34350000D01* X262861000Y-34350000D02* X259000000Y-34350000D01* -D40* +D36* +X123136000Y-33528000D02* +X125722000Y-33528000D01* +D38* +X269900000Y-51000000D02* +X268560000Y-51000000D01* +X265875000Y-51000000D02* +X265875000Y-49425000D01* +X268560000Y-51000000D02* +X265875000Y-51000000D01* +X265875000Y-49425000D02* +X265875000Y-46930000D01* +D37* +X265875000Y-46930000D02* +X265875000Y-44295000D01* +D39* X232000000Y-34000000D02* X232000000Y-31720000D01* -D37* +D36* X257550000Y-55387500D02* X258900000Y-55387500D01* X156530000Y-10680000D02* @@ -5058,7 +5122,7 @@ X156552500Y-23850000D02* X156402500Y-24000000D01* X253820000Y-55375000D02* X256277500Y-55375000D01* -D40* +D39* X189500000Y-73687500D02* X188250000Y-73687500D01* X118265134Y-51400000D02* @@ -5071,13 +5135,13 @@ X173162500Y-30017500D02* X173180000Y-30000000D01* X161750000Y-73687500D02* X161287500Y-73225000D01* -D37* +D36* X138700000Y-90887500D02* X137500000Y-90887500D01* -D43* +D42* X248080000Y-57975000D02* X245550000Y-57975000D01* -D40* +D39* X126287500Y-83300000D02* X126287500Y-82100000D01* X139262500Y-46012500D02* @@ -5094,33 +5158,33 @@ X176885673Y-21678535D02* X175604189Y-21678535D01* X178144189Y-21678535D02* X176885673Y-21678535D01* -D37* +D36* X156530000Y-19200000D02* X156530000Y-21777500D01* -D43* +D42* X136727523Y-83900000D02* X136727523Y-83727523D01* -D40* +D39* X174500000Y-73687500D02* X173312500Y-73687500D01* -D37* +D36* X140800000Y-90700000D02* X138887500Y-90700000D01* -D40* +D39* X173180000Y-33582500D02* X173162500Y-33600000D01* -D37* +D36* X256290000Y-55387500D02* X257550000Y-55387500D01* -D40* +D39* X137700000Y-46012500D02* X139262500Y-46012500D01* -D37* +D36* X166052500Y-55760000D02* X166052500Y-58717500D01* X166052500Y-58717500D02* X165560000Y-59210000D01* -D40* +D39* X143812500Y-83200000D02* X143812500Y-84875000D01* X143812500Y-84875000D02* @@ -5139,14 +5203,14 @@ X136400000Y-90887500D02* X135900000Y-90387500D01* X140387500Y-84900000D02* X140387500Y-83700000D01* -D37* +D36* X162575000Y-75700000D02* X162575000Y-74112500D01* X162575000Y-74112500D02* X163000000Y-73687500D01* X174075000Y-75700000D02* X174075000Y-74112500D01* -D40* +D39* X119512500Y-79800000D02* X118300000Y-79800000D01* X182550000Y-73737500D02* @@ -5157,7 +5221,7 @@ X143787500Y-84900000D02* X143787500Y-86100000D01* X118265134Y-52877042D02* X118265134Y-51400000D01* -D37* +D36* X248080000Y-62525000D02* X248080000Y-63750000D01* X174075000Y-74112500D02* @@ -5168,7 +5232,7 @@ X189075000Y-74112500D02* X189500000Y-73687500D01* X258900000Y-55387500D02* X260477500Y-53810000D01* -D40* +D39* X121200000Y-84780000D02* X121200000Y-84100000D01* X121200000Y-84100000D02* @@ -5185,58 +5249,58 @@ X134880000Y-15272793D02* X135455000Y-15272793D01* X135455000Y-15272793D02* X136140000Y-15272793D01* -D37* +D36* X253820000Y-55375000D02* X253820000Y-53807500D01* -D40* +D39* X117822500Y-69150000D02* X116500000Y-69150000D01* -D37* +D36* X173162500Y-33600000D02* X173162500Y-30017500D01* X149575000Y-75700000D02* X149575000Y-74012500D01* X149575000Y-74012500D02* X149900000Y-73687500D01* -D40* +D39* X183200000Y-75550000D02* X183200000Y-74387500D01* X183200000Y-74387500D02* X182500000Y-73687500D01* -D37* +D36* X119650000Y-85117500D02* X119987500Y-84780000D01* X119650000Y-86830000D02* X119650000Y-85117500D01* -D40* +D39* X118265134Y-45400000D02* X118265134Y-43977042D01* -D37* +D36* X156530000Y-10680000D02* X156530000Y-19200000D01* X156530000Y-21777500D02* X156552500Y-21800000D01* X182550000Y-75550000D02* X182550000Y-73737500D01* -D40* +D39* X136140000Y-15272793D02* X137420000Y-15272793D01* -D37* +D36* X189198871Y-52262953D02* X189211371Y-52250453D01* X187436371Y-52262953D02* X189198871Y-52262953D01* X189211371Y-52250453D02* X189211371Y-53562953D01* -D40* +D39* X129652500Y-77867500D02* X129650000Y-77865000D01* -D37* +D36* X129652500Y-79640000D02* X129652500Y-77867500D01* X129652500Y-79640000D02* X129652500Y-81000000D01* -D40* +D39* X180625161Y-85255497D02* X179412661Y-85255497D01* X186587500Y-72500000D02* @@ -5247,7 +5311,7 @@ X188250000Y-73121815D02* X187628185Y-72500000D01* X188250000Y-73687500D02* X188250000Y-73121815D01* -D38* +D37* X259000000Y-36890000D02* X256000000Y-36890000D01* X254000000Y-36890000D02* @@ -5260,7 +5324,7 @@ X253850000Y-86310000D02* X253850000Y-87660000D01* X253850000Y-87660000D02* X253850000Y-89052500D01* -D40* +D39* X248452500Y-84110000D02* X248452500Y-86310000D01* X249227500Y-87660000D02* @@ -5269,10 +5333,10 @@ X248452500Y-86885000D02* X249227500Y-87660000D01* X248452500Y-86310000D02* X248452500Y-86885000D01* -D41* +D40* X246230000Y-61872500D02* X248077500Y-61872500D01* -D40* +D39* X131697842Y-86794591D02* X131697842Y-88000000D01* X129897842Y-86794591D02* @@ -5297,25 +5361,25 @@ X220840000Y-96002500D02* X220842500Y-96000000D01* X220842500Y-91332500D02* X220780000Y-91270000D01* -D44* +D43* X220842500Y-96000000D02* X220842500Y-91332500D01* -D40* +D39* X244865000Y-61872500D02* X244860000Y-61877500D01* -D41* +D40* X246230000Y-61872500D02* X244865000Y-61872500D01* -D44* +D43* X220840000Y-97970000D02* X220840000Y-96002500D01* -D40* +D39* X130362500Y-58847500D02* X130000000Y-59210000D01* -D38* +D37* X130362500Y-56100000D02* X130362500Y-58847500D01* -D40* +D39* X163277500Y-76798100D02* X163277500Y-75845700D01* X163020000Y-61750000D02* @@ -6298,19 +6362,21 @@ X166452500Y-72613830D02* X177181399Y-61884931D01* X166452500Y-75845700D02* X166452500Y-72613830D01* -D41* +D40* X271897500Y-81970000D02* X271897500Y-80070000D01* X271897500Y-80070000D02* X271897500Y-78070000D01* X271897500Y-78070000D02* X271897500Y-76170000D01* +D44* +X271800000Y-54450000D02* +X271800000Y-51000000D01* +X271800000Y-46930000D02* +X271800000Y-49380000D01* +X271800000Y-49380000D02* +X271800000Y-51000000D01* D39* -X266850000Y-55050000D02* -X266850000Y-50320000D01* -X266850000Y-50320000D02* -X266850000Y-44280000D01* -D40* X208715000Y-55375000D02* X183340000Y-30000000D01* X248080000Y-55375000D02* @@ -8717,48 +8783,46 @@ X210430315Y-37749493D02* X210472201Y-37802016D01* X262433128Y-57897600D02* X264177399Y-57897600D01* -X134945100Y-27325100D02* -X135080000Y-27460000D01* -X130960000Y-23340000D02* -X135080000Y-27460000D01* -X121900000Y-23340000D02* -X130960000Y-23340000D01* -X121530000Y-39632500D02* -X122307500Y-40410000D01* -X121900000Y-23340000D02* -X120140000Y-25100000D01* -X121530000Y-36690000D02* -X121530000Y-39632500D01* -X120140000Y-25100000D02* -X120140000Y-35300000D01* -X120140000Y-35300000D02* -X121530000Y-36690000D01* +X130988000Y-23368000D02* +X123136000Y-23368000D01* +X135080000Y-27460000D02* +X130988000Y-23368000D01* +X122307500Y-40410000D02* +X121550000Y-39652500D01* +X121550000Y-39652500D02* +X121550000Y-36610000D01* +X121550000Y-36610000D02* +X120300000Y-35360000D01* +X120300000Y-35360000D02* +X120300000Y-24280000D01* +X121212000Y-23368000D02* +X123136000Y-23368000D01* +X120300000Y-24280000D02* +X121212000Y-23368000D01* X120195000Y-38158334D02* X118867500Y-38158334D01* X120732500Y-38158334D02* X120195000Y-38158334D01* X114800000Y-14562500D02* X114800000Y-12787500D01* -X134945000Y-29865000D02* -X135080000Y-30000000D01* -X134001100Y-28921100D02* -X135080000Y-30000000D01* -X126020000Y-28921100D02* -X134001100Y-28921100D01* -X122978900Y-25880000D02* -X126020000Y-28921100D01* -X121900000Y-25880000D02* -X122978900Y-25880000D01* -X122307500Y-38158334D02* -X122307500Y-36627500D01* -X121050001Y-26729999D02* -X121900000Y-25880000D01* -X122307500Y-36627500D02* -X120480000Y-34800000D01* -X120480000Y-34800000D02* -X120480000Y-27300000D01* -X120480000Y-27300000D02* -X121050001Y-26729999D01* +X135080000Y-30000000D02* +X133780000Y-28700000D01* +X133780000Y-28700000D02* +X133780000Y-26730000D01* +X132958000Y-25908000D02* +X123136000Y-25908000D01* +X133780000Y-26730000D02* +X132958000Y-25908000D01* +X121393600Y-25908000D02* +X120770000Y-26531600D01* +X123136000Y-25908000D02* +X121393600Y-25908000D01* +X120770000Y-26531600D02* +X120770000Y-35240000D01* +X122307500Y-36777500D02* +X122307500Y-38158334D01* +X120770000Y-35240000D02* +X122307500Y-36777500D01* X250027500Y-84110000D02* X251950000Y-84110000D01* X264300000Y-76562500D02* @@ -9023,7 +9087,7 @@ X205465450Y-63957047D02* X205512953Y-64004550D01* X209685538Y-64070000D02* X224823128Y-79207590D01* -D38* +D37* X253850000Y-81472500D02* X253837500Y-81460000D01* X253850000Y-84110000D02* @@ -9036,7 +9100,7 @@ X258810000Y-84072500D02* X258807500Y-84070000D01* X258810000Y-86360000D02* X258810000Y-84072500D01* -D41* +D40* X268370100Y-80370000D02* X267980000Y-80760100D01* X269197500Y-80370000D02* @@ -9047,7 +9111,7 @@ X267980000Y-81590000D02* X265500000Y-84070000D01* X265500000Y-84070000D02* X258807500Y-84070000D01* -D40* +D39* X253505083Y-63948601D02* X248831482Y-59275000D01* X248831482Y-59275000D02* @@ -10128,7 +10192,7 @@ X235650000Y-22920000D02* X234471521Y-21741521D01* X234471521Y-21741521D02* X189058479Y-21741521D01* -D37* +D36* X265950000Y-66050000D02* X264500000Y-66050000D01* X264232500Y-65782500D02* @@ -10343,6 +10407,41 @@ X256444502Y-89779180D01* X256480812Y-89659482D01* X256493072Y-89535000D01* X256490000Y-89345750D01* +X256365027Y-89220777D01* +X266362500Y-89220777D01* +X266362500Y-89779223D01* +X266471448Y-90326939D01* +X266685156Y-90842876D01* +X266995412Y-91307207D01* +X267390293Y-91702088D01* +X267854624Y-92012344D01* +X268370561Y-92226052D01* +X268918277Y-92335000D01* +X269476723Y-92335000D01* +X270024439Y-92226052D01* +X270540376Y-92012344D01* +X271004707Y-91702088D01* +X271399588Y-91307207D01* +X271709844Y-90842876D01* +X271923552Y-90326939D01* +X272032500Y-89779223D01* +X272032500Y-89220777D01* +X271923552Y-88673061D01* +X271709844Y-88157124D01* +X271399588Y-87692793D01* +X271004707Y-87297912D01* +X270540376Y-86987656D01* +X270024439Y-86773948D01* +X269476723Y-86665000D01* +X268918277Y-86665000D01* +X268370561Y-86773948D01* +X267854624Y-86987656D01* +X267390293Y-87297912D01* +X266995412Y-87692793D01* +X266685156Y-88157124D01* +X266471448Y-88673061D01* +X266362500Y-89220777D01* +X256365027Y-89220777D01* X256331250Y-89187000D01* X255544500Y-89187000D01* X255290500Y-89187000D01* @@ -11952,6 +12051,41 @@ X256444502Y-89779180D01* X256480812Y-89659482D01* X256493072Y-89535000D01* X256490000Y-89345750D01* +X256365027Y-89220777D01* +X266362500Y-89220777D01* +X266362500Y-89779223D01* +X266471448Y-90326939D01* +X266685156Y-90842876D01* +X266995412Y-91307207D01* +X267390293Y-91702088D01* +X267854624Y-92012344D01* +X268370561Y-92226052D01* +X268918277Y-92335000D01* +X269476723Y-92335000D01* +X270024439Y-92226052D01* +X270540376Y-92012344D01* +X271004707Y-91702088D01* +X271399588Y-91307207D01* +X271709844Y-90842876D01* +X271923552Y-90326939D01* +X272032500Y-89779223D01* +X272032500Y-89220777D01* +X271923552Y-88673061D01* +X271709844Y-88157124D01* +X271399588Y-87692793D01* +X271004707Y-87297912D01* +X270540376Y-86987656D01* +X270024439Y-86773948D01* +X269476723Y-86665000D01* +X268918277Y-86665000D01* +X268370561Y-86773948D01* +X267854624Y-86987656D01* +X267390293Y-87297912D01* +X266995412Y-87692793D01* +X266685156Y-88157124D01* +X266471448Y-88673061D01* +X266362500Y-89220777D01* +X256365027Y-89220777D01* X256331250Y-89187000D01* X255544500Y-89187000D01* X255290500Y-89187000D01* @@ -13484,71 +13618,6 @@ X158110475Y-95587885D01* G37* G04 #@! TD.AperFunction* D45* -X126533748Y-97445858D02* -X126519605Y-97460000D01* -X126657818Y-97598213D01* -X126683359Y-97682411D01* -X126749399Y-97805963D01* -X126838274Y-97914257D01* -X126865411Y-97936528D01* -X127870678Y-98941797D01* -X127892944Y-98968928D01* -X127906174Y-98979786D01* -X127784782Y-99101178D01* -X127630475Y-99332115D01* -X127610000Y-99381546D01* -X127589525Y-99332115D01* -X127435218Y-99101178D01* -X127238822Y-98904782D01* -X127007885Y-98750475D01* -X126962798Y-98731799D01* -X127066690Y-98676268D01* -X127135244Y-98434849D01* -X126340000Y-97639605D01* -X126325858Y-97653748D01* -X126146253Y-97474143D01* -X126160395Y-97460000D01* -X126146253Y-97445858D01* -X126325858Y-97266253D01* -X126340000Y-97280395D01* -X126354143Y-97266253D01* -X126533748Y-97445858D01* -G04 #@! TA.AperFunction,Conductor* -D46* -G36* -X126533748Y-97445858D02* -G01* -X126519605Y-97460000D01* -X126657818Y-97598213D01* -X126683359Y-97682411D01* -X126749399Y-97805963D01* -X126838274Y-97914257D01* -X126865411Y-97936528D01* -X127870678Y-98941797D01* -X127892944Y-98968928D01* -X127906174Y-98979786D01* -X127784782Y-99101178D01* -X127630475Y-99332115D01* -X127610000Y-99381546D01* -X127589525Y-99332115D01* -X127435218Y-99101178D01* -X127238822Y-98904782D01* -X127007885Y-98750475D01* -X126962798Y-98731799D01* -X127066690Y-98676268D01* -X127135244Y-98434849D01* -X126340000Y-97639605D01* -X126325858Y-97653748D01* -X126146253Y-97474143D01* -X126160395Y-97460000D01* -X126146253Y-97445858D01* -X126325858Y-97266253D01* -X126340000Y-97280395D01* -X126354143Y-97266253D01* -X126533748Y-97445858D01* -G37* -G04 #@! TD.AperFunction* -D45* X198750475Y-95587885D02* X198904782Y-95818822D01* X199101178Y-96015218D01* @@ -13816,69 +13885,101 @@ X198750475Y-95587885D01* G37* G04 #@! TD.AperFunction* D45* -X149393748Y-97445858D02* -X149379605Y-97460000D01* -X149393748Y-97474143D01* -X149214143Y-97653748D01* -X149200000Y-97639605D01* -X149185858Y-97653748D01* -X149006253Y-97474143D01* -X149020395Y-97460000D01* -X149006253Y-97445858D01* -X149185858Y-97266253D01* -X149200000Y-97280395D01* -X149214143Y-97266253D01* -X149393748Y-97445858D01* +X126533748Y-97445858D02* +X126519605Y-97460000D01* +X126657818Y-97598213D01* +X126683359Y-97682411D01* +X126749399Y-97805963D01* +X126838274Y-97914257D01* +X126865411Y-97936528D01* +X127870678Y-98941797D01* +X127892944Y-98968928D01* +X127906174Y-98979786D01* +X127784782Y-99101178D01* +X127630475Y-99332115D01* +X127610000Y-99381546D01* +X127589525Y-99332115D01* +X127435218Y-99101178D01* +X127238822Y-98904782D01* +X127007885Y-98750475D01* +X126962798Y-98731799D01* +X127066690Y-98676268D01* +X127135244Y-98434849D01* +X126340000Y-97639605D01* +X126325858Y-97653748D01* +X126146253Y-97474143D01* +X126160395Y-97460000D01* +X126146253Y-97445858D01* +X126325858Y-97266253D01* +X126340000Y-97280395D01* +X126354143Y-97266253D01* +X126533748Y-97445858D01* G04 #@! TA.AperFunction,Conductor* D46* G36* -X149393748Y-97445858D02* +X126533748Y-97445858D02* G01* -X149379605Y-97460000D01* -X149393748Y-97474143D01* -X149214143Y-97653748D01* -X149200000Y-97639605D01* -X149185858Y-97653748D01* -X149006253Y-97474143D01* -X149020395Y-97460000D01* -X149006253Y-97445858D01* -X149185858Y-97266253D01* -X149200000Y-97280395D01* -X149214143Y-97266253D01* -X149393748Y-97445858D01* +X126519605Y-97460000D01* +X126657818Y-97598213D01* +X126683359Y-97682411D01* +X126749399Y-97805963D01* +X126838274Y-97914257D01* +X126865411Y-97936528D01* +X127870678Y-98941797D01* +X127892944Y-98968928D01* +X127906174Y-98979786D01* +X127784782Y-99101178D01* +X127630475Y-99332115D01* +X127610000Y-99381546D01* +X127589525Y-99332115D01* +X127435218Y-99101178D01* +X127238822Y-98904782D01* +X127007885Y-98750475D01* +X126962798Y-98731799D01* +X127066690Y-98676268D01* +X127135244Y-98434849D01* +X126340000Y-97639605D01* +X126325858Y-97653748D01* +X126146253Y-97474143D01* +X126160395Y-97460000D01* +X126146253Y-97445858D01* +X126325858Y-97266253D01* +X126340000Y-97280395D01* +X126354143Y-97266253D01* +X126533748Y-97445858D01* G37* G04 #@! TD.AperFunction* D45* -X154473748Y-97445858D02* -X154459605Y-97460000D01* -X154473748Y-97474143D01* -X154294143Y-97653748D01* -X154280000Y-97639605D01* -X154265858Y-97653748D01* -X154086253Y-97474143D01* -X154100395Y-97460000D01* -X154086253Y-97445858D01* -X154265858Y-97266253D01* -X154280000Y-97280395D01* -X154294143Y-97266253D01* -X154473748Y-97445858D01* +X164633748Y-97445858D02* +X164619605Y-97460000D01* +X164633748Y-97474143D01* +X164454143Y-97653748D01* +X164440000Y-97639605D01* +X164425858Y-97653748D01* +X164246253Y-97474143D01* +X164260395Y-97460000D01* +X164246253Y-97445858D01* +X164425858Y-97266253D01* +X164440000Y-97280395D01* +X164454143Y-97266253D01* +X164633748Y-97445858D01* G04 #@! TA.AperFunction,Conductor* D46* G36* -X154473748Y-97445858D02* +X164633748Y-97445858D02* G01* -X154459605Y-97460000D01* -X154473748Y-97474143D01* -X154294143Y-97653748D01* -X154280000Y-97639605D01* -X154265858Y-97653748D01* -X154086253Y-97474143D01* -X154100395Y-97460000D01* -X154086253Y-97445858D01* -X154265858Y-97266253D01* -X154280000Y-97280395D01* -X154294143Y-97266253D01* -X154473748Y-97445858D01* +X164619605Y-97460000D01* +X164633748Y-97474143D01* +X164454143Y-97653748D01* +X164440000Y-97639605D01* +X164425858Y-97653748D01* +X164246253Y-97474143D01* +X164260395Y-97460000D01* +X164246253Y-97445858D01* +X164425858Y-97266253D01* +X164440000Y-97280395D01* +X164454143Y-97266253D01* +X164633748Y-97445858D01* G37* G04 #@! TD.AperFunction* D45* @@ -13915,69 +14016,135 @@ X123993748Y-97445858D01* G37* G04 #@! TD.AperFunction* D45* -X162093748Y-97445858D02* -X162079605Y-97460000D01* -X162093748Y-97474143D01* -X161914143Y-97653748D01* -X161900000Y-97639605D01* -X161885858Y-97653748D01* -X161706253Y-97474143D01* -X161720395Y-97460000D01* -X161706253Y-97445858D01* -X161885858Y-97266253D01* -X161900000Y-97280395D01* -X161914143Y-97266253D01* -X162093748Y-97445858D01* +X154473748Y-97445858D02* +X154459605Y-97460000D01* +X154473748Y-97474143D01* +X154294143Y-97653748D01* +X154280000Y-97639605D01* +X154265858Y-97653748D01* +X154086253Y-97474143D01* +X154100395Y-97460000D01* +X154086253Y-97445858D01* +X154265858Y-97266253D01* +X154280000Y-97280395D01* +X154294143Y-97266253D01* +X154473748Y-97445858D01* G04 #@! TA.AperFunction,Conductor* D46* G36* -X162093748Y-97445858D02* +X154473748Y-97445858D02* G01* -X162079605Y-97460000D01* -X162093748Y-97474143D01* -X161914143Y-97653748D01* -X161900000Y-97639605D01* -X161885858Y-97653748D01* -X161706253Y-97474143D01* -X161720395Y-97460000D01* -X161706253Y-97445858D01* -X161885858Y-97266253D01* -X161900000Y-97280395D01* -X161914143Y-97266253D01* -X162093748Y-97445858D01* +X154459605Y-97460000D01* +X154473748Y-97474143D01* +X154294143Y-97653748D01* +X154280000Y-97639605D01* +X154265858Y-97653748D01* +X154086253Y-97474143D01* +X154100395Y-97460000D01* +X154086253Y-97445858D01* +X154265858Y-97266253D01* +X154280000Y-97280395D01* +X154294143Y-97266253D01* +X154473748Y-97445858D01* G37* G04 #@! TD.AperFunction* D45* -X164633748Y-97445858D02* -X164619605Y-97460000D01* -X164633748Y-97474143D01* -X164454143Y-97653748D01* -X164440000Y-97639605D01* -X164425858Y-97653748D01* -X164246253Y-97474143D01* -X164260395Y-97460000D01* -X164246253Y-97445858D01* -X164425858Y-97266253D01* -X164440000Y-97280395D01* -X164454143Y-97266253D01* -X164633748Y-97445858D01* +X151933748Y-97445858D02* +X151919605Y-97460000D01* +X151933748Y-97474143D01* +X151754143Y-97653748D01* +X151740000Y-97639605D01* +X151725858Y-97653748D01* +X151546253Y-97474143D01* +X151560395Y-97460000D01* +X151546253Y-97445858D01* +X151725858Y-97266253D01* +X151740000Y-97280395D01* +X151754143Y-97266253D01* +X151933748Y-97445858D01* G04 #@! TA.AperFunction,Conductor* D46* G36* -X164633748Y-97445858D02* +X151933748Y-97445858D02* G01* -X164619605Y-97460000D01* -X164633748Y-97474143D01* -X164454143Y-97653748D01* -X164440000Y-97639605D01* -X164425858Y-97653748D01* -X164246253Y-97474143D01* -X164260395Y-97460000D01* -X164246253Y-97445858D01* -X164425858Y-97266253D01* -X164440000Y-97280395D01* -X164454143Y-97266253D01* -X164633748Y-97445858D01* +X151919605Y-97460000D01* +X151933748Y-97474143D01* +X151754143Y-97653748D01* +X151740000Y-97639605D01* +X151725858Y-97653748D01* +X151546253Y-97474143D01* +X151560395Y-97460000D01* +X151546253Y-97445858D01* +X151725858Y-97266253D01* +X151740000Y-97280395D01* +X151754143Y-97266253D01* +X151933748Y-97445858D01* +G37* +G04 #@! TD.AperFunction* +D45* +X149393748Y-97445858D02* +X149379605Y-97460000D01* +X149393748Y-97474143D01* +X149214143Y-97653748D01* +X149200000Y-97639605D01* +X149185858Y-97653748D01* +X149006253Y-97474143D01* +X149020395Y-97460000D01* +X149006253Y-97445858D01* +X149185858Y-97266253D01* +X149200000Y-97280395D01* +X149214143Y-97266253D01* +X149393748Y-97445858D01* +G04 #@! TA.AperFunction,Conductor* +D46* +G36* +X149393748Y-97445858D02* +G01* +X149379605Y-97460000D01* +X149393748Y-97474143D01* +X149214143Y-97653748D01* +X149200000Y-97639605D01* +X149185858Y-97653748D01* +X149006253Y-97474143D01* +X149020395Y-97460000D01* +X149006253Y-97445858D01* +X149185858Y-97266253D01* +X149200000Y-97280395D01* +X149214143Y-97266253D01* +X149393748Y-97445858D01* +G37* +G04 #@! TD.AperFunction* +D45* +X162093748Y-97445858D02* +X162079605Y-97460000D01* +X162093748Y-97474143D01* +X161914143Y-97653748D01* +X161900000Y-97639605D01* +X161885858Y-97653748D01* +X161706253Y-97474143D01* +X161720395Y-97460000D01* +X161706253Y-97445858D01* +X161885858Y-97266253D01* +X161900000Y-97280395D01* +X161914143Y-97266253D01* +X162093748Y-97445858D01* +G04 #@! TA.AperFunction,Conductor* +D46* +G36* +X162093748Y-97445858D02* +G01* +X162079605Y-97460000D01* +X162093748Y-97474143D01* +X161914143Y-97653748D01* +X161900000Y-97639605D01* +X161885858Y-97653748D01* +X161706253Y-97474143D01* +X161720395Y-97460000D01* +X161706253Y-97445858D01* +X161885858Y-97266253D01* +X161900000Y-97280395D01* +X161914143Y-97266253D01* +X162093748Y-97445858D01* G37* G04 #@! TD.AperFunction* D45* @@ -14080,39 +14247,6 @@ X172253748Y-97445858D01* G37* G04 #@! TD.AperFunction* D45* -X151933748Y-97445858D02* -X151919605Y-97460000D01* -X151933748Y-97474143D01* -X151754143Y-97653748D01* -X151740000Y-97639605D01* -X151725858Y-97653748D01* -X151546253Y-97474143D01* -X151560395Y-97460000D01* -X151546253Y-97445858D01* -X151725858Y-97266253D01* -X151740000Y-97280395D01* -X151754143Y-97266253D01* -X151933748Y-97445858D01* -G04 #@! TA.AperFunction,Conductor* -D46* -G36* -X151933748Y-97445858D02* -G01* -X151919605Y-97460000D01* -X151933748Y-97474143D01* -X151754143Y-97653748D01* -X151740000Y-97639605D01* -X151725858Y-97653748D01* -X151546253Y-97474143D01* -X151560395Y-97460000D01* -X151546253Y-97445858D01* -X151725858Y-97266253D01* -X151740000Y-97280395D01* -X151754143Y-97266253D01* -X151933748Y-97445858D01* -G37* -G04 #@! TD.AperFunction* -D45* X127049992Y-87341233D02* X127129171Y-87489366D01* X127168983Y-87537878D01* @@ -15373,13 +15507,51 @@ X262430723Y-13736612D01* X262465123Y-13740000D01* X272085909Y-13740000D01* X272620001Y-14274092D01* -X272620001Y-53031509D01* -X272574463Y-53001082D01* -X272276912Y-52877832D01* -X271961033Y-52815000D01* -X271638967Y-52815000D01* -X271323088Y-52877832D01* -X271025537Y-53001082D01* +X272620001Y-44747414D01* +X272502350Y-44684528D01* +X272335754Y-44633992D01* +X272162500Y-44616928D01* +X271437500Y-44616928D01* +X271264246Y-44633992D01* +X271097650Y-44684528D01* +X270944114Y-44766595D01* +X270809538Y-44877038D01* +X270699095Y-45011614D01* +X270617028Y-45165150D01* +X270566492Y-45331746D01* +X270549428Y-45505000D01* +X270549428Y-48355000D01* +X270566492Y-48528254D01* +X270617028Y-48694850D01* +X270699095Y-48848386D01* +X270809538Y-48982962D01* +X270835393Y-49004181D01* +X270804774Y-49078102D01* +X270765000Y-49278061D01* +X270765000Y-49481939D01* +X270804774Y-49681898D01* +X270865000Y-49827296D01* +X270865000Y-49840649D01* +X270851185Y-49823815D01* +X270754494Y-49744463D01* +X270644180Y-49685498D01* +X270524482Y-49649188D01* +X270400000Y-49636928D01* +X270185750Y-49640000D01* +X270027000Y-49798750D01* +X270027000Y-50873000D01* +X270047000Y-50873000D01* +X270047000Y-51127000D01* +X270027000Y-51127000D01* +X270027000Y-52201250D01* +X270185750Y-52360000D01* +X270400000Y-52363072D01* +X270524482Y-52350812D01* +X270644180Y-52314502D01* +X270754494Y-52255537D01* +X270851185Y-52176185D01* +X270865001Y-52159351D01* +X270865000Y-53108349D01* X270757748Y-53180013D01* X270530013Y-53407748D01* X270351082Y-53675537D01* @@ -16987,6 +17159,41 @@ X260893285Y-55131128D01* X261058709Y-55080947D01* X261211164Y-54999458D01* X261344792Y-54889792D01* +X261345469Y-54888967D01* +X265215000Y-54888967D01* +X265215000Y-55211033D01* +X265277832Y-55526912D01* +X265401082Y-55824463D01* +X265580013Y-56092252D01* +X265807748Y-56319987D01* +X266075537Y-56498918D01* +X266373088Y-56622168D01* +X266688967Y-56685000D01* +X267011033Y-56685000D01* +X267326912Y-56622168D01* +X267624463Y-56498918D01* +X267892252Y-56319987D01* +X268119987Y-56092252D01* +X268298918Y-55824463D01* +X268422168Y-55526912D01* +X268485000Y-55211033D01* +X268485000Y-54888967D01* +X268422168Y-54573088D01* +X268298918Y-54275537D01* +X268119987Y-54007748D01* +X267892252Y-53780013D01* +X267624463Y-53601082D01* +X267326912Y-53477832D01* +X267011033Y-53415000D01* +X266688967Y-53415000D01* +X266373088Y-53477832D01* +X266075537Y-53601082D01* +X265807748Y-53780013D01* +X265580013Y-54007748D01* +X265401082Y-54275537D01* +X265277832Y-54573088D01* +X265215000Y-54888967D01* +X261345469Y-54888967D01* X261454458Y-54756164D01* X261535947Y-54603709D01* X261586128Y-54438285D01* @@ -17143,40 +17350,24 @@ X253947000Y-52105500D01* X254771250Y-52105500D01* X254930000Y-51946750D01* X254933072Y-51795000D01* +X254926178Y-51725000D01* +X268761928Y-51725000D01* +X268774188Y-51849482D01* +X268810498Y-51969180D01* +X268869463Y-52079494D01* +X268948815Y-52176185D01* +X269045506Y-52255537D01* +X269155820Y-52314502D01* +X269275518Y-52350812D01* +X269400000Y-52363072D01* +X269614250Y-52360000D01* +X269773000Y-52201250D01* +X269773000Y-51127000D01* +X268923750Y-51127000D01* +X268765000Y-51285750D01* +X268761928Y-51725000D01* +X254926178Y-51725000D01* X254920812Y-51670518D01* -X254913072Y-51645000D01* -X262786928Y-51645000D01* -X262799188Y-51769482D01* -X262835498Y-51889180D01* -X262894463Y-51999494D01* -X262973815Y-52096185D01* -X263070506Y-52175537D01* -X263180820Y-52234502D01* -X263300518Y-52270812D01* -X263425000Y-52283072D01* -X263764250Y-52280000D01* -X263923000Y-52121250D01* -X263923000Y-50447000D01* -X264177000Y-50447000D01* -X264177000Y-52121250D01* -X264335750Y-52280000D01* -X264675000Y-52283072D01* -X264799482Y-52270812D01* -X264919180Y-52234502D01* -X265029494Y-52175537D01* -X265126185Y-52096185D01* -X265205537Y-51999494D01* -X265264502Y-51889180D01* -X265300812Y-51769482D01* -X265313072Y-51645000D01* -X265310000Y-50605750D01* -X265151250Y-50447000D01* -X264177000Y-50447000D01* -X263923000Y-50447000D01* -X262948750Y-50447000D01* -X262790000Y-50605750D01* -X262786928Y-51645000D01* -X254913072Y-51645000D01* X254884502Y-51550820D01* X254825537Y-51440506D01* X254746185Y-51343815D01* @@ -17206,202 +17397,89 @@ X249908054Y-51636400D01* X249873128Y-51632960D01* X249838202Y-51636400D01* X224193241Y-51636400D01* -X219367618Y-46810777D01* -X254515000Y-46810777D01* -X254515000Y-47369223D01* -X254623948Y-47916939D01* -X254837656Y-48432876D01* -X255147912Y-48897207D01* -X255542793Y-49292088D01* -X256007124Y-49602344D01* -X256523061Y-49816052D01* -X257070777Y-49925000D01* -X257629223Y-49925000D01* -X258176939Y-49816052D01* -X258692876Y-49602344D01* -X259157207Y-49292088D01* -X259454295Y-48995000D01* -X262786928Y-48995000D01* -X262790000Y-50034250D01* -X262948750Y-50193000D01* -X263923000Y-50193000D01* -X263923000Y-48518750D01* -X264177000Y-48518750D01* -X264177000Y-50193000D01* -X265151250Y-50193000D01* -X265310000Y-50034250D01* -X265313072Y-48995000D01* -X265300812Y-48870518D01* -X265264502Y-48750820D01* -X265205537Y-48640506D01* -X265126185Y-48543815D01* -X265029494Y-48464463D01* -X264919180Y-48405498D01* -X264799482Y-48369188D01* -X264675000Y-48356928D01* -X264335750Y-48360000D01* -X264177000Y-48518750D01* -X263923000Y-48518750D01* -X263764250Y-48360000D01* -X263425000Y-48356928D01* -X263300518Y-48369188D01* -X263180820Y-48405498D01* -X263070506Y-48464463D01* -X262973815Y-48543815D01* -X262894463Y-48640506D01* -X262835498Y-48750820D01* -X262799188Y-48870518D01* -X262786928Y-48995000D01* -X259454295Y-48995000D01* -X259552088Y-48897207D01* -X259862344Y-48432876D01* -X260076052Y-47916939D01* -X260185000Y-47369223D01* -X260185000Y-46810777D01* -X260076052Y-46263061D01* -X259862344Y-45747124D01* -X259552088Y-45282793D01* -X259541997Y-45272702D01* -X263536903Y-45272702D01* -X263608486Y-45516671D01* -X263863996Y-45637571D01* -X264138184Y-45706300D01* -X264420512Y-45720217D01* -X264700130Y-45678787D01* -X264966292Y-45583603D01* -X265091514Y-45516671D01* -X265163097Y-45272702D01* -X264350000Y-44459605D01* -X263536903Y-45272702D01* -X259541997Y-45272702D01* -X259157207Y-44887912D01* -X258692876Y-44577656D01* -X258176939Y-44363948D01* -X258109392Y-44350512D01* -X262909783Y-44350512D01* -X262951213Y-44630130D01* -X263046397Y-44896292D01* -X263113329Y-45021514D01* -X263357298Y-45093097D01* -X264170395Y-44280000D01* -X264529605Y-44280000D01* -X265342702Y-45093097D01* -X265411928Y-45072785D01* -X265411928Y-45080000D01* -X265424188Y-45204482D01* -X265460498Y-45324180D01* -X265519463Y-45434494D01* -X265598815Y-45531185D01* -X265695506Y-45610537D01* -X265805820Y-45669502D01* -X265815001Y-45672287D01* -X265815000Y-48656076D01* -X265736595Y-48751614D01* -X265654528Y-48905150D01* -X265603992Y-49071746D01* -X265586928Y-49245000D01* -X265586928Y-51395000D01* -X265603992Y-51568254D01* -X265654528Y-51734850D01* -X265736595Y-51888386D01* -X265815001Y-51983924D01* -X265815000Y-53775167D01* -X265807748Y-53780013D01* -X265580013Y-54007748D01* -X265401082Y-54275537D01* -X265277832Y-54573088D01* -X265215000Y-54888967D01* -X265215000Y-55211033D01* -X265277832Y-55526912D01* -X265401082Y-55824463D01* -X265580013Y-56092252D01* -X265807748Y-56319987D01* -X266075537Y-56498918D01* -X266373088Y-56622168D01* -X266688967Y-56685000D01* -X267011033Y-56685000D01* -X267326912Y-56622168D01* -X267624463Y-56498918D01* -X267892252Y-56319987D01* -X268119987Y-56092252D01* -X268298918Y-55824463D01* -X268422168Y-55526912D01* -X268485000Y-55211033D01* -X268485000Y-54888967D01* -X268422168Y-54573088D01* -X268298918Y-54275537D01* -X268119987Y-54007748D01* -X267892252Y-53780013D01* -X267885000Y-53775167D01* -X267885000Y-51983923D01* -X267963405Y-51888386D01* -X268045472Y-51734850D01* -X268096008Y-51568254D01* -X268113072Y-51395000D01* -X268113072Y-49245000D01* -X268096008Y-49071746D01* -X268045472Y-48905150D01* -X267963405Y-48751614D01* -X267885000Y-48656077D01* -X267885000Y-45672287D01* -X267894180Y-45669502D01* -X268004494Y-45610537D01* -X268101185Y-45531185D01* -X268180537Y-45434494D01* -X268239502Y-45324180D01* -X268275812Y-45204482D01* -X268288072Y-45080000D01* -X268288072Y-43480000D01* -X268275812Y-43355518D01* -X268239502Y-43235820D01* -X268180537Y-43125506D01* -X268101185Y-43028815D01* -X268004494Y-42949463D01* -X267894180Y-42890498D01* -X267774482Y-42854188D01* -X267650000Y-42841928D01* -X266050000Y-42841928D01* -X265925518Y-42854188D01* -X265805820Y-42890498D01* -X265695506Y-42949463D01* -X265598815Y-43028815D01* -X265519463Y-43125506D01* -X265460498Y-43235820D01* -X265424188Y-43355518D01* -X265411928Y-43480000D01* -X265411928Y-43487215D01* -X265342702Y-43466903D01* -X264529605Y-44280000D01* -X264170395Y-44280000D01* -X263357298Y-43466903D01* -X263113329Y-43538486D01* -X262992429Y-43793996D01* -X262923700Y-44068184D01* -X262909783Y-44350512D01* -X258109392Y-44350512D01* -X257629223Y-44255000D01* -X257070777Y-44255000D01* -X256523061Y-44363948D01* -X256007124Y-44577656D01* -X255542793Y-44887912D01* -X255147912Y-45282793D01* -X254837656Y-45747124D01* -X254623948Y-46263061D01* -X254515000Y-46810777D01* -X219367618Y-46810777D01* -X215844139Y-43287298D01* -X263536903Y-43287298D01* -X264350000Y-44100395D01* -X265163097Y-43287298D01* -X265091514Y-43043329D01* -X264836004Y-42922429D01* -X264561816Y-42853700D01* -X264279488Y-42839783D01* -X263999870Y-42881213D01* -X263733708Y-42976397D01* -X263608486Y-43043329D01* -X263536903Y-43287298D01* -X215844139Y-43287298D01* +X222831841Y-50275000D01* +X268761928Y-50275000D01* +X268765000Y-50714250D01* +X268923750Y-50873000D01* +X269773000Y-50873000D01* +X269773000Y-49798750D01* +X269614250Y-49640000D01* +X269400000Y-49636928D01* +X269275518Y-49649188D01* +X269155820Y-49685498D01* +X269045506Y-49744463D01* +X268948815Y-49823815D01* +X268869463Y-49920506D01* +X268810498Y-50030820D01* +X268774188Y-50150518D01* +X268761928Y-50275000D01* +X222831841Y-50275000D01* +X221161841Y-48605000D01* +X264624428Y-48605000D01* +X264636688Y-48729482D01* +X264672998Y-48849180D01* +X264731963Y-48959494D01* +X264811315Y-49056185D01* +X264908006Y-49135537D01* +X265018320Y-49194502D01* +X265138018Y-49230812D01* +X265262500Y-49243072D01* +X265589250Y-49240000D01* +X265748000Y-49081250D01* +X265748000Y-47057000D01* +X266002000Y-47057000D01* +X266002000Y-49081250D01* +X266160750Y-49240000D01* +X266487500Y-49243072D01* +X266611982Y-49230812D01* +X266731680Y-49194502D01* +X266841994Y-49135537D01* +X266938685Y-49056185D01* +X267018037Y-48959494D01* +X267077002Y-48849180D01* +X267113312Y-48729482D01* +X267125572Y-48605000D01* +X267122500Y-47215750D01* +X266963750Y-47057000D01* +X266002000Y-47057000D01* +X265748000Y-47057000D01* +X264786250Y-47057000D01* +X264627500Y-47215750D01* +X264624428Y-48605000D01* +X221161841Y-48605000D01* +X217811841Y-45255000D01* +X264624428Y-45255000D01* +X264627500Y-46644250D01* +X264786250Y-46803000D01* +X265748000Y-46803000D01* +X265748000Y-44778750D01* +X266002000Y-44778750D01* +X266002000Y-46803000D01* +X266963750Y-46803000D01* +X267122500Y-46644250D01* +X267125572Y-45255000D01* +X267113312Y-45130518D01* +X267077002Y-45010820D01* +X267018037Y-44900506D01* +X266938685Y-44803815D01* +X266841994Y-44724463D01* +X266731680Y-44665498D01* +X266611982Y-44629188D01* +X266487500Y-44616928D01* +X266160750Y-44620000D01* +X266002000Y-44778750D01* +X265748000Y-44778750D01* +X265589250Y-44620000D01* +X265262500Y-44616928D01* +X265138018Y-44629188D01* +X265018320Y-44665498D01* +X264908006Y-44724463D01* +X264811315Y-44803815D01* +X264731963Y-44900506D01* +X264672998Y-45010820D01* +X264636688Y-45130518D01* +X264624428Y-45255000D01* +X217811841Y-45255000D01* X211916180Y-39359340D01* X211922973Y-39348185D01* X211955650Y-39297763D01* @@ -19214,74 +19292,93 @@ X135513158Y-26032068D01* X135226260Y-25975000D01* X134933740Y-25975000D01* X134656028Y-26030241D01* -X131487602Y-22861815D01* -X131465327Y-22834673D01* -X131357033Y-22745798D01* -X131233481Y-22679758D01* -X131099420Y-22639091D01* -X130994936Y-22628800D01* -X130994926Y-22628800D01* -X130960000Y-22625360D01* -X130925074Y-22628800D01* -X123210786Y-22628800D01* -X123053475Y-22393368D01* -X122846632Y-22186525D01* -X122672240Y-22070000D01* -X122846632Y-21953475D01* -X123053475Y-21746632D01* -X123215990Y-21503411D01* -X123327932Y-21233158D01* -X123385000Y-20946260D01* -X123385000Y-20653740D01* -X123327932Y-20366842D01* -X123215990Y-20096589D01* -X123053475Y-19853368D01* -X122846632Y-19646525D01* -X122603411Y-19484010D01* -X122333158Y-19372068D01* -X122046260Y-19315000D01* -X121753740Y-19315000D01* -X121466842Y-19372068D01* -X121196589Y-19484010D01* -X120953368Y-19646525D01* -X120746525Y-19853368D01* -X120584010Y-20096589D01* -X120472068Y-20366842D01* -X120415000Y-20653740D01* -X120415000Y-20946260D01* -X120472068Y-21233158D01* -X120584010Y-21503411D01* -X120746525Y-21746632D01* -X120953368Y-21953475D01* -X121127760Y-22070000D01* -X120953368Y-22186525D01* -X120746525Y-22393368D01* -X120584010Y-22636589D01* -X120472068Y-22906842D01* -X120415000Y-23193740D01* -X120415000Y-23486260D01* -X120470241Y-23763972D01* -X119661810Y-24572402D01* -X119634673Y-24594674D01* -X119545798Y-24702968D01* -X119479758Y-24826520D01* -X119439091Y-24960581D01* -X119428800Y-25065065D01* -X119428800Y-25065074D01* -X119425360Y-25100000D01* -X119428800Y-25134926D01* -X119428801Y-35265064D01* -X119425360Y-35300000D01* -X119428801Y-35334936D01* -X119439092Y-35439420D01* -X119477821Y-35567092D01* -X119479759Y-35573481D01* -X119545798Y-35697032D01* -X119612391Y-35778175D01* -X119634674Y-35805327D01* -X119661810Y-35827597D01* -X120818800Y-36984588D01* -X120818800Y-37045262D01* +X131515602Y-22889815D01* +X131493327Y-22862673D01* +X131385033Y-22773798D01* +X131261481Y-22707758D01* +X131127420Y-22667091D01* +X131022936Y-22656800D01* +X131022926Y-22656800D01* +X130988000Y-22653360D01* +X130953074Y-22656800D01* +X125356567Y-22656800D01* +X125351812Y-22608518D01* +X125315502Y-22488820D01* +X125256537Y-22378506D01* +X125177185Y-22281815D01* +X125080494Y-22202463D01* +X124970180Y-22143498D01* +X124850482Y-22107188D01* +X124757192Y-22098000D01* +X124850482Y-22088812D01* +X124970180Y-22052502D01* +X125080494Y-21993537D01* +X125177185Y-21914185D01* +X125256537Y-21817494D01* +X125315502Y-21707180D01* +X125351812Y-21587482D01* +X125364072Y-21463000D01* +X125364072Y-20193000D01* +X125351812Y-20068518D01* +X125315502Y-19948820D01* +X125256537Y-19838506D01* +X125177185Y-19741815D01* +X125080494Y-19662463D01* +X124970180Y-19603498D01* +X124850482Y-19567188D01* +X124726000Y-19554928D01* +X121546000Y-19554928D01* +X121421518Y-19567188D01* +X121301820Y-19603498D01* +X121191506Y-19662463D01* +X121094815Y-19741815D01* +X121015463Y-19838506D01* +X120956498Y-19948820D01* +X120920188Y-20068518D01* +X120907928Y-20193000D01* +X120907928Y-21463000D01* +X120920188Y-21587482D01* +X120956498Y-21707180D01* +X121015463Y-21817494D01* +X121094815Y-21914185D01* +X121191506Y-21993537D01* +X121301820Y-22052502D01* +X121421518Y-22088812D01* +X121514808Y-22098000D01* +X121421518Y-22107188D01* +X121301820Y-22143498D01* +X121191506Y-22202463D01* +X121094815Y-22281815D01* +X121015463Y-22378506D01* +X120956498Y-22488820D01* +X120920188Y-22608518D01* +X120908852Y-22723615D01* +X120864008Y-22747585D01* +X120814967Y-22773798D01* +X120706673Y-22862673D01* +X120684403Y-22889810D01* +X119821810Y-23752403D01* +X119794674Y-23774673D01* +X119772404Y-23801809D01* +X119772403Y-23801810D01* +X119705798Y-23882968D01* +X119660661Y-23967414D01* +X119639759Y-24006519D01* +X119599092Y-24140580D01* +X119588801Y-24245064D01* +X119585360Y-24280000D01* +X119588801Y-24314936D01* +X119588800Y-35325074D01* +X119585360Y-35360000D01* +X119588800Y-35394926D01* +X119588800Y-35394935D01* +X119599091Y-35499419D01* +X119639758Y-35633480D01* +X119705798Y-35757032D01* +X119794673Y-35865326D01* +X119821810Y-35887597D01* +X120838801Y-36904589D01* +X120838801Y-37045262D01* X120513750Y-37045262D01* X120346592Y-37061726D01* X120185858Y-37110484D01* @@ -19367,8 +19464,8 @@ X123002275Y-39441329D01* X122854142Y-39362150D01* X122693408Y-39313392D01* X122526250Y-39296928D01* -X122241200Y-39296928D01* -X122241200Y-39271406D01* +X122261200Y-39296928D01* +X122261200Y-39271406D01* X122526250Y-39271406D01* X122693408Y-39254942D01* X122854142Y-39206184D01* @@ -19384,86 +19481,115 @@ X123317850Y-37574192D01* X123238671Y-37426059D01* X123132115Y-37296219D01* X123018700Y-37203143D01* -X123018700Y-36662425D01* -X123022140Y-36627499D01* -X123018700Y-36592573D01* -X123018700Y-36592564D01* -X123008409Y-36488080D01* -X122967742Y-36354019D01* -X122901702Y-36230467D01* -X122897965Y-36225913D01* -X122835097Y-36149308D01* -X122835093Y-36149304D01* -X122812827Y-36122173D01* -X122785696Y-36099907D01* -X121642519Y-34956731D01* -X121773000Y-34826250D01* -X121773000Y-33627000D01* -X122027000Y-33627000D01* -X122027000Y-34826250D01* -X122185750Y-34985000D01* -X122750000Y-34988072D01* -X122874482Y-34975812D01* -X122994180Y-34939502D01* -X123104494Y-34880537D01* -X123201185Y-34801185D01* -X123280537Y-34704494D01* -X123339502Y-34594180D01* -X123375812Y-34474482D01* -X123388072Y-34350000D01* -X123385000Y-33785750D01* -X123226250Y-33627000D01* -X122027000Y-33627000D01* -X121773000Y-33627000D01* -X121753000Y-33627000D01* -X121753000Y-33373000D01* -X121773000Y-33373000D01* -X121773000Y-33353000D01* -X122027000Y-33353000D01* -X122027000Y-33373000D01* -X123226250Y-33373000D01* -X123385000Y-33214250D01* -X123388072Y-32650000D01* -X123375812Y-32525518D01* -X123339502Y-32405820D01* -X123280537Y-32295506D01* -X123201185Y-32198815D01* -X123104494Y-32119463D01* -X122994180Y-32060498D01* -X122921620Y-32038487D01* -X123053475Y-31906632D01* -X123215990Y-31663411D01* -X123327932Y-31393158D01* -X123385000Y-31106260D01* -X123385000Y-30813740D01* -X123327932Y-30526842D01* -X123215990Y-30256589D01* -X123053475Y-30013368D01* -X122846632Y-29806525D01* -X122672240Y-29690000D01* -X122846632Y-29573475D01* -X123053475Y-29366632D01* -X123215990Y-29123411D01* -X123327932Y-28853158D01* -X123385000Y-28566260D01* -X123385000Y-28273740D01* -X123327932Y-27986842D01* -X123215990Y-27716589D01* -X123053475Y-27473368D01* -X122846632Y-27266525D01* -X122672240Y-27150000D01* -X122846632Y-27033475D01* -X122986610Y-26893497D01* -X125492402Y-29399290D01* -X125514673Y-29426427D01* -X125622967Y-29515302D01* -X125746519Y-29581342D01* -X125880580Y-29622009D01* -X125985064Y-29632300D01* -X125985071Y-29632300D01* -X126020000Y-29635740D01* -X126054928Y-29632300D01* -X128511928Y-29632300D01* +X123018700Y-36812425D01* +X123022140Y-36777499D01* +X123018700Y-36742573D01* +X123018700Y-36742564D01* +X123008409Y-36638080D01* +X122967742Y-36504019D01* +X122901702Y-36380467D01* +X122900820Y-36379392D01* +X122835097Y-36299308D01* +X122835088Y-36299299D01* +X122812826Y-36272173D01* +X122785701Y-36249912D01* +X121481200Y-34945413D01* +X121481200Y-34794690D01* +X121546000Y-34801072D01* +X122850250Y-34798000D01* +X123009000Y-34639250D01* +X123009000Y-33655000D01* +X123263000Y-33655000D01* +X123263000Y-34639250D01* +X123421750Y-34798000D01* +X124726000Y-34801072D01* +X124850482Y-34788812D01* +X124970180Y-34752502D01* +X125080494Y-34693537D01* +X125177185Y-34614185D01* +X125256537Y-34517494D01* +X125315502Y-34407180D01* +X125351812Y-34287482D01* +X125364072Y-34163000D01* +X125361000Y-33813750D01* +X125202250Y-33655000D01* +X123263000Y-33655000D01* +X123009000Y-33655000D01* +X122989000Y-33655000D01* +X122989000Y-33401000D01* +X123009000Y-33401000D01* +X123009000Y-33381000D01* +X123263000Y-33381000D01* +X123263000Y-33401000D01* +X125202250Y-33401000D01* +X125361000Y-33242250D01* +X125364072Y-32893000D01* +X125351812Y-32768518D01* +X125315502Y-32648820D01* +X125256537Y-32538506D01* +X125177185Y-32441815D01* +X125080494Y-32362463D01* +X124970180Y-32303498D01* +X124850482Y-32267188D01* +X124757192Y-32258000D01* +X124850482Y-32248812D01* +X124970180Y-32212502D01* +X125080494Y-32153537D01* +X125177185Y-32074185D01* +X125256537Y-31977494D01* +X125315502Y-31867180D01* +X125351812Y-31747482D01* +X125364072Y-31623000D01* +X125364072Y-30353000D01* +X125351812Y-30228518D01* +X125315502Y-30108820D01* +X125256537Y-29998506D01* +X125177185Y-29901815D01* +X125080494Y-29822463D01* +X124970180Y-29763498D01* +X124850482Y-29727188D01* +X124757192Y-29718000D01* +X124850482Y-29708812D01* +X124970180Y-29672502D01* +X125080494Y-29613537D01* +X125177185Y-29534185D01* +X125256537Y-29437494D01* +X125315502Y-29327180D01* +X125351812Y-29207482D01* +X125364072Y-29083000D01* +X125364072Y-27813000D01* +X125351812Y-27688518D01* +X125315502Y-27568820D01* +X125256537Y-27458506D01* +X125177185Y-27361815D01* +X125080494Y-27282463D01* +X124970180Y-27223498D01* +X124850482Y-27187188D01* +X124757192Y-27178000D01* +X124850482Y-27168812D01* +X124970180Y-27132502D01* +X125080494Y-27073537D01* +X125177185Y-26994185D01* +X125256537Y-26897494D01* +X125315502Y-26787180D01* +X125351812Y-26667482D01* +X125356567Y-26619200D01* +X128775810Y-26619200D01* +X128684010Y-26756589D01* +X128572068Y-27026842D01* +X128515000Y-27313740D01* +X128515000Y-27606260D01* +X128572068Y-27893158D01* +X128684010Y-28163411D01* +X128846525Y-28406632D01* +X128978380Y-28538487D01* +X128905820Y-28560498D01* +X128795506Y-28619463D01* +X128698815Y-28698815D01* +X128619463Y-28795506D01* +X128560498Y-28905820D01* +X128524188Y-29025518D01* +X128511928Y-29150000D01* X128511928Y-30850000D01* X128524188Y-30974482D01* X128560498Y-31094180D01* @@ -19490,8 +19616,16 @@ X132413000Y-30127000D01* X132393000Y-30127000D01* X132393000Y-29873000D01* X132413000Y-29873000D01* -X132413000Y-29853000D01* -X132667000Y-29853000D01* +X132413000Y-27587000D01* +X132393000Y-27587000D01* +X132393000Y-27333000D01* +X132413000Y-27333000D01* +X132413000Y-27313000D01* +X132667000Y-27313000D01* +X132667000Y-27333000D01* +X132687000Y-27333000D01* +X132687000Y-27587000D01* +X132667000Y-27587000D01* X132667000Y-29873000D01* X132687000Y-29873000D01* X132687000Y-30127000D01* @@ -20749,13 +20883,51 @@ X262430723Y-13736612D01* X262465123Y-13740000D01* X272085909Y-13740000D01* X272620001Y-14274092D01* -X272620001Y-53031509D01* -X272574463Y-53001082D01* -X272276912Y-52877832D01* -X271961033Y-52815000D01* -X271638967Y-52815000D01* -X271323088Y-52877832D01* -X271025537Y-53001082D01* +X272620001Y-44747414D01* +X272502350Y-44684528D01* +X272335754Y-44633992D01* +X272162500Y-44616928D01* +X271437500Y-44616928D01* +X271264246Y-44633992D01* +X271097650Y-44684528D01* +X270944114Y-44766595D01* +X270809538Y-44877038D01* +X270699095Y-45011614D01* +X270617028Y-45165150D01* +X270566492Y-45331746D01* +X270549428Y-45505000D01* +X270549428Y-48355000D01* +X270566492Y-48528254D01* +X270617028Y-48694850D01* +X270699095Y-48848386D01* +X270809538Y-48982962D01* +X270835393Y-49004181D01* +X270804774Y-49078102D01* +X270765000Y-49278061D01* +X270765000Y-49481939D01* +X270804774Y-49681898D01* +X270865000Y-49827296D01* +X270865000Y-49840649D01* +X270851185Y-49823815D01* +X270754494Y-49744463D01* +X270644180Y-49685498D01* +X270524482Y-49649188D01* +X270400000Y-49636928D01* +X270185750Y-49640000D01* +X270027000Y-49798750D01* +X270027000Y-50873000D01* +X270047000Y-50873000D01* +X270047000Y-51127000D01* +X270027000Y-51127000D01* +X270027000Y-52201250D01* +X270185750Y-52360000D01* +X270400000Y-52363072D01* +X270524482Y-52350812D01* +X270644180Y-52314502D01* +X270754494Y-52255537D01* +X270851185Y-52176185D01* +X270865001Y-52159351D01* +X270865000Y-53108349D01* X270757748Y-53180013D01* X270530013Y-53407748D01* X270351082Y-53675537D01* @@ -22363,6 +22535,41 @@ X260893285Y-55131128D01* X261058709Y-55080947D01* X261211164Y-54999458D01* X261344792Y-54889792D01* +X261345469Y-54888967D01* +X265215000Y-54888967D01* +X265215000Y-55211033D01* +X265277832Y-55526912D01* +X265401082Y-55824463D01* +X265580013Y-56092252D01* +X265807748Y-56319987D01* +X266075537Y-56498918D01* +X266373088Y-56622168D01* +X266688967Y-56685000D01* +X267011033Y-56685000D01* +X267326912Y-56622168D01* +X267624463Y-56498918D01* +X267892252Y-56319987D01* +X268119987Y-56092252D01* +X268298918Y-55824463D01* +X268422168Y-55526912D01* +X268485000Y-55211033D01* +X268485000Y-54888967D01* +X268422168Y-54573088D01* +X268298918Y-54275537D01* +X268119987Y-54007748D01* +X267892252Y-53780013D01* +X267624463Y-53601082D01* +X267326912Y-53477832D01* +X267011033Y-53415000D01* +X266688967Y-53415000D01* +X266373088Y-53477832D01* +X266075537Y-53601082D01* +X265807748Y-53780013D01* +X265580013Y-54007748D01* +X265401082Y-54275537D01* +X265277832Y-54573088D01* +X265215000Y-54888967D01* +X261345469Y-54888967D01* X261454458Y-54756164D01* X261535947Y-54603709D01* X261586128Y-54438285D01* @@ -22519,40 +22726,24 @@ X253947000Y-52105500D01* X254771250Y-52105500D01* X254930000Y-51946750D01* X254933072Y-51795000D01* +X254926178Y-51725000D01* +X268761928Y-51725000D01* +X268774188Y-51849482D01* +X268810498Y-51969180D01* +X268869463Y-52079494D01* +X268948815Y-52176185D01* +X269045506Y-52255537D01* +X269155820Y-52314502D01* +X269275518Y-52350812D01* +X269400000Y-52363072D01* +X269614250Y-52360000D01* +X269773000Y-52201250D01* +X269773000Y-51127000D01* +X268923750Y-51127000D01* +X268765000Y-51285750D01* +X268761928Y-51725000D01* +X254926178Y-51725000D01* X254920812Y-51670518D01* -X254913072Y-51645000D01* -X262786928Y-51645000D01* -X262799188Y-51769482D01* -X262835498Y-51889180D01* -X262894463Y-51999494D01* -X262973815Y-52096185D01* -X263070506Y-52175537D01* -X263180820Y-52234502D01* -X263300518Y-52270812D01* -X263425000Y-52283072D01* -X263764250Y-52280000D01* -X263923000Y-52121250D01* -X263923000Y-50447000D01* -X264177000Y-50447000D01* -X264177000Y-52121250D01* -X264335750Y-52280000D01* -X264675000Y-52283072D01* -X264799482Y-52270812D01* -X264919180Y-52234502D01* -X265029494Y-52175537D01* -X265126185Y-52096185D01* -X265205537Y-51999494D01* -X265264502Y-51889180D01* -X265300812Y-51769482D01* -X265313072Y-51645000D01* -X265310000Y-50605750D01* -X265151250Y-50447000D01* -X264177000Y-50447000D01* -X263923000Y-50447000D01* -X262948750Y-50447000D01* -X262790000Y-50605750D01* -X262786928Y-51645000D01* -X254913072Y-51645000D01* X254884502Y-51550820D01* X254825537Y-51440506D01* X254746185Y-51343815D01* @@ -22582,202 +22773,89 @@ X249908054Y-51636400D01* X249873128Y-51632960D01* X249838202Y-51636400D01* X224193241Y-51636400D01* -X219367618Y-46810777D01* -X254515000Y-46810777D01* -X254515000Y-47369223D01* -X254623948Y-47916939D01* -X254837656Y-48432876D01* -X255147912Y-48897207D01* -X255542793Y-49292088D01* -X256007124Y-49602344D01* -X256523061Y-49816052D01* -X257070777Y-49925000D01* -X257629223Y-49925000D01* -X258176939Y-49816052D01* -X258692876Y-49602344D01* -X259157207Y-49292088D01* -X259454295Y-48995000D01* -X262786928Y-48995000D01* -X262790000Y-50034250D01* -X262948750Y-50193000D01* -X263923000Y-50193000D01* -X263923000Y-48518750D01* -X264177000Y-48518750D01* -X264177000Y-50193000D01* -X265151250Y-50193000D01* -X265310000Y-50034250D01* -X265313072Y-48995000D01* -X265300812Y-48870518D01* -X265264502Y-48750820D01* -X265205537Y-48640506D01* -X265126185Y-48543815D01* -X265029494Y-48464463D01* -X264919180Y-48405498D01* -X264799482Y-48369188D01* -X264675000Y-48356928D01* -X264335750Y-48360000D01* -X264177000Y-48518750D01* -X263923000Y-48518750D01* -X263764250Y-48360000D01* -X263425000Y-48356928D01* -X263300518Y-48369188D01* -X263180820Y-48405498D01* -X263070506Y-48464463D01* -X262973815Y-48543815D01* -X262894463Y-48640506D01* -X262835498Y-48750820D01* -X262799188Y-48870518D01* -X262786928Y-48995000D01* -X259454295Y-48995000D01* -X259552088Y-48897207D01* -X259862344Y-48432876D01* -X260076052Y-47916939D01* -X260185000Y-47369223D01* -X260185000Y-46810777D01* -X260076052Y-46263061D01* -X259862344Y-45747124D01* -X259552088Y-45282793D01* -X259541997Y-45272702D01* -X263536903Y-45272702D01* -X263608486Y-45516671D01* -X263863996Y-45637571D01* -X264138184Y-45706300D01* -X264420512Y-45720217D01* -X264700130Y-45678787D01* -X264966292Y-45583603D01* -X265091514Y-45516671D01* -X265163097Y-45272702D01* -X264350000Y-44459605D01* -X263536903Y-45272702D01* -X259541997Y-45272702D01* -X259157207Y-44887912D01* -X258692876Y-44577656D01* -X258176939Y-44363948D01* -X258109392Y-44350512D01* -X262909783Y-44350512D01* -X262951213Y-44630130D01* -X263046397Y-44896292D01* -X263113329Y-45021514D01* -X263357298Y-45093097D01* -X264170395Y-44280000D01* -X264529605Y-44280000D01* -X265342702Y-45093097D01* -X265411928Y-45072785D01* -X265411928Y-45080000D01* -X265424188Y-45204482D01* -X265460498Y-45324180D01* -X265519463Y-45434494D01* -X265598815Y-45531185D01* -X265695506Y-45610537D01* -X265805820Y-45669502D01* -X265815001Y-45672287D01* -X265815000Y-48656076D01* -X265736595Y-48751614D01* -X265654528Y-48905150D01* -X265603992Y-49071746D01* -X265586928Y-49245000D01* -X265586928Y-51395000D01* -X265603992Y-51568254D01* -X265654528Y-51734850D01* -X265736595Y-51888386D01* -X265815001Y-51983924D01* -X265815000Y-53775167D01* -X265807748Y-53780013D01* -X265580013Y-54007748D01* -X265401082Y-54275537D01* -X265277832Y-54573088D01* -X265215000Y-54888967D01* -X265215000Y-55211033D01* -X265277832Y-55526912D01* -X265401082Y-55824463D01* -X265580013Y-56092252D01* -X265807748Y-56319987D01* -X266075537Y-56498918D01* -X266373088Y-56622168D01* -X266688967Y-56685000D01* -X267011033Y-56685000D01* -X267326912Y-56622168D01* -X267624463Y-56498918D01* -X267892252Y-56319987D01* -X268119987Y-56092252D01* -X268298918Y-55824463D01* -X268422168Y-55526912D01* -X268485000Y-55211033D01* -X268485000Y-54888967D01* -X268422168Y-54573088D01* -X268298918Y-54275537D01* -X268119987Y-54007748D01* -X267892252Y-53780013D01* -X267885000Y-53775167D01* -X267885000Y-51983923D01* -X267963405Y-51888386D01* -X268045472Y-51734850D01* -X268096008Y-51568254D01* -X268113072Y-51395000D01* -X268113072Y-49245000D01* -X268096008Y-49071746D01* -X268045472Y-48905150D01* -X267963405Y-48751614D01* -X267885000Y-48656077D01* -X267885000Y-45672287D01* -X267894180Y-45669502D01* -X268004494Y-45610537D01* -X268101185Y-45531185D01* -X268180537Y-45434494D01* -X268239502Y-45324180D01* -X268275812Y-45204482D01* -X268288072Y-45080000D01* -X268288072Y-43480000D01* -X268275812Y-43355518D01* -X268239502Y-43235820D01* -X268180537Y-43125506D01* -X268101185Y-43028815D01* -X268004494Y-42949463D01* -X267894180Y-42890498D01* -X267774482Y-42854188D01* -X267650000Y-42841928D01* -X266050000Y-42841928D01* -X265925518Y-42854188D01* -X265805820Y-42890498D01* -X265695506Y-42949463D01* -X265598815Y-43028815D01* -X265519463Y-43125506D01* -X265460498Y-43235820D01* -X265424188Y-43355518D01* -X265411928Y-43480000D01* -X265411928Y-43487215D01* -X265342702Y-43466903D01* -X264529605Y-44280000D01* -X264170395Y-44280000D01* -X263357298Y-43466903D01* -X263113329Y-43538486D01* -X262992429Y-43793996D01* -X262923700Y-44068184D01* -X262909783Y-44350512D01* -X258109392Y-44350512D01* -X257629223Y-44255000D01* -X257070777Y-44255000D01* -X256523061Y-44363948D01* -X256007124Y-44577656D01* -X255542793Y-44887912D01* -X255147912Y-45282793D01* -X254837656Y-45747124D01* -X254623948Y-46263061D01* -X254515000Y-46810777D01* -X219367618Y-46810777D01* -X215844139Y-43287298D01* -X263536903Y-43287298D01* -X264350000Y-44100395D01* -X265163097Y-43287298D01* -X265091514Y-43043329D01* -X264836004Y-42922429D01* -X264561816Y-42853700D01* -X264279488Y-42839783D01* -X263999870Y-42881213D01* -X263733708Y-42976397D01* -X263608486Y-43043329D01* -X263536903Y-43287298D01* -X215844139Y-43287298D01* +X222831841Y-50275000D01* +X268761928Y-50275000D01* +X268765000Y-50714250D01* +X268923750Y-50873000D01* +X269773000Y-50873000D01* +X269773000Y-49798750D01* +X269614250Y-49640000D01* +X269400000Y-49636928D01* +X269275518Y-49649188D01* +X269155820Y-49685498D01* +X269045506Y-49744463D01* +X268948815Y-49823815D01* +X268869463Y-49920506D01* +X268810498Y-50030820D01* +X268774188Y-50150518D01* +X268761928Y-50275000D01* +X222831841Y-50275000D01* +X221161841Y-48605000D01* +X264624428Y-48605000D01* +X264636688Y-48729482D01* +X264672998Y-48849180D01* +X264731963Y-48959494D01* +X264811315Y-49056185D01* +X264908006Y-49135537D01* +X265018320Y-49194502D01* +X265138018Y-49230812D01* +X265262500Y-49243072D01* +X265589250Y-49240000D01* +X265748000Y-49081250D01* +X265748000Y-47057000D01* +X266002000Y-47057000D01* +X266002000Y-49081250D01* +X266160750Y-49240000D01* +X266487500Y-49243072D01* +X266611982Y-49230812D01* +X266731680Y-49194502D01* +X266841994Y-49135537D01* +X266938685Y-49056185D01* +X267018037Y-48959494D01* +X267077002Y-48849180D01* +X267113312Y-48729482D01* +X267125572Y-48605000D01* +X267122500Y-47215750D01* +X266963750Y-47057000D01* +X266002000Y-47057000D01* +X265748000Y-47057000D01* +X264786250Y-47057000D01* +X264627500Y-47215750D01* +X264624428Y-48605000D01* +X221161841Y-48605000D01* +X217811841Y-45255000D01* +X264624428Y-45255000D01* +X264627500Y-46644250D01* +X264786250Y-46803000D01* +X265748000Y-46803000D01* +X265748000Y-44778750D01* +X266002000Y-44778750D01* +X266002000Y-46803000D01* +X266963750Y-46803000D01* +X267122500Y-46644250D01* +X267125572Y-45255000D01* +X267113312Y-45130518D01* +X267077002Y-45010820D01* +X267018037Y-44900506D01* +X266938685Y-44803815D01* +X266841994Y-44724463D01* +X266731680Y-44665498D01* +X266611982Y-44629188D01* +X266487500Y-44616928D01* +X266160750Y-44620000D01* +X266002000Y-44778750D01* +X265748000Y-44778750D01* +X265589250Y-44620000D01* +X265262500Y-44616928D01* +X265138018Y-44629188D01* +X265018320Y-44665498D01* +X264908006Y-44724463D01* +X264811315Y-44803815D01* +X264731963Y-44900506D01* +X264672998Y-45010820D01* +X264636688Y-45130518D01* +X264624428Y-45255000D01* +X217811841Y-45255000D01* X211916180Y-39359340D01* X211922973Y-39348185D01* X211955650Y-39297763D01* @@ -24590,74 +24668,93 @@ X135513158Y-26032068D01* X135226260Y-25975000D01* X134933740Y-25975000D01* X134656028Y-26030241D01* -X131487602Y-22861815D01* -X131465327Y-22834673D01* -X131357033Y-22745798D01* -X131233481Y-22679758D01* -X131099420Y-22639091D01* -X130994936Y-22628800D01* -X130994926Y-22628800D01* -X130960000Y-22625360D01* -X130925074Y-22628800D01* -X123210786Y-22628800D01* -X123053475Y-22393368D01* -X122846632Y-22186525D01* -X122672240Y-22070000D01* -X122846632Y-21953475D01* -X123053475Y-21746632D01* -X123215990Y-21503411D01* -X123327932Y-21233158D01* -X123385000Y-20946260D01* -X123385000Y-20653740D01* -X123327932Y-20366842D01* -X123215990Y-20096589D01* -X123053475Y-19853368D01* -X122846632Y-19646525D01* -X122603411Y-19484010D01* -X122333158Y-19372068D01* -X122046260Y-19315000D01* -X121753740Y-19315000D01* -X121466842Y-19372068D01* -X121196589Y-19484010D01* -X120953368Y-19646525D01* -X120746525Y-19853368D01* -X120584010Y-20096589D01* -X120472068Y-20366842D01* -X120415000Y-20653740D01* -X120415000Y-20946260D01* -X120472068Y-21233158D01* -X120584010Y-21503411D01* -X120746525Y-21746632D01* -X120953368Y-21953475D01* -X121127760Y-22070000D01* -X120953368Y-22186525D01* -X120746525Y-22393368D01* -X120584010Y-22636589D01* -X120472068Y-22906842D01* -X120415000Y-23193740D01* -X120415000Y-23486260D01* -X120470241Y-23763972D01* -X119661810Y-24572402D01* -X119634673Y-24594674D01* -X119545798Y-24702968D01* -X119479758Y-24826520D01* -X119439091Y-24960581D01* -X119428800Y-25065065D01* -X119428800Y-25065074D01* -X119425360Y-25100000D01* -X119428800Y-25134926D01* -X119428801Y-35265064D01* -X119425360Y-35300000D01* -X119428801Y-35334936D01* -X119439092Y-35439420D01* -X119477821Y-35567092D01* -X119479759Y-35573481D01* -X119545798Y-35697032D01* -X119612391Y-35778175D01* -X119634674Y-35805327D01* -X119661810Y-35827597D01* -X120818800Y-36984588D01* -X120818800Y-37045262D01* +X131515602Y-22889815D01* +X131493327Y-22862673D01* +X131385033Y-22773798D01* +X131261481Y-22707758D01* +X131127420Y-22667091D01* +X131022936Y-22656800D01* +X131022926Y-22656800D01* +X130988000Y-22653360D01* +X130953074Y-22656800D01* +X125356567Y-22656800D01* +X125351812Y-22608518D01* +X125315502Y-22488820D01* +X125256537Y-22378506D01* +X125177185Y-22281815D01* +X125080494Y-22202463D01* +X124970180Y-22143498D01* +X124850482Y-22107188D01* +X124757192Y-22098000D01* +X124850482Y-22088812D01* +X124970180Y-22052502D01* +X125080494Y-21993537D01* +X125177185Y-21914185D01* +X125256537Y-21817494D01* +X125315502Y-21707180D01* +X125351812Y-21587482D01* +X125364072Y-21463000D01* +X125364072Y-20193000D01* +X125351812Y-20068518D01* +X125315502Y-19948820D01* +X125256537Y-19838506D01* +X125177185Y-19741815D01* +X125080494Y-19662463D01* +X124970180Y-19603498D01* +X124850482Y-19567188D01* +X124726000Y-19554928D01* +X121546000Y-19554928D01* +X121421518Y-19567188D01* +X121301820Y-19603498D01* +X121191506Y-19662463D01* +X121094815Y-19741815D01* +X121015463Y-19838506D01* +X120956498Y-19948820D01* +X120920188Y-20068518D01* +X120907928Y-20193000D01* +X120907928Y-21463000D01* +X120920188Y-21587482D01* +X120956498Y-21707180D01* +X121015463Y-21817494D01* +X121094815Y-21914185D01* +X121191506Y-21993537D01* +X121301820Y-22052502D01* +X121421518Y-22088812D01* +X121514808Y-22098000D01* +X121421518Y-22107188D01* +X121301820Y-22143498D01* +X121191506Y-22202463D01* +X121094815Y-22281815D01* +X121015463Y-22378506D01* +X120956498Y-22488820D01* +X120920188Y-22608518D01* +X120908852Y-22723615D01* +X120864008Y-22747585D01* +X120814967Y-22773798D01* +X120706673Y-22862673D01* +X120684403Y-22889810D01* +X119821810Y-23752403D01* +X119794674Y-23774673D01* +X119772404Y-23801809D01* +X119772403Y-23801810D01* +X119705798Y-23882968D01* +X119660661Y-23967414D01* +X119639759Y-24006519D01* +X119599092Y-24140580D01* +X119588801Y-24245064D01* +X119585360Y-24280000D01* +X119588801Y-24314936D01* +X119588800Y-35325074D01* +X119585360Y-35360000D01* +X119588800Y-35394926D01* +X119588800Y-35394935D01* +X119599091Y-35499419D01* +X119639758Y-35633480D01* +X119705798Y-35757032D01* +X119794673Y-35865326D01* +X119821810Y-35887597D01* +X120838801Y-36904589D01* +X120838801Y-37045262D01* X120513750Y-37045262D01* X120346592Y-37061726D01* X120185858Y-37110484D01* @@ -24743,8 +24840,8 @@ X123002275Y-39441329D01* X122854142Y-39362150D01* X122693408Y-39313392D01* X122526250Y-39296928D01* -X122241200Y-39296928D01* -X122241200Y-39271406D01* +X122261200Y-39296928D01* +X122261200Y-39271406D01* X122526250Y-39271406D01* X122693408Y-39254942D01* X122854142Y-39206184D01* @@ -24760,86 +24857,115 @@ X123317850Y-37574192D01* X123238671Y-37426059D01* X123132115Y-37296219D01* X123018700Y-37203143D01* -X123018700Y-36662425D01* -X123022140Y-36627499D01* -X123018700Y-36592573D01* -X123018700Y-36592564D01* -X123008409Y-36488080D01* -X122967742Y-36354019D01* -X122901702Y-36230467D01* -X122897965Y-36225913D01* -X122835097Y-36149308D01* -X122835093Y-36149304D01* -X122812827Y-36122173D01* -X122785696Y-36099907D01* -X121642519Y-34956731D01* -X121773000Y-34826250D01* -X121773000Y-33627000D01* -X122027000Y-33627000D01* -X122027000Y-34826250D01* -X122185750Y-34985000D01* -X122750000Y-34988072D01* -X122874482Y-34975812D01* -X122994180Y-34939502D01* -X123104494Y-34880537D01* -X123201185Y-34801185D01* -X123280537Y-34704494D01* -X123339502Y-34594180D01* -X123375812Y-34474482D01* -X123388072Y-34350000D01* -X123385000Y-33785750D01* -X123226250Y-33627000D01* -X122027000Y-33627000D01* -X121773000Y-33627000D01* -X121753000Y-33627000D01* -X121753000Y-33373000D01* -X121773000Y-33373000D01* -X121773000Y-33353000D01* -X122027000Y-33353000D01* -X122027000Y-33373000D01* -X123226250Y-33373000D01* -X123385000Y-33214250D01* -X123388072Y-32650000D01* -X123375812Y-32525518D01* -X123339502Y-32405820D01* -X123280537Y-32295506D01* -X123201185Y-32198815D01* -X123104494Y-32119463D01* -X122994180Y-32060498D01* -X122921620Y-32038487D01* -X123053475Y-31906632D01* -X123215990Y-31663411D01* -X123327932Y-31393158D01* -X123385000Y-31106260D01* -X123385000Y-30813740D01* -X123327932Y-30526842D01* -X123215990Y-30256589D01* -X123053475Y-30013368D01* -X122846632Y-29806525D01* -X122672240Y-29690000D01* -X122846632Y-29573475D01* -X123053475Y-29366632D01* -X123215990Y-29123411D01* -X123327932Y-28853158D01* -X123385000Y-28566260D01* -X123385000Y-28273740D01* -X123327932Y-27986842D01* -X123215990Y-27716589D01* -X123053475Y-27473368D01* -X122846632Y-27266525D01* -X122672240Y-27150000D01* -X122846632Y-27033475D01* -X122986610Y-26893497D01* -X125492402Y-29399290D01* -X125514673Y-29426427D01* -X125622967Y-29515302D01* -X125746519Y-29581342D01* -X125880580Y-29622009D01* -X125985064Y-29632300D01* -X125985071Y-29632300D01* -X126020000Y-29635740D01* -X126054928Y-29632300D01* -X128511928Y-29632300D01* +X123018700Y-36812425D01* +X123022140Y-36777499D01* +X123018700Y-36742573D01* +X123018700Y-36742564D01* +X123008409Y-36638080D01* +X122967742Y-36504019D01* +X122901702Y-36380467D01* +X122900820Y-36379392D01* +X122835097Y-36299308D01* +X122835088Y-36299299D01* +X122812826Y-36272173D01* +X122785701Y-36249912D01* +X121481200Y-34945413D01* +X121481200Y-34794690D01* +X121546000Y-34801072D01* +X122850250Y-34798000D01* +X123009000Y-34639250D01* +X123009000Y-33655000D01* +X123263000Y-33655000D01* +X123263000Y-34639250D01* +X123421750Y-34798000D01* +X124726000Y-34801072D01* +X124850482Y-34788812D01* +X124970180Y-34752502D01* +X125080494Y-34693537D01* +X125177185Y-34614185D01* +X125256537Y-34517494D01* +X125315502Y-34407180D01* +X125351812Y-34287482D01* +X125364072Y-34163000D01* +X125361000Y-33813750D01* +X125202250Y-33655000D01* +X123263000Y-33655000D01* +X123009000Y-33655000D01* +X122989000Y-33655000D01* +X122989000Y-33401000D01* +X123009000Y-33401000D01* +X123009000Y-33381000D01* +X123263000Y-33381000D01* +X123263000Y-33401000D01* +X125202250Y-33401000D01* +X125361000Y-33242250D01* +X125364072Y-32893000D01* +X125351812Y-32768518D01* +X125315502Y-32648820D01* +X125256537Y-32538506D01* +X125177185Y-32441815D01* +X125080494Y-32362463D01* +X124970180Y-32303498D01* +X124850482Y-32267188D01* +X124757192Y-32258000D01* +X124850482Y-32248812D01* +X124970180Y-32212502D01* +X125080494Y-32153537D01* +X125177185Y-32074185D01* +X125256537Y-31977494D01* +X125315502Y-31867180D01* +X125351812Y-31747482D01* +X125364072Y-31623000D01* +X125364072Y-30353000D01* +X125351812Y-30228518D01* +X125315502Y-30108820D01* +X125256537Y-29998506D01* +X125177185Y-29901815D01* +X125080494Y-29822463D01* +X124970180Y-29763498D01* +X124850482Y-29727188D01* +X124757192Y-29718000D01* +X124850482Y-29708812D01* +X124970180Y-29672502D01* +X125080494Y-29613537D01* +X125177185Y-29534185D01* +X125256537Y-29437494D01* +X125315502Y-29327180D01* +X125351812Y-29207482D01* +X125364072Y-29083000D01* +X125364072Y-27813000D01* +X125351812Y-27688518D01* +X125315502Y-27568820D01* +X125256537Y-27458506D01* +X125177185Y-27361815D01* +X125080494Y-27282463D01* +X124970180Y-27223498D01* +X124850482Y-27187188D01* +X124757192Y-27178000D01* +X124850482Y-27168812D01* +X124970180Y-27132502D01* +X125080494Y-27073537D01* +X125177185Y-26994185D01* +X125256537Y-26897494D01* +X125315502Y-26787180D01* +X125351812Y-26667482D01* +X125356567Y-26619200D01* +X128775810Y-26619200D01* +X128684010Y-26756589D01* +X128572068Y-27026842D01* +X128515000Y-27313740D01* +X128515000Y-27606260D01* +X128572068Y-27893158D01* +X128684010Y-28163411D01* +X128846525Y-28406632D01* +X128978380Y-28538487D01* +X128905820Y-28560498D01* +X128795506Y-28619463D01* +X128698815Y-28698815D01* +X128619463Y-28795506D01* +X128560498Y-28905820D01* +X128524188Y-29025518D01* +X128511928Y-29150000D01* X128511928Y-30850000D01* X128524188Y-30974482D01* X128560498Y-31094180D01* @@ -24866,8 +24992,16 @@ X132413000Y-30127000D01* X132393000Y-30127000D01* X132393000Y-29873000D01* X132413000Y-29873000D01* -X132413000Y-29853000D01* -X132667000Y-29853000D01* +X132413000Y-27587000D01* +X132393000Y-27587000D01* +X132393000Y-27333000D01* +X132413000Y-27333000D01* +X132413000Y-27313000D01* +X132667000Y-27313000D01* +X132667000Y-27333000D01* +X132687000Y-27333000D01* +X132687000Y-27587000D01* +X132667000Y-27587000D01* X132667000Y-29873000D01* X132687000Y-29873000D01* X132687000Y-30127000D01* @@ -27286,6 +27420,35 @@ X133078800Y-74255074D01* G37* G04 #@! TD.AperFunction* D45* +X143067402Y-77801810D02* +X142947352Y-77921860D01* +X142960242Y-77897745D01* +X143000909Y-77763684D01* +X143011200Y-77659200D01* +X143011200Y-77659191D01* +X143014640Y-77624265D01* +X143011200Y-77589339D01* +X143011200Y-66552511D01* +X143067401Y-66496310D01* +X143067402Y-77801810D01* +G04 #@! TA.AperFunction,Conductor* +D46* +G36* +X143067402Y-77801810D02* +G01* +X142947352Y-77921860D01* +X142960242Y-77897745D01* +X143000909Y-77763684D01* +X143011200Y-77659200D01* +X143011200Y-77659191D01* +X143014640Y-77624265D01* +X143011200Y-77589339D01* +X143011200Y-66552511D01* +X143067401Y-66496310D01* +X143067402Y-77801810D01* +G37* +G04 #@! TD.AperFunction* +D45* X139726842Y-63177932D02* X140013740Y-63235000D01* X140306260Y-63235000D01* @@ -28447,115 +28610,6 @@ X192346525Y-30946632D01* G37* G04 #@! TD.AperFunction* D45* -X132723985Y-26109772D02* -X132667000Y-26139845D01* -X132667000Y-27333000D01* -X132687000Y-27333000D01* -X132687000Y-27587000D01* -X132667000Y-27587000D01* -X132667000Y-27607000D01* -X132413000Y-27607000D01* -X132413000Y-27587000D01* -X132393000Y-27587000D01* -X132393000Y-27333000D01* -X132413000Y-27333000D01* -X132413000Y-26139845D01* -X132183110Y-26018524D01* -X132035901Y-26063175D01* -X131773080Y-26188359D01* -X131539731Y-26362412D01* -X131344822Y-26578645D01* -X131275195Y-26695534D01* -X131153475Y-26513368D01* -X130946632Y-26306525D01* -X130703411Y-26144010D01* -X130433158Y-26032068D01* -X130146260Y-25975000D01* -X129853740Y-25975000D01* -X129566842Y-26032068D01* -X129296589Y-26144010D01* -X129053368Y-26306525D01* -X128846525Y-26513368D01* -X128684010Y-26756589D01* -X128572068Y-27026842D01* -X128515000Y-27313740D01* -X128515000Y-27606260D01* -X128572068Y-27893158D01* -X128684010Y-28163411D01* -X128715073Y-28209900D01* -X126314588Y-28209900D01* -X123506502Y-25401815D01* -X123484227Y-25374673D01* -X123375933Y-25285798D01* -X123252381Y-25219758D01* -X123231211Y-25213336D01* -X123215990Y-25176589D01* -X123053475Y-24933368D01* -X122846632Y-24726525D01* -X122672240Y-24610000D01* -X122846632Y-24493475D01* -X123053475Y-24286632D01* -X123210786Y-24051200D01* -X130665413Y-24051200D01* -X132723985Y-26109772D01* -G04 #@! TA.AperFunction,Conductor* -D46* -G36* -X132723985Y-26109772D02* -G01* -X132667000Y-26139845D01* -X132667000Y-27333000D01* -X132687000Y-27333000D01* -X132687000Y-27587000D01* -X132667000Y-27587000D01* -X132667000Y-27607000D01* -X132413000Y-27607000D01* -X132413000Y-27587000D01* -X132393000Y-27587000D01* -X132393000Y-27333000D01* -X132413000Y-27333000D01* -X132413000Y-26139845D01* -X132183110Y-26018524D01* -X132035901Y-26063175D01* -X131773080Y-26188359D01* -X131539731Y-26362412D01* -X131344822Y-26578645D01* -X131275195Y-26695534D01* -X131153475Y-26513368D01* -X130946632Y-26306525D01* -X130703411Y-26144010D01* -X130433158Y-26032068D01* -X130146260Y-25975000D01* -X129853740Y-25975000D01* -X129566842Y-26032068D01* -X129296589Y-26144010D01* -X129053368Y-26306525D01* -X128846525Y-26513368D01* -X128684010Y-26756589D01* -X128572068Y-27026842D01* -X128515000Y-27313740D01* -X128515000Y-27606260D01* -X128572068Y-27893158D01* -X128684010Y-28163411D01* -X128715073Y-28209900D01* -X126314588Y-28209900D01* -X123506502Y-25401815D01* -X123484227Y-25374673D01* -X123375933Y-25285798D01* -X123252381Y-25219758D01* -X123231211Y-25213336D01* -X123215990Y-25176589D01* -X123053475Y-24933368D01* -X122846632Y-24726525D01* -X122672240Y-24610000D01* -X122846632Y-24493475D01* -X123053475Y-24286632D01* -X123210786Y-24051200D01* -X130665413Y-24051200D01* -X132723985Y-26109772D01* -G37* -G04 #@! TD.AperFunction* -D45* X158404500Y-23873000D02* X158424500Y-23873000D01* X158424500Y-24127000D01* diff --git a/nubus-to-ztex/nubus-to-ztex-F_Mask.gbr b/nubus-to-ztex/nubus-to-ztex-F_Mask.gbr index e60d24e..5bf04bd 100644 --- a/nubus-to-ztex/nubus-to-ztex-F_Mask.gbr +++ b/nubus-to-ztex/nubus-to-ztex-F_Mask.gbr @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Top* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* @@ -19,10 +19,9 @@ G04 APERTURE LIST* %ADD15O,1.842000X2.302000*% %ADD16O,1.802000X1.802000*% %ADD17C,2.102000*% -%ADD18C,1.702000*% -%ADD19C,2.952000*% -%ADD20C,1.652000*% -%ADD21C,0.100000*% +%ADD18C,2.952000*% +%ADD19C,1.652000*% +%ADD20C,0.100000*% G04 APERTURE END LIST* D10* G36* @@ -441,6 +440,246 @@ X260170990Y-37650980D01* X257829000Y-37650980D01* X257829000Y-36128990D01* X260170990Y-36128990D01* +G36* +G01* +X266538500Y-45474816D02* +X266538500Y-48385184D01* +G75* +G02* +X266267684Y-48656000I-270816J0D01* +G01* +X265482316Y-48656000D01* +G75* +G02* +X265211500Y-48385184I0J270816D01* +G01* +X265211500Y-45474816D01* +G75* +G02* +X265482316Y-45204000I270816J0D01* +G01* +X266267684Y-45204000D01* +G75* +G02* +X266538500Y-45474816I0J-270816D01* +G01* +G37* +G36* +G01* +X272463500Y-45474816D02* +X272463500Y-48385184D01* +G75* +G02* +X272192684Y-48656000I-270816J0D01* +G01* +X271407316Y-48656000D01* +G75* +G02* +X271136500Y-48385184I0J270816D01* +G01* +X271136500Y-45474816D01* +G75* +G02* +X271407316Y-45204000I270816J0D01* +G01* +X272192684Y-45204000D01* +G75* +G02* +X272463500Y-45474816I0J-270816D01* +G01* +G37* +G36* +G01* +X270451000Y-50499500D02* +X270451000Y-51500500D01* +G75* +G02* +X270175500Y-51776000I-275500J0D01* +G01* +X269624500Y-51776000D01* +G75* +G02* +X269349000Y-51500500I0J275500D01* +G01* +X269349000Y-50499500D01* +G75* +G02* +X269624500Y-50224000I275500J0D01* +G01* +X270175500Y-50224000D01* +G75* +G02* +X270451000Y-50499500I0J-275500D01* +G01* +G37* +G36* +G01* +X272351000Y-50499500D02* +X272351000Y-51500500D01* +G75* +G02* +X272075500Y-51776000I-275500J0D01* +G01* +X271524500Y-51776000D01* +G75* +G02* +X271249000Y-51500500I0J275500D01* +G01* +X271249000Y-50499500D01* +G75* +G02* +X271524500Y-50224000I275500J0D01* +G01* +X272075500Y-50224000D01* +G75* +G02* +X272351000Y-50499500I0J-275500D01* +G01* +G37* +G36* +G01* +X124726000Y-21514000D02* +X121546000Y-21514000D01* +G75* +G02* +X121495000Y-21463000I0J51000D01* +G01* +X121495000Y-20193000D01* +G75* +G02* +X121546000Y-20142000I51000J0D01* +G01* +X124726000Y-20142000D01* +G75* +G02* +X124777000Y-20193000I0J-51000D01* +G01* +X124777000Y-21463000D01* +G75* +G02* +X124726000Y-21514000I-51000J0D01* +G01* +G37* +G36* +G01* +X124726000Y-24054000D02* +X121546000Y-24054000D01* +G75* +G02* +X121495000Y-24003000I0J51000D01* +G01* +X121495000Y-22733000D01* +G75* +G02* +X121546000Y-22682000I51000J0D01* +G01* +X124726000Y-22682000D01* +G75* +G02* +X124777000Y-22733000I0J-51000D01* +G01* +X124777000Y-24003000D01* +G75* +G02* +X124726000Y-24054000I-51000J0D01* +G01* +G37* +G36* +G01* +X124726000Y-26594000D02* +X121546000Y-26594000D01* +G75* +G02* +X121495000Y-26543000I0J51000D01* +G01* +X121495000Y-25273000D01* +G75* +G02* +X121546000Y-25222000I51000J0D01* +G01* +X124726000Y-25222000D01* +G75* +G02* +X124777000Y-25273000I0J-51000D01* +G01* +X124777000Y-26543000D01* +G75* +G02* +X124726000Y-26594000I-51000J0D01* +G01* +G37* +G36* +G01* +X124726000Y-29134000D02* +X121546000Y-29134000D01* +G75* +G02* +X121495000Y-29083000I0J51000D01* +G01* +X121495000Y-27813000D01* +G75* +G02* +X121546000Y-27762000I51000J0D01* +G01* +X124726000Y-27762000D01* +G75* +G02* +X124777000Y-27813000I0J-51000D01* +G01* +X124777000Y-29083000D01* +G75* +G02* +X124726000Y-29134000I-51000J0D01* +G01* +G37* +G36* +G01* +X124726000Y-31674000D02* +X121546000Y-31674000D01* +G75* +G02* +X121495000Y-31623000I0J51000D01* +G01* +X121495000Y-30353000D01* +G75* +G02* +X121546000Y-30302000I51000J0D01* +G01* +X124726000Y-30302000D01* +G75* +G02* +X124777000Y-30353000I0J-51000D01* +G01* +X124777000Y-31623000D01* +G75* +G02* +X124726000Y-31674000I-51000J0D01* +G01* +G37* +G36* +G01* +X124726000Y-34214000D02* +X121546000Y-34214000D01* +G75* +G02* +X121495000Y-34163000I0J51000D01* +G01* +X121495000Y-32893000D01* +G75* +G02* +X121546000Y-32842000I51000J0D01* +G01* +X124726000Y-32842000D01* +G75* +G02* +X124777000Y-32893000I0J-51000D01* +G01* +X124777000Y-34163000D01* +G75* +G02* +X124726000Y-34214000I-51000J0D01* +G01* +G37* D11* X221000000Y-29590000D03* X231000000Y-29590000D03* @@ -1837,7 +2076,7 @@ X187211371Y-51436953I51000J0D01* G01* G37* D12* -X257350000Y-47090000D03* +X269197500Y-89500000D03* G36* G01* X254281750Y-37378500D02* @@ -10388,81 +10627,7 @@ G02* X266900000Y-57751000I-51000J0D01* G01* G37* -G36* -G01* -X267701000Y-43480000D02* -X267701000Y-45080000D01* -G75* -G02* -X267650000Y-45131000I-51000J0D01* -G01* -X266050000Y-45131000D01* -G75* -G02* -X265999000Y-45080000I0J51000D01* -G01* -X265999000Y-43480000D01* -G75* -G02* -X266050000Y-43429000I51000J0D01* -G01* -X267650000Y-43429000D01* -G75* -G02* -X267701000Y-43480000I0J-51000D01* -G01* -G37* D18* -X264350000Y-44280000D03* -G36* -G01* -X267526000Y-49214400D02* -X267526000Y-51425600D01* -G75* -G02* -X267255600Y-51696000I-270400J0D01* -G01* -X266444400Y-51696000D01* -G75* -G02* -X266174000Y-51425600I0J270400D01* -G01* -X266174000Y-49214400D01* -G75* -G02* -X266444400Y-48944000I270400J0D01* -G01* -X267255600Y-48944000D01* -G75* -G02* -X267526000Y-49214400I0J-270400D01* -G01* -G37* -G36* -G01* -X264726000Y-49214400D02* -X264726000Y-51425600D01* -G75* -G02* -X264455600Y-51696000I-270400J0D01* -G01* -X263644400Y-51696000D01* -G75* -G02* -X263374000Y-51425600I0J270400D01* -G01* -X263374000Y-49214400D01* -G75* -G02* -X263644400Y-48944000I270400J0D01* -G01* -X264455600Y-48944000D01* -G75* -G02* -X264726000Y-49214400I0J-270400D01* -G01* -G37* -D19* X205080000Y-102540000D03* X116180000Y-102540000D03* G36* @@ -10489,7 +10654,7 @@ G02* X200826000Y-99440449I0J-266449D01* G01* G37* -D20* +D19* X197460000Y-100000000D03* X194920000Y-100000000D03* X192380000Y-100000000D03* @@ -10682,35 +10847,6 @@ X161776000Y-71918250I0J-244250D01* G01* G37* D16* -X121900000Y-20800000D03* -X121900000Y-23340000D03* -X121900000Y-25880000D03* -X121900000Y-28420000D03* -X121900000Y-30960000D03* -G36* -G01* -X122801000Y-32650000D02* -X122801000Y-34350000D01* -G75* -G02* -X122750000Y-34401000I-51000J0D01* -G01* -X121050000Y-34401000D01* -G75* -G02* -X120999000Y-34350000I0J51000D01* -G01* -X120999000Y-32650000D01* -G75* -G02* -X121050000Y-32599000I51000J0D01* -G01* -X122750000Y-32599000D01* -G75* -G02* -X122801000Y-32650000I0J-51000D01* -G01* -G37* X208740000Y-59210000D03* X208740000Y-61750000D03* X206200000Y-59210000D03* @@ -10798,7 +10934,7 @@ G02* X130850000Y-62651000I-51000J0D01* G01* G37* -D21* +D20* G36* X219162165Y-92154720D02* G01* @@ -10906,22 +11042,6 @@ X120426000Y-90354000D01* X120427732Y-90355000D01* G37* G36* -X147477732Y-90325000D02* -G01* -X147478000Y-90326000D01* -X147478000Y-90424000D01* -X147477000Y-90425732D01* -X147476000Y-90426000D01* -X145924000Y-90426000D01* -X145922268Y-90425000D01* -X145922000Y-90424000D01* -X145922000Y-90326000D01* -X145923000Y-90324268D01* -X145924000Y-90324000D01* -X147476000Y-90324000D01* -X147477732Y-90325000D01* -G37* -G36* X141577732Y-90325000D02* G01* X141578000Y-90326000D01* @@ -10938,6 +11058,22 @@ X141576000Y-90324000D01* X141577732Y-90325000D01* G37* G36* +X147477732Y-90325000D02* +G01* +X147478000Y-90326000D01* +X147478000Y-90424000D01* +X147477000Y-90425732D01* +X147476000Y-90426000D01* +X145924000Y-90426000D01* +X145922268Y-90425000D01* +X145922000Y-90424000D01* +X145922000Y-90326000D01* +X145923000Y-90324268D01* +X145924000Y-90324000D01* +X147476000Y-90324000D01* +X147477732Y-90325000D01* +G37* +G36* X217585386Y-90118000D02* G01* X217585386Y-90120000D01* @@ -11012,22 +11148,6 @@ X218979288Y-90117000D01* X218979484Y-90117010D01* G37* G36* -X120427732Y-89705000D02* -G01* -X120428000Y-89706000D01* -X120428000Y-89804000D01* -X120427000Y-89805732D01* -X120426000Y-89806000D01* -X118874000Y-89806000D01* -X118872268Y-89805000D01* -X118872000Y-89804000D01* -X118872000Y-89706000D01* -X118873000Y-89704268D01* -X118874000Y-89704000D01* -X120426000Y-89704000D01* -X120427732Y-89705000D01* -G37* -G36* X114527732Y-89705000D02* G01* X114528000Y-89706000D01* @@ -11044,20 +11164,20 @@ X114526000Y-89704000D01* X114527732Y-89705000D01* G37* G36* -X147477732Y-89675000D02* +X120427732Y-89705000D02* G01* -X147478000Y-89676000D01* -X147478000Y-89774000D01* -X147477000Y-89775732D01* -X147476000Y-89776000D01* -X145924000Y-89776000D01* -X145922268Y-89775000D01* -X145922000Y-89774000D01* -X145922000Y-89676000D01* -X145923000Y-89674268D01* -X145924000Y-89674000D01* -X147476000Y-89674000D01* -X147477732Y-89675000D01* +X120428000Y-89706000D01* +X120428000Y-89804000D01* +X120427000Y-89805732D01* +X120426000Y-89806000D01* +X118874000Y-89806000D01* +X118872268Y-89805000D01* +X118872000Y-89804000D01* +X118872000Y-89706000D01* +X118873000Y-89704268D01* +X118874000Y-89704000D01* +X120426000Y-89704000D01* +X120427732Y-89705000D01* G37* G36* X141577732Y-89675000D02* @@ -11076,20 +11196,20 @@ X141576000Y-89674000D01* X141577732Y-89675000D01* G37* G36* -X120427732Y-89055000D02* +X147477732Y-89675000D02* G01* -X120428000Y-89056000D01* -X120428000Y-89154000D01* -X120427000Y-89155732D01* -X120426000Y-89156000D01* -X118874000Y-89156000D01* -X118872268Y-89155000D01* -X118872000Y-89154000D01* -X118872000Y-89056000D01* -X118873000Y-89054268D01* -X118874000Y-89054000D01* -X120426000Y-89054000D01* -X120427732Y-89055000D01* +X147478000Y-89676000D01* +X147478000Y-89774000D01* +X147477000Y-89775732D01* +X147476000Y-89776000D01* +X145924000Y-89776000D01* +X145922268Y-89775000D01* +X145922000Y-89774000D01* +X145922000Y-89676000D01* +X145923000Y-89674268D01* +X145924000Y-89674000D01* +X147476000Y-89674000D01* +X147477732Y-89675000D01* G37* G36* X114527732Y-89055000D02* @@ -11108,20 +11228,20 @@ X114526000Y-89054000D01* X114527732Y-89055000D01* G37* G36* -X147477732Y-89025000D02* +X120427732Y-89055000D02* G01* -X147478000Y-89026000D01* -X147478000Y-89124000D01* -X147477000Y-89125732D01* -X147476000Y-89126000D01* -X145924000Y-89126000D01* -X145922268Y-89125000D01* -X145922000Y-89124000D01* -X145922000Y-89026000D01* -X145923000Y-89024268D01* -X145924000Y-89024000D01* -X147476000Y-89024000D01* -X147477732Y-89025000D01* +X120428000Y-89056000D01* +X120428000Y-89154000D01* +X120427000Y-89155732D01* +X120426000Y-89156000D01* +X118874000Y-89156000D01* +X118872268Y-89155000D01* +X118872000Y-89154000D01* +X118872000Y-89056000D01* +X118873000Y-89054268D01* +X118874000Y-89054000D01* +X120426000Y-89054000D01* +X120427732Y-89055000D01* G37* G36* X141577732Y-89025000D02* @@ -11140,6 +11260,22 @@ X141576000Y-89024000D01* X141577732Y-89025000D01* G37* G36* +X147477732Y-89025000D02* +G01* +X147478000Y-89026000D01* +X147478000Y-89124000D01* +X147477000Y-89125732D01* +X147476000Y-89126000D01* +X145924000Y-89126000D01* +X145922268Y-89125000D01* +X145922000Y-89124000D01* +X145922000Y-89026000D01* +X145923000Y-89024268D01* +X145924000Y-89024000D01* +X147476000Y-89024000D01* +X147477732Y-89025000D01* +G37* +G36* X120427732Y-88405000D02* G01* X120428000Y-88406000D01* @@ -11172,22 +11308,6 @@ X114526000Y-88404000D01* X114527732Y-88405000D01* G37* G36* -X147477732Y-88375000D02* -G01* -X147478000Y-88376000D01* -X147478000Y-88474000D01* -X147477000Y-88475732D01* -X147476000Y-88476000D01* -X145924000Y-88476000D01* -X145922268Y-88475000D01* -X145922000Y-88474000D01* -X145922000Y-88376000D01* -X145923000Y-88374268D01* -X145924000Y-88374000D01* -X147476000Y-88374000D01* -X147477732Y-88375000D01* -G37* -G36* X141577732Y-88375000D02* G01* X141578000Y-88376000D01* @@ -11204,20 +11324,20 @@ X141576000Y-88374000D01* X141577732Y-88375000D01* G37* G36* -X120427732Y-87755000D02* +X147477732Y-88375000D02* G01* -X120428000Y-87756000D01* -X120428000Y-87854000D01* -X120427000Y-87855732D01* -X120426000Y-87856000D01* -X118874000Y-87856000D01* -X118872268Y-87855000D01* -X118872000Y-87854000D01* -X118872000Y-87756000D01* -X118873000Y-87754268D01* -X118874000Y-87754000D01* -X120426000Y-87754000D01* -X120427732Y-87755000D01* +X147478000Y-88376000D01* +X147478000Y-88474000D01* +X147477000Y-88475732D01* +X147476000Y-88476000D01* +X145924000Y-88476000D01* +X145922268Y-88475000D01* +X145922000Y-88474000D01* +X145922000Y-88376000D01* +X145923000Y-88374268D01* +X145924000Y-88374000D01* +X147476000Y-88374000D01* +X147477732Y-88375000D01* G37* G36* X114527732Y-87755000D02* @@ -11236,6 +11356,22 @@ X114526000Y-87754000D01* X114527732Y-87755000D01* G37* G36* +X120427732Y-87755000D02* +G01* +X120428000Y-87756000D01* +X120428000Y-87854000D01* +X120427000Y-87855732D01* +X120426000Y-87856000D01* +X118874000Y-87856000D01* +X118872268Y-87855000D01* +X118872000Y-87854000D01* +X118872000Y-87756000D01* +X118873000Y-87754268D01* +X118874000Y-87754000D01* +X120426000Y-87754000D01* +X120427732Y-87755000D01* +G37* +G36* X141577732Y-87725000D02* G01* X141578000Y-87726000D01* @@ -11268,22 +11404,6 @@ X147476000Y-87724000D01* X147477732Y-87725000D01* G37* G36* -X114527732Y-87105000D02* -G01* -X114528000Y-87106000D01* -X114528000Y-87204000D01* -X114527000Y-87205732D01* -X114526000Y-87206000D01* -X112974000Y-87206000D01* -X112972268Y-87205000D01* -X112972000Y-87204000D01* -X112972000Y-87106000D01* -X112973000Y-87104268D01* -X112974000Y-87104000D01* -X114526000Y-87104000D01* -X114527732Y-87105000D01* -G37* -G36* X120427732Y-87105000D02* G01* X120428000Y-87106000D01* @@ -11300,20 +11420,20 @@ X120426000Y-87104000D01* X120427732Y-87105000D01* G37* G36* -X147477732Y-87075000D02* +X114527732Y-87105000D02* G01* -X147478000Y-87076000D01* -X147478000Y-87174000D01* -X147477000Y-87175732D01* -X147476000Y-87176000D01* -X145924000Y-87176000D01* -X145922268Y-87175000D01* -X145922000Y-87174000D01* -X145922000Y-87076000D01* -X145923000Y-87074268D01* -X145924000Y-87074000D01* -X147476000Y-87074000D01* -X147477732Y-87075000D01* +X114528000Y-87106000D01* +X114528000Y-87204000D01* +X114527000Y-87205732D01* +X114526000Y-87206000D01* +X112974000Y-87206000D01* +X112972268Y-87205000D01* +X112972000Y-87204000D01* +X112972000Y-87106000D01* +X112973000Y-87104268D01* +X112974000Y-87104000D01* +X114526000Y-87104000D01* +X114527732Y-87105000D01* G37* G36* X141577732Y-87075000D02* @@ -11332,6 +11452,22 @@ X141576000Y-87074000D01* X141577732Y-87075000D01* G37* G36* +X147477732Y-87075000D02* +G01* +X147478000Y-87076000D01* +X147478000Y-87174000D01* +X147477000Y-87175732D01* +X147476000Y-87176000D01* +X145924000Y-87176000D01* +X145922268Y-87175000D01* +X145922000Y-87174000D01* +X145922000Y-87076000D01* +X145923000Y-87074268D01* +X145924000Y-87074000D01* +X147476000Y-87074000D01* +X147477732Y-87075000D01* +G37* +G36* X253475732Y-83528000D02* G01* X253476000Y-83529000D01* @@ -11364,22 +11500,6 @@ X252524000Y-83527000D01* X252525732Y-83528000D01* G37* G36* -X182925732Y-80673000D02* -G01* -X182926000Y-80674000D01* -X182926000Y-82226000D01* -X182925000Y-82227732D01* -X182924000Y-82228000D01* -X182826000Y-82228000D01* -X182824268Y-82227000D01* -X182824000Y-82226000D01* -X182824000Y-80674000D01* -X182825000Y-80672268D01* -X182826000Y-80672000D01* -X182924000Y-80672000D01* -X182925732Y-80673000D01* -G37* -G36* X183575732Y-80673000D02* G01* X183576000Y-80674000D01* @@ -11412,6 +11532,22 @@ X184224000Y-80672000D01* X184225732Y-80673000D01* G37* G36* +X184875732Y-80673000D02* +G01* +X184876000Y-80674000D01* +X184876000Y-82226000D01* +X184875000Y-82227732D01* +X184874000Y-82228000D01* +X184776000Y-82228000D01* +X184774268Y-82227000D01* +X184774000Y-82226000D01* +X184774000Y-80674000D01* +X184775000Y-80672268D01* +X184776000Y-80672000D01* +X184874000Y-80672000D01* +X184875732Y-80673000D01* +G37* +G36* X185525732Y-80673000D02* G01* X185526000Y-80674000D01* @@ -11428,6 +11564,22 @@ X185524000Y-80672000D01* X185525732Y-80673000D01* G37* G36* +X182925732Y-80673000D02* +G01* +X182926000Y-80674000D01* +X182926000Y-82226000D01* +X182925000Y-82227732D01* +X182924000Y-82228000D01* +X182826000Y-82228000D01* +X182824268Y-82227000D01* +X182824000Y-82226000D01* +X182824000Y-80674000D01* +X182825000Y-80672268D01* +X182826000Y-80672000D01* +X182924000Y-80672000D01* +X182925732Y-80673000D01* +G37* +G36* X186175732Y-80673000D02* G01* X186176000Y-80674000D01* @@ -11444,22 +11596,6 @@ X186174000Y-80672000D01* X186175732Y-80673000D01* G37* G36* -X184875732Y-80673000D02* -G01* -X184876000Y-80674000D01* -X184876000Y-82226000D01* -X184875000Y-82227732D01* -X184874000Y-82228000D01* -X184776000Y-82228000D01* -X184774268Y-82227000D01* -X184774000Y-82226000D01* -X184774000Y-80674000D01* -X184775000Y-80672268D01* -X184776000Y-80672000D01* -X184874000Y-80672000D01* -X184875732Y-80673000D01* -G37* -G36* X152550732Y-80473000D02* G01* X152551000Y-80474000D01* @@ -11492,68 +11628,68 @@ X189449000Y-80472000D01* X189450732Y-80473000D01* G37* G36* -X151900732Y-80473000D02* +X153200732Y-80473000D02* G01* -X151901000Y-80474000D01* -X151901000Y-82126000D01* -X151900000Y-82127732D01* -X151899000Y-82128000D01* -X151801000Y-82128000D01* -X151799268Y-82127000D01* -X151799000Y-82126000D01* -X151799000Y-80474000D01* -X151800000Y-80472268D01* -X151801000Y-80472000D01* -X151899000Y-80472000D01* -X151900732Y-80473000D01* +X153201000Y-80474000D01* +X153201000Y-82126000D01* +X153200000Y-82127732D01* +X153199000Y-82128000D01* +X153101000Y-82128000D01* +X153099268Y-82127000D01* +X153099000Y-82126000D01* +X153099000Y-80474000D01* +X153100000Y-80472268D01* +X153101000Y-80472000D01* +X153199000Y-80472000D01* +X153200732Y-80473000D01* G37* G36* -X149950732Y-80473000D02* +X153850732Y-80473000D02* G01* -X149951000Y-80474000D01* -X149951000Y-82126000D01* -X149950000Y-82127732D01* -X149949000Y-82128000D01* -X149851000Y-82128000D01* -X149849268Y-82127000D01* -X149849000Y-82126000D01* -X149849000Y-80474000D01* -X149850000Y-80472268D01* -X149851000Y-80472000D01* -X149949000Y-80472000D01* -X149950732Y-80473000D01* +X153851000Y-80474000D01* +X153851000Y-82126000D01* +X153850000Y-82127732D01* +X153849000Y-82128000D01* +X153751000Y-82128000D01* +X153749268Y-82127000D01* +X153749000Y-82126000D01* +X153749000Y-80474000D01* +X153750000Y-80472268D01* +X153751000Y-80472000D01* +X153849000Y-80472000D01* +X153850732Y-80473000D01* G37* G36* -X150600732Y-80473000D02* +X179650732Y-80473000D02* G01* -X150601000Y-80474000D01* -X150601000Y-82126000D01* -X150600000Y-82127732D01* -X150599000Y-82128000D01* -X150501000Y-82128000D01* -X150499268Y-82127000D01* -X150499000Y-82126000D01* -X150499000Y-80474000D01* -X150500000Y-80472268D01* -X150501000Y-80472000D01* -X150599000Y-80472000D01* -X150600732Y-80473000D01* +X179651000Y-80474000D01* +X179651000Y-82126000D01* +X179650000Y-82127732D01* +X179649000Y-82128000D01* +X179551000Y-82128000D01* +X179549268Y-82127000D01* +X179549000Y-82126000D01* +X179549000Y-80474000D01* +X179550000Y-80472268D01* +X179551000Y-80472000D01* +X179649000Y-80472000D01* +X179650732Y-80473000D01* G37* G36* -X164250732Y-80473000D02* +X175750732Y-80473000D02* G01* -X164251000Y-80474000D01* -X164251000Y-82126000D01* -X164250000Y-82127732D01* -X164249000Y-82128000D01* -X164151000Y-82128000D01* -X164149268Y-82127000D01* -X164149000Y-82126000D01* -X164149000Y-80474000D01* -X164150000Y-80472268D01* -X164151000Y-80472000D01* -X164249000Y-80472000D01* -X164250732Y-80473000D01* +X175751000Y-80474000D01* +X175751000Y-82126000D01* +X175750000Y-82127732D01* +X175749000Y-82128000D01* +X175651000Y-82128000D01* +X175649268Y-82127000D01* +X175649000Y-82126000D01* +X175649000Y-80474000D01* +X175650000Y-80472268D01* +X175651000Y-80472000D01* +X175749000Y-80472000D01* +X175750732Y-80473000D01* G37* G36* X163600732Y-80473000D02* @@ -11572,20 +11708,100 @@ X163599000Y-80472000D01* X163600732Y-80473000D01* G37* G36* -X179000732Y-80473000D02* +X164250732Y-80473000D02* G01* -X179001000Y-80474000D01* -X179001000Y-82126000D01* -X179000000Y-82127732D01* -X178999000Y-82128000D01* -X178901000Y-82128000D01* -X178899268Y-82127000D01* -X178899000Y-82126000D01* -X178899000Y-80474000D01* -X178900000Y-80472268D01* -X178901000Y-80472000D01* -X178999000Y-80472000D01* -X179000732Y-80473000D01* +X164251000Y-80474000D01* +X164251000Y-82126000D01* +X164250000Y-82127732D01* +X164249000Y-82128000D01* +X164151000Y-82128000D01* +X164149268Y-82127000D01* +X164149000Y-82126000D01* +X164149000Y-80474000D01* +X164150000Y-80472268D01* +X164151000Y-80472000D01* +X164249000Y-80472000D01* +X164250732Y-80473000D01* +G37* +G36* +X164900732Y-80473000D02* +G01* +X164901000Y-80474000D01* +X164901000Y-82126000D01* +X164900000Y-82127732D01* +X164899000Y-82128000D01* +X164801000Y-82128000D01* +X164799268Y-82127000D01* +X164799000Y-82126000D01* +X164799000Y-80474000D01* +X164800000Y-80472268D01* +X164801000Y-80472000D01* +X164899000Y-80472000D01* +X164900732Y-80473000D01* +G37* +G36* +X165550732Y-80473000D02* +G01* +X165551000Y-80474000D01* +X165551000Y-82126000D01* +X165550000Y-82127732D01* +X165549000Y-82128000D01* +X165451000Y-82128000D01* +X165449268Y-82127000D01* +X165449000Y-82126000D01* +X165449000Y-80474000D01* +X165450000Y-80472268D01* +X165451000Y-80472000D01* +X165549000Y-80472000D01* +X165550732Y-80473000D01* +G37* +G36* +X166200732Y-80473000D02* +G01* +X166201000Y-80474000D01* +X166201000Y-82126000D01* +X166200000Y-82127732D01* +X166199000Y-82128000D01* +X166101000Y-82128000D01* +X166099268Y-82127000D01* +X166099000Y-82126000D01* +X166099000Y-80474000D01* +X166100000Y-80472268D01* +X166101000Y-80472000D01* +X166199000Y-80472000D01* +X166200732Y-80473000D01* +G37* +G36* +X166850732Y-80473000D02* +G01* +X166851000Y-80474000D01* +X166851000Y-82126000D01* +X166850000Y-82127732D01* +X166849000Y-82128000D01* +X166751000Y-82128000D01* +X166749268Y-82127000D01* +X166749000Y-82126000D01* +X166749000Y-80474000D01* +X166750000Y-80472268D01* +X166751000Y-80472000D01* +X166849000Y-80472000D01* +X166850732Y-80473000D01* +G37* +G36* +X168150732Y-80473000D02* +G01* +X168151000Y-80474000D01* +X168151000Y-82126000D01* +X168150000Y-82127732D01* +X168149000Y-82128000D01* +X168051000Y-82128000D01* +X168049268Y-82127000D01* +X168049000Y-82126000D01* +X168049000Y-80474000D01* +X168050000Y-80472268D01* +X168051000Y-80472000D01* +X168149000Y-80472000D01* +X168150732Y-80473000D01* G37* G36* X190100732Y-80473000D02* @@ -11604,6 +11820,150 @@ X190099000Y-80472000D01* X190100732Y-80473000D01* G37* G36* +X174450732Y-80473000D02* +G01* +X174451000Y-80474000D01* +X174451000Y-82126000D01* +X174450000Y-82127732D01* +X174449000Y-82128000D01* +X174351000Y-82128000D01* +X174349268Y-82127000D01* +X174349000Y-82126000D01* +X174349000Y-80474000D01* +X174350000Y-80472268D01* +X174351000Y-80472000D01* +X174449000Y-80472000D01* +X174450732Y-80473000D01* +G37* +G36* +X162950732Y-80473000D02* +G01* +X162951000Y-80474000D01* +X162951000Y-82126000D01* +X162950000Y-82127732D01* +X162949000Y-82128000D01* +X162851000Y-82128000D01* +X162849268Y-82127000D01* +X162849000Y-82126000D01* +X162849000Y-80474000D01* +X162850000Y-80472268D01* +X162851000Y-80472000D01* +X162949000Y-80472000D01* +X162950732Y-80473000D01* +G37* +G36* +X155150732Y-80473000D02* +G01* +X155151000Y-80474000D01* +X155151000Y-82126000D01* +X155150000Y-82127732D01* +X155149000Y-82128000D01* +X155051000Y-82128000D01* +X155049268Y-82127000D01* +X155049000Y-82126000D01* +X155049000Y-80474000D01* +X155050000Y-80472268D01* +X155051000Y-80472000D01* +X155149000Y-80472000D01* +X155150732Y-80473000D01* +G37* +G36* +X167500732Y-80473000D02* +G01* +X167501000Y-80474000D01* +X167501000Y-82126000D01* +X167500000Y-82127732D01* +X167499000Y-82128000D01* +X167401000Y-82128000D01* +X167399268Y-82127000D01* +X167399000Y-82126000D01* +X167399000Y-80474000D01* +X167400000Y-80472268D01* +X167401000Y-80472000D01* +X167499000Y-80472000D01* +X167500732Y-80473000D01* +G37* +G36* +X193350732Y-80473000D02* +G01* +X193351000Y-80474000D01* +X193351000Y-82126000D01* +X193350000Y-82127732D01* +X193349000Y-82128000D01* +X193251000Y-82128000D01* +X193249268Y-82127000D01* +X193249000Y-82126000D01* +X193249000Y-80474000D01* +X193250000Y-80472268D01* +X193251000Y-80472000D01* +X193349000Y-80472000D01* +X193350732Y-80473000D01* +G37* +G36* +X151900732Y-80473000D02* +G01* +X151901000Y-80474000D01* +X151901000Y-82126000D01* +X151900000Y-82127732D01* +X151899000Y-82128000D01* +X151801000Y-82128000D01* +X151799268Y-82127000D01* +X151799000Y-82126000D01* +X151799000Y-80474000D01* +X151800000Y-80472268D01* +X151801000Y-80472000D01* +X151899000Y-80472000D01* +X151900732Y-80473000D01* +G37* +G36* +X175100732Y-80473000D02* +G01* +X175101000Y-80474000D01* +X175101000Y-82126000D01* +X175100000Y-82127732D01* +X175099000Y-82128000D01* +X175001000Y-82128000D01* +X174999268Y-82127000D01* +X174999000Y-82126000D01* +X174999000Y-80474000D01* +X175000000Y-80472268D01* +X175001000Y-80472000D01* +X175099000Y-80472000D01* +X175100732Y-80473000D01* +G37* +G36* +X176400732Y-80473000D02* +G01* +X176401000Y-80474000D01* +X176401000Y-82126000D01* +X176400000Y-82127732D01* +X176399000Y-82128000D01* +X176301000Y-82128000D01* +X176299268Y-82127000D01* +X176299000Y-82126000D01* +X176299000Y-80474000D01* +X176300000Y-80472268D01* +X176301000Y-80472000D01* +X176399000Y-80472000D01* +X176400732Y-80473000D01* +G37* +G36* +X179000732Y-80473000D02* +G01* +X179001000Y-80474000D01* +X179001000Y-82126000D01* +X179000000Y-82127732D01* +X178999000Y-82128000D01* +X178901000Y-82128000D01* +X178899268Y-82127000D01* +X178899000Y-82126000D01* +X178899000Y-80474000D01* +X178900000Y-80472268D01* +X178901000Y-80472000D01* +X178999000Y-80472000D01* +X179000732Y-80473000D01* +G37* +G36* X190750732Y-80473000D02* G01* X190751000Y-80474000D01* @@ -11668,22 +12028,6 @@ X192699000Y-80472000D01* X192700732Y-80473000D01* G37* G36* -X193350732Y-80473000D02* -G01* -X193351000Y-80474000D01* -X193351000Y-82126000D01* -X193350000Y-82127732D01* -X193349000Y-82128000D01* -X193251000Y-82128000D01* -X193249268Y-82127000D01* -X193249000Y-82126000D01* -X193249000Y-80474000D01* -X193250000Y-80472268D01* -X193251000Y-80472000D01* -X193349000Y-80472000D01* -X193350732Y-80473000D01* -G37* -G36* X194000732Y-80473000D02* G01* X194001000Y-80474000D01* @@ -11716,198 +12060,6 @@ X194649000Y-80472000D01* X194650732Y-80473000D01* G37* G36* -X162950732Y-80473000D02* -G01* -X162951000Y-80474000D01* -X162951000Y-82126000D01* -X162950000Y-82127732D01* -X162949000Y-82128000D01* -X162851000Y-82128000D01* -X162849268Y-82127000D01* -X162849000Y-82126000D01* -X162849000Y-80474000D01* -X162850000Y-80472268D01* -X162851000Y-80472000D01* -X162949000Y-80472000D01* -X162950732Y-80473000D01* -G37* -G36* -X164900732Y-80473000D02* -G01* -X164901000Y-80474000D01* -X164901000Y-82126000D01* -X164900000Y-82127732D01* -X164899000Y-82128000D01* -X164801000Y-82128000D01* -X164799268Y-82127000D01* -X164799000Y-82126000D01* -X164799000Y-80474000D01* -X164800000Y-80472268D01* -X164801000Y-80472000D01* -X164899000Y-80472000D01* -X164900732Y-80473000D01* -G37* -G36* -X175750732Y-80473000D02* -G01* -X175751000Y-80474000D01* -X175751000Y-82126000D01* -X175750000Y-82127732D01* -X175749000Y-82128000D01* -X175651000Y-82128000D01* -X175649268Y-82127000D01* -X175649000Y-82126000D01* -X175649000Y-80474000D01* -X175650000Y-80472268D01* -X175651000Y-80472000D01* -X175749000Y-80472000D01* -X175750732Y-80473000D01* -G37* -G36* -X153200732Y-80473000D02* -G01* -X153201000Y-80474000D01* -X153201000Y-82126000D01* -X153200000Y-82127732D01* -X153199000Y-82128000D01* -X153101000Y-82128000D01* -X153099268Y-82127000D01* -X153099000Y-82126000D01* -X153099000Y-80474000D01* -X153100000Y-80472268D01* -X153101000Y-80472000D01* -X153199000Y-80472000D01* -X153200732Y-80473000D01* -G37* -G36* -X165550732Y-80473000D02* -G01* -X165551000Y-80474000D01* -X165551000Y-82126000D01* -X165550000Y-82127732D01* -X165549000Y-82128000D01* -X165451000Y-82128000D01* -X165449268Y-82127000D01* -X165449000Y-82126000D01* -X165449000Y-80474000D01* -X165450000Y-80472268D01* -X165451000Y-80472000D01* -X165549000Y-80472000D01* -X165550732Y-80473000D01* -G37* -G36* -X166200732Y-80473000D02* -G01* -X166201000Y-80474000D01* -X166201000Y-82126000D01* -X166200000Y-82127732D01* -X166199000Y-82128000D01* -X166101000Y-82128000D01* -X166099268Y-82127000D01* -X166099000Y-82126000D01* -X166099000Y-80474000D01* -X166100000Y-80472268D01* -X166101000Y-80472000D01* -X166199000Y-80472000D01* -X166200732Y-80473000D01* -G37* -G36* -X166850732Y-80473000D02* -G01* -X166851000Y-80474000D01* -X166851000Y-82126000D01* -X166850000Y-82127732D01* -X166849000Y-82128000D01* -X166751000Y-82128000D01* -X166749268Y-82127000D01* -X166749000Y-82126000D01* -X166749000Y-80474000D01* -X166750000Y-80472268D01* -X166751000Y-80472000D01* -X166849000Y-80472000D01* -X166850732Y-80473000D01* -G37* -G36* -X167500732Y-80473000D02* -G01* -X167501000Y-80474000D01* -X167501000Y-82126000D01* -X167500000Y-82127732D01* -X167499000Y-82128000D01* -X167401000Y-82128000D01* -X167399268Y-82127000D01* -X167399000Y-82126000D01* -X167399000Y-80474000D01* -X167400000Y-80472268D01* -X167401000Y-80472000D01* -X167499000Y-80472000D01* -X167500732Y-80473000D01* -G37* -G36* -X168150732Y-80473000D02* -G01* -X168151000Y-80474000D01* -X168151000Y-82126000D01* -X168150000Y-82127732D01* -X168149000Y-82128000D01* -X168051000Y-82128000D01* -X168049268Y-82127000D01* -X168049000Y-82126000D01* -X168049000Y-80474000D01* -X168050000Y-80472268D01* -X168051000Y-80472000D01* -X168149000Y-80472000D01* -X168150732Y-80473000D01* -G37* -G36* -X174450732Y-80473000D02* -G01* -X174451000Y-80474000D01* -X174451000Y-82126000D01* -X174450000Y-82127732D01* -X174449000Y-82128000D01* -X174351000Y-82128000D01* -X174349268Y-82127000D01* -X174349000Y-82126000D01* -X174349000Y-80474000D01* -X174350000Y-80472268D01* -X174351000Y-80472000D01* -X174449000Y-80472000D01* -X174450732Y-80473000D01* -G37* -G36* -X175100732Y-80473000D02* -G01* -X175101000Y-80474000D01* -X175101000Y-82126000D01* -X175100000Y-82127732D01* -X175099000Y-82128000D01* -X175001000Y-82128000D01* -X174999268Y-82127000D01* -X174999000Y-82126000D01* -X174999000Y-80474000D01* -X175000000Y-80472268D01* -X175001000Y-80472000D01* -X175099000Y-80472000D01* -X175100732Y-80473000D01* -G37* -G36* -X176400732Y-80473000D02* -G01* -X176401000Y-80474000D01* -X176401000Y-82126000D01* -X176400000Y-82127732D01* -X176399000Y-82128000D01* -X176301000Y-82128000D01* -X176299268Y-82127000D01* -X176299000Y-82126000D01* -X176299000Y-80474000D01* -X176300000Y-80472268D01* -X176301000Y-80472000D01* -X176399000Y-80472000D01* -X176400732Y-80473000D01* -G37* -G36* X177050732Y-80473000D02* G01* X177051000Y-80474000D01* @@ -11940,22 +12092,6 @@ X177699000Y-80472000D01* X177700732Y-80473000D01* G37* G36* -X151250732Y-80473000D02* -G01* -X151251000Y-80474000D01* -X151251000Y-82126000D01* -X151250000Y-82127732D01* -X151249000Y-82128000D01* -X151151000Y-82128000D01* -X151149268Y-82127000D01* -X151149000Y-82126000D01* -X151149000Y-80474000D01* -X151150000Y-80472268D01* -X151151000Y-80472000D01* -X151249000Y-80472000D01* -X151250732Y-80473000D01* -G37* -G36* X178350732Y-80473000D02* G01* X178351000Y-80474000D01* @@ -11972,38 +12108,6 @@ X178349000Y-80472000D01* X178350732Y-80473000D01* G37* G36* -X155150732Y-80473000D02* -G01* -X155151000Y-80474000D01* -X155151000Y-82126000D01* -X155150000Y-82127732D01* -X155149000Y-82128000D01* -X155051000Y-82128000D01* -X155049268Y-82127000D01* -X155049000Y-82126000D01* -X155049000Y-80474000D01* -X155050000Y-80472268D01* -X155051000Y-80472000D01* -X155149000Y-80472000D01* -X155150732Y-80473000D01* -G37* -G36* -X179650732Y-80473000D02* -G01* -X179651000Y-80474000D01* -X179651000Y-82126000D01* -X179650000Y-82127732D01* -X179649000Y-82128000D01* -X179551000Y-82128000D01* -X179549268Y-82127000D01* -X179549000Y-82126000D01* -X179549000Y-80474000D01* -X179550000Y-80472268D01* -X179551000Y-80472000D01* -X179649000Y-80472000D01* -X179650732Y-80473000D01* -G37* -G36* X154500732Y-80473000D02* G01* X154501000Y-80474000D01* @@ -12020,20 +12124,52 @@ X154499000Y-80472000D01* X154500732Y-80473000D01* G37* G36* -X153850732Y-80473000D02* +X149950732Y-80473000D02* G01* -X153851000Y-80474000D01* -X153851000Y-82126000D01* -X153850000Y-82127732D01* -X153849000Y-82128000D01* -X153751000Y-82128000D01* -X153749268Y-82127000D01* -X153749000Y-82126000D01* -X153749000Y-80474000D01* -X153750000Y-80472268D01* -X153751000Y-80472000D01* -X153849000Y-80472000D01* -X153850732Y-80473000D01* +X149951000Y-80474000D01* +X149951000Y-82126000D01* +X149950000Y-82127732D01* +X149949000Y-82128000D01* +X149851000Y-82128000D01* +X149849268Y-82127000D01* +X149849000Y-82126000D01* +X149849000Y-80474000D01* +X149850000Y-80472268D01* +X149851000Y-80472000D01* +X149949000Y-80472000D01* +X149950732Y-80473000D01* +G37* +G36* +X150600732Y-80473000D02* +G01* +X150601000Y-80474000D01* +X150601000Y-82126000D01* +X150600000Y-82127732D01* +X150599000Y-82128000D01* +X150501000Y-82128000D01* +X150499268Y-82127000D01* +X150499000Y-82126000D01* +X150499000Y-80474000D01* +X150500000Y-80472268D01* +X150501000Y-80472000D01* +X150599000Y-80472000D01* +X150600732Y-80473000D01* +G37* +G36* +X151250732Y-80473000D02* +G01* +X151251000Y-80474000D01* +X151251000Y-82126000D01* +X151250000Y-82127732D01* +X151249000Y-82128000D01* +X151151000Y-82128000D01* +X151149268Y-82127000D01* +X151149000Y-82126000D01* +X151149000Y-80474000D01* +X151150000Y-80472268D01* +X151151000Y-80472000D01* +X151249000Y-80472000D01* +X151250732Y-80473000D01* G37* G36* X269553004Y-80620000D02* @@ -12150,22 +12286,6 @@ X269923500Y-79319000D01* X269925232Y-79320000D01* G37* G36* -X264882732Y-78780000D02* -G01* -X264883000Y-78781000D01* -X264883000Y-78979000D01* -X264882000Y-78980732D01* -X264881000Y-78981000D01* -X263719000Y-78981000D01* -X263717268Y-78980000D01* -X263717000Y-78979000D01* -X263717000Y-78781000D01* -X263718000Y-78779268D01* -X263719000Y-78779000D01* -X264881000Y-78779000D01* -X264882732Y-78780000D01* -G37* -G36* X267082732Y-78780000D02* G01* X267083000Y-78781000D01* @@ -12182,6 +12302,22 @@ X267081000Y-78779000D01* X267082732Y-78780000D01* G37* G36* +X264882732Y-78780000D02* +G01* +X264883000Y-78781000D01* +X264883000Y-78979000D01* +X264882000Y-78980732D01* +X264881000Y-78981000D01* +X263719000Y-78981000D01* +X263717268Y-78980000D01* +X263717000Y-78979000D01* +X263717000Y-78781000D01* +X263718000Y-78779268D01* +X263719000Y-78779000D01* +X264881000Y-78779000D01* +X264882732Y-78780000D01* +G37* +G36* X269925232Y-78670000D02* G01* X269925500Y-78671000D01* @@ -12214,22 +12350,6 @@ X269923500Y-78019000D01* X269925232Y-78020000D01* G37* G36* -X130477732Y-77490000D02* -G01* -X130478000Y-77491000D01* -X130478000Y-77589000D01* -X130477000Y-77590732D01* -X130476000Y-77591000D01* -X128824000Y-77591000D01* -X128822268Y-77590000D01* -X128822000Y-77589000D01* -X128822000Y-77491000D01* -X128823000Y-77489268D01* -X128824000Y-77489000D01* -X130476000Y-77489000D01* -X130477732Y-77490000D01* -G37* -G36* X136077732Y-77490000D02* G01* X136078000Y-77491000D01* @@ -12246,6 +12366,22 @@ X136076000Y-77489000D01* X136077732Y-77490000D01* G37* G36* +X130477732Y-77490000D02* +G01* +X130478000Y-77491000D01* +X130478000Y-77589000D01* +X130477000Y-77590732D01* +X130476000Y-77591000D01* +X128824000Y-77591000D01* +X128822268Y-77590000D01* +X128822000Y-77589000D01* +X128822000Y-77491000D01* +X128823000Y-77489268D01* +X128824000Y-77489000D01* +X130476000Y-77489000D01* +X130477732Y-77490000D01* +G37* +G36* X269499236Y-77279826D02* G01* X269500001Y-77281674D01* @@ -12344,294 +12480,6 @@ X166199000Y-74872000D01* X166200732Y-74873000D01* G37* G36* -X165550732Y-74873000D02* -G01* -X165551000Y-74874000D01* -X165551000Y-76526000D01* -X165550000Y-76527732D01* -X165549000Y-76528000D01* -X165451000Y-76528000D01* -X165449268Y-76527000D01* -X165449000Y-76526000D01* -X165449000Y-74874000D01* -X165450000Y-74872268D01* -X165451000Y-74872000D01* -X165549000Y-74872000D01* -X165550732Y-74873000D01* -G37* -G36* -X164900732Y-74873000D02* -G01* -X164901000Y-74874000D01* -X164901000Y-76526000D01* -X164900000Y-76527732D01* -X164899000Y-76528000D01* -X164801000Y-76528000D01* -X164799268Y-76527000D01* -X164799000Y-76526000D01* -X164799000Y-74874000D01* -X164800000Y-74872268D01* -X164801000Y-74872000D01* -X164899000Y-74872000D01* -X164900732Y-74873000D01* -G37* -G36* -X164250732Y-74873000D02* -G01* -X164251000Y-74874000D01* -X164251000Y-76526000D01* -X164250000Y-76527732D01* -X164249000Y-76528000D01* -X164151000Y-76528000D01* -X164149268Y-76527000D01* -X164149000Y-76526000D01* -X164149000Y-74874000D01* -X164150000Y-74872268D01* -X164151000Y-74872000D01* -X164249000Y-74872000D01* -X164250732Y-74873000D01* -G37* -G36* -X163600732Y-74873000D02* -G01* -X163601000Y-74874000D01* -X163601000Y-76526000D01* -X163600000Y-76527732D01* -X163599000Y-76528000D01* -X163501000Y-76528000D01* -X163499268Y-76527000D01* -X163499000Y-76526000D01* -X163499000Y-74874000D01* -X163500000Y-74872268D01* -X163501000Y-74872000D01* -X163599000Y-74872000D01* -X163600732Y-74873000D01* -G37* -G36* -X162950732Y-74873000D02* -G01* -X162951000Y-74874000D01* -X162951000Y-76526000D01* -X162950000Y-76527732D01* -X162949000Y-76528000D01* -X162851000Y-76528000D01* -X162849268Y-76527000D01* -X162849000Y-76526000D01* -X162849000Y-74874000D01* -X162850000Y-74872268D01* -X162851000Y-74872000D01* -X162949000Y-74872000D01* -X162950732Y-74873000D01* -G37* -G36* -X154500732Y-74873000D02* -G01* -X154501000Y-74874000D01* -X154501000Y-76526000D01* -X154500000Y-76527732D01* -X154499000Y-76528000D01* -X154401000Y-76528000D01* -X154399268Y-76527000D01* -X154399000Y-76526000D01* -X154399000Y-74874000D01* -X154400000Y-74872268D01* -X154401000Y-74872000D01* -X154499000Y-74872000D01* -X154500732Y-74873000D01* -G37* -G36* -X153850732Y-74873000D02* -G01* -X153851000Y-74874000D01* -X153851000Y-76526000D01* -X153850000Y-76527732D01* -X153849000Y-76528000D01* -X153751000Y-76528000D01* -X153749268Y-76527000D01* -X153749000Y-76526000D01* -X153749000Y-74874000D01* -X153750000Y-74872268D01* -X153751000Y-74872000D01* -X153849000Y-74872000D01* -X153850732Y-74873000D01* -G37* -G36* -X153200732Y-74873000D02* -G01* -X153201000Y-74874000D01* -X153201000Y-76526000D01* -X153200000Y-76527732D01* -X153199000Y-76528000D01* -X153101000Y-76528000D01* -X153099268Y-76527000D01* -X153099000Y-76526000D01* -X153099000Y-74874000D01* -X153100000Y-74872268D01* -X153101000Y-74872000D01* -X153199000Y-74872000D01* -X153200732Y-74873000D01* -G37* -G36* -X152550732Y-74873000D02* -G01* -X152551000Y-74874000D01* -X152551000Y-76526000D01* -X152550000Y-76527732D01* -X152549000Y-76528000D01* -X152451000Y-76528000D01* -X152449268Y-76527000D01* -X152449000Y-76526000D01* -X152449000Y-74874000D01* -X152450000Y-74872268D01* -X152451000Y-74872000D01* -X152549000Y-74872000D01* -X152550732Y-74873000D01* -G37* -G36* -X151900732Y-74873000D02* -G01* -X151901000Y-74874000D01* -X151901000Y-76526000D01* -X151900000Y-76527732D01* -X151899000Y-76528000D01* -X151801000Y-76528000D01* -X151799268Y-76527000D01* -X151799000Y-76526000D01* -X151799000Y-74874000D01* -X151800000Y-74872268D01* -X151801000Y-74872000D01* -X151899000Y-74872000D01* -X151900732Y-74873000D01* -G37* -G36* -X151250732Y-74873000D02* -G01* -X151251000Y-74874000D01* -X151251000Y-76526000D01* -X151250000Y-76527732D01* -X151249000Y-76528000D01* -X151151000Y-76528000D01* -X151149268Y-76527000D01* -X151149000Y-76526000D01* -X151149000Y-74874000D01* -X151150000Y-74872268D01* -X151151000Y-74872000D01* -X151249000Y-74872000D01* -X151250732Y-74873000D01* -G37* -G36* -X150600732Y-74873000D02* -G01* -X150601000Y-74874000D01* -X150601000Y-76526000D01* -X150600000Y-76527732D01* -X150599000Y-76528000D01* -X150501000Y-76528000D01* -X150499268Y-76527000D01* -X150499000Y-76526000D01* -X150499000Y-74874000D01* -X150500000Y-74872268D01* -X150501000Y-74872000D01* -X150599000Y-74872000D01* -X150600732Y-74873000D01* -G37* -G36* -X149950732Y-74873000D02* -G01* -X149951000Y-74874000D01* -X149951000Y-76526000D01* -X149950000Y-76527732D01* -X149949000Y-76528000D01* -X149851000Y-76528000D01* -X149849268Y-76527000D01* -X149849000Y-76526000D01* -X149849000Y-74874000D01* -X149850000Y-74872268D01* -X149851000Y-74872000D01* -X149949000Y-74872000D01* -X149950732Y-74873000D01* -G37* -G36* -X168150732Y-74873000D02* -G01* -X168151000Y-74874000D01* -X168151000Y-76526000D01* -X168150000Y-76527732D01* -X168149000Y-76528000D01* -X168051000Y-76528000D01* -X168049268Y-76527000D01* -X168049000Y-76526000D01* -X168049000Y-74874000D01* -X168050000Y-74872268D01* -X168051000Y-74872000D01* -X168149000Y-74872000D01* -X168150732Y-74873000D01* -G37* -G36* -X179650732Y-74873000D02* -G01* -X179651000Y-74874000D01* -X179651000Y-76526000D01* -X179650000Y-76527732D01* -X179649000Y-76528000D01* -X179551000Y-76528000D01* -X179549268Y-76527000D01* -X179549000Y-76526000D01* -X179549000Y-74874000D01* -X179550000Y-74872268D01* -X179551000Y-74872000D01* -X179649000Y-74872000D01* -X179650732Y-74873000D01* -G37* -G36* -X194650732Y-74873000D02* -G01* -X194651000Y-74874000D01* -X194651000Y-76526000D01* -X194650000Y-76527732D01* -X194649000Y-76528000D01* -X194551000Y-76528000D01* -X194549268Y-76527000D01* -X194549000Y-76526000D01* -X194549000Y-74874000D01* -X194550000Y-74872268D01* -X194551000Y-74872000D01* -X194649000Y-74872000D01* -X194650732Y-74873000D01* -G37* -G36* -X189450732Y-74873000D02* -G01* -X189451000Y-74874000D01* -X189451000Y-76526000D01* -X189450000Y-76527732D01* -X189449000Y-76528000D01* -X189351000Y-76528000D01* -X189349268Y-76527000D01* -X189349000Y-76526000D01* -X189349000Y-74874000D01* -X189350000Y-74872268D01* -X189351000Y-74872000D01* -X189449000Y-74872000D01* -X189450732Y-74873000D01* -G37* -G36* -X167500732Y-74873000D02* -G01* -X167501000Y-74874000D01* -X167501000Y-76526000D01* -X167500000Y-76527732D01* -X167499000Y-76528000D01* -X167401000Y-76528000D01* -X167399268Y-76527000D01* -X167399000Y-76526000D01* -X167399000Y-74874000D01* -X167400000Y-74872268D01* -X167401000Y-74872000D01* -X167499000Y-74872000D01* -X167500732Y-74873000D01* -G37* -G36* X166850732Y-74873000D02* G01* X166851000Y-74874000D01* @@ -12664,150 +12512,6 @@ X190099000Y-74872000D01* X190100732Y-74873000D01* G37* G36* -X190750732Y-74873000D02* -G01* -X190751000Y-74874000D01* -X190751000Y-76526000D01* -X190750000Y-76527732D01* -X190749000Y-76528000D01* -X190651000Y-76528000D01* -X190649268Y-76527000D01* -X190649000Y-76526000D01* -X190649000Y-74874000D01* -X190650000Y-74872268D01* -X190651000Y-74872000D01* -X190749000Y-74872000D01* -X190750732Y-74873000D01* -G37* -G36* -X191400732Y-74873000D02* -G01* -X191401000Y-74874000D01* -X191401000Y-76526000D01* -X191400000Y-76527732D01* -X191399000Y-76528000D01* -X191301000Y-76528000D01* -X191299268Y-76527000D01* -X191299000Y-76526000D01* -X191299000Y-74874000D01* -X191300000Y-74872268D01* -X191301000Y-74872000D01* -X191399000Y-74872000D01* -X191400732Y-74873000D01* -G37* -G36* -X192050732Y-74873000D02* -G01* -X192051000Y-74874000D01* -X192051000Y-76526000D01* -X192050000Y-76527732D01* -X192049000Y-76528000D01* -X191951000Y-76528000D01* -X191949268Y-76527000D01* -X191949000Y-76526000D01* -X191949000Y-74874000D01* -X191950000Y-74872268D01* -X191951000Y-74872000D01* -X192049000Y-74872000D01* -X192050732Y-74873000D01* -G37* -G36* -X192700732Y-74873000D02* -G01* -X192701000Y-74874000D01* -X192701000Y-76526000D01* -X192700000Y-76527732D01* -X192699000Y-76528000D01* -X192601000Y-76528000D01* -X192599268Y-76527000D01* -X192599000Y-76526000D01* -X192599000Y-74874000D01* -X192600000Y-74872268D01* -X192601000Y-74872000D01* -X192699000Y-74872000D01* -X192700732Y-74873000D01* -G37* -G36* -X155150732Y-74873000D02* -G01* -X155151000Y-74874000D01* -X155151000Y-76526000D01* -X155150000Y-76527732D01* -X155149000Y-76528000D01* -X155051000Y-76528000D01* -X155049268Y-76527000D01* -X155049000Y-76526000D01* -X155049000Y-74874000D01* -X155050000Y-74872268D01* -X155051000Y-74872000D01* -X155149000Y-74872000D01* -X155150732Y-74873000D01* -G37* -G36* -X193350732Y-74873000D02* -G01* -X193351000Y-74874000D01* -X193351000Y-76526000D01* -X193350000Y-76527732D01* -X193349000Y-76528000D01* -X193251000Y-76528000D01* -X193249268Y-76527000D01* -X193249000Y-76526000D01* -X193249000Y-74874000D01* -X193250000Y-74872268D01* -X193251000Y-74872000D01* -X193349000Y-74872000D01* -X193350732Y-74873000D01* -G37* -G36* -X194000732Y-74873000D02* -G01* -X194001000Y-74874000D01* -X194001000Y-76526000D01* -X194000000Y-76527732D01* -X193999000Y-76528000D01* -X193901000Y-76528000D01* -X193899268Y-76527000D01* -X193899000Y-76526000D01* -X193899000Y-74874000D01* -X193900000Y-74872268D01* -X193901000Y-74872000D01* -X193999000Y-74872000D01* -X194000732Y-74873000D01* -G37* -G36* -X178350732Y-74873000D02* -G01* -X178351000Y-74874000D01* -X178351000Y-76526000D01* -X178350000Y-76527732D01* -X178349000Y-76528000D01* -X178251000Y-76528000D01* -X178249268Y-76527000D01* -X178249000Y-76526000D01* -X178249000Y-74874000D01* -X178250000Y-74872268D01* -X178251000Y-74872000D01* -X178349000Y-74872000D01* -X178350732Y-74873000D01* -G37* -G36* -X179000732Y-74873000D02* -G01* -X179001000Y-74874000D01* -X179001000Y-76526000D01* -X179000000Y-76527732D01* -X178999000Y-76528000D01* -X178901000Y-76528000D01* -X178899268Y-76527000D01* -X178899000Y-76526000D01* -X178899000Y-74874000D01* -X178900000Y-74872268D01* -X178901000Y-74872000D01* -X178999000Y-74872000D01* -X179000732Y-74873000D01* -G37* -G36* X174450732Y-74873000D02* G01* X174451000Y-74874000D01* @@ -12824,6 +12528,22 @@ X174449000Y-74872000D01* X174450732Y-74873000D01* G37* G36* +X165550732Y-74873000D02* +G01* +X165551000Y-74874000D01* +X165551000Y-76526000D01* +X165550000Y-76527732D01* +X165549000Y-76528000D01* +X165451000Y-76528000D01* +X165449268Y-76527000D01* +X165449000Y-76526000D01* +X165449000Y-74874000D01* +X165450000Y-74872268D01* +X165451000Y-74872000D01* +X165549000Y-74872000D01* +X165550732Y-74873000D01* +G37* +G36* X175100732Y-74873000D02* G01* X175101000Y-74874000D01* @@ -12904,20 +12624,436 @@ X177699000Y-74872000D01* X177700732Y-74873000D01* G37* G36* -X182925732Y-74773000D02* +X178350732Y-74873000D02* G01* -X182926000Y-74774000D01* -X182926000Y-76326000D01* -X182925000Y-76327732D01* -X182924000Y-76328000D01* -X182826000Y-76328000D01* -X182824268Y-76327000D01* -X182824000Y-76326000D01* -X182824000Y-74774000D01* -X182825000Y-74772268D01* -X182826000Y-74772000D01* -X182924000Y-74772000D01* -X182925732Y-74773000D01* +X178351000Y-74874000D01* +X178351000Y-76526000D01* +X178350000Y-76527732D01* +X178349000Y-76528000D01* +X178251000Y-76528000D01* +X178249268Y-76527000D01* +X178249000Y-76526000D01* +X178249000Y-74874000D01* +X178250000Y-74872268D01* +X178251000Y-74872000D01* +X178349000Y-74872000D01* +X178350732Y-74873000D01* +G37* +G36* +X179000732Y-74873000D02* +G01* +X179001000Y-74874000D01* +X179001000Y-76526000D01* +X179000000Y-76527732D01* +X178999000Y-76528000D01* +X178901000Y-76528000D01* +X178899268Y-76527000D01* +X178899000Y-76526000D01* +X178899000Y-74874000D01* +X178900000Y-74872268D01* +X178901000Y-74872000D01* +X178999000Y-74872000D01* +X179000732Y-74873000D01* +G37* +G36* +X189450732Y-74873000D02* +G01* +X189451000Y-74874000D01* +X189451000Y-76526000D01* +X189450000Y-76527732D01* +X189449000Y-76528000D01* +X189351000Y-76528000D01* +X189349268Y-76527000D01* +X189349000Y-76526000D01* +X189349000Y-74874000D01* +X189350000Y-74872268D01* +X189351000Y-74872000D01* +X189449000Y-74872000D01* +X189450732Y-74873000D01* +G37* +G36* +X194650732Y-74873000D02* +G01* +X194651000Y-74874000D01* +X194651000Y-76526000D01* +X194650000Y-76527732D01* +X194649000Y-76528000D01* +X194551000Y-76528000D01* +X194549268Y-76527000D01* +X194549000Y-76526000D01* +X194549000Y-74874000D01* +X194550000Y-74872268D01* +X194551000Y-74872000D01* +X194649000Y-74872000D01* +X194650732Y-74873000D01* +G37* +G36* +X168150732Y-74873000D02* +G01* +X168151000Y-74874000D01* +X168151000Y-76526000D01* +X168150000Y-76527732D01* +X168149000Y-76528000D01* +X168051000Y-76528000D01* +X168049268Y-76527000D01* +X168049000Y-76526000D01* +X168049000Y-74874000D01* +X168050000Y-74872268D01* +X168051000Y-74872000D01* +X168149000Y-74872000D01* +X168150732Y-74873000D01* +G37* +G36* +X179650732Y-74873000D02* +G01* +X179651000Y-74874000D01* +X179651000Y-76526000D01* +X179650000Y-76527732D01* +X179649000Y-76528000D01* +X179551000Y-76528000D01* +X179549268Y-76527000D01* +X179549000Y-76526000D01* +X179549000Y-74874000D01* +X179550000Y-74872268D01* +X179551000Y-74872000D01* +X179649000Y-74872000D01* +X179650732Y-74873000D01* +G37* +G36* +X155150732Y-74873000D02* +G01* +X155151000Y-74874000D01* +X155151000Y-76526000D01* +X155150000Y-76527732D01* +X155149000Y-76528000D01* +X155051000Y-76528000D01* +X155049268Y-76527000D01* +X155049000Y-76526000D01* +X155049000Y-74874000D01* +X155050000Y-74872268D01* +X155051000Y-74872000D01* +X155149000Y-74872000D01* +X155150732Y-74873000D01* +G37* +G36* +X152550732Y-74873000D02* +G01* +X152551000Y-74874000D01* +X152551000Y-76526000D01* +X152550000Y-76527732D01* +X152549000Y-76528000D01* +X152451000Y-76528000D01* +X152449268Y-76527000D01* +X152449000Y-76526000D01* +X152449000Y-74874000D01* +X152450000Y-74872268D01* +X152451000Y-74872000D01* +X152549000Y-74872000D01* +X152550732Y-74873000D01* +G37* +G36* +X164250732Y-74873000D02* +G01* +X164251000Y-74874000D01* +X164251000Y-76526000D01* +X164250000Y-76527732D01* +X164249000Y-76528000D01* +X164151000Y-76528000D01* +X164149268Y-76527000D01* +X164149000Y-76526000D01* +X164149000Y-74874000D01* +X164150000Y-74872268D01* +X164151000Y-74872000D01* +X164249000Y-74872000D01* +X164250732Y-74873000D01* +G37* +G36* +X164900732Y-74873000D02* +G01* +X164901000Y-74874000D01* +X164901000Y-76526000D01* +X164900000Y-76527732D01* +X164899000Y-76528000D01* +X164801000Y-76528000D01* +X164799268Y-76527000D01* +X164799000Y-76526000D01* +X164799000Y-74874000D01* +X164800000Y-74872268D01* +X164801000Y-74872000D01* +X164899000Y-74872000D01* +X164900732Y-74873000D01* +G37* +G36* +X151900732Y-74873000D02* +G01* +X151901000Y-74874000D01* +X151901000Y-76526000D01* +X151900000Y-76527732D01* +X151899000Y-76528000D01* +X151801000Y-76528000D01* +X151799268Y-76527000D01* +X151799000Y-76526000D01* +X151799000Y-74874000D01* +X151800000Y-74872268D01* +X151801000Y-74872000D01* +X151899000Y-74872000D01* +X151900732Y-74873000D01* +G37* +G36* +X151250732Y-74873000D02* +G01* +X151251000Y-74874000D01* +X151251000Y-76526000D01* +X151250000Y-76527732D01* +X151249000Y-76528000D01* +X151151000Y-76528000D01* +X151149268Y-76527000D01* +X151149000Y-76526000D01* +X151149000Y-74874000D01* +X151150000Y-74872268D01* +X151151000Y-74872000D01* +X151249000Y-74872000D01* +X151250732Y-74873000D01* +G37* +G36* +X153200732Y-74873000D02* +G01* +X153201000Y-74874000D01* +X153201000Y-76526000D01* +X153200000Y-76527732D01* +X153199000Y-76528000D01* +X153101000Y-76528000D01* +X153099268Y-76527000D01* +X153099000Y-76526000D01* +X153099000Y-74874000D01* +X153100000Y-74872268D01* +X153101000Y-74872000D01* +X153199000Y-74872000D01* +X153200732Y-74873000D01* +G37* +G36* +X150600732Y-74873000D02* +G01* +X150601000Y-74874000D01* +X150601000Y-76526000D01* +X150600000Y-76527732D01* +X150599000Y-76528000D01* +X150501000Y-76528000D01* +X150499268Y-76527000D01* +X150499000Y-76526000D01* +X150499000Y-74874000D01* +X150500000Y-74872268D01* +X150501000Y-74872000D01* +X150599000Y-74872000D01* +X150600732Y-74873000D01* +G37* +G36* +X149950732Y-74873000D02* +G01* +X149951000Y-74874000D01* +X149951000Y-76526000D01* +X149950000Y-76527732D01* +X149949000Y-76528000D01* +X149851000Y-76528000D01* +X149849268Y-76527000D01* +X149849000Y-76526000D01* +X149849000Y-74874000D01* +X149850000Y-74872268D01* +X149851000Y-74872000D01* +X149949000Y-74872000D01* +X149950732Y-74873000D01* +G37* +G36* +X194000732Y-74873000D02* +G01* +X194001000Y-74874000D01* +X194001000Y-76526000D01* +X194000000Y-76527732D01* +X193999000Y-76528000D01* +X193901000Y-76528000D01* +X193899268Y-76527000D01* +X193899000Y-76526000D01* +X193899000Y-74874000D01* +X193900000Y-74872268D01* +X193901000Y-74872000D01* +X193999000Y-74872000D01* +X194000732Y-74873000D01* +G37* +G36* +X193350732Y-74873000D02* +G01* +X193351000Y-74874000D01* +X193351000Y-76526000D01* +X193350000Y-76527732D01* +X193349000Y-76528000D01* +X193251000Y-76528000D01* +X193249268Y-76527000D01* +X193249000Y-76526000D01* +X193249000Y-74874000D01* +X193250000Y-74872268D01* +X193251000Y-74872000D01* +X193349000Y-74872000D01* +X193350732Y-74873000D01* +G37* +G36* +X192700732Y-74873000D02* +G01* +X192701000Y-74874000D01* +X192701000Y-76526000D01* +X192700000Y-76527732D01* +X192699000Y-76528000D01* +X192601000Y-76528000D01* +X192599268Y-76527000D01* +X192599000Y-76526000D01* +X192599000Y-74874000D01* +X192600000Y-74872268D01* +X192601000Y-74872000D01* +X192699000Y-74872000D01* +X192700732Y-74873000D01* +G37* +G36* +X192050732Y-74873000D02* +G01* +X192051000Y-74874000D01* +X192051000Y-76526000D01* +X192050000Y-76527732D01* +X192049000Y-76528000D01* +X191951000Y-76528000D01* +X191949268Y-76527000D01* +X191949000Y-76526000D01* +X191949000Y-74874000D01* +X191950000Y-74872268D01* +X191951000Y-74872000D01* +X192049000Y-74872000D01* +X192050732Y-74873000D01* +G37* +G36* +X191400732Y-74873000D02* +G01* +X191401000Y-74874000D01* +X191401000Y-76526000D01* +X191400000Y-76527732D01* +X191399000Y-76528000D01* +X191301000Y-76528000D01* +X191299268Y-76527000D01* +X191299000Y-76526000D01* +X191299000Y-74874000D01* +X191300000Y-74872268D01* +X191301000Y-74872000D01* +X191399000Y-74872000D01* +X191400732Y-74873000D01* +G37* +G36* +X190750732Y-74873000D02* +G01* +X190751000Y-74874000D01* +X190751000Y-76526000D01* +X190750000Y-76527732D01* +X190749000Y-76528000D01* +X190651000Y-76528000D01* +X190649268Y-76527000D01* +X190649000Y-76526000D01* +X190649000Y-74874000D01* +X190650000Y-74872268D01* +X190651000Y-74872000D01* +X190749000Y-74872000D01* +X190750732Y-74873000D01* +G37* +G36* +X167500732Y-74873000D02* +G01* +X167501000Y-74874000D01* +X167501000Y-76526000D01* +X167500000Y-76527732D01* +X167499000Y-76528000D01* +X167401000Y-76528000D01* +X167399268Y-76527000D01* +X167399000Y-76526000D01* +X167399000Y-74874000D01* +X167400000Y-74872268D01* +X167401000Y-74872000D01* +X167499000Y-74872000D01* +X167500732Y-74873000D01* +G37* +G36* +X153850732Y-74873000D02* +G01* +X153851000Y-74874000D01* +X153851000Y-76526000D01* +X153850000Y-76527732D01* +X153849000Y-76528000D01* +X153751000Y-76528000D01* +X153749268Y-76527000D01* +X153749000Y-76526000D01* +X153749000Y-74874000D01* +X153750000Y-74872268D01* +X153751000Y-74872000D01* +X153849000Y-74872000D01* +X153850732Y-74873000D01* +G37* +G36* +X154500732Y-74873000D02* +G01* +X154501000Y-74874000D01* +X154501000Y-76526000D01* +X154500000Y-76527732D01* +X154499000Y-76528000D01* +X154401000Y-76528000D01* +X154399268Y-76527000D01* +X154399000Y-76526000D01* +X154399000Y-74874000D01* +X154400000Y-74872268D01* +X154401000Y-74872000D01* +X154499000Y-74872000D01* +X154500732Y-74873000D01* +G37* +G36* +X162950732Y-74873000D02* +G01* +X162951000Y-74874000D01* +X162951000Y-76526000D01* +X162950000Y-76527732D01* +X162949000Y-76528000D01* +X162851000Y-76528000D01* +X162849268Y-76527000D01* +X162849000Y-76526000D01* +X162849000Y-74874000D01* +X162850000Y-74872268D01* +X162851000Y-74872000D01* +X162949000Y-74872000D01* +X162950732Y-74873000D01* +G37* +G36* +X163600732Y-74873000D02* +G01* +X163601000Y-74874000D01* +X163601000Y-76526000D01* +X163600000Y-76527732D01* +X163599000Y-76528000D01* +X163501000Y-76528000D01* +X163499268Y-76527000D01* +X163499000Y-76526000D01* +X163499000Y-74874000D01* +X163500000Y-74872268D01* +X163501000Y-74872000D01* +X163599000Y-74872000D01* +X163600732Y-74873000D01* +G37* +G36* +X184875732Y-74773000D02* +G01* +X184876000Y-74774000D01* +X184876000Y-76326000D01* +X184875000Y-76327732D01* +X184874000Y-76328000D01* +X184776000Y-76328000D01* +X184774268Y-76327000D01* +X184774000Y-76326000D01* +X184774000Y-74774000D01* +X184775000Y-74772268D01* +X184776000Y-74772000D01* +X184874000Y-74772000D01* +X184875732Y-74773000D01* G37* G36* X186175732Y-74773000D02* @@ -12952,22 +13088,6 @@ X185524000Y-74772000D01* X185525732Y-74773000D01* G37* G36* -X184875732Y-74773000D02* -G01* -X184876000Y-74774000D01* -X184876000Y-76326000D01* -X184875000Y-76327732D01* -X184874000Y-76328000D01* -X184776000Y-76328000D01* -X184774268Y-76327000D01* -X184774000Y-76326000D01* -X184774000Y-74774000D01* -X184775000Y-74772268D01* -X184776000Y-74772000D01* -X184874000Y-74772000D01* -X184875732Y-74773000D01* -G37* -G36* X184225732Y-74773000D02* G01* X184226000Y-74774000D01* @@ -13000,6 +13120,22 @@ X183574000Y-74772000D01* X183575732Y-74773000D01* G37* G36* +X182925732Y-74773000D02* +G01* +X182926000Y-74774000D01* +X182926000Y-76326000D01* +X182925000Y-76327732D01* +X182924000Y-76328000D01* +X182826000Y-76328000D01* +X182824268Y-76327000D01* +X182824000Y-76326000D01* +X182824000Y-74774000D01* +X182825000Y-74772268D01* +X182826000Y-74772000D01* +X182924000Y-74772000D01* +X182925732Y-74773000D01* +G37* +G36* X130477732Y-76190000D02* G01* X130478000Y-76191000D01* @@ -13032,22 +13168,6 @@ X136076000Y-76189000D01* X136077732Y-76190000D01* G37* G36* -X136077732Y-75540000D02* -G01* -X136078000Y-75541000D01* -X136078000Y-75639000D01* -X136077000Y-75640732D01* -X136076000Y-75641000D01* -X134424000Y-75641000D01* -X134422268Y-75640000D01* -X134422000Y-75639000D01* -X134422000Y-75541000D01* -X134423000Y-75539268D01* -X134424000Y-75539000D01* -X136076000Y-75539000D01* -X136077732Y-75540000D01* -G37* -G36* X130477732Y-75540000D02* G01* X130478000Y-75541000D01* @@ -13064,20 +13184,20 @@ X130476000Y-75539000D01* X130477732Y-75540000D01* G37* G36* -X130477732Y-74890000D02* +X136077732Y-75540000D02* G01* -X130478000Y-74891000D01* -X130478000Y-74989000D01* -X130477000Y-74990732D01* -X130476000Y-74991000D01* -X128824000Y-74991000D01* -X128822268Y-74990000D01* -X128822000Y-74989000D01* -X128822000Y-74891000D01* -X128823000Y-74889268D01* -X128824000Y-74889000D01* -X130476000Y-74889000D01* -X130477732Y-74890000D01* +X136078000Y-75541000D01* +X136078000Y-75639000D01* +X136077000Y-75640732D01* +X136076000Y-75641000D01* +X134424000Y-75641000D01* +X134422268Y-75640000D01* +X134422000Y-75639000D01* +X134422000Y-75541000D01* +X134423000Y-75539268D01* +X134424000Y-75539000D01* +X136076000Y-75539000D01* +X136077732Y-75540000D01* G37* G36* X136077732Y-74890000D02* @@ -13096,6 +13216,22 @@ X136076000Y-74889000D01* X136077732Y-74890000D01* G37* G36* +X130477732Y-74890000D02* +G01* +X130478000Y-74891000D01* +X130478000Y-74989000D01* +X130477000Y-74990732D01* +X130476000Y-74991000D01* +X128824000Y-74991000D01* +X128822268Y-74990000D01* +X128822000Y-74989000D01* +X128822000Y-74891000D01* +X128823000Y-74889268D01* +X128824000Y-74889000D01* +X130476000Y-74889000D01* +X130477732Y-74890000D01* +G37* +G36* X136077732Y-74240000D02* G01* X136078000Y-74241000D01* @@ -13160,22 +13296,6 @@ X136076000Y-73589000D01* X136077732Y-73590000D01* G37* G36* -X130477732Y-72940000D02* -G01* -X130478000Y-72941000D01* -X130478000Y-73039000D01* -X130477000Y-73040732D01* -X130476000Y-73041000D01* -X128824000Y-73041000D01* -X128822268Y-73040000D01* -X128822000Y-73039000D01* -X128822000Y-72941000D01* -X128823000Y-72939268D01* -X128824000Y-72939000D01* -X130476000Y-72939000D01* -X130477732Y-72940000D01* -G37* -G36* X136077732Y-72940000D02* G01* X136078000Y-72941000D01* @@ -13192,20 +13312,20 @@ X136076000Y-72939000D01* X136077732Y-72940000D01* G37* G36* -X130477732Y-72290000D02* +X130477732Y-72940000D02* G01* -X130478000Y-72291000D01* -X130478000Y-72389000D01* -X130477000Y-72390732D01* -X130476000Y-72391000D01* -X128824000Y-72391000D01* -X128822268Y-72390000D01* -X128822000Y-72389000D01* -X128822000Y-72291000D01* -X128823000Y-72289268D01* -X128824000Y-72289000D01* -X130476000Y-72289000D01* -X130477732Y-72290000D01* +X130478000Y-72941000D01* +X130478000Y-73039000D01* +X130477000Y-73040732D01* +X130476000Y-73041000D01* +X128824000Y-73041000D01* +X128822268Y-73040000D01* +X128822000Y-73039000D01* +X128822000Y-72941000D01* +X128823000Y-72939268D01* +X128824000Y-72939000D01* +X130476000Y-72939000D01* +X130477732Y-72940000D01* G37* G36* X136077732Y-72290000D02* @@ -13224,6 +13344,22 @@ X136076000Y-72289000D01* X136077732Y-72290000D01* G37* G36* +X130477732Y-72290000D02* +G01* +X130478000Y-72291000D01* +X130478000Y-72389000D01* +X130477000Y-72390732D01* +X130476000Y-72391000D01* +X128824000Y-72391000D01* +X128822268Y-72390000D01* +X128822000Y-72389000D01* +X128822000Y-72291000D01* +X128823000Y-72289268D01* +X128824000Y-72289000D01* +X130476000Y-72289000D01* +X130477732Y-72290000D01* +G37* +G36* X266952732Y-66250000D02* G01* X266953000Y-66251000D01* @@ -13368,22 +13504,6 @@ X266951000Y-62249000D01* X266952732Y-62250000D01* G37* G36* -X248917732Y-62130000D02* -G01* -X248918000Y-62131000D01* -X248918000Y-62269000D01* -X248917000Y-62270732D01* -X248916000Y-62271000D01* -X247244000Y-62271000D01* -X247242268Y-62270000D01* -X247242000Y-62269000D01* -X247242000Y-62131000D01* -X247243000Y-62129268D01* -X247244000Y-62129000D01* -X248916000Y-62129000D01* -X248917732Y-62130000D01* -G37* -G36* X254657732Y-62130000D02* G01* X254658000Y-62131000D01* @@ -13400,6 +13520,22 @@ X254656000Y-62129000D01* X254657732Y-62130000D01* G37* G36* +X248917732Y-62130000D02* +G01* +X248918000Y-62131000D01* +X248918000Y-62269000D01* +X248917000Y-62270732D01* +X248916000Y-62271000D01* +X247244000Y-62271000D01* +X247242268Y-62270000D01* +X247242000Y-62269000D01* +X247242000Y-62131000D01* +X247243000Y-62129268D01* +X247244000Y-62129000D01* +X248916000Y-62129000D01* +X248917732Y-62130000D01* +G37* +G36* X266952732Y-61750000D02* G01* X266953000Y-61751000D01* @@ -13464,22 +13600,6 @@ X266951000Y-61249000D01* X266952732Y-61250000D01* G37* G36* -X248917732Y-60830000D02* -G01* -X248918000Y-60831000D01* -X248918000Y-60969000D01* -X248917000Y-60970732D01* -X248916000Y-60971000D01* -X247244000Y-60971000D01* -X247242268Y-60970000D01* -X247242000Y-60969000D01* -X247242000Y-60831000D01* -X247243000Y-60829268D01* -X247244000Y-60829000D01* -X248916000Y-60829000D01* -X248917732Y-60830000D01* -G37* -G36* X254657732Y-60830000D02* G01* X254658000Y-60831000D01* @@ -13496,6 +13616,22 @@ X254656000Y-60829000D01* X254657732Y-60830000D01* G37* G36* +X248917732Y-60830000D02* +G01* +X248918000Y-60831000D01* +X248918000Y-60969000D01* +X248917000Y-60970732D01* +X248916000Y-60971000D01* +X247244000Y-60971000D01* +X247242268Y-60970000D01* +X247242000Y-60969000D01* +X247242000Y-60831000D01* +X247243000Y-60829268D01* +X247244000Y-60829000D01* +X248916000Y-60829000D01* +X248917732Y-60830000D01* +G37* +G36* X266952732Y-60750000D02* G01* X266953000Y-60751000D01* @@ -13576,22 +13712,6 @@ X266951000Y-59749000D01* X266952732Y-59750000D01* G37* G36* -X248917732Y-59530000D02* -G01* -X248918000Y-59531000D01* -X248918000Y-59669000D01* -X248917000Y-59670732D01* -X248916000Y-59671000D01* -X247244000Y-59671000D01* -X247242268Y-59670000D01* -X247242000Y-59669000D01* -X247242000Y-59531000D01* -X247243000Y-59529268D01* -X247244000Y-59529000D01* -X248916000Y-59529000D01* -X248917732Y-59530000D01* -G37* -G36* X254657732Y-59530000D02* G01* X254658000Y-59531000D01* @@ -13608,6 +13728,22 @@ X254656000Y-59529000D01* X254657732Y-59530000D01* G37* G36* +X248917732Y-59530000D02* +G01* +X248918000Y-59531000D01* +X248918000Y-59669000D01* +X248917000Y-59670732D01* +X248916000Y-59671000D01* +X247244000Y-59671000D01* +X247242268Y-59670000D01* +X247242000Y-59669000D01* +X247242000Y-59531000D01* +X247243000Y-59529268D01* +X247244000Y-59529000D01* +X248916000Y-59529000D01* +X248917732Y-59530000D01* +G37* +G36* X266952732Y-59250000D02* G01* X266953000Y-59251000D01* @@ -13672,22 +13808,6 @@ X266951000Y-58749000D01* X266952732Y-58750000D01* G37* G36* -X254657732Y-58230000D02* -G01* -X254658000Y-58231000D01* -X254658000Y-58369000D01* -X254657000Y-58370732D01* -X254656000Y-58371000D01* -X252984000Y-58371000D01* -X252982268Y-58370000D01* -X252982000Y-58369000D01* -X252982000Y-58231000D01* -X252983000Y-58229268D01* -X252984000Y-58229000D01* -X254656000Y-58229000D01* -X254657732Y-58230000D01* -G37* -G36* X248917732Y-58230000D02* G01* X248918000Y-58231000D01* @@ -13704,6 +13824,22 @@ X248916000Y-58229000D01* X248917732Y-58230000D01* G37* G36* +X254657732Y-58230000D02* +G01* +X254658000Y-58231000D01* +X254658000Y-58369000D01* +X254657000Y-58370732D01* +X254656000Y-58371000D01* +X252984000Y-58371000D01* +X252982268Y-58370000D01* +X252982000Y-58369000D01* +X252982000Y-58231000D01* +X252983000Y-58229268D01* +X252984000Y-58229000D01* +X254656000Y-58229000D01* +X254657732Y-58230000D01* +G37* +G36* X266952732Y-58250000D02* G01* X266953000Y-58251000D01* @@ -13800,22 +13936,6 @@ X254656000Y-56929000D01* X254657732Y-56930000D01* G37* G36* -X254657732Y-56280000D02* -G01* -X254658000Y-56281000D01* -X254658000Y-56419000D01* -X254657000Y-56420732D01* -X254656000Y-56421000D01* -X252984000Y-56421000D01* -X252982268Y-56420000D01* -X252982000Y-56419000D01* -X252982000Y-56281000D01* -X252983000Y-56279268D01* -X252984000Y-56279000D01* -X254656000Y-56279000D01* -X254657732Y-56280000D01* -G37* -G36* X248917732Y-56280000D02* G01* X248918000Y-56281000D01* @@ -13832,6 +13952,22 @@ X248916000Y-56279000D01* X248917732Y-56280000D01* G37* G36* +X254657732Y-56280000D02* +G01* +X254658000Y-56281000D01* +X254658000Y-56419000D01* +X254657000Y-56420732D01* +X254656000Y-56421000D01* +X252984000Y-56421000D01* +X252982268Y-56420000D01* +X252982000Y-56419000D01* +X252982000Y-56281000D01* +X252983000Y-56279268D01* +X252984000Y-56279000D01* +X254656000Y-56279000D01* +X254657732Y-56280000D01* +G37* +G36* X254657732Y-55630000D02* G01* X254658000Y-55631000D01* @@ -13864,36 +14000,36 @@ X248916000Y-55629000D01* X248917732Y-55630000D01* G37* G36* -X187162103Y-51435953D02* +X186512103Y-51435953D02* G01* -X187162371Y-51436953D01* -X187162371Y-53088953D01* -X187161371Y-53090685D01* -X187160371Y-53090953D01* -X187062371Y-53090953D01* -X187060639Y-53089953D01* -X187060371Y-53088953D01* -X187060371Y-51436953D01* -X187061371Y-51435221D01* -X187062371Y-51434953D01* -X187160371Y-51434953D01* -X187162103Y-51435953D01* +X186512371Y-51436953D01* +X186512371Y-53088953D01* +X186511371Y-53090685D01* +X186510371Y-53090953D01* +X186412371Y-53090953D01* +X186410639Y-53089953D01* +X186410371Y-53088953D01* +X186410371Y-51436953D01* +X186411371Y-51435221D01* +X186412371Y-51434953D01* +X186510371Y-51434953D01* +X186512103Y-51435953D01* G37* G36* -X181962103Y-51435953D02* +X183262103Y-51435953D02* G01* -X181962371Y-51436953D01* -X181962371Y-53088953D01* -X181961371Y-53090685D01* -X181960371Y-53090953D01* -X181862371Y-53090953D01* -X181860639Y-53089953D01* -X181860371Y-53088953D01* -X181860371Y-51436953D01* -X181861371Y-51435221D01* -X181862371Y-51434953D01* -X181960371Y-51434953D01* -X181962103Y-51435953D01* +X183262371Y-51436953D01* +X183262371Y-53088953D01* +X183261371Y-53090685D01* +X183260371Y-53090953D01* +X183162371Y-53090953D01* +X183160639Y-53089953D01* +X183160371Y-53088953D01* +X183160371Y-51436953D01* +X183161371Y-51435221D01* +X183162371Y-51434953D01* +X183260371Y-51434953D01* +X183262103Y-51435953D01* G37* G36* X182612103Y-51435953D02* @@ -13912,20 +14048,52 @@ X182610371Y-51434953D01* X182612103Y-51435953D01* G37* G36* -X183262103Y-51435953D02* +X181962103Y-51435953D02* G01* -X183262371Y-51436953D01* -X183262371Y-53088953D01* -X183261371Y-53090685D01* -X183260371Y-53090953D01* -X183162371Y-53090953D01* -X183160639Y-53089953D01* -X183160371Y-53088953D01* -X183160371Y-51436953D01* -X183161371Y-51435221D01* -X183162371Y-51434953D01* -X183260371Y-51434953D01* -X183262103Y-51435953D01* +X181962371Y-51436953D01* +X181962371Y-53088953D01* +X181961371Y-53090685D01* +X181960371Y-53090953D01* +X181862371Y-53090953D01* +X181860639Y-53089953D01* +X181860371Y-53088953D01* +X181860371Y-51436953D01* +X181861371Y-51435221D01* +X181862371Y-51434953D01* +X181960371Y-51434953D01* +X181962103Y-51435953D01* +G37* +G36* +X185212103Y-51435953D02* +G01* +X185212371Y-51436953D01* +X185212371Y-53088953D01* +X185211371Y-53090685D01* +X185210371Y-53090953D01* +X185112371Y-53090953D01* +X185110639Y-53089953D01* +X185110371Y-53088953D01* +X185110371Y-51436953D01* +X185111371Y-51435221D01* +X185112371Y-51434953D01* +X185210371Y-51434953D01* +X185212103Y-51435953D01* +G37* +G36* +X187162103Y-51435953D02* +G01* +X187162371Y-51436953D01* +X187162371Y-53088953D01* +X187161371Y-53090685D01* +X187160371Y-53090953D01* +X187062371Y-53090953D01* +X187060639Y-53089953D01* +X187060371Y-53088953D01* +X187060371Y-51436953D01* +X187061371Y-51435221D01* +X187062371Y-51434953D01* +X187160371Y-51434953D01* +X187162103Y-51435953D01* G37* G36* X183912103Y-51435953D02* @@ -13960,22 +14128,6 @@ X184560371Y-51434953D01* X184562103Y-51435953D01* G37* G36* -X185212103Y-51435953D02* -G01* -X185212371Y-51436953D01* -X185212371Y-53088953D01* -X185211371Y-53090685D01* -X185210371Y-53090953D01* -X185112371Y-53090953D01* -X185110639Y-53089953D01* -X185110371Y-53088953D01* -X185110371Y-51436953D01* -X185111371Y-51435221D01* -X185112371Y-51434953D01* -X185210371Y-51434953D01* -X185212103Y-51435953D01* -G37* -G36* X185862103Y-51435953D02* G01* X185862371Y-51436953D01* @@ -13992,20 +14144,36 @@ X185860371Y-51434953D01* X185862103Y-51435953D01* G37* G36* -X186512103Y-51435953D02* +X139650732Y-50773000D02* G01* -X186512371Y-51436953D01* -X186512371Y-53088953D01* -X186511371Y-53090685D01* -X186510371Y-53090953D01* -X186412371Y-53090953D01* -X186410639Y-53089953D01* -X186410371Y-53088953D01* -X186410371Y-51436953D01* -X186411371Y-51435221D01* -X186412371Y-51434953D01* -X186510371Y-51434953D01* -X186512103Y-51435953D01* +X139651000Y-50774000D01* +X139651000Y-52426000D01* +X139650000Y-52427732D01* +X139649000Y-52428000D01* +X139551000Y-52428000D01* +X139549268Y-52427000D01* +X139549000Y-52426000D01* +X139549000Y-50774000D01* +X139550000Y-50772268D01* +X139551000Y-50772000D01* +X139649000Y-50772000D01* +X139650732Y-50773000D01* +G37* +G36* +X144850732Y-50773000D02* +G01* +X144851000Y-50774000D01* +X144851000Y-52426000D01* +X144850000Y-52427732D01* +X144849000Y-52428000D01* +X144751000Y-52428000D01* +X144749268Y-52427000D01* +X144749000Y-52426000D01* +X144749000Y-50774000D01* +X144750000Y-50772268D01* +X144751000Y-50772000D01* +X144849000Y-50772000D01* +X144850732Y-50773000D01* G37* G36* X140300732Y-50773000D02* @@ -14040,52 +14208,20 @@ X140949000Y-50772000D01* X140950732Y-50773000D01* G37* G36* -X141600732Y-50773000D02* +X142250732Y-50773000D02* G01* -X141601000Y-50774000D01* -X141601000Y-52426000D01* -X141600000Y-52427732D01* -X141599000Y-52428000D01* -X141501000Y-52428000D01* -X141499268Y-52427000D01* -X141499000Y-52426000D01* -X141499000Y-50774000D01* -X141500000Y-50772268D01* -X141501000Y-50772000D01* -X141599000Y-50772000D01* -X141600732Y-50773000D01* -G37* -G36* -X144850732Y-50773000D02* -G01* -X144851000Y-50774000D01* -X144851000Y-52426000D01* -X144850000Y-52427732D01* -X144849000Y-52428000D01* -X144751000Y-52428000D01* -X144749268Y-52427000D01* -X144749000Y-52426000D01* -X144749000Y-50774000D01* -X144750000Y-50772268D01* -X144751000Y-50772000D01* -X144849000Y-50772000D01* -X144850732Y-50773000D01* -G37* -G36* -X139650732Y-50773000D02* -G01* -X139651000Y-50774000D01* -X139651000Y-52426000D01* -X139650000Y-52427732D01* -X139649000Y-52428000D01* -X139551000Y-52428000D01* -X139549268Y-52427000D01* -X139549000Y-52426000D01* -X139549000Y-50774000D01* -X139550000Y-50772268D01* -X139551000Y-50772000D01* -X139649000Y-50772000D01* -X139650732Y-50773000D01* +X142251000Y-50774000D01* +X142251000Y-52426000D01* +X142250000Y-52427732D01* +X142249000Y-52428000D01* +X142151000Y-52428000D01* +X142149268Y-52427000D01* +X142149000Y-52426000D01* +X142149000Y-50774000D01* +X142150000Y-50772268D01* +X142151000Y-50772000D01* +X142249000Y-50772000D01* +X142250732Y-50773000D01* G37* G36* X142900732Y-50773000D02* @@ -14104,20 +14240,20 @@ X142899000Y-50772000D01* X142900732Y-50773000D01* G37* G36* -X142250732Y-50773000D02* +X143550732Y-50773000D02* G01* -X142251000Y-50774000D01* -X142251000Y-52426000D01* -X142250000Y-52427732D01* -X142249000Y-52428000D01* -X142151000Y-52428000D01* -X142149268Y-52427000D01* -X142149000Y-52426000D01* -X142149000Y-50774000D01* -X142150000Y-50772268D01* -X142151000Y-50772000D01* -X142249000Y-50772000D01* -X142250732Y-50773000D01* +X143551000Y-50774000D01* +X143551000Y-52426000D01* +X143550000Y-52427732D01* +X143549000Y-52428000D01* +X143451000Y-52428000D01* +X143449268Y-52427000D01* +X143449000Y-52426000D01* +X143449000Y-50774000D01* +X143450000Y-50772268D01* +X143451000Y-50772000D01* +X143549000Y-50772000D01* +X143550732Y-50773000D01* G37* G36* X144200732Y-50773000D02* @@ -14136,68 +14272,20 @@ X144199000Y-50772000D01* X144200732Y-50773000D01* G37* G36* -X143550732Y-50773000D02* +X141600732Y-50773000D02* G01* -X143551000Y-50774000D01* -X143551000Y-52426000D01* -X143550000Y-52427732D01* -X143549000Y-52428000D01* -X143451000Y-52428000D01* -X143449268Y-52427000D01* -X143449000Y-52426000D01* -X143449000Y-50774000D01* -X143450000Y-50772268D01* -X143451000Y-50772000D01* -X143549000Y-50772000D01* -X143550732Y-50773000D01* -G37* -G36* -X187162103Y-45835953D02* -G01* -X187162371Y-45836953D01* -X187162371Y-47488953D01* -X187161371Y-47490685D01* -X187160371Y-47490953D01* -X187062371Y-47490953D01* -X187060639Y-47489953D01* -X187060371Y-47488953D01* -X187060371Y-45836953D01* -X187061371Y-45835221D01* -X187062371Y-45834953D01* -X187160371Y-45834953D01* -X187162103Y-45835953D01* -G37* -G36* -X185862103Y-45835953D02* -G01* -X185862371Y-45836953D01* -X185862371Y-47488953D01* -X185861371Y-47490685D01* -X185860371Y-47490953D01* -X185762371Y-47490953D01* -X185760639Y-47489953D01* -X185760371Y-47488953D01* -X185760371Y-45836953D01* -X185761371Y-45835221D01* -X185762371Y-45834953D01* -X185860371Y-45834953D01* -X185862103Y-45835953D01* -G37* -G36* -X185212103Y-45835953D02* -G01* -X185212371Y-45836953D01* -X185212371Y-47488953D01* -X185211371Y-47490685D01* -X185210371Y-47490953D01* -X185112371Y-47490953D01* -X185110639Y-47489953D01* -X185110371Y-47488953D01* -X185110371Y-45836953D01* -X185111371Y-45835221D01* -X185112371Y-45834953D01* -X185210371Y-45834953D01* -X185212103Y-45835953D01* +X141601000Y-50774000D01* +X141601000Y-52426000D01* +X141600000Y-52427732D01* +X141599000Y-52428000D01* +X141501000Y-52428000D01* +X141499268Y-52427000D01* +X141499000Y-52426000D01* +X141499000Y-50774000D01* +X141500000Y-50772268D01* +X141501000Y-50772000D01* +X141599000Y-50772000D01* +X141600732Y-50773000D01* G37* G36* X184562103Y-45835953D02* @@ -14216,52 +14304,20 @@ X184560371Y-45834953D01* X184562103Y-45835953D01* G37* G36* -X183262103Y-45835953D02* +X187162103Y-45835953D02* G01* -X183262371Y-45836953D01* -X183262371Y-47488953D01* -X183261371Y-47490685D01* -X183260371Y-47490953D01* -X183162371Y-47490953D01* -X183160639Y-47489953D01* -X183160371Y-47488953D01* -X183160371Y-45836953D01* -X183161371Y-45835221D01* -X183162371Y-45834953D01* -X183260371Y-45834953D01* -X183262103Y-45835953D01* -G37* -G36* -X183912103Y-45835953D02* -G01* -X183912371Y-45836953D01* -X183912371Y-47488953D01* -X183911371Y-47490685D01* -X183910371Y-47490953D01* -X183812371Y-47490953D01* -X183810639Y-47489953D01* -X183810371Y-47488953D01* -X183810371Y-45836953D01* -X183811371Y-45835221D01* -X183812371Y-45834953D01* -X183910371Y-45834953D01* -X183912103Y-45835953D01* -G37* -G36* -X182612103Y-45835953D02* -G01* -X182612371Y-45836953D01* -X182612371Y-47488953D01* -X182611371Y-47490685D01* -X182610371Y-47490953D01* -X182512371Y-47490953D01* -X182510639Y-47489953D01* -X182510371Y-47488953D01* -X182510371Y-45836953D01* -X182511371Y-45835221D01* -X182512371Y-45834953D01* -X182610371Y-45834953D01* -X182612103Y-45835953D01* +X187162371Y-45836953D01* +X187162371Y-47488953D01* +X187161371Y-47490685D01* +X187160371Y-47490953D01* +X187062371Y-47490953D01* +X187060639Y-47489953D01* +X187060371Y-47488953D01* +X187060371Y-45836953D01* +X187061371Y-45835221D01* +X187062371Y-45834953D01* +X187160371Y-45834953D01* +X187162103Y-45835953D01* G37* G36* X186512103Y-45835953D02* @@ -14296,20 +14352,84 @@ X181960371Y-45834953D01* X181962103Y-45835953D01* G37* G36* -X142900732Y-45173000D02* +X183262103Y-45835953D02* G01* -X142901000Y-45174000D01* -X142901000Y-46826000D01* -X142900000Y-46827732D01* -X142899000Y-46828000D01* -X142801000Y-46828000D01* -X142799268Y-46827000D01* -X142799000Y-46826000D01* -X142799000Y-45174000D01* -X142800000Y-45172268D01* -X142801000Y-45172000D01* -X142899000Y-45172000D01* -X142900732Y-45173000D01* +X183262371Y-45836953D01* +X183262371Y-47488953D01* +X183261371Y-47490685D01* +X183260371Y-47490953D01* +X183162371Y-47490953D01* +X183160639Y-47489953D01* +X183160371Y-47488953D01* +X183160371Y-45836953D01* +X183161371Y-45835221D01* +X183162371Y-45834953D01* +X183260371Y-45834953D01* +X183262103Y-45835953D01* +G37* +G36* +X182612103Y-45835953D02* +G01* +X182612371Y-45836953D01* +X182612371Y-47488953D01* +X182611371Y-47490685D01* +X182610371Y-47490953D01* +X182512371Y-47490953D01* +X182510639Y-47489953D01* +X182510371Y-47488953D01* +X182510371Y-45836953D01* +X182511371Y-45835221D01* +X182512371Y-45834953D01* +X182610371Y-45834953D01* +X182612103Y-45835953D01* +G37* +G36* +X185862103Y-45835953D02* +G01* +X185862371Y-45836953D01* +X185862371Y-47488953D01* +X185861371Y-47490685D01* +X185860371Y-47490953D01* +X185762371Y-47490953D01* +X185760639Y-47489953D01* +X185760371Y-47488953D01* +X185760371Y-45836953D01* +X185761371Y-45835221D01* +X185762371Y-45834953D01* +X185860371Y-45834953D01* +X185862103Y-45835953D01* +G37* +G36* +X183912103Y-45835953D02* +G01* +X183912371Y-45836953D01* +X183912371Y-47488953D01* +X183911371Y-47490685D01* +X183910371Y-47490953D01* +X183812371Y-47490953D01* +X183810639Y-47489953D01* +X183810371Y-47488953D01* +X183810371Y-45836953D01* +X183811371Y-45835221D01* +X183812371Y-45834953D01* +X183910371Y-45834953D01* +X183912103Y-45835953D01* +G37* +G36* +X185212103Y-45835953D02* +G01* +X185212371Y-45836953D01* +X185212371Y-47488953D01* +X185211371Y-47490685D01* +X185210371Y-47490953D01* +X185112371Y-47490953D01* +X185110639Y-47489953D01* +X185110371Y-47488953D01* +X185110371Y-45836953D01* +X185111371Y-45835221D01* +X185112371Y-45834953D01* +X185210371Y-45834953D01* +X185212103Y-45835953D01* G37* G36* X143550732Y-45173000D02* @@ -14328,6 +14448,38 @@ X143549000Y-45172000D01* X143550732Y-45173000D01* G37* G36* +X144200732Y-45173000D02* +G01* +X144201000Y-45174000D01* +X144201000Y-46826000D01* +X144200000Y-46827732D01* +X144199000Y-46828000D01* +X144101000Y-46828000D01* +X144099268Y-46827000D01* +X144099000Y-46826000D01* +X144099000Y-45174000D01* +X144100000Y-45172268D01* +X144101000Y-45172000D01* +X144199000Y-45172000D01* +X144200732Y-45173000D01* +G37* +G36* +X142900732Y-45173000D02* +G01* +X142901000Y-45174000D01* +X142901000Y-46826000D01* +X142900000Y-46827732D01* +X142899000Y-46828000D01* +X142801000Y-46828000D01* +X142799268Y-46827000D01* +X142799000Y-46826000D01* +X142799000Y-45174000D01* +X142800000Y-45172268D01* +X142801000Y-45172000D01* +X142899000Y-45172000D01* +X142900732Y-45173000D01* +G37* +G36* X142250732Y-45173000D02* G01* X142251000Y-45174000D01* @@ -14360,6 +14512,22 @@ X141599000Y-45172000D01* X141600732Y-45173000D01* G37* G36* +X144850732Y-45173000D02* +G01* +X144851000Y-45174000D01* +X144851000Y-46826000D01* +X144850000Y-46827732D01* +X144849000Y-46828000D01* +X144751000Y-46828000D01* +X144749268Y-46827000D01* +X144749000Y-46826000D01* +X144749000Y-45174000D01* +X144750000Y-45172268D01* +X144751000Y-45172000D01* +X144849000Y-45172000D01* +X144850732Y-45173000D01* +G37* +G36* X139650732Y-45173000D02* G01* X139651000Y-45174000D01* @@ -14376,22 +14544,6 @@ X139649000Y-45172000D01* X139650732Y-45173000D01* G37* G36* -X140950732Y-45173000D02* -G01* -X140951000Y-45174000D01* -X140951000Y-46826000D01* -X140950000Y-46827732D01* -X140949000Y-46828000D01* -X140851000Y-46828000D01* -X140849268Y-46827000D01* -X140849000Y-46826000D01* -X140849000Y-45174000D01* -X140850000Y-45172268D01* -X140851000Y-45172000D01* -X140949000Y-45172000D01* -X140950732Y-45173000D01* -G37* -G36* X140300732Y-45173000D02* G01* X140301000Y-45174000D01* @@ -14408,52 +14560,20 @@ X140299000Y-45172000D01* X140300732Y-45173000D01* G37* G36* -X144850732Y-45173000D02* +X140950732Y-45173000D02* G01* -X144851000Y-45174000D01* -X144851000Y-46826000D01* -X144850000Y-46827732D01* -X144849000Y-46828000D01* -X144751000Y-46828000D01* -X144749268Y-46827000D01* -X144749000Y-46826000D01* -X144749000Y-45174000D01* -X144750000Y-45172268D01* -X144751000Y-45172000D01* -X144849000Y-45172000D01* -X144850732Y-45173000D01* -G37* -G36* -X144200732Y-45173000D02* -G01* -X144201000Y-45174000D01* -X144201000Y-46826000D01* -X144200000Y-46827732D01* -X144199000Y-46828000D01* -X144101000Y-46828000D01* -X144099268Y-46827000D01* -X144099000Y-46826000D01* -X144099000Y-45174000D01* -X144100000Y-45172268D01* -X144101000Y-45172000D01* -X144199000Y-45172000D01* -X144200732Y-45173000D01* -G37* -G36* -X153880732Y-9878000D02* -G01* -X153881000Y-9879000D01* -X153881000Y-11481000D01* -X153880000Y-11482732D01* -X153879000Y-11483000D01* -X153681000Y-11483000D01* -X153679268Y-11482000D01* -X153679000Y-11481000D01* -X153679000Y-9879000D01* -X153680000Y-9877268D01* -X153681000Y-9877000D01* -X153879000Y-9877000D01* -X153880732Y-9878000D01* +X140951000Y-45174000D01* +X140951000Y-46826000D01* +X140950000Y-46827732D01* +X140949000Y-46828000D01* +X140851000Y-46828000D01* +X140849268Y-46827000D01* +X140849000Y-46826000D01* +X140849000Y-45174000D01* +X140850000Y-45172268D01* +X140851000Y-45172000D01* +X140949000Y-45172000D01* +X140950732Y-45173000D01* G37* G36* X159380732Y-9878000D02* @@ -14536,6 +14656,22 @@ X154979000Y-9877000D01* X154980732Y-9878000D01* G37* G36* +X153880732Y-9878000D02* +G01* +X153881000Y-9879000D01* +X153881000Y-11481000D01* +X153880000Y-11482732D01* +X153879000Y-11483000D01* +X153681000Y-11483000D01* +X153679268Y-11482000D01* +X153679000Y-11481000D01* +X153679000Y-9879000D01* +X153680000Y-9877268D01* +X153681000Y-9877000D01* +X153879000Y-9877000D01* +X153880732Y-9878000D01* +G37* +G36* X160480732Y-9878000D02* G01* X160481000Y-9879000D01* diff --git a/nubus-to-ztex/nubus-to-ztex-F_Paste.gbr b/nubus-to-ztex/nubus-to-ztex-F_Paste.gbr index 1dac811..2582bc8 100644 --- a/nubus-to-ztex/nubus-to-ztex-F_Paste.gbr +++ b/nubus-to-ztex/nubus-to-ztex-F_Paste.gbr @@ -1,32 +1,33 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Paste,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* G04 APERTURE LIST* %ADD10C,0.010000*% -%ADD11R,2.240000X1.420010*% -%ADD12R,2.340000X1.420010*% -%ADD13R,1.000000X2.750000*% -%ADD14R,1.550000X0.450000*% -%ADD15R,0.450000X1.550000*% -%ADD16R,0.800000X1.500000*% -%ADD17R,1.500000X2.050000*% -%ADD18R,0.450000X1.450000*% -%ADD19R,1.450000X0.450000*% -%ADD20R,1.570000X0.410000*% -%ADD21R,1.900000X1.500000*% -%ADD22R,1.350000X0.400000*% -%ADD23R,0.650000X1.060000*% -%ADD24R,1.060000X0.650000*% -%ADD25R,1.900000X0.300000*% +%ADD11R,3.180000X1.270000*% +%ADD12R,2.240000X1.420010*% +%ADD13R,2.340000X1.420010*% +%ADD14R,1.000000X2.750000*% +%ADD15R,1.550000X0.450000*% +%ADD16R,0.450000X1.550000*% +%ADD17R,0.800000X1.500000*% +%ADD18R,1.500000X2.050000*% +%ADD19R,0.450000X1.450000*% +%ADD20R,1.450000X0.450000*% +%ADD21R,1.570000X0.410000*% +%ADD22R,1.900000X1.500000*% +%ADD23R,1.350000X0.400000*% +%ADD24R,0.650000X1.060000*% +%ADD25R,1.060000X0.650000*% +%ADD26R,1.900000X0.300000*% G04 APERTURE END LIST* D10* G36* @@ -237,39 +238,142 @@ X260120000Y-37600010D01* X257880000Y-37600010D01* X257880000Y-36179990D01* X260120000Y-36179990D01* +G36* +G01* +X266487500Y-45505000D02* +X266487500Y-48355000D01* +G75* +G02* +X266237500Y-48605000I-250000J0D01* +G01* +X265512500Y-48605000D01* +G75* +G02* +X265262500Y-48355000I0J250000D01* +G01* +X265262500Y-45505000D01* +G75* +G02* +X265512500Y-45255000I250000J0D01* +G01* +X266237500Y-45255000D01* +G75* +G02* +X266487500Y-45505000I0J-250000D01* +G01* +G37* +G36* +G01* +X272412500Y-45505000D02* +X272412500Y-48355000D01* +G75* +G02* +X272162500Y-48605000I-250000J0D01* +G01* +X271437500Y-48605000D01* +G75* +G02* +X271187500Y-48355000I0J250000D01* +G01* +X271187500Y-45505000D01* +G75* +G02* +X271437500Y-45255000I250000J0D01* +G01* +X272162500Y-45255000D01* +G75* +G02* +X272412500Y-45505000I0J-250000D01* +G01* +G37* +G36* +G01* +X270400000Y-50525000D02* +X270400000Y-51475000D01* +G75* +G02* +X270150000Y-51725000I-250000J0D01* +G01* +X269650000Y-51725000D01* +G75* +G02* +X269400000Y-51475000I0J250000D01* +G01* +X269400000Y-50525000D01* +G75* +G02* +X269650000Y-50275000I250000J0D01* +G01* +X270150000Y-50275000D01* +G75* +G02* +X270400000Y-50525000I0J-250000D01* +G01* +G37* +G36* +G01* +X272300000Y-50525000D02* +X272300000Y-51475000D01* +G75* +G02* +X272050000Y-51725000I-250000J0D01* +G01* +X271550000Y-51725000D01* +G75* +G02* +X271300000Y-51475000I0J250000D01* +G01* +X271300000Y-50525000D01* +G75* +G02* +X271550000Y-50275000I250000J0D01* +G01* +X272050000Y-50275000D01* +G75* +G02* +X272300000Y-50525000I0J-250000D01* +G01* +G37* D11* +X123136000Y-20828000D03* +X123136000Y-23368000D03* +X123136000Y-25908000D03* +X123136000Y-28448000D03* +X123136000Y-30988000D03* +X123136000Y-33528000D03* +D12* X259000000Y-36890000D03* -D12* -X262861000Y-36890000D03* -D11* -X259000000Y-34350000D03* -D12* -X262861000Y-34350000D03* -D11* -X259000000Y-31810000D03* -D12* -X262861000Y-31810000D03* -D11* -X259000000Y-29270000D03* -D12* -X262861000Y-29270000D03* -D11* -X259000000Y-26730000D03* -D12* -X262861000Y-26730000D03* -D11* -X259000000Y-24190000D03* -D12* -X262861000Y-24190000D03* -D11* -X259000000Y-21650000D03* -D12* -X262861000Y-21650000D03* -D11* -X259000000Y-19110000D03* -D12* -X262861000Y-19110000D03* D13* +X262861000Y-36890000D03* +D12* +X259000000Y-34350000D03* +D13* +X262861000Y-34350000D03* +D12* +X259000000Y-31810000D03* +D13* +X262861000Y-31810000D03* +D12* +X259000000Y-29270000D03* +D13* +X262861000Y-29270000D03* +D12* +X259000000Y-26730000D03* +D13* +X262861000Y-26730000D03* +D12* +X259000000Y-24190000D03* +D13* +X262861000Y-24190000D03* +D12* +X259000000Y-21650000D03* +D13* +X262861000Y-21650000D03* +D12* +X259000000Y-19110000D03* +D13* +X262861000Y-19110000D03* +D14* X220000000Y-31720000D03* X220000000Y-27460000D03* X222000000Y-31720000D03* @@ -332,7 +436,7 @@ G02* X130790000Y-79896250I0J218750D01* G01* G37* -D14* +D15* X135250000Y-77865000D03* X135250000Y-77215000D03* X135250000Y-76565000D03* @@ -401,7 +505,7 @@ G02* X189467621Y-51112953I-218750J0D01* G01* G37* -D15* +D16* X187436371Y-46662953D03* X186786371Y-46662953D03* X186136371Y-46662953D03* @@ -1066,7 +1170,7 @@ G02* X157790000Y-24456250I0J243750D01* G01* G37* -D16* +D17* X153230000Y-10680000D03* X154330000Y-10680000D03* X155430000Y-10680000D03* @@ -1075,7 +1179,7 @@ X157630000Y-10680000D03* X158730000Y-10680000D03* X159830000Y-10680000D03* X160930000Y-10680000D03* -D17* +D18* X149555000Y-8080000D03* X149555000Y-16380000D03* X163305000Y-16380000D03* @@ -1800,7 +1904,7 @@ G02* X182756250Y-72550000I-218750J0D01* G01* G37* -D18* +D19* X182550000Y-81450000D03* X183200000Y-81450000D03* X183850000Y-81450000D03* @@ -1815,7 +1919,7 @@ X184500000Y-75550000D03* X183850000Y-75550000D03* X183200000Y-75550000D03* X182550000Y-75550000D03* -D15* +D16* X162575000Y-81300000D03* X163225000Y-81300000D03* X163875000Y-81300000D03* @@ -1944,7 +2048,7 @@ G02* X118850000Y-84523750I0J-218750D01* G01* G37* -D19* +D20* X113750000Y-86830000D03* X113750000Y-87480000D03* X113750000Y-88130000D03* @@ -2021,7 +2125,7 @@ X140800000Y-88750000D03* X140800000Y-89400000D03* X140800000Y-90050000D03* X140800000Y-90700000D03* -D20* +D21* X248080000Y-55375000D03* X248080000Y-56025000D03* X248080000Y-56675000D03* @@ -2430,15 +2534,15 @@ G02* X119402634Y-50166624I0J218750D01* G01* G37* -D21* -X271897500Y-78070000D03* D22* +X271897500Y-78070000D03* +D23* X269197500Y-79720000D03* X269197500Y-80370000D03* X269197500Y-77770000D03* X269197500Y-78420000D03* X269197500Y-79070000D03* -D21* +D22* X271897500Y-80070000D03* G36* G01* @@ -3160,13 +3264,13 @@ G02* X258320000Y-84526250I0J243750D01* G01* G37* -D23* +D24* X253850000Y-84110000D03* X252900000Y-84110000D03* X251950000Y-84110000D03* X251950000Y-86310000D03* X253850000Y-86310000D03* -D24* +D25* X266500000Y-80305000D03* X266500000Y-79355000D03* X266500000Y-78405000D03* @@ -3845,7 +3949,7 @@ G02* X259090000Y-53353750I0J-243750D01* G01* G37* -D25* +D26* X265950000Y-66550000D03* X265950000Y-66050000D03* X265950000Y-65550000D03* @@ -3867,54 +3971,6 @@ X265950000Y-58050000D03* X265950000Y-57550000D03* G36* G01* -X267475000Y-49245000D02* -X267475000Y-51395000D01* -G75* -G02* -X267225000Y-51645000I-250000J0D01* -G01* -X266475000Y-51645000D01* -G75* -G02* -X266225000Y-51395000I0J250000D01* -G01* -X266225000Y-49245000D01* -G75* -G02* -X266475000Y-48995000I250000J0D01* -G01* -X267225000Y-48995000D01* -G75* -G02* -X267475000Y-49245000I0J-250000D01* -G01* -G37* -G36* -G01* -X264675000Y-49245000D02* -X264675000Y-51395000D01* -G75* -G02* -X264425000Y-51645000I-250000J0D01* -G01* -X263675000Y-51645000D01* -G75* -G02* -X263425000Y-51395000I0J250000D01* -G01* -X263425000Y-49245000D01* -G75* -G02* -X263675000Y-48995000I250000J0D01* -G01* -X264425000Y-48995000D01* -G75* -G02* -X264675000Y-49245000I0J-250000D01* -G01* -G37* -G36* -G01* X167190000Y-56016250D02* X167190000Y-55503750D01* G75* diff --git a/nubus-to-ztex/nubus-to-ztex-F_SilkS.gbr b/nubus-to-ztex/nubus-to-ztex-F_SilkS.gbr index 7d76875..b88a583 100644 --- a/nubus-to-ztex/nubus-to-ztex-F_SilkS.gbr +++ b/nubus-to-ztex/nubus-to-ztex-F_SilkS.gbr @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Legend,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* @@ -14,14 +14,13 @@ G04 APERTURE LIST* %ADD10C,0.250000*% %ADD11C,0.200000*% %ADD12C,0.100000*% -%ADD13C,0.300000*% -%ADD14C,0.150000*% -%ADD15C,0.240000*% -%ADD16C,0.450000*% +%ADD13C,0.150000*% +%ADD14C,0.300000*% +%ADD15C,0.450000*% +%ADD16C,0.120000*% %ADD17C,0.010000*% %ADD18C,0.609600*% %ADD19C,0.127000*% -%ADD20C,0.120000*% G04 APERTURE END LIST* D10* X222877276Y-66618571D02* @@ -150,340 +149,380 @@ X137050000Y-77852380D01* X136954761Y-77995238D01* X136859523Y-78090476D01* X136764285Y-78138095D01* -X250160952Y-47434761D02* -X250303809Y-47482380D01* -X250541904Y-47482380D01* -X250637142Y-47434761D01* -X250684761Y-47387142D01* -X250732380Y-47291904D01* -X250732380Y-47196666D01* -X250684761Y-47101428D01* -X250637142Y-47053809D01* -X250541904Y-47006190D01* -X250351428Y-46958571D01* -X250256190Y-46910952D01* -X250208571Y-46863333D01* -X250160952Y-46768095D01* -X250160952Y-46672857D01* -X250208571Y-46577619D01* -X250256190Y-46530000D01* -X250351428Y-46482380D01* -X250589523Y-46482380D01* -X250732380Y-46530000D01* -X251160952Y-47482380D02* -X251160952Y-46482380D01* -X251589523Y-47482380D02* -X251589523Y-46958571D01* -X251541904Y-46863333D01* -X251446666Y-46815714D01* -X251303809Y-46815714D01* -X251208571Y-46863333D01* -X251160952Y-46910952D01* -X252065714Y-47482380D02* -X252065714Y-46815714D01* -X252065714Y-46482380D02* -X252018095Y-46530000D01* -X252065714Y-46577619D01* -X252113333Y-46530000D01* -X252065714Y-46482380D01* -X252065714Y-46577619D01* -X252922857Y-47434761D02* -X252827619Y-47482380D01* -X252637142Y-47482380D01* -X252541904Y-47434761D01* -X252494285Y-47339523D01* -X252494285Y-46958571D01* -X252541904Y-46863333D01* -X252637142Y-46815714D01* -X252827619Y-46815714D01* -X252922857Y-46863333D01* -X252970476Y-46958571D01* -X252970476Y-47053809D01* -X252494285Y-47149047D01* -X253541904Y-47482380D02* -X253446666Y-47434761D01* -X253399047Y-47339523D01* -X253399047Y-46482380D01* -X254351428Y-47482380D02* -X254351428Y-46482380D01* -X254351428Y-47434761D02* -X254256190Y-47482380D01* -X254065714Y-47482380D01* -X253970476Y-47434761D01* -X253922857Y-47387142D01* -X253875238Y-47291904D01* -X253875238Y-47006190D01* -X253922857Y-46910952D01* -X253970476Y-46863333D01* -X254065714Y-46815714D01* -X254256190Y-46815714D01* -X254351428Y-46863333D01* +X262130952Y-91474761D02* +X262273809Y-91522380D01* +X262511904Y-91522380D01* +X262607142Y-91474761D01* +X262654761Y-91427142D01* +X262702380Y-91331904D01* +X262702380Y-91236666D01* +X262654761Y-91141428D01* +X262607142Y-91093809D01* +X262511904Y-91046190D01* +X262321428Y-90998571D01* +X262226190Y-90950952D01* +X262178571Y-90903333D01* +X262130952Y-90808095D01* +X262130952Y-90712857D01* +X262178571Y-90617619D01* +X262226190Y-90570000D01* +X262321428Y-90522380D01* +X262559523Y-90522380D01* +X262702380Y-90570000D01* +X263130952Y-91522380D02* +X263130952Y-90522380D01* +X263559523Y-91522380D02* +X263559523Y-90998571D01* +X263511904Y-90903333D01* +X263416666Y-90855714D01* +X263273809Y-90855714D01* +X263178571Y-90903333D01* +X263130952Y-90950952D01* +X264035714Y-91522380D02* +X264035714Y-90855714D01* +X264035714Y-90522380D02* +X263988095Y-90570000D01* +X264035714Y-90617619D01* +X264083333Y-90570000D01* +X264035714Y-90522380D01* +X264035714Y-90617619D01* +X264892857Y-91474761D02* +X264797619Y-91522380D01* +X264607142Y-91522380D01* +X264511904Y-91474761D01* +X264464285Y-91379523D01* +X264464285Y-90998571D01* +X264511904Y-90903333D01* +X264607142Y-90855714D01* +X264797619Y-90855714D01* +X264892857Y-90903333D01* +X264940476Y-90998571D01* +X264940476Y-91093809D01* +X264464285Y-91189047D01* +X265511904Y-91522380D02* +X265416666Y-91474761D01* +X265369047Y-91379523D01* +X265369047Y-90522380D01* +X266321428Y-91522380D02* +X266321428Y-90522380D01* +X266321428Y-91474761D02* +X266226190Y-91522380D01* +X266035714Y-91522380D01* +X265940476Y-91474761D01* +X265892857Y-91427142D01* +X265845238Y-91331904D01* +X265845238Y-91046190D01* +X265892857Y-90950952D01* +X265940476Y-90903333D01* +X266035714Y-90855714D01* +X266226190Y-90855714D01* +X266321428Y-90903333D01* D12* X252000000Y-38160000D02* X252000000Y-17840000D01* +D11* +X253845238Y-20208571D02* +X254178571Y-20208571D01* +X254321428Y-20732380D02* +X253845238Y-20732380D01* +X253845238Y-19732380D01* +X254321428Y-19732380D01* +X254654761Y-20732380D02* +X255178571Y-20065714D01* +X254654761Y-20065714D02* +X255178571Y-20732380D01* +X255416666Y-20065714D02* +X255797619Y-20065714D01* +X255559523Y-19732380D02* +X255559523Y-20589523D01* +X255607142Y-20684761D01* +X255702380Y-20732380D01* +X255797619Y-20732380D01* +X254282380Y-32003809D02* +X253282380Y-32003809D01* +X253282380Y-31622857D01* +X253330000Y-31527619D01* +X253377619Y-31480000D01* +X253472857Y-31432380D01* +X253615714Y-31432380D01* +X253710952Y-31480000D01* +X253758571Y-31527619D01* +X253806190Y-31622857D01* +X253806190Y-32003809D01* +X254282380Y-31003809D02* +X253615714Y-31003809D01* +X253710952Y-31003809D02* +X253663333Y-30956190D01* +X253615714Y-30860952D01* +X253615714Y-30718095D01* +X253663333Y-30622857D01* +X253758571Y-30575238D01* +X254282380Y-30575238D01* +X253758571Y-30575238D02* +X253663333Y-30527619D01* +X253615714Y-30432380D01* +X253615714Y-30289523D01* +X253663333Y-30194285D01* +X253758571Y-30146666D01* +X254282380Y-30146666D01* +X254282380Y-29527619D02* +X254234761Y-29622857D01* +X254187142Y-29670476D01* +X254091904Y-29718095D01* +X253806190Y-29718095D01* +X253710952Y-29670476D01* +X253663333Y-29622857D01* +X253615714Y-29527619D01* +X253615714Y-29384761D01* +X253663333Y-29289523D01* +X253710952Y-29241904D01* +X253806190Y-29194285D01* +X254091904Y-29194285D01* +X254187142Y-29241904D01* +X254234761Y-29289523D01* +X254282380Y-29384761D01* +X254282380Y-29527619D01* +X254282380Y-28337142D02* +X253282380Y-28337142D01* +X254234761Y-28337142D02* +X254282380Y-28432380D01* +X254282380Y-28622857D01* +X254234761Y-28718095D01* +X254187142Y-28765714D01* +X254091904Y-28813333D01* +X253806190Y-28813333D01* +X253710952Y-28765714D01* +X253663333Y-28718095D01* +X253615714Y-28622857D01* +X253615714Y-28432380D01* +X253663333Y-28337142D01* +X254663333Y-26813333D02* +X254615714Y-26860952D01* +X254472857Y-26956190D01* +X254377619Y-27003809D01* +X254234761Y-27051428D01* +X253996666Y-27099047D01* +X253806190Y-27099047D01* +X253568095Y-27051428D01* +X253425238Y-27003809D01* +X253330000Y-26956190D01* +X253187142Y-26860952D01* +X253139523Y-26813333D01* +X253758571Y-26432380D02* +X253758571Y-26099047D01* +X254282380Y-25956190D02* +X254282380Y-26432380D01* +X253282380Y-26432380D01* +X253282380Y-25956190D01* +X254282380Y-25622857D02* +X253615714Y-25099047D01* +X253615714Y-25622857D02* +X254282380Y-25099047D01* +X253615714Y-24860952D02* +X253615714Y-24480000D01* +X253282380Y-24718095D02* +X254139523Y-24718095D01* +X254234761Y-24670476D01* +X254282380Y-24575238D01* +X254282380Y-24480000D01* +X254663333Y-24241904D02* +X254615714Y-24194285D01* +X254472857Y-24099047D01* +X254377619Y-24051428D01* +X254234761Y-24003809D01* +X253996666Y-23956190D01* +X253806190Y-23956190D01* +X253568095Y-24003809D01* +X253425238Y-24051428D01* +X253330000Y-24099047D01* +X253187142Y-24194285D01* +X253139523Y-24241904D01* D13* -X253092857Y-21622142D02* -X253092857Y-21122142D01* -X253878571Y-20907857D02* -X253878571Y-21622142D01* -X252378571Y-21622142D01* -X252378571Y-20907857D01* -X253878571Y-20407857D02* -X252878571Y-19622142D01* -X252878571Y-20407857D02* -X253878571Y-19622142D01* -X252878571Y-19265000D02* -X252878571Y-18693571D01* -X252378571Y-19050714D02* -X253664285Y-19050714D01* -X253807142Y-18979285D01* -X253878571Y-18836428D01* -X253878571Y-18693571D01* -X253878571Y-31395000D02* -X252378571Y-31395000D01* -X252378571Y-30823571D01* -X252450000Y-30680714D01* -X252521428Y-30609285D01* -X252664285Y-30537857D01* -X252878571Y-30537857D01* -X253021428Y-30609285D01* -X253092857Y-30680714D01* -X253164285Y-30823571D01* -X253164285Y-31395000D01* -X253878571Y-29895000D02* -X252878571Y-29895000D01* -X253021428Y-29895000D02* -X252950000Y-29823571D01* -X252878571Y-29680714D01* -X252878571Y-29466428D01* -X252950000Y-29323571D01* -X253092857Y-29252142D01* -X253878571Y-29252142D01* -X253092857Y-29252142D02* -X252950000Y-29180714D01* -X252878571Y-29037857D01* -X252878571Y-28823571D01* -X252950000Y-28680714D01* -X253092857Y-28609285D01* -X253878571Y-28609285D01* -X253878571Y-27680714D02* -X253807142Y-27823571D01* -X253735714Y-27895000D01* -X253592857Y-27966428D01* -X253164285Y-27966428D01* -X253021428Y-27895000D01* -X252950000Y-27823571D01* -X252878571Y-27680714D01* -X252878571Y-27466428D01* -X252950000Y-27323571D01* -X253021428Y-27252142D01* -X253164285Y-27180714D01* -X253592857Y-27180714D01* -X253735714Y-27252142D01* -X253807142Y-27323571D01* -X253878571Y-27466428D01* -X253878571Y-27680714D01* -X253878571Y-25895000D02* -X252378571Y-25895000D01* -X253807142Y-25895000D02* -X253878571Y-26037857D01* -X253878571Y-26323571D01* -X253807142Y-26466428D01* -X253735714Y-26537857D01* -X253592857Y-26609285D01* -X253164285Y-26609285D01* -X253021428Y-26537857D01* -X252950000Y-26466428D01* -X252878571Y-26323571D01* -X252878571Y-26037857D01* -X252950000Y-25895000D01* -D14* X257000000Y-17840000D02* X252000000Y-17840000D01* X257000000Y-38160000D02* X252000000Y-38160000D01* X257000000Y-22920000D02* X252000000Y-22920000D01* -D15* -X127132857Y-42115714D02* -X127132857Y-42687142D01* -X125932857Y-42537142D01* -X126504285Y-41637142D02* -X126504285Y-41237142D01* -X127132857Y-41144285D02* -X127132857Y-41715714D01* -X125932857Y-41565714D01* -X125932857Y-40994285D01* -X127132857Y-40630000D02* -X125932857Y-40480000D01* -X125932857Y-40194285D01* -X125990000Y-40030000D01* -X126104285Y-39930000D01* -X126218571Y-39887142D01* -X126447142Y-39858571D01* -X126618571Y-39880000D01* -X126847142Y-39965714D01* -X126961428Y-40037142D01* -X127075714Y-40165714D01* -X127132857Y-40344285D01* -X127132857Y-40630000D01* -X125932857Y-38137142D02* -X125932857Y-38022857D01* -X125990000Y-37915714D01* -X126047142Y-37865714D01* -X126161428Y-37822857D01* -X126390000Y-37794285D01* -X126675714Y-37830000D01* -X126904285Y-37915714D01* -X127018571Y-37987142D01* -X127075714Y-38051428D01* -X127132857Y-38172857D01* -X127132857Y-38287142D01* -X127075714Y-38394285D01* -X127018571Y-38444285D01* -X126904285Y-38487142D01* -X126675714Y-38515714D01* -X126390000Y-38480000D01* -X126161428Y-38394285D01* -X126047142Y-38322857D01* -X125990000Y-38258571D01* -X125932857Y-38137142D01* -X127018571Y-37358571D02* -X127075714Y-37308571D01* -X127132857Y-37372857D01* -X127075714Y-37422857D01* -X127018571Y-37358571D01* -X127132857Y-37372857D01* -X127018571Y-36787142D02* -X127075714Y-36737142D01* -X127132857Y-36801428D01* -X127075714Y-36851428D01* -X127018571Y-36787142D01* -X127132857Y-36801428D01* -X127132857Y-35601428D02* -X127132857Y-36287142D01* -X127132857Y-35944285D02* -X125932857Y-35794285D01* -X126104285Y-35930000D01* -X126218571Y-36058571D01* -X126275714Y-36180000D01* -X127972857Y-45394285D02* -X128944285Y-45515714D01* -X129058571Y-45472857D01* -X129115714Y-45422857D01* -X129172857Y-45315714D01* -X129172857Y-45087142D01* -X129115714Y-44965714D01* -X129058571Y-44901428D01* -X128944285Y-44830000D01* -X127972857Y-44708571D01* -X129115714Y-44337142D02* -X129172857Y-44230000D01* -X129172857Y-44001428D01* -X129115714Y-43880000D01* -X129001428Y-43808571D01* -X128944285Y-43801428D01* -X128830000Y-43844285D01* -X128772857Y-43951428D01* -X128772857Y-44122857D01* -X128715714Y-44230000D01* -X128601428Y-44272857D01* -X128544285Y-44265714D01* -X128430000Y-44194285D01* -X128372857Y-44072857D01* -X128372857Y-43901428D01* -X128430000Y-43794285D01* -X129115714Y-42851428D02* -X129172857Y-42972857D01* -X129172857Y-43201428D01* -X129115714Y-43308571D01* -X129001428Y-43351428D01* -X128544285Y-43294285D01* -X128430000Y-43222857D01* -X128372857Y-43101428D01* -X128372857Y-42872857D01* -X128430000Y-42765714D01* -X128544285Y-42722857D01* -X128658571Y-42737142D01* -X128772857Y-43322857D01* -X129172857Y-42287142D02* -X128372857Y-42187142D01* -X128601428Y-42215714D02* -X128487142Y-42144285D01* -X128430000Y-42080000D01* -X128372857Y-41958571D01* -X128372857Y-41844285D01* -X127915714Y-40530000D02* -X129458571Y-41751428D01* -X129115714Y-40337142D02* -X129172857Y-40172857D01* -X129172857Y-39887142D01* -X129115714Y-39765714D01* -X129058571Y-39701428D01* -X128944285Y-39630000D01* -X128830000Y-39615714D01* -X128715714Y-39658571D01* -X128658571Y-39708571D01* -X128601428Y-39815714D01* -X128544285Y-40037142D01* -X128487142Y-40144285D01* -X128430000Y-40194285D01* -X128315714Y-40237142D01* -X128201428Y-40222857D01* -X128087142Y-40151428D01* -X128030000Y-40087142D01* -X127972857Y-39965714D01* -X127972857Y-39680000D01* -X128030000Y-39515714D01* -X129115714Y-38680000D02* -X129172857Y-38801428D01* -X129172857Y-39030000D01* -X129115714Y-39137142D01* -X129001428Y-39180000D01* -X128544285Y-39122857D01* -X128430000Y-39051428D01* -X128372857Y-38930000D01* -X128372857Y-38701428D01* -X128430000Y-38594285D01* -X128544285Y-38551428D01* -X128658571Y-38565714D01* -X128772857Y-39151428D01* -X129172857Y-38115714D02* -X128372857Y-38015714D01* -X128601428Y-38044285D02* -X128487142Y-37972857D01* -X128430000Y-37908571D01* -X128372857Y-37787142D01* -X128372857Y-37672857D01* -X129172857Y-37372857D02* -X128372857Y-37272857D01* -X127972857Y-37222857D02* -X128030000Y-37287142D01* -X128087142Y-37237142D01* -X128030000Y-37172857D01* -X127972857Y-37222857D01* -X128087142Y-37237142D01* -X129172857Y-36287142D02* -X128544285Y-36208571D01* -X128430000Y-36251428D01* -X128372857Y-36358571D01* -X128372857Y-36587142D01* -X128430000Y-36708571D01* -X129115714Y-36280000D02* -X129172857Y-36401428D01* -X129172857Y-36687142D01* -X129115714Y-36794285D01* -X129001428Y-36837142D01* -X128887142Y-36822857D01* -X128772857Y-36751428D01* -X128715714Y-36630000D01* -X128715714Y-36344285D01* -X128658571Y-36222857D01* -X129172857Y-35544285D02* -X129115714Y-35651428D01* -X129001428Y-35694285D01* -X127972857Y-35565714D01* -D10* +D11* +X127102380Y-40433095D02* +X127102380Y-40909285D01* +X126102380Y-40784285D01* +X126578571Y-40034285D02* +X126578571Y-39700952D01* +X127102380Y-39623571D02* +X127102380Y-40099761D01* +X126102380Y-39974761D01* +X126102380Y-39498571D01* +X127102380Y-39195000D02* +X126102380Y-39070000D01* +X126102380Y-38831904D01* +X126150000Y-38695000D01* +X126245238Y-38611666D01* +X126340476Y-38575952D01* +X126530952Y-38552142D01* +X126673809Y-38570000D01* +X126864285Y-38641428D01* +X126959523Y-38700952D01* +X127054761Y-38808095D01* +X127102380Y-38956904D01* +X127102380Y-39195000D01* +X126102380Y-37117619D02* +X126102380Y-37022380D01* +X126150000Y-36933095D01* +X126197619Y-36891428D01* +X126292857Y-36855714D01* +X126483333Y-36831904D01* +X126721428Y-36861666D01* +X126911904Y-36933095D01* +X127007142Y-36992619D01* +X127054761Y-37046190D01* +X127102380Y-37147380D01* +X127102380Y-37242619D01* +X127054761Y-37331904D01* +X127007142Y-37373571D01* +X126911904Y-37409285D01* +X126721428Y-37433095D01* +X126483333Y-37403333D01* +X126292857Y-37331904D01* +X126197619Y-37272380D01* +X126150000Y-37218809D01* +X126102380Y-37117619D01* +X127007142Y-36468809D02* +X127054761Y-36427142D01* +X127102380Y-36480714D01* +X127054761Y-36522380D01* +X127007142Y-36468809D01* +X127102380Y-36480714D01* +X127007142Y-35992619D02* +X127054761Y-35950952D01* +X127102380Y-36004523D01* +X127054761Y-36046190D01* +X127007142Y-35992619D01* +X127102380Y-36004523D01* +X127102380Y-35004523D02* +X127102380Y-35575952D01* +X127102380Y-35290238D02* +X126102380Y-35165238D01* +X126245238Y-35278333D01* +X126340476Y-35385476D01* +X126388095Y-35486666D01* +X127802380Y-43165238D02* +X128611904Y-43266428D01* +X128707142Y-43230714D01* +X128754761Y-43189047D01* +X128802380Y-43099761D01* +X128802380Y-42909285D01* +X128754761Y-42808095D01* +X128707142Y-42754523D01* +X128611904Y-42695000D01* +X127802380Y-42593809D01* +X128754761Y-42284285D02* +X128802380Y-42195000D01* +X128802380Y-42004523D01* +X128754761Y-41903333D01* +X128659523Y-41843809D01* +X128611904Y-41837857D01* +X128516666Y-41873571D01* +X128469047Y-41962857D01* +X128469047Y-42105714D01* +X128421428Y-42195000D01* +X128326190Y-42230714D01* +X128278571Y-42224761D01* +X128183333Y-42165238D01* +X128135714Y-42064047D01* +X128135714Y-41921190D01* +X128183333Y-41831904D01* +X128754761Y-41046190D02* +X128802380Y-41147380D01* +X128802380Y-41337857D01* +X128754761Y-41427142D01* +X128659523Y-41462857D01* +X128278571Y-41415238D01* +X128183333Y-41355714D01* +X128135714Y-41254523D01* +X128135714Y-41064047D01* +X128183333Y-40974761D01* +X128278571Y-40939047D01* +X128373809Y-40950952D01* +X128469047Y-41439047D01* +X128802380Y-40575952D02* +X128135714Y-40492619D01* +X128326190Y-40516428D02* +X128230952Y-40456904D01* +X128183333Y-40403333D01* +X128135714Y-40302142D01* +X128135714Y-40206904D01* +X127754761Y-39111666D02* +X129040476Y-40129523D01* +X128754761Y-38950952D02* +X128802380Y-38814047D01* +X128802380Y-38575952D01* +X128754761Y-38474761D01* +X128707142Y-38421190D01* +X128611904Y-38361666D01* +X128516666Y-38349761D01* +X128421428Y-38385476D01* +X128373809Y-38427142D01* +X128326190Y-38516428D01* +X128278571Y-38700952D01* +X128230952Y-38790238D01* +X128183333Y-38831904D01* +X128088095Y-38867619D01* +X127992857Y-38855714D01* +X127897619Y-38796190D01* +X127850000Y-38742619D01* +X127802380Y-38641428D01* +X127802380Y-38403333D01* +X127850000Y-38266428D01* +X128754761Y-37570000D02* +X128802380Y-37671190D01* +X128802380Y-37861666D01* +X128754761Y-37950952D01* +X128659523Y-37986666D01* +X128278571Y-37939047D01* +X128183333Y-37879523D01* +X128135714Y-37778333D01* +X128135714Y-37587857D01* +X128183333Y-37498571D01* +X128278571Y-37462857D01* +X128373809Y-37474761D01* +X128469047Y-37962857D01* +X128802380Y-37099761D02* +X128135714Y-37016428D01* +X128326190Y-37040238D02* +X128230952Y-36980714D01* +X128183333Y-36927142D01* +X128135714Y-36825952D01* +X128135714Y-36730714D01* +X128802380Y-36480714D02* +X128135714Y-36397380D01* +X127802380Y-36355714D02* +X127850000Y-36409285D01* +X127897619Y-36367619D01* +X127850000Y-36314047D01* +X127802380Y-36355714D01* +X127897619Y-36367619D01* +X128802380Y-35575952D02* +X128278571Y-35510476D01* +X128183333Y-35546190D01* +X128135714Y-35635476D01* +X128135714Y-35825952D01* +X128183333Y-35927142D01* +X128754761Y-35570000D02* +X128802380Y-35671190D01* +X128802380Y-35909285D01* +X128754761Y-35998571D01* +X128659523Y-36034285D01* +X128564285Y-36022380D01* +X128469047Y-35962857D01* +X128421428Y-35861666D01* +X128421428Y-35623571D01* +X128373809Y-35522380D01* +X128802380Y-34956904D02* +X128754761Y-35046190D01* +X128659523Y-35081904D01* +X127802380Y-34974761D01* X251588095Y-38541428D02* X252350000Y-38541428D01* X251969047Y-38922380D02* @@ -553,384 +592,391 @@ X255168095Y-34099523D01* X255072857Y-34194761D01* X254930000Y-34242380D01* X254691904Y-34242380D01* -D13* -X119202857Y-72398571D02* -X118702857Y-71684285D01* -X118345714Y-72398571D02* -X118345714Y-70898571D01* -X118917142Y-70898571D01* -X119060000Y-70970000D01* -X119131428Y-71041428D01* -X119202857Y-71184285D01* -X119202857Y-71398571D01* -X119131428Y-71541428D01* -X119060000Y-71612857D01* -X118917142Y-71684285D01* -X118345714Y-71684285D01* -X120845714Y-72541428D02* -X120702857Y-72470000D01* -X120560000Y-72327142D01* -X120345714Y-72112857D01* -X120202857Y-72041428D01* -X120060000Y-72041428D01* -X120131428Y-72398571D02* -X119988571Y-72327142D01* -X119845714Y-72184285D01* -X119774285Y-71898571D01* -X119774285Y-71398571D01* -X119845714Y-71112857D01* -X119988571Y-70970000D01* -X120131428Y-70898571D01* -X120417142Y-70898571D01* -X120560000Y-70970000D01* -X120702857Y-71112857D01* -X120774285Y-71398571D01* -X120774285Y-71898571D01* -X120702857Y-72184285D01* -X120560000Y-72327142D01* -X120417142Y-72398571D01* -X120131428Y-72398571D01* -X121345714Y-72327142D02* -X121560000Y-72398571D01* -X121917142Y-72398571D01* -X122060000Y-72327142D01* -X122131428Y-72255714D01* -X122202857Y-72112857D01* -X122202857Y-71970000D01* -X122131428Y-71827142D01* -X122060000Y-71755714D01* -X121917142Y-71684285D01* -X121631428Y-71612857D01* -X121488571Y-71541428D01* -X121417142Y-71470000D01* -X121345714Y-71327142D01* -X121345714Y-71184285D01* -X121417142Y-71041428D01* -X121488571Y-70970000D01* -X121631428Y-70898571D01* -X121988571Y-70898571D01* -X122202857Y-70970000D01* -X122631428Y-70898571D02* -X123488571Y-70898571D01* -X123060000Y-72398571D02* -X123060000Y-70898571D01* -X129387500Y-17215000D02* -X130101785Y-17215000D01* -X129191071Y-17643571D02* -X129878571Y-16143571D01* -X130191071Y-17643571D01* -X131566071Y-17500714D02* -X131485714Y-17572142D01* -X131262500Y-17643571D01* -X131119642Y-17643571D01* -X130914285Y-17572142D01* -X130789285Y-17429285D01* -X130735714Y-17286428D01* -X130700000Y-17000714D01* -X130726785Y-16786428D01* -X130833928Y-16500714D01* -X130923214Y-16357857D01* -X131083928Y-16215000D01* -X131307142Y-16143571D01* -X131450000Y-16143571D01* -X131655357Y-16215000D01* -X131717857Y-16286428D01* -X132191071Y-17643571D02* -X132378571Y-16143571D01* -X133048214Y-17643571D02* -X132512500Y-16786428D01* -X133235714Y-16143571D02* -X132271428Y-17000714D01* -X127128571Y-20122142D02* -X127333928Y-20193571D01* -X127691071Y-20193571D01* -X127842857Y-20122142D01* -X127923214Y-20050714D01* -X128012500Y-19907857D01* -X128030357Y-19765000D01* -X127976785Y-19622142D01* -X127914285Y-19550714D01* -X127780357Y-19479285D01* -X127503571Y-19407857D01* -X127369642Y-19336428D01* -X127307142Y-19265000D01* -X127253571Y-19122142D01* -X127271428Y-18979285D01* -X127360714Y-18836428D01* -X127441071Y-18765000D01* -X127592857Y-18693571D01* -X127950000Y-18693571D01* -X128155357Y-18765000D01* -X128592857Y-18693571D02* -X129450000Y-18693571D01* -X128833928Y-20193571D02* -X129021428Y-18693571D01* -X129744642Y-19765000D02* -X130458928Y-19765000D01* -X129548214Y-20193571D02* -X130235714Y-18693571D01* -X130548214Y-20193571D01* -X131905357Y-20193571D02* -X131494642Y-19479285D01* -X131048214Y-20193571D02* -X131235714Y-18693571D01* -X131807142Y-18693571D01* -X131941071Y-18765000D01* -X132003571Y-18836428D01* -X132057142Y-18979285D01* -X132030357Y-19193571D01* -X131941071Y-19336428D01* -X131860714Y-19407857D01* -X131708928Y-19479285D01* -X131137500Y-19479285D01* -X132521428Y-18693571D02* -X133378571Y-18693571D01* -X132762500Y-20193571D02* -X132950000Y-18693571D01* -X166738571Y-15058571D02* -X167595714Y-15058571D01* -X166979642Y-16558571D02* -X167167142Y-15058571D01* -X167908214Y-16558571D02* -X168095714Y-15058571D01* -X168461785Y-16130000D01* -X169095714Y-15058571D01* -X168908214Y-16558571D01* -X170408214Y-16558571D02* -X169551071Y-16558571D01* -X169979642Y-16558571D02* -X170167142Y-15058571D01* -X169997500Y-15272857D01* -X169836785Y-15415714D01* -X169685000Y-15487142D01* -X172318928Y-14987142D02* -X170792142Y-16915714D01* -X173095714Y-15058571D02* -X173238571Y-15058571D01* -X173372500Y-15130000D01* -X173435000Y-15201428D01* -X173488571Y-15344285D01* -X173524285Y-15630000D01* -X173479642Y-15987142D01* -X173372500Y-16272857D01* -X173283214Y-16415714D01* -X173202857Y-16487142D01* -X173051071Y-16558571D01* -X172908214Y-16558571D01* -X172774285Y-16487142D01* -X172711785Y-16415714D01* -X172658214Y-16272857D01* -X172622500Y-15987142D01* -X172667142Y-15630000D01* -X172774285Y-15344285D01* -X172863571Y-15201428D01* -X172943928Y-15130000D01* -X173095714Y-15058571D01* -X147688571Y-19020000D02* -X146688571Y-19020000D01* -X146831428Y-19020000D02* -X146760000Y-18948571D01* -X146688571Y-18805714D01* -X146688571Y-18591428D01* -X146760000Y-18448571D01* -X146902857Y-18377142D01* -X147688571Y-18377142D01* -X146902857Y-18377142D02* -X146760000Y-18305714D01* -X146688571Y-18162857D01* -X146688571Y-17948571D01* -X146760000Y-17805714D01* -X146902857Y-17734285D01* -X147688571Y-17734285D01* -X147688571Y-17020000D02* -X146688571Y-17020000D01* -X146188571Y-17020000D02* -X146260000Y-17091428D01* -X146331428Y-17020000D01* -X146260000Y-16948571D01* -X146188571Y-17020000D01* -X146331428Y-17020000D01* -X147617142Y-15662857D02* -X147688571Y-15805714D01* -X147688571Y-16091428D01* -X147617142Y-16234285D01* -X147545714Y-16305714D01* -X147402857Y-16377142D01* -X146974285Y-16377142D01* -X146831428Y-16305714D01* -X146760000Y-16234285D01* -X146688571Y-16091428D01* -X146688571Y-15805714D01* -X146760000Y-15662857D01* -X147688571Y-15020000D02* -X146688571Y-15020000D01* -X146974285Y-15020000D02* -X146831428Y-14948571D01* -X146760000Y-14877142D01* -X146688571Y-14734285D01* -X146688571Y-14591428D01* -X147688571Y-13877142D02* -X147617142Y-14020000D01* -X147545714Y-14091428D01* -X147402857Y-14162857D01* -X146974285Y-14162857D01* -X146831428Y-14091428D01* -X146760000Y-14020000D01* -X146688571Y-13877142D01* -X146688571Y-13662857D01* -X146760000Y-13520000D01* -X146831428Y-13448571D01* -X146974285Y-13377142D01* -X147402857Y-13377142D01* -X147545714Y-13448571D01* -X147617142Y-13520000D01* -X147688571Y-13662857D01* -X147688571Y-13877142D01* -X147117142Y-12734285D02* -X147117142Y-11591428D01* -X147617142Y-10948571D02* -X147688571Y-10805714D01* -X147688571Y-10520000D01* -X147617142Y-10377142D01* -X147474285Y-10305714D01* -X147402857Y-10305714D01* -X147260000Y-10377142D01* -X147188571Y-10520000D01* -X147188571Y-10734285D01* -X147117142Y-10877142D01* -X146974285Y-10948571D01* -X146902857Y-10948571D01* -X146760000Y-10877142D01* -X146688571Y-10734285D01* -X146688571Y-10520000D01* -X146760000Y-10377142D01* -X147688571Y-9020000D02* -X146188571Y-9020000D01* -X147617142Y-9020000D02* -X147688571Y-9162857D01* -X147688571Y-9448571D01* -X147617142Y-9591428D01* -X147545714Y-9662857D01* -X147402857Y-9734285D01* -X146974285Y-9734285D01* -X146831428Y-9662857D01* -X146760000Y-9591428D01* -X146688571Y-9448571D01* -X146688571Y-9162857D01* -X146760000Y-9020000D01* -D10* -X118790699Y-12217380D02* -X119409747Y-12217380D01* -X119028794Y-12598333D01* -X119171651Y-12598333D01* -X119260937Y-12645952D01* -X119302604Y-12693571D01* -X119338318Y-12788809D01* -X119308556Y-13026904D01* -X119249032Y-13122142D01* -X119195461Y-13169761D01* -X119094270Y-13217380D01* -X118808556Y-13217380D01* -X118719270Y-13169761D01* -X118677604Y-13122142D01* -X119725223Y-13122142D02* -X119766889Y-13169761D01* -X119713318Y-13217380D01* -X119671651Y-13169761D01* -X119725223Y-13122142D01* -X119713318Y-13217380D01* -X120219270Y-12217380D02* -X120838318Y-12217380D01* -X120457366Y-12598333D01* -X120600223Y-12598333D01* -X120689508Y-12645952D01* -X120731175Y-12693571D01* -X120766889Y-12788809D01* -X120737127Y-13026904D01* -X120677604Y-13122142D01* -X120624032Y-13169761D01* -X120522842Y-13217380D01* -X120237127Y-13217380D01* -X120147842Y-13169761D01* -X120106175Y-13122142D01* -X121124032Y-12217380D02* -X121332366Y-13217380D01* -X121790699Y-12217380D01* -X116737127Y-14967380D02* -X116862127Y-13967380D01* -X117243080Y-13967380D01* -X117332366Y-14015000D01* -X117374032Y-14062619D01* -X117409747Y-14157857D01* -X117391889Y-14300714D01* -X117332366Y-14395952D01* -X117278794Y-14443571D01* -X117177604Y-14491190D01* -X116796651Y-14491190D01* -X117879985Y-14967380D02* -X117790699Y-14919761D01* -X117749032Y-14872142D01* -X117713318Y-14776904D01* -X117749032Y-14491190D01* -X117808556Y-14395952D01* -X117862127Y-14348333D01* -X117963318Y-14300714D01* -X118106175Y-14300714D01* -X118195461Y-14348333D01* -X118237127Y-14395952D01* -X118272842Y-14491190D01* -X118237127Y-14776904D01* -X118177604Y-14872142D01* -X118124032Y-14919761D01* -X118022842Y-14967380D01* -X117879985Y-14967380D01* -X118629985Y-14300714D02* -X118737127Y-14967380D01* -X118987127Y-14491190D01* -X119118080Y-14967380D01* -X119391889Y-14300714D01* -X120076413Y-14919761D02* -X119975223Y-14967380D01* -X119784747Y-14967380D01* -X119695461Y-14919761D01* -X119659747Y-14824523D01* -X119707366Y-14443571D01* -X119766889Y-14348333D01* -X119868080Y-14300714D01* -X120058556Y-14300714D01* -X120147842Y-14348333D01* -X120183556Y-14443571D01* -X120171651Y-14538809D01* -X119683556Y-14634047D01* -X120546651Y-14967380D02* -X120629985Y-14300714D01* -X120606175Y-14491190D02* -X120665699Y-14395952D01* -X120719270Y-14348333D01* -X120820461Y-14300714D01* -X120915699Y-14300714D01* -X122243080Y-13967380D02* -X122433556Y-13967380D01* -X122522842Y-14015000D01* -X122606175Y-14110238D01* -X122629985Y-14300714D01* -X122588318Y-14634047D01* -X122516889Y-14824523D01* -X122409747Y-14919761D01* -X122308556Y-14967380D01* -X122118080Y-14967380D01* -X122028794Y-14919761D01* -X121945461Y-14824523D01* -X121921651Y-14634047D01* -X121963318Y-14300714D01* -X122034747Y-14110238D01* -X122141889Y-14015000D01* -X122243080Y-13967380D01* -X122975223Y-14967380D02* -X123100223Y-13967380D01* -X123546651Y-14967380D01* -X123671651Y-13967380D01* -D11* +X121478571Y-71972380D02* +X121145238Y-71496190D01* +X120907142Y-71972380D02* +X120907142Y-70972380D01* +X121288095Y-70972380D01* +X121383333Y-71020000D01* +X121430952Y-71067619D01* +X121478571Y-71162857D01* +X121478571Y-71305714D01* +X121430952Y-71400952D01* +X121383333Y-71448571D01* +X121288095Y-71496190D01* +X120907142Y-71496190D01* +X122573809Y-72067619D02* +X122478571Y-72020000D01* +X122383333Y-71924761D01* +X122240476Y-71781904D01* +X122145238Y-71734285D01* +X122050000Y-71734285D01* +X122097619Y-71972380D02* +X122002380Y-71924761D01* +X121907142Y-71829523D01* +X121859523Y-71639047D01* +X121859523Y-71305714D01* +X121907142Y-71115238D01* +X122002380Y-71020000D01* +X122097619Y-70972380D01* +X122288095Y-70972380D01* +X122383333Y-71020000D01* +X122478571Y-71115238D01* +X122526190Y-71305714D01* +X122526190Y-71639047D01* +X122478571Y-71829523D01* +X122383333Y-71924761D01* +X122288095Y-71972380D01* +X122097619Y-71972380D01* +X122907142Y-71924761D02* +X123050000Y-71972380D01* +X123288095Y-71972380D01* +X123383333Y-71924761D01* +X123430952Y-71877142D01* +X123478571Y-71781904D01* +X123478571Y-71686666D01* +X123430952Y-71591428D01* +X123383333Y-71543809D01* +X123288095Y-71496190D01* +X123097619Y-71448571D01* +X123002380Y-71400952D01* +X122954761Y-71353333D01* +X122907142Y-71258095D01* +X122907142Y-71162857D01* +X122954761Y-71067619D01* +X123002380Y-71020000D01* +X123097619Y-70972380D01* +X123335714Y-70972380D01* +X123478571Y-71020000D01* +X123764285Y-70972380D02* +X124335714Y-70972380D01* +X124050000Y-71972380D02* +X124050000Y-70972380D01* +X130875000Y-17556666D02* +X131351190Y-17556666D01* +X130744047Y-17842380D02* +X131202380Y-16842380D01* +X131410714Y-17842380D01* +X132327380Y-17747142D02* +X132273809Y-17794761D01* +X132125000Y-17842380D01* +X132029761Y-17842380D01* +X131892857Y-17794761D01* +X131809523Y-17699523D01* +X131773809Y-17604285D01* +X131750000Y-17413809D01* +X131767857Y-17270952D01* +X131839285Y-17080476D01* +X131898809Y-16985238D01* +X132005952Y-16890000D01* +X132154761Y-16842380D01* +X132250000Y-16842380D01* +X132386904Y-16890000D01* +X132428571Y-16937619D01* +X132744047Y-17842380D02* +X132869047Y-16842380D01* +X133315476Y-17842380D02* +X132958333Y-17270952D01* +X133440476Y-16842380D02* +X132797619Y-17413809D01* +X129369047Y-19494761D02* +X129505952Y-19542380D01* +X129744047Y-19542380D01* +X129845238Y-19494761D01* +X129898809Y-19447142D01* +X129958333Y-19351904D01* +X129970238Y-19256666D01* +X129934523Y-19161428D01* +X129892857Y-19113809D01* +X129803571Y-19066190D01* +X129619047Y-19018571D01* +X129529761Y-18970952D01* +X129488095Y-18923333D01* +X129452380Y-18828095D01* +X129464285Y-18732857D01* +X129523809Y-18637619D01* +X129577380Y-18590000D01* +X129678571Y-18542380D01* +X129916666Y-18542380D01* +X130053571Y-18590000D01* +X130345238Y-18542380D02* +X130916666Y-18542380D01* +X130505952Y-19542380D02* +X130630952Y-18542380D01* +X131113095Y-19256666D02* +X131589285Y-19256666D01* +X130982142Y-19542380D02* +X131440476Y-18542380D01* +X131648809Y-19542380D01* +X132553571Y-19542380D02* +X132279761Y-19066190D01* +X131982142Y-19542380D02* +X132107142Y-18542380D01* +X132488095Y-18542380D01* +X132577380Y-18590000D01* +X132619047Y-18637619D01* +X132654761Y-18732857D01* +X132636904Y-18875714D01* +X132577380Y-18970952D01* +X132523809Y-19018571D01* +X132422619Y-19066190D01* +X132041666Y-19066190D01* +X132964285Y-18542380D02* +X133535714Y-18542380D01* +X133125000Y-19542380D02* +X133250000Y-18542380D01* +X169324285Y-15332380D02* +X169895714Y-15332380D01* +X169485000Y-16332380D02* +X169610000Y-15332380D01* +X170104047Y-16332380D02* +X170229047Y-15332380D01* +X170473095Y-16046666D01* +X170895714Y-15332380D01* +X170770714Y-16332380D01* +X171770714Y-16332380D02* +X171199285Y-16332380D01* +X171485000Y-16332380D02* +X171610000Y-15332380D01* +X171496904Y-15475238D01* +X171389761Y-15570476D01* +X171288571Y-15618095D01* +X172211190Y-16237142D02* +X172252857Y-16284761D01* +X172199285Y-16332380D01* +X172157619Y-16284761D01* +X172211190Y-16237142D01* +X172199285Y-16332380D01* +X172687380Y-16237142D02* +X172729047Y-16284761D01* +X172675476Y-16332380D01* +X172633809Y-16284761D01* +X172687380Y-16237142D01* +X172675476Y-16332380D01* +X173467142Y-15332380D02* +X173562380Y-15332380D01* +X173651666Y-15380000D01* +X173693333Y-15427619D01* +X173729047Y-15522857D01* +X173752857Y-15713333D01* +X173723095Y-15951428D01* +X173651666Y-16141904D01* +X173592142Y-16237142D01* +X173538571Y-16284761D01* +X173437380Y-16332380D01* +X173342142Y-16332380D01* +X173252857Y-16284761D01* +X173211190Y-16237142D01* +X173175476Y-16141904D01* +X173151666Y-15951428D01* +X173181428Y-15713333D01* +X173252857Y-15522857D01* +X173312380Y-15427619D01* +X173365952Y-15380000D01* +X173467142Y-15332380D01* +X147462380Y-17353333D02* +X146795714Y-17353333D01* +X146890952Y-17353333D02* +X146843333Y-17305714D01* +X146795714Y-17210476D01* +X146795714Y-17067619D01* +X146843333Y-16972380D01* +X146938571Y-16924761D01* +X147462380Y-16924761D01* +X146938571Y-16924761D02* +X146843333Y-16877142D01* +X146795714Y-16781904D01* +X146795714Y-16639047D01* +X146843333Y-16543809D01* +X146938571Y-16496190D01* +X147462380Y-16496190D01* +X147462380Y-16020000D02* +X146795714Y-16020000D01* +X146462380Y-16020000D02* +X146510000Y-16067619D01* +X146557619Y-16020000D01* +X146510000Y-15972380D01* +X146462380Y-16020000D01* +X146557619Y-16020000D01* +X147414761Y-15115238D02* +X147462380Y-15210476D01* +X147462380Y-15400952D01* +X147414761Y-15496190D01* +X147367142Y-15543809D01* +X147271904Y-15591428D01* +X146986190Y-15591428D01* +X146890952Y-15543809D01* +X146843333Y-15496190D01* +X146795714Y-15400952D01* +X146795714Y-15210476D01* +X146843333Y-15115238D01* +X147462380Y-14686666D02* +X146795714Y-14686666D01* +X146986190Y-14686666D02* +X146890952Y-14639047D01* +X146843333Y-14591428D01* +X146795714Y-14496190D01* +X146795714Y-14400952D01* +X147462380Y-13924761D02* +X147414761Y-14020000D01* +X147367142Y-14067619D01* +X147271904Y-14115238D01* +X146986190Y-14115238D01* +X146890952Y-14067619D01* +X146843333Y-14020000D01* +X146795714Y-13924761D01* +X146795714Y-13781904D01* +X146843333Y-13686666D01* +X146890952Y-13639047D01* +X146986190Y-13591428D01* +X147271904Y-13591428D01* +X147367142Y-13639047D01* +X147414761Y-13686666D01* +X147462380Y-13781904D01* +X147462380Y-13924761D01* +X147081428Y-13162857D02* +X147081428Y-12400952D01* +X147414761Y-11972380D02* +X147462380Y-11877142D01* +X147462380Y-11686666D01* +X147414761Y-11591428D01* +X147319523Y-11543809D01* +X147271904Y-11543809D01* +X147176666Y-11591428D01* +X147129047Y-11686666D01* +X147129047Y-11829523D01* +X147081428Y-11924761D01* +X146986190Y-11972380D01* +X146938571Y-11972380D01* +X146843333Y-11924761D01* +X146795714Y-11829523D01* +X146795714Y-11686666D01* +X146843333Y-11591428D01* +X147462380Y-10686666D02* +X146462380Y-10686666D01* +X147414761Y-10686666D02* +X147462380Y-10781904D01* +X147462380Y-10972380D01* +X147414761Y-11067619D01* +X147367142Y-11115238D01* +X147271904Y-11162857D01* +X146986190Y-11162857D01* +X146890952Y-11115238D01* +X146843333Y-11067619D01* +X146795714Y-10972380D01* +X146795714Y-10781904D01* +X146843333Y-10686666D01* +X114724761Y-6902380D02* +X115343809Y-6902380D01* +X114962857Y-7283333D01* +X115105714Y-7283333D01* +X115195000Y-7330952D01* +X115236666Y-7378571D01* +X115272380Y-7473809D01* +X115242619Y-7711904D01* +X115183095Y-7807142D01* +X115129523Y-7854761D01* +X115028333Y-7902380D01* +X114742619Y-7902380D01* +X114653333Y-7854761D01* +X114611666Y-7807142D01* +X115659285Y-7807142D02* +X115700952Y-7854761D01* +X115647380Y-7902380D01* +X115605714Y-7854761D01* +X115659285Y-7807142D01* +X115647380Y-7902380D01* +X116153333Y-6902380D02* +X116772380Y-6902380D01* +X116391428Y-7283333D01* +X116534285Y-7283333D01* +X116623571Y-7330952D01* +X116665238Y-7378571D01* +X116700952Y-7473809D01* +X116671190Y-7711904D01* +X116611666Y-7807142D01* +X116558095Y-7854761D01* +X116456904Y-7902380D01* +X116171190Y-7902380D01* +X116081904Y-7854761D01* +X116040238Y-7807142D01* +X117058095Y-6902380D02* +X117266428Y-7902380D01* +X117724761Y-6902380D01* +X112671190Y-9602380D02* +X112796190Y-8602380D01* +X113177142Y-8602380D01* +X113266428Y-8650000D01* +X113308095Y-8697619D01* +X113343809Y-8792857D01* +X113325952Y-8935714D01* +X113266428Y-9030952D01* +X113212857Y-9078571D01* +X113111666Y-9126190D01* +X112730714Y-9126190D01* +X113814047Y-9602380D02* +X113724761Y-9554761D01* +X113683095Y-9507142D01* +X113647380Y-9411904D01* +X113683095Y-9126190D01* +X113742619Y-9030952D01* +X113796190Y-8983333D01* +X113897380Y-8935714D01* +X114040238Y-8935714D01* +X114129523Y-8983333D01* +X114171190Y-9030952D01* +X114206904Y-9126190D01* +X114171190Y-9411904D01* +X114111666Y-9507142D01* +X114058095Y-9554761D01* +X113956904Y-9602380D01* +X113814047Y-9602380D01* +X114564047Y-8935714D02* +X114671190Y-9602380D01* +X114921190Y-9126190D01* +X115052142Y-9602380D01* +X115325952Y-8935714D01* +X116010476Y-9554761D02* +X115909285Y-9602380D01* +X115718809Y-9602380D01* +X115629523Y-9554761D01* +X115593809Y-9459523D01* +X115641428Y-9078571D01* +X115700952Y-8983333D01* +X115802142Y-8935714D01* +X115992619Y-8935714D01* +X116081904Y-8983333D01* +X116117619Y-9078571D01* +X116105714Y-9173809D01* +X115617619Y-9269047D01* +X116480714Y-9602380D02* +X116564047Y-8935714D01* +X116540238Y-9126190D02* +X116599761Y-9030952D01* +X116653333Y-8983333D01* +X116754523Y-8935714D01* +X116849761Y-8935714D01* +X118177142Y-8602380D02* +X118367619Y-8602380D01* +X118456904Y-8650000D01* +X118540238Y-8745238D01* +X118564047Y-8935714D01* +X118522380Y-9269047D01* +X118450952Y-9459523D01* +X118343809Y-9554761D01* +X118242619Y-9602380D01* +X118052142Y-9602380D01* +X117962857Y-9554761D01* +X117879523Y-9459523D01* +X117855714Y-9269047D01* +X117897380Y-8935714D01* +X117968809Y-8745238D01* +X118075952Y-8650000D01* +X118177142Y-8602380D01* +X118909285Y-9602380D02* +X119034285Y-8602380D01* +X119480714Y-9602380D01* +X119605714Y-8602380D01* X182585714Y-83642380D02* X182014285Y-83642380D01* X182300000Y-83642380D02* @@ -938,7 +984,7 @@ X182300000Y-82642380D01* X182204761Y-82785238D01* X182109523Y-82880476D01* X182014285Y-82928095D01* -D13* +D14* X208732857Y-100250000D02* X209447142Y-100250000D01* X208590000Y-100678571D02* @@ -1008,71 +1054,69 @@ X189100000Y-82442380D01* X189004761Y-82585238D01* X188909523Y-82680476D01* X188814285Y-82728095D01* -D13* -X112592857Y-44745714D02* -X112521428Y-44951071D01* -X112521428Y-45308214D01* -X112592857Y-45460000D01* -X112664285Y-45540357D01* -X112807142Y-45629642D01* -X112950000Y-45647500D01* -X113092857Y-45593928D01* -X113164285Y-45531428D01* -X113235714Y-45397500D01* -X113307142Y-45120714D01* -X113378571Y-44986785D01* -X113450000Y-44924285D01* -X113592857Y-44870714D01* -X113735714Y-44888571D01* -X113878571Y-44977857D01* -X113950000Y-45058214D01* -X114021428Y-45210000D01* -X114021428Y-45567142D01* -X113950000Y-45772500D01* -X112521428Y-46451071D02* -X112592857Y-46317142D01* -X112735714Y-46263571D01* -X114021428Y-46424285D01* -X112521428Y-47236785D02* -X112592857Y-47102857D01* -X112664285Y-47040357D01* -X112807142Y-46986785D01* -X113235714Y-47040357D01* -X113378571Y-47129642D01* -X113450000Y-47210000D01* -X113521428Y-47361785D01* -X113521428Y-47576071D01* -X113450000Y-47710000D01* -X113378571Y-47772500D01* -X113235714Y-47826071D01* -X112807142Y-47772500D01* -X112664285Y-47683214D01* -X112592857Y-47602857D01* -X112521428Y-47451071D01* -X112521428Y-47236785D01* -X113521428Y-48290357D02* -X113521428Y-48861785D01* -X114021428Y-48567142D02* -X112735714Y-48406428D01* -X112592857Y-48460000D01* -X112521428Y-48593928D01* -X112521428Y-48736785D01* -X112521428Y-50379642D02* -X114021428Y-50567142D01* -X112521428Y-51093928D02* -X114021428Y-51281428D01* -X114021428Y-51638571D01* -X113950000Y-51843928D01* -X113807142Y-51968928D01* -X113664285Y-52022500D01* -X113378571Y-52058214D01* -X113164285Y-52031428D01* -X112878571Y-51924285D01* -X112735714Y-51835000D01* -X112592857Y-51674285D01* -X112521428Y-51451071D01* -X112521428Y-51093928D01* -D11* +X112795238Y-45997142D02* +X112747619Y-46134047D01* +X112747619Y-46372142D01* +X112795238Y-46473333D01* +X112842857Y-46526904D01* +X112938095Y-46586428D01* +X113033333Y-46598333D01* +X113128571Y-46562619D01* +X113176190Y-46520952D01* +X113223809Y-46431666D01* +X113271428Y-46247142D01* +X113319047Y-46157857D01* +X113366666Y-46116190D01* +X113461904Y-46080476D01* +X113557142Y-46092380D01* +X113652380Y-46151904D01* +X113700000Y-46205476D01* +X113747619Y-46306666D01* +X113747619Y-46544761D01* +X113700000Y-46681666D01* +X112747619Y-47134047D02* +X112795238Y-47044761D01* +X112890476Y-47009047D01* +X113747619Y-47116190D01* +X112747619Y-47657857D02* +X112795238Y-47568571D01* +X112842857Y-47526904D01* +X112938095Y-47491190D01* +X113223809Y-47526904D01* +X113319047Y-47586428D01* +X113366666Y-47640000D01* +X113414285Y-47741190D01* +X113414285Y-47884047D01* +X113366666Y-47973333D01* +X113319047Y-48015000D01* +X113223809Y-48050714D01* +X112938095Y-48015000D01* +X112842857Y-47955476D01* +X112795238Y-47901904D01* +X112747619Y-47800714D01* +X112747619Y-47657857D01* +X113414285Y-48360238D02* +X113414285Y-48741190D01* +X113747619Y-48544761D02* +X112890476Y-48437619D01* +X112795238Y-48473333D01* +X112747619Y-48562619D01* +X112747619Y-48657857D01* +X112747619Y-49753095D02* +X113747619Y-49878095D01* +X112747619Y-50229285D02* +X113747619Y-50354285D01* +X113747619Y-50592380D01* +X113700000Y-50729285D01* +X113604761Y-50812619D01* +X113509523Y-50848333D01* +X113319047Y-50872142D01* +X113176190Y-50854285D01* +X112985714Y-50782857D01* +X112890476Y-50723333D01* +X112795238Y-50616190D01* +X112747619Y-50467380D01* +X112747619Y-50229285D01* X275770000Y-105080000D02* X275770000Y-3480000D01* X274170000Y-84560000D02* @@ -1112,7 +1156,6 @@ X149650000Y-82552380D01* X149554761Y-82695238D01* X149459523Y-82790476D01* X149364285Y-82838095D01* -D10* X220631428Y-87605714D02* X220631428Y-86843809D01* X221012380Y-87224761D02* @@ -1204,72 +1247,70 @@ X216029523Y-90021904D01* X216124761Y-90117142D01* X216172380Y-90260000D01* X216172380Y-90498095D01* -D13* -X228137142Y-91422857D02* -X227637142Y-91422857D01* -X227637142Y-92208571D02* -X227637142Y-90708571D01* -X228351428Y-90708571D01* -X229565714Y-92208571D02* -X229565714Y-91422857D01* -X229494285Y-91280000D01* -X229351428Y-91208571D01* -X229065714Y-91208571D01* -X228922857Y-91280000D01* -X229565714Y-92137142D02* -X229422857Y-92208571D01* -X229065714Y-92208571D01* -X228922857Y-92137142D01* -X228851428Y-91994285D01* -X228851428Y-91851428D01* -X228922857Y-91708571D01* -X229065714Y-91637142D01* -X229422857Y-91637142D01* -X229565714Y-91565714D01* -X230280000Y-91208571D02* -X230280000Y-92208571D01* -X230280000Y-91351428D02* -X230351428Y-91280000D01* -X230494285Y-91208571D01* -X230708571Y-91208571D01* -X230851428Y-91280000D01* -X230922857Y-91422857D01* -X230922857Y-92208571D01* -X228994285Y-93258571D02* -X228280000Y-93258571D01* -X228208571Y-93972857D01* -X228280000Y-93901428D01* -X228422857Y-93830000D01* -X228780000Y-93830000D01* -X228922857Y-93901428D01* -X228994285Y-93972857D01* -X229065714Y-94115714D01* -X229065714Y-94472857D01* -X228994285Y-94615714D01* -X228922857Y-94687142D01* -X228780000Y-94758571D01* -X228422857Y-94758571D01* -X228280000Y-94687142D01* -X228208571Y-94615714D01* -X229494285Y-93258571D02* -X229994285Y-94758571D01* -X230494285Y-93258571D01* -X228851428Y-97308571D02* -X227994285Y-97308571D01* -X228422857Y-97308571D02* -X228422857Y-95808571D01* -X228280000Y-96022857D01* -X228137142Y-96165714D01* -X227994285Y-96237142D01* -X229351428Y-95808571D02* -X229708571Y-97308571D01* -X229994285Y-96237142D01* -X230280000Y-97308571D01* -X230637142Y-95808571D01* -D11* +X228208095Y-89008571D02* +X227874761Y-89008571D01* +X227874761Y-89532380D02* +X227874761Y-88532380D01* +X228350952Y-88532380D01* +X229160476Y-89532380D02* +X229160476Y-89008571D01* +X229112857Y-88913333D01* +X229017619Y-88865714D01* +X228827142Y-88865714D01* +X228731904Y-88913333D01* +X229160476Y-89484761D02* +X229065238Y-89532380D01* +X228827142Y-89532380D01* +X228731904Y-89484761D01* +X228684285Y-89389523D01* +X228684285Y-89294285D01* +X228731904Y-89199047D01* +X228827142Y-89151428D01* +X229065238Y-89151428D01* +X229160476Y-89103809D01* +X229636666Y-88865714D02* +X229636666Y-89532380D01* +X229636666Y-88960952D02* +X229684285Y-88913333D01* +X229779523Y-88865714D01* +X229922380Y-88865714D01* +X230017619Y-88913333D01* +X230065238Y-89008571D01* +X230065238Y-89532380D01* +X228779523Y-90232380D02* +X228303333Y-90232380D01* +X228255714Y-90708571D01* +X228303333Y-90660952D01* +X228398571Y-90613333D01* +X228636666Y-90613333D01* +X228731904Y-90660952D01* +X228779523Y-90708571D01* +X228827142Y-90803809D01* +X228827142Y-91041904D01* +X228779523Y-91137142D01* +X228731904Y-91184761D01* +X228636666Y-91232380D01* +X228398571Y-91232380D01* +X228303333Y-91184761D01* +X228255714Y-91137142D01* +X229112857Y-90232380D02* +X229446190Y-91232380D01* +X229779523Y-90232380D01* +X228684285Y-92932380D02* +X228112857Y-92932380D01* +X228398571Y-92932380D02* +X228398571Y-91932380D01* +X228303333Y-92075238D01* +X228208095Y-92170476D01* +X228112857Y-92218095D01* +X229017619Y-91932380D02* +X229255714Y-92932380D01* +X229446190Y-92218095D01* +X229636666Y-92932380D01* +X229874761Y-91932380D01* X211270000Y-74450000D02* X211270000Y-23650000D01* -D16* +D15* X220242857Y-41182142D02* X220242857Y-38182142D01* X221957142Y-41182142D01* @@ -1392,505 +1433,506 @@ X236385714Y-43989285D01* X236242857Y-44417857D01* X234528571Y-46132142D01* X236385714Y-46132142D01* -D13* -X268247142Y-85148571D02* -X268247142Y-86362857D01* -X268318571Y-86505714D01* -X268390000Y-86577142D01* -X268532857Y-86648571D01* -X268818571Y-86648571D01* -X268961428Y-86577142D01* -X269032857Y-86505714D01* -X269104285Y-86362857D01* -X269104285Y-85148571D01* -X269747142Y-86577142D02* -X269961428Y-86648571D01* -X270318571Y-86648571D01* -X270461428Y-86577142D01* -X270532857Y-86505714D01* -X270604285Y-86362857D01* -X270604285Y-86220000D01* -X270532857Y-86077142D01* -X270461428Y-86005714D01* -X270318571Y-85934285D01* -X270032857Y-85862857D01* -X269890000Y-85791428D01* -X269818571Y-85720000D01* -X269747142Y-85577142D01* -X269747142Y-85434285D01* -X269818571Y-85291428D01* -X269890000Y-85220000D01* -X270032857Y-85148571D01* -X270390000Y-85148571D01* -X270604285Y-85220000D01* -X271747142Y-85862857D02* -X271961428Y-85934285D01* -X272032857Y-86005714D01* -X272104285Y-86148571D01* -X272104285Y-86362857D01* -X272032857Y-86505714D01* -X271961428Y-86577142D01* -X271818571Y-86648571D01* -X271247142Y-86648571D01* -X271247142Y-85148571D01* -X271747142Y-85148571D01* -X271890000Y-85220000D01* -X271961428Y-85291428D01* -X272032857Y-85434285D01* -X272032857Y-85577142D01* -X271961428Y-85720000D01* -X271890000Y-85791428D01* -X271747142Y-85862857D01* -X271247142Y-85862857D01* -X258207142Y-70678571D02* -X258207142Y-69178571D01* -X258207142Y-69892857D02* -X259064285Y-69892857D01* -X259064285Y-70678571D02* -X259064285Y-69178571D01* -X259778571Y-70678571D02* -X259778571Y-69178571D01* -X260135714Y-69178571D01* -X260350000Y-69250000D01* -X260492857Y-69392857D01* -X260564285Y-69535714D01* -X260635714Y-69821428D01* -X260635714Y-70035714D01* -X260564285Y-70321428D01* -X260492857Y-70464285D01* -X260350000Y-70607142D01* -X260135714Y-70678571D01* -X259778571Y-70678571D01* -X261278571Y-70678571D02* -X261278571Y-69178571D01* -X261778571Y-70250000D01* -X262278571Y-69178571D01* -X262278571Y-70678571D01* -X262992857Y-70678571D02* -X262992857Y-69178571D01* -X191318571Y-72428571D02* -X192318571Y-72428571D01* -X191318571Y-73928571D01* -X192318571Y-73928571D01* -X192675714Y-72428571D02* -X193532857Y-72428571D01* -X193104285Y-73928571D02* -X193104285Y-72428571D01* -X194604285Y-73857142D02* -X194461428Y-73928571D01* -X194175714Y-73928571D01* -X194032857Y-73857142D01* -X193961428Y-73714285D01* -X193961428Y-73142857D01* -X194032857Y-73000000D01* -X194175714Y-72928571D01* -X194461428Y-72928571D01* -X194604285Y-73000000D01* -X194675714Y-73142857D01* -X194675714Y-73285714D01* -X193961428Y-73428571D01* -X195175714Y-73928571D02* -X195961428Y-72928571D01* -X195175714Y-72928571D02* -X195961428Y-73928571D01* -X197604285Y-72571428D02* -X197675714Y-72500000D01* -X197818571Y-72428571D01* -X198175714Y-72428571D01* -X198318571Y-72500000D01* -X198390000Y-72571428D01* -X198461428Y-72714285D01* -X198461428Y-72857142D01* -X198390000Y-73071428D01* -X197532857Y-73928571D01* -X198461428Y-73928571D01* -X199104285Y-73785714D02* -X199175714Y-73857142D01* -X199104285Y-73928571D01* -X199032857Y-73857142D01* -X199104285Y-73785714D01* -X199104285Y-73928571D01* -X200604285Y-73928571D02* -X199747142Y-73928571D01* -X200175714Y-73928571D02* -X200175714Y-72428571D01* -X200032857Y-72642857D01* -X199890000Y-72785714D01* -X199747142Y-72857142D01* -X201104285Y-72428571D02* -X202032857Y-72428571D01* -X201532857Y-73000000D01* -X201747142Y-73000000D01* -X201890000Y-73071428D01* -X201961428Y-73142857D01* -X202032857Y-73285714D01* -X202032857Y-73642857D01* -X201961428Y-73785714D01* -X201890000Y-73857142D01* -X201747142Y-73928571D01* -X201318571Y-73928571D01* -X201175714Y-73857142D01* -X201104285Y-73785714D01* -X204032857Y-73928571D02* -X203890000Y-73857142D01* -X203818571Y-73785714D01* -X203747142Y-73642857D01* -X203747142Y-73214285D01* -X203818571Y-73071428D01* -X203890000Y-73000000D01* -X204032857Y-72928571D01* -X204247142Y-72928571D01* -X204390000Y-73000000D01* -X204461428Y-73071428D01* -X204532857Y-73214285D01* -X204532857Y-73642857D01* -X204461428Y-73785714D01* -X204390000Y-73857142D01* -X204247142Y-73928571D01* -X204032857Y-73928571D01* -X205818571Y-72928571D02* -X205818571Y-73928571D01* -X205175714Y-72928571D02* -X205175714Y-73714285D01* -X205247142Y-73857142D01* -X205390000Y-73928571D01* -X205604285Y-73928571D01* -X205747142Y-73857142D01* -X205818571Y-73785714D01* -X206318571Y-72928571D02* -X206890000Y-72928571D01* -X206532857Y-72428571D02* -X206532857Y-73714285D01* -X206604285Y-73857142D01* -X206747142Y-73928571D01* -X206890000Y-73928571D01* -X207604285Y-73928571D02* -X207461428Y-73857142D01* -X207390000Y-73714285D01* -X207390000Y-72428571D01* -X208175714Y-73928571D02* -X208175714Y-72928571D01* -X208175714Y-72428571D02* -X208104285Y-72500000D01* -X208175714Y-72571428D01* -X208247142Y-72500000D01* -X208175714Y-72428571D01* -X208175714Y-72571428D01* -X208890000Y-72928571D02* -X208890000Y-73928571D01* -X208890000Y-73071428D02* -X208961428Y-73000000D01* -X209104285Y-72928571D01* -X209318571Y-72928571D01* -X209461428Y-73000000D01* -X209532857Y-73142857D01* -X209532857Y-73928571D01* -X210818571Y-73857142D02* -X210675714Y-73928571D01* -X210390000Y-73928571D01* -X210247142Y-73857142D01* -X210175714Y-73714285D01* -X210175714Y-73142857D01* -X210247142Y-73000000D01* -X210390000Y-72928571D01* -X210675714Y-72928571D01* -X210818571Y-73000000D01* -X210890000Y-73142857D01* -X210890000Y-73285714D01* -X210175714Y-73428571D01* -X246027142Y-77793571D02* -X246027142Y-79007857D01* -X246098571Y-79150714D01* -X246170000Y-79222142D01* -X246312857Y-79293571D01* -X246598571Y-79293571D01* -X246741428Y-79222142D01* -X246812857Y-79150714D01* -X246884285Y-79007857D01* -X246884285Y-77793571D01* -X247527142Y-79222142D02* -X247741428Y-79293571D01* -X248098571Y-79293571D01* -X248241428Y-79222142D01* -X248312857Y-79150714D01* -X248384285Y-79007857D01* -X248384285Y-78865000D01* -X248312857Y-78722142D01* -X248241428Y-78650714D01* -X248098571Y-78579285D01* -X247812857Y-78507857D01* -X247670000Y-78436428D01* -X247598571Y-78365000D01* -X247527142Y-78222142D01* -X247527142Y-78079285D01* -X247598571Y-77936428D01* -X247670000Y-77865000D01* -X247812857Y-77793571D01* -X248170000Y-77793571D01* -X248384285Y-77865000D01* -X249527142Y-78507857D02* -X249741428Y-78579285D01* -X249812857Y-78650714D01* -X249884285Y-78793571D01* -X249884285Y-79007857D01* -X249812857Y-79150714D01* -X249741428Y-79222142D01* -X249598571Y-79293571D01* -X249027142Y-79293571D01* -X249027142Y-77793571D01* -X249527142Y-77793571D01* -X249670000Y-77865000D01* -X249741428Y-77936428D01* -X249812857Y-78079285D01* -X249812857Y-78222142D01* -X249741428Y-78365000D01* -X249670000Y-78436428D01* -X249527142Y-78507857D01* -X249027142Y-78507857D01* -X246348571Y-80843571D02* -X246348571Y-82343571D01* -X246348571Y-80915000D02* -X246491428Y-80843571D01* -X246777142Y-80843571D01* -X246920000Y-80915000D01* -X246991428Y-80986428D01* -X247062857Y-81129285D01* -X247062857Y-81557857D01* -X246991428Y-81700714D01* -X246920000Y-81772142D01* -X246777142Y-81843571D01* -X246491428Y-81843571D01* -X246348571Y-81772142D01* -X247562857Y-80843571D02* -X247848571Y-81843571D01* -X248134285Y-81129285D01* -X248420000Y-81843571D01* -X248705714Y-80843571D01* -X249277142Y-81843571D02* -X249277142Y-80843571D01* -X249277142Y-81129285D02* -X249348571Y-80986428D01* -X249420000Y-80915000D01* -X249562857Y-80843571D01* -X249705714Y-80843571D01* -X254107142Y-73603571D02* -X254107142Y-74817857D01* -X254178571Y-74960714D01* -X254250000Y-75032142D01* -X254392857Y-75103571D01* -X254678571Y-75103571D01* -X254821428Y-75032142D01* -X254892857Y-74960714D01* -X254964285Y-74817857D01* -X254964285Y-73603571D01* -X255607142Y-75032142D02* -X255821428Y-75103571D01* -X256178571Y-75103571D01* -X256321428Y-75032142D01* -X256392857Y-74960714D01* -X256464285Y-74817857D01* -X256464285Y-74675000D01* -X256392857Y-74532142D01* -X256321428Y-74460714D01* -X256178571Y-74389285D01* -X255892857Y-74317857D01* -X255750000Y-74246428D01* -X255678571Y-74175000D01* -X255607142Y-74032142D01* -X255607142Y-73889285D01* -X255678571Y-73746428D01* -X255750000Y-73675000D01* -X255892857Y-73603571D01* -X256250000Y-73603571D01* -X256464285Y-73675000D01* -X257607142Y-74317857D02* -X257821428Y-74389285D01* -X257892857Y-74460714D01* -X257964285Y-74603571D01* -X257964285Y-74817857D01* -X257892857Y-74960714D01* -X257821428Y-75032142D01* -X257678571Y-75103571D01* -X257107142Y-75103571D01* -X257107142Y-73603571D01* -X257607142Y-73603571D01* -X257750000Y-73675000D01* -X257821428Y-73746428D01* -X257892857Y-73889285D01* -X257892857Y-74032142D01* -X257821428Y-74175000D01* -X257750000Y-74246428D01* -X257607142Y-74317857D01* -X257107142Y-74317857D01* -X254428571Y-77653571D02* -X254428571Y-76153571D01* -X256214285Y-76082142D02* -X254928571Y-78010714D01* -X257000000Y-76153571D02* -X257285714Y-76153571D01* -X257428571Y-76225000D01* -X257571428Y-76367857D01* -X257642857Y-76653571D01* -X257642857Y-77153571D01* -X257571428Y-77439285D01* -X257428571Y-77582142D01* -X257285714Y-77653571D01* -X257000000Y-77653571D01* -X256857142Y-77582142D01* -X256714285Y-77439285D01* -X256642857Y-77153571D01* -X256642857Y-76653571D01* -X256714285Y-76367857D01* -X256857142Y-76225000D01* -X257000000Y-76153571D01* -X248107142Y-66253571D02* -X248107142Y-64753571D01* -X248107142Y-65467857D02* -X248964285Y-65467857D01* -X248964285Y-66253571D02* -X248964285Y-64753571D01* -X249678571Y-66253571D02* -X249678571Y-64753571D01* -X250035714Y-64753571D01* -X250250000Y-64825000D01* -X250392857Y-64967857D01* -X250464285Y-65110714D01* -X250535714Y-65396428D01* -X250535714Y-65610714D01* -X250464285Y-65896428D01* -X250392857Y-66039285D01* -X250250000Y-66182142D01* -X250035714Y-66253571D01* -X249678571Y-66253571D01* -X251178571Y-66253571D02* -X251178571Y-64753571D01* -X251678571Y-65825000D01* -X252178571Y-64753571D01* -X252178571Y-66253571D01* -X252892857Y-66253571D02* -X252892857Y-64753571D01* -X249571428Y-68732142D02* -X249428571Y-68803571D01* -X249142857Y-68803571D01* -X249000000Y-68732142D01* -X248928571Y-68660714D01* -X248857142Y-68517857D01* -X248857142Y-68089285D01* -X248928571Y-67946428D01* -X249000000Y-67875000D01* -X249142857Y-67803571D01* -X249428571Y-67803571D01* -X249571428Y-67875000D01* -X250000000Y-67803571D02* -X250571428Y-67803571D01* -X250214285Y-67303571D02* -X250214285Y-68589285D01* -X250285714Y-68732142D01* -X250428571Y-68803571D01* -X250571428Y-68803571D01* -X251071428Y-68803571D02* -X251071428Y-67803571D01* -X251071428Y-68089285D02* -X251142857Y-67946428D01* -X251214285Y-67875000D01* -X251357142Y-67803571D01* -X251500000Y-67803571D01* -X252214285Y-68803571D02* -X252071428Y-68732142D01* -X252000000Y-68589285D01* -X252000000Y-67303571D01* -X123968571Y-24312857D02* -X123968571Y-23455714D01* -X125468571Y-23884285D02* -X123968571Y-23884285D01* -X123968571Y-23098571D02* -X125468571Y-22098571D01* -X123968571Y-22098571D02* -X125468571Y-23098571D01* -X125538571Y-26230000D02* -X124824285Y-26730000D01* -X125538571Y-27087142D02* -X124038571Y-27087142D01* -X124038571Y-26515714D01* -X124110000Y-26372857D01* -X124181428Y-26301428D01* -X124324285Y-26230000D01* -X124538571Y-26230000D01* -X124681428Y-26301428D01* -X124752857Y-26372857D01* -X124824285Y-26515714D01* -X124824285Y-27087142D01* -X124038571Y-25730000D02* -X125538571Y-24730000D01* -X124038571Y-24730000D02* -X125538571Y-25730000D01* -X124360000Y-34542857D02* -X124288571Y-34685714D01* -X124288571Y-34900000D01* -X124360000Y-35114285D01* -X124502857Y-35257142D01* -X124645714Y-35328571D01* -X124931428Y-35400000D01* -X125145714Y-35400000D01* -X125431428Y-35328571D01* -X125574285Y-35257142D01* -X125717142Y-35114285D01* -X125788571Y-34900000D01* -X125788571Y-34757142D01* -X125717142Y-34542857D01* -X125645714Y-34471428D01* -X125145714Y-34471428D01* -X125145714Y-34757142D01* -X125788571Y-33828571D02* -X124288571Y-33828571D01* -X125788571Y-32971428D01* -X124288571Y-32971428D01* -X125788571Y-32257142D02* -X124288571Y-32257142D01* -X124288571Y-31900000D01* -X124360000Y-31685714D01* -X124502857Y-31542857D01* -X124645714Y-31471428D01* -X124931428Y-31400000D01* -X125145714Y-31400000D01* -X125431428Y-31471428D01* -X125574285Y-31542857D01* -X125717142Y-31685714D01* -X125788571Y-31900000D01* -X125788571Y-32257142D01* -X224530000Y-23678571D02* -X224530000Y-24750000D01* -X224458571Y-24964285D01* -X224315714Y-25107142D01* -X224101428Y-25178571D01* -X223958571Y-25178571D01* -X225030000Y-23678571D02* -X225887142Y-23678571D01* -X225458571Y-25178571D02* -X225458571Y-23678571D01* -X226315714Y-24750000D02* -X227030000Y-24750000D01* -X226172857Y-25178571D02* -X226672857Y-23678571D01* -X227172857Y-25178571D01* -X228458571Y-23750000D02* -X228315714Y-23678571D01* -X228101428Y-23678571D01* -X227887142Y-23750000D01* -X227744285Y-23892857D01* -X227672857Y-24035714D01* -X227601428Y-24321428D01* -X227601428Y-24535714D01* -X227672857Y-24821428D01* -X227744285Y-24964285D01* -X227887142Y-25107142D01* -X228101428Y-25178571D01* -X228244285Y-25178571D01* -X228458571Y-25107142D01* -X228530000Y-25035714D01* -X228530000Y-24535714D01* -X228244285Y-24535714D01* D11* +X268418095Y-73072380D02* +X268418095Y-73881904D01* +X268465714Y-73977142D01* +X268513333Y-74024761D01* +X268608571Y-74072380D01* +X268799047Y-74072380D01* +X268894285Y-74024761D01* +X268941904Y-73977142D01* +X268989523Y-73881904D01* +X268989523Y-73072380D01* +X269418095Y-74024761D02* +X269560952Y-74072380D01* +X269799047Y-74072380D01* +X269894285Y-74024761D01* +X269941904Y-73977142D01* +X269989523Y-73881904D01* +X269989523Y-73786666D01* +X269941904Y-73691428D01* +X269894285Y-73643809D01* +X269799047Y-73596190D01* +X269608571Y-73548571D01* +X269513333Y-73500952D01* +X269465714Y-73453333D01* +X269418095Y-73358095D01* +X269418095Y-73262857D01* +X269465714Y-73167619D01* +X269513333Y-73120000D01* +X269608571Y-73072380D01* +X269846666Y-73072380D01* +X269989523Y-73120000D01* +X270751428Y-73548571D02* +X270894285Y-73596190D01* +X270941904Y-73643809D01* +X270989523Y-73739047D01* +X270989523Y-73881904D01* +X270941904Y-73977142D01* +X270894285Y-74024761D01* +X270799047Y-74072380D01* +X270418095Y-74072380D01* +X270418095Y-73072380D01* +X270751428Y-73072380D01* +X270846666Y-73120000D01* +X270894285Y-73167619D01* +X270941904Y-73262857D01* +X270941904Y-73358095D01* +X270894285Y-73453333D01* +X270846666Y-73500952D01* +X270751428Y-73548571D01* +X270418095Y-73548571D01* +X260344761Y-70842380D02* +X260344761Y-69842380D01* +X260344761Y-70318571D02* +X260916190Y-70318571D01* +X260916190Y-70842380D02* +X260916190Y-69842380D01* +X261392380Y-70842380D02* +X261392380Y-69842380D01* +X261630476Y-69842380D01* +X261773333Y-69890000D01* +X261868571Y-69985238D01* +X261916190Y-70080476D01* +X261963809Y-70270952D01* +X261963809Y-70413809D01* +X261916190Y-70604285D01* +X261868571Y-70699523D01* +X261773333Y-70794761D01* +X261630476Y-70842380D01* +X261392380Y-70842380D01* +X262392380Y-70842380D02* +X262392380Y-69842380D01* +X262725714Y-70556666D01* +X263059047Y-69842380D01* +X263059047Y-70842380D01* +X263535238Y-70842380D02* +X263535238Y-69842380D01* +D14* +X212098571Y-74361428D02* +X212098571Y-73361428D01* +X213598571Y-74361428D01* +X213598571Y-73361428D01* +X212098571Y-73004285D02* +X212098571Y-72147142D01* +X213598571Y-72575714D02* +X212098571Y-72575714D01* +X213527142Y-71075714D02* +X213598571Y-71218571D01* +X213598571Y-71504285D01* +X213527142Y-71647142D01* +X213384285Y-71718571D01* +X212812857Y-71718571D01* +X212670000Y-71647142D01* +X212598571Y-71504285D01* +X212598571Y-71218571D01* +X212670000Y-71075714D01* +X212812857Y-71004285D01* +X212955714Y-71004285D01* +X213098571Y-71718571D01* +X213598571Y-70504285D02* +X212598571Y-69718571D01* +X212598571Y-70504285D02* +X213598571Y-69718571D01* +X212241428Y-68075714D02* +X212170000Y-68004285D01* +X212098571Y-67861428D01* +X212098571Y-67504285D01* +X212170000Y-67361428D01* +X212241428Y-67290000D01* +X212384285Y-67218571D01* +X212527142Y-67218571D01* +X212741428Y-67290000D01* +X213598571Y-68147142D01* +X213598571Y-67218571D01* +X213455714Y-66575714D02* +X213527142Y-66504285D01* +X213598571Y-66575714D01* +X213527142Y-66647142D01* +X213455714Y-66575714D01* +X213598571Y-66575714D01* +X213598571Y-65075714D02* +X213598571Y-65932857D01* +X213598571Y-65504285D02* +X212098571Y-65504285D01* +X212312857Y-65647142D01* +X212455714Y-65790000D01* +X212527142Y-65932857D01* +X212098571Y-64575714D02* +X212098571Y-63647142D01* +X212670000Y-64147142D01* +X212670000Y-63932857D01* +X212741428Y-63790000D01* +X212812857Y-63718571D01* +X212955714Y-63647142D01* +X213312857Y-63647142D01* +X213455714Y-63718571D01* +X213527142Y-63790000D01* +X213598571Y-63932857D01* +X213598571Y-64361428D01* +X213527142Y-64504285D01* +X213455714Y-64575714D01* +X213598571Y-61647142D02* +X213527142Y-61790000D01* +X213455714Y-61861428D01* +X213312857Y-61932857D01* +X212884285Y-61932857D01* +X212741428Y-61861428D01* +X212670000Y-61790000D01* +X212598571Y-61647142D01* +X212598571Y-61432857D01* +X212670000Y-61290000D01* +X212741428Y-61218571D01* +X212884285Y-61147142D01* +X213312857Y-61147142D01* +X213455714Y-61218571D01* +X213527142Y-61290000D01* +X213598571Y-61432857D01* +X213598571Y-61647142D01* +X212598571Y-59861428D02* +X213598571Y-59861428D01* +X212598571Y-60504285D02* +X213384285Y-60504285D01* +X213527142Y-60432857D01* +X213598571Y-60290000D01* +X213598571Y-60075714D01* +X213527142Y-59932857D01* +X213455714Y-59861428D01* +X212598571Y-59361428D02* +X212598571Y-58790000D01* +X212098571Y-59147142D02* +X213384285Y-59147142D01* +X213527142Y-59075714D01* +X213598571Y-58932857D01* +X213598571Y-58790000D01* +X213598571Y-58075714D02* +X213527142Y-58218571D01* +X213384285Y-58290000D01* +X212098571Y-58290000D01* +X213598571Y-57504285D02* +X212598571Y-57504285D01* +X212098571Y-57504285D02* +X212170000Y-57575714D01* +X212241428Y-57504285D01* +X212170000Y-57432857D01* +X212098571Y-57504285D01* +X212241428Y-57504285D01* +X212598571Y-56790000D02* +X213598571Y-56790000D01* +X212741428Y-56790000D02* +X212670000Y-56718571D01* +X212598571Y-56575714D01* +X212598571Y-56361428D01* +X212670000Y-56218571D01* +X212812857Y-56147142D01* +X213598571Y-56147142D01* +X213527142Y-54861428D02* +X213598571Y-55004285D01* +X213598571Y-55290000D01* +X213527142Y-55432857D01* +X213384285Y-55504285D01* +X212812857Y-55504285D01* +X212670000Y-55432857D01* +X212598571Y-55290000D01* +X212598571Y-55004285D01* +X212670000Y-54861428D01* +X212812857Y-54790000D01* +X212955714Y-54790000D01* +X213098571Y-55504285D01* +D11* +X246598095Y-77762380D02* +X246598095Y-78571904D01* +X246645714Y-78667142D01* +X246693333Y-78714761D01* +X246788571Y-78762380D01* +X246979047Y-78762380D01* +X247074285Y-78714761D01* +X247121904Y-78667142D01* +X247169523Y-78571904D01* +X247169523Y-77762380D01* +X247598095Y-78714761D02* +X247740952Y-78762380D01* +X247979047Y-78762380D01* +X248074285Y-78714761D01* +X248121904Y-78667142D01* +X248169523Y-78571904D01* +X248169523Y-78476666D01* +X248121904Y-78381428D01* +X248074285Y-78333809D01* +X247979047Y-78286190D01* +X247788571Y-78238571D01* +X247693333Y-78190952D01* +X247645714Y-78143333D01* +X247598095Y-78048095D01* +X247598095Y-77952857D01* +X247645714Y-77857619D01* +X247693333Y-77810000D01* +X247788571Y-77762380D01* +X248026666Y-77762380D01* +X248169523Y-77810000D01* +X248931428Y-78238571D02* +X249074285Y-78286190D01* +X249121904Y-78333809D01* +X249169523Y-78429047D01* +X249169523Y-78571904D01* +X249121904Y-78667142D01* +X249074285Y-78714761D01* +X248979047Y-78762380D01* +X248598095Y-78762380D01* +X248598095Y-77762380D01* +X248931428Y-77762380D01* +X249026666Y-77810000D01* +X249074285Y-77857619D01* +X249121904Y-77952857D01* +X249121904Y-78048095D01* +X249074285Y-78143333D01* +X249026666Y-78190952D01* +X248931428Y-78238571D01* +X248598095Y-78238571D01* +X246812380Y-79795714D02* +X246812380Y-80795714D01* +X246812380Y-79843333D02* +X246907619Y-79795714D01* +X247098095Y-79795714D01* +X247193333Y-79843333D01* +X247240952Y-79890952D01* +X247288571Y-79986190D01* +X247288571Y-80271904D01* +X247240952Y-80367142D01* +X247193333Y-80414761D01* +X247098095Y-80462380D01* +X246907619Y-80462380D01* +X246812380Y-80414761D01* +X247621904Y-79795714D02* +X247812380Y-80462380D01* +X248002857Y-79986190D01* +X248193333Y-80462380D01* +X248383809Y-79795714D01* +X248764761Y-80462380D02* +X248764761Y-79795714D01* +X248764761Y-79986190D02* +X248812380Y-79890952D01* +X248860000Y-79843333D01* +X248955238Y-79795714D01* +X249050476Y-79795714D01* +X258728095Y-72492380D02* +X258728095Y-73301904D01* +X258775714Y-73397142D01* +X258823333Y-73444761D01* +X258918571Y-73492380D01* +X259109047Y-73492380D01* +X259204285Y-73444761D01* +X259251904Y-73397142D01* +X259299523Y-73301904D01* +X259299523Y-72492380D01* +X259728095Y-73444761D02* +X259870952Y-73492380D01* +X260109047Y-73492380D01* +X260204285Y-73444761D01* +X260251904Y-73397142D01* +X260299523Y-73301904D01* +X260299523Y-73206666D01* +X260251904Y-73111428D01* +X260204285Y-73063809D01* +X260109047Y-73016190D01* +X259918571Y-72968571D01* +X259823333Y-72920952D01* +X259775714Y-72873333D01* +X259728095Y-72778095D01* +X259728095Y-72682857D01* +X259775714Y-72587619D01* +X259823333Y-72540000D01* +X259918571Y-72492380D01* +X260156666Y-72492380D01* +X260299523Y-72540000D01* +X261061428Y-72968571D02* +X261204285Y-73016190D01* +X261251904Y-73063809D01* +X261299523Y-73159047D01* +X261299523Y-73301904D01* +X261251904Y-73397142D01* +X261204285Y-73444761D01* +X261109047Y-73492380D01* +X260728095Y-73492380D01* +X260728095Y-72492380D01* +X261061428Y-72492380D01* +X261156666Y-72540000D01* +X261204285Y-72587619D01* +X261251904Y-72682857D01* +X261251904Y-72778095D01* +X261204285Y-72873333D01* +X261156666Y-72920952D01* +X261061428Y-72968571D01* +X260728095Y-72968571D01* +X258942380Y-75192380D02* +X258942380Y-74192380D01* +X260132857Y-74144761D02* +X259275714Y-75430476D01* +X260656666Y-74192380D02* +X260847142Y-74192380D01* +X260942380Y-74240000D01* +X261037619Y-74335238D01* +X261085238Y-74525714D01* +X261085238Y-74859047D01* +X261037619Y-75049523D01* +X260942380Y-75144761D01* +X260847142Y-75192380D01* +X260656666Y-75192380D01* +X260561428Y-75144761D01* +X260466190Y-75049523D01* +X260418571Y-74859047D01* +X260418571Y-74525714D01* +X260466190Y-74335238D01* +X260561428Y-74240000D01* +X260656666Y-74192380D01* +X248904761Y-66452380D02* +X248904761Y-65452380D01* +X248904761Y-65928571D02* +X249476190Y-65928571D01* +X249476190Y-66452380D02* +X249476190Y-65452380D01* +X249952380Y-66452380D02* +X249952380Y-65452380D01* +X250190476Y-65452380D01* +X250333333Y-65500000D01* +X250428571Y-65595238D01* +X250476190Y-65690476D01* +X250523809Y-65880952D01* +X250523809Y-66023809D01* +X250476190Y-66214285D01* +X250428571Y-66309523D01* +X250333333Y-66404761D01* +X250190476Y-66452380D01* +X249952380Y-66452380D01* +X250952380Y-66452380D02* +X250952380Y-65452380D01* +X251285714Y-66166666D01* +X251619047Y-65452380D01* +X251619047Y-66452380D01* +X252095238Y-66452380D02* +X252095238Y-65452380D01* +X249880952Y-68104761D02* +X249785714Y-68152380D01* +X249595238Y-68152380D01* +X249500000Y-68104761D01* +X249452380Y-68057142D01* +X249404761Y-67961904D01* +X249404761Y-67676190D01* +X249452380Y-67580952D01* +X249500000Y-67533333D01* +X249595238Y-67485714D01* +X249785714Y-67485714D01* +X249880952Y-67533333D01* +X250166666Y-67485714D02* +X250547619Y-67485714D01* +X250309523Y-67152380D02* +X250309523Y-68009523D01* +X250357142Y-68104761D01* +X250452380Y-68152380D01* +X250547619Y-68152380D01* +X250880952Y-68152380D02* +X250880952Y-67485714D01* +X250880952Y-67676190D02* +X250928571Y-67580952D01* +X250976190Y-67533333D01* +X251071428Y-67485714D01* +X251166666Y-67485714D01* +X251642857Y-68152380D02* +X251547619Y-68104761D01* +X251500000Y-68009523D01* +X251500000Y-67152380D01* +X125268095Y-22862380D02* +X125839523Y-22862380D01* +X125553809Y-23862380D02* +X125553809Y-22862380D01* +X126077619Y-22862380D02* +X126744285Y-23862380D01* +X126744285Y-22862380D02* +X126077619Y-23862380D01* +X125933333Y-26382380D02* +X125600000Y-25906190D01* +X125361904Y-26382380D02* +X125361904Y-25382380D01* +X125742857Y-25382380D01* +X125838095Y-25430000D01* +X125885714Y-25477619D01* +X125933333Y-25572857D01* +X125933333Y-25715714D01* +X125885714Y-25810952D01* +X125838095Y-25858571D01* +X125742857Y-25906190D01* +X125361904Y-25906190D01* +X126266666Y-25382380D02* +X126933333Y-26382380D01* +X126933333Y-25382380D02* +X126266666Y-26382380D01* +X125778095Y-32310000D02* +X125682857Y-32262380D01* +X125540000Y-32262380D01* +X125397142Y-32310000D01* +X125301904Y-32405238D01* +X125254285Y-32500476D01* +X125206666Y-32690952D01* +X125206666Y-32833809D01* +X125254285Y-33024285D01* +X125301904Y-33119523D01* +X125397142Y-33214761D01* +X125540000Y-33262380D01* +X125635238Y-33262380D01* +X125778095Y-33214761D01* +X125825714Y-33167142D01* +X125825714Y-32833809D01* +X125635238Y-32833809D01* +X126254285Y-33262380D02* +X126254285Y-32262380D01* +X126825714Y-33262380D01* +X126825714Y-32262380D01* +X127301904Y-33262380D02* +X127301904Y-32262380D01* +X127540000Y-32262380D01* +X127682857Y-32310000D01* +X127778095Y-32405238D01* +X127825714Y-32500476D01* +X127873333Y-32690952D01* +X127873333Y-32833809D01* +X127825714Y-33024285D01* +X127778095Y-33119523D01* +X127682857Y-33214761D01* +X127540000Y-33262380D01* +X127301904Y-33262380D01* +X225113333Y-23952380D02* +X225113333Y-24666666D01* +X225065714Y-24809523D01* +X224970476Y-24904761D01* +X224827619Y-24952380D01* +X224732380Y-24952380D01* +X225446666Y-23952380D02* +X226018095Y-23952380D01* +X225732380Y-24952380D02* +X225732380Y-23952380D01* +X226303809Y-24666666D02* +X226780000Y-24666666D01* +X226208571Y-24952380D02* +X226541904Y-23952380D01* +X226875238Y-24952380D01* +X227732380Y-24000000D02* +X227637142Y-23952380D01* +X227494285Y-23952380D01* +X227351428Y-24000000D01* +X227256190Y-24095238D01* +X227208571Y-24190476D01* +X227160952Y-24380952D01* +X227160952Y-24523809D01* +X227208571Y-24714285D01* +X227256190Y-24809523D01* +X227351428Y-24904761D01* +X227494285Y-24952380D01* +X227589523Y-24952380D01* +X227732380Y-24904761D01* +X227780000Y-24857142D01* +X227780000Y-24523809D01* +X227589523Y-24523809D01* X274170000Y-17750000D02* X264170000Y-17750000D01* X15120000Y-3480000D02* @@ -1933,6 +1975,29 @@ X127470000Y-74450000D02* X211270000Y-74450000D01* X127470000Y-23650000D02* X211270000Y-23650000D01* +D16* +X271014564Y-48640000D02* +X266660436Y-48640000D01* +X271014564Y-45220000D02* +X266660436Y-45220000D01* +X271111252Y-51735000D02* +X270588748Y-51735000D01* +X271111252Y-50265000D02* +X270588748Y-50265000D01* +D11* +X123236000Y-35448000D02* +G75* +G03* +X123236000Y-35448000I-100000J0D01* +G01* +X119126000Y-19558000D02* +X119126000Y-34798000D01* +X116586000Y-19558000D02* +X116586000Y-34798000D01* +X116586000Y-19558000D02* +X119126000Y-19558000D01* +X119126000Y-34798000D02* +X116586000Y-34798000D01* D17* G36* X225648143Y-76621473D02* @@ -4432,27 +4497,27 @@ X234325000Y-26440000D02* X234325000Y-32740000D01* X217675000Y-32740000D02* X217675000Y-26440000D01* -D20* +D16* X130277221Y-79130000D02* X130602779Y-79130000D01* X130277221Y-80150000D02* X130602779Y-80150000D01* -D14* +D13* X134675000Y-71490000D02* X130225000Y-71490000D01* X136200000Y-78390000D02* X130225000Y-78390000D01* -D20* +D16* X188701371Y-51625732D02* X188701371Y-51300174D01* X189721371Y-51625732D02* X189721371Y-51300174D01* -D14* +D13* X181061371Y-47237953D02* X181061371Y-51687953D01* X187961371Y-45712953D02* X187961371Y-51687953D01* -D20* +D16* X253490000Y-36265279D02* X253490000Y-35939721D01* X254510000Y-36265279D02* @@ -4507,12 +4572,12 @@ X175094189Y-21053814D02* X175094189Y-20728256D01* X176114189Y-21053814D02* X176114189Y-20728256D01* -D14* +D13* X145650000Y-51025000D02* X145650000Y-46575000D01* X138750000Y-52550000D02* X138750000Y-46575000D01* -D20* +D16* X157177221Y-21290000D02* X157502779Y-21290000D01* X157177221Y-22310000D02* @@ -4546,7 +4611,7 @@ X152230000Y-7280000D02* X150430000Y-6280000D01* X163230000Y-17580000D02* X149630000Y-17580000D01* -D20* +D16* X138210000Y-46637221D02* X138210000Y-46962779D01* X137190000Y-46637221D02* @@ -4609,7 +4674,7 @@ X181990000Y-73062779D02* X181990000Y-72737221D01* X183010000Y-73062779D02* X183010000Y-72737221D01* -D14* +D13* X181875000Y-80825000D02* X182000000Y-80825000D01* X181875000Y-76175000D02* @@ -4640,12 +4705,12 @@ X155950000Y-80725000D02* X155950000Y-76275000D01* X149050000Y-82250000D02* X149050000Y-76275000D01* -D20* +D16* X119362779Y-85290000D02* X119037221Y-85290000D01* X119362779Y-84270000D02* X119037221Y-84270000D01* -D14* +D13* X114375000Y-86155000D02* X114375000Y-86280000D01* X119025000Y-86155000D02* @@ -4660,12 +4725,12 @@ X114375000Y-91405000D02* X119025000Y-91405000D01* X114375000Y-86280000D02* X113025000Y-86280000D01* -D20* +D16* X139210000Y-90262779D02* X139210000Y-89937221D01* X138190000Y-90262779D02* X138190000Y-89937221D01* -D14* +D13* X146075000Y-91375000D02* X146075000Y-91250000D01* X141425000Y-91375000D02* @@ -4691,7 +4756,7 @@ X248750000Y-54850000D02* X253150000Y-54850000D01* X248750000Y-63050000D02* X253150000Y-63050000D01* -D20* +D16* X124587634Y-51917042D02* X121902634Y-51917042D01* X124587634Y-53837042D02* @@ -4954,287 +5019,6 @@ X265500000Y-70500000D02* X265500000Y-67300000D01* X270600000Y-70500000D02* X267600000Y-70500000D01* -X268870000Y-44280000D02* -G75* -G03* -X268870000Y-44280000I-3270000J0D01* -G01* -X265600000Y-47510000D02* -X265600000Y-41050000D01* -X265560000Y-47510000D02* -X265560000Y-41050000D01* -X265520000Y-47510000D02* -X265520000Y-41050000D01* -X265480000Y-47508000D02* -X265480000Y-41052000D01* -X265440000Y-47507000D02* -X265440000Y-41053000D01* -X265400000Y-47504000D02* -X265400000Y-41056000D01* -X265360000Y-47502000D02* -X265360000Y-45320000D01* -X265360000Y-43240000D02* -X265360000Y-41058000D01* -X265320000Y-47498000D02* -X265320000Y-45320000D01* -X265320000Y-43240000D02* -X265320000Y-41062000D01* -X265280000Y-47495000D02* -X265280000Y-45320000D01* -X265280000Y-43240000D02* -X265280000Y-41065000D01* -X265240000Y-47491000D02* -X265240000Y-45320000D01* -X265240000Y-43240000D02* -X265240000Y-41069000D01* -X265200000Y-47486000D02* -X265200000Y-45320000D01* -X265200000Y-43240000D02* -X265200000Y-41074000D01* -X265160000Y-47481000D02* -X265160000Y-45320000D01* -X265160000Y-43240000D02* -X265160000Y-41079000D01* -X265120000Y-47475000D02* -X265120000Y-45320000D01* -X265120000Y-43240000D02* -X265120000Y-41085000D01* -X265080000Y-47469000D02* -X265080000Y-45320000D01* -X265080000Y-43240000D02* -X265080000Y-41091000D01* -X265040000Y-47462000D02* -X265040000Y-45320000D01* -X265040000Y-43240000D02* -X265040000Y-41098000D01* -X265000000Y-47455000D02* -X265000000Y-45320000D01* -X265000000Y-43240000D02* -X265000000Y-41105000D01* -X264960000Y-47447000D02* -X264960000Y-45320000D01* -X264960000Y-43240000D02* -X264960000Y-41113000D01* -X264920000Y-47439000D02* -X264920000Y-45320000D01* -X264920000Y-43240000D02* -X264920000Y-41121000D01* -X264879000Y-47430000D02* -X264879000Y-45320000D01* -X264879000Y-43240000D02* -X264879000Y-41130000D01* -X264839000Y-47421000D02* -X264839000Y-45320000D01* -X264839000Y-43240000D02* -X264839000Y-41139000D01* -X264799000Y-47411000D02* -X264799000Y-45320000D01* -X264799000Y-43240000D02* -X264799000Y-41149000D01* -X264759000Y-47401000D02* -X264759000Y-45320000D01* -X264759000Y-43240000D02* -X264759000Y-41159000D01* -X264719000Y-47390000D02* -X264719000Y-45320000D01* -X264719000Y-43240000D02* -X264719000Y-41170000D01* -X264679000Y-47378000D02* -X264679000Y-45320000D01* -X264679000Y-43240000D02* -X264679000Y-41182000D01* -X264639000Y-47366000D02* -X264639000Y-45320000D01* -X264639000Y-43240000D02* -X264639000Y-41194000D01* -X264599000Y-47354000D02* -X264599000Y-45320000D01* -X264599000Y-43240000D02* -X264599000Y-41206000D01* -X264559000Y-47341000D02* -X264559000Y-45320000D01* -X264559000Y-43240000D02* -X264559000Y-41219000D01* -X264519000Y-47327000D02* -X264519000Y-45320000D01* -X264519000Y-43240000D02* -X264519000Y-41233000D01* -X264479000Y-47313000D02* -X264479000Y-45320000D01* -X264479000Y-43240000D02* -X264479000Y-41247000D01* -X264439000Y-47298000D02* -X264439000Y-45320000D01* -X264439000Y-43240000D02* -X264439000Y-41262000D01* -X264399000Y-47282000D02* -X264399000Y-45320000D01* -X264399000Y-43240000D02* -X264399000Y-41278000D01* -X264359000Y-47266000D02* -X264359000Y-45320000D01* -X264359000Y-43240000D02* -X264359000Y-41294000D01* -X264319000Y-47250000D02* -X264319000Y-45320000D01* -X264319000Y-43240000D02* -X264319000Y-41310000D01* -X264279000Y-47232000D02* -X264279000Y-45320000D01* -X264279000Y-43240000D02* -X264279000Y-41328000D01* -X264239000Y-47214000D02* -X264239000Y-45320000D01* -X264239000Y-43240000D02* -X264239000Y-41346000D01* -X264199000Y-47196000D02* -X264199000Y-45320000D01* -X264199000Y-43240000D02* -X264199000Y-41364000D01* -X264159000Y-47176000D02* -X264159000Y-45320000D01* -X264159000Y-43240000D02* -X264159000Y-41384000D01* -X264119000Y-47156000D02* -X264119000Y-45320000D01* -X264119000Y-43240000D02* -X264119000Y-41404000D01* -X264079000Y-47136000D02* -X264079000Y-45320000D01* -X264079000Y-43240000D02* -X264079000Y-41424000D01* -X264039000Y-47114000D02* -X264039000Y-45320000D01* -X264039000Y-43240000D02* -X264039000Y-41446000D01* -X263999000Y-47092000D02* -X263999000Y-45320000D01* -X263999000Y-43240000D02* -X263999000Y-41468000D01* -X263959000Y-47070000D02* -X263959000Y-45320000D01* -X263959000Y-43240000D02* -X263959000Y-41490000D01* -X263919000Y-47046000D02* -X263919000Y-45320000D01* -X263919000Y-43240000D02* -X263919000Y-41514000D01* -X263879000Y-47022000D02* -X263879000Y-45320000D01* -X263879000Y-43240000D02* -X263879000Y-41538000D01* -X263839000Y-46996000D02* -X263839000Y-45320000D01* -X263839000Y-43240000D02* -X263839000Y-41564000D01* -X263799000Y-46970000D02* -X263799000Y-45320000D01* -X263799000Y-43240000D02* -X263799000Y-41590000D01* -X263759000Y-46944000D02* -X263759000Y-45320000D01* -X263759000Y-43240000D02* -X263759000Y-41616000D01* -X263719000Y-46916000D02* -X263719000Y-45320000D01* -X263719000Y-43240000D02* -X263719000Y-41644000D01* -X263679000Y-46887000D02* -X263679000Y-45320000D01* -X263679000Y-43240000D02* -X263679000Y-41673000D01* -X263639000Y-46858000D02* -X263639000Y-45320000D01* -X263639000Y-43240000D02* -X263639000Y-41702000D01* -X263599000Y-46828000D02* -X263599000Y-45320000D01* -X263599000Y-43240000D02* -X263599000Y-41732000D01* -X263559000Y-46796000D02* -X263559000Y-45320000D01* -X263559000Y-43240000D02* -X263559000Y-41764000D01* -X263519000Y-46764000D02* -X263519000Y-45320000D01* -X263519000Y-43240000D02* -X263519000Y-41796000D01* -X263479000Y-46730000D02* -X263479000Y-45320000D01* -X263479000Y-43240000D02* -X263479000Y-41830000D01* -X263439000Y-46696000D02* -X263439000Y-45320000D01* -X263439000Y-43240000D02* -X263439000Y-41864000D01* -X263399000Y-46660000D02* -X263399000Y-45320000D01* -X263399000Y-43240000D02* -X263399000Y-41900000D01* -X263359000Y-46623000D02* -X263359000Y-45320000D01* -X263359000Y-43240000D02* -X263359000Y-41937000D01* -X263319000Y-46585000D02* -X263319000Y-45320000D01* -X263319000Y-43240000D02* -X263319000Y-41975000D01* -X263279000Y-46545000D02* -X263279000Y-42015000D01* -X263239000Y-46504000D02* -X263239000Y-42056000D01* -X263199000Y-46462000D02* -X263199000Y-42098000D01* -X263159000Y-46417000D02* -X263159000Y-42143000D01* -X263119000Y-46372000D02* -X263119000Y-42188000D01* -X263079000Y-46324000D02* -X263079000Y-42236000D01* -X263039000Y-46275000D02* -X263039000Y-42285000D01* -X262999000Y-46224000D02* -X262999000Y-42336000D01* -X262959000Y-46170000D02* -X262959000Y-42390000D01* -X262919000Y-46114000D02* -X262919000Y-42446000D01* -X262879000Y-46056000D02* -X262879000Y-42504000D01* -X262839000Y-45994000D02* -X262839000Y-42566000D01* -X262799000Y-45930000D02* -X262799000Y-42630000D01* -X262759000Y-45861000D02* -X262759000Y-42699000D01* -X262719000Y-45789000D02* -X262719000Y-42771000D01* -X262679000Y-45712000D02* -X262679000Y-42848000D01* -X262639000Y-45630000D02* -X262639000Y-42930000D01* -X262599000Y-45542000D02* -X262599000Y-43018000D01* -X262559000Y-45445000D02* -X262559000Y-43115000D01* -X262519000Y-45339000D02* -X262519000Y-43221000D01* -X262479000Y-45220000D02* -X262479000Y-43340000D01* -X262439000Y-45082000D02* -X262439000Y-43478000D01* -X262399000Y-44913000D02* -X262399000Y-43647000D01* -X262359000Y-44682000D02* -X262359000Y-43878000D01* -X269100241Y-46119000D02* -X268470241Y-46119000D01* -X268785241Y-46434000D02* -X268785241Y-45804000D01* -X266052064Y-51680000D02* -X264847936Y-51680000D01* -X266052064Y-48960000D02* -X264847936Y-48960000D01* X207890000Y-105300000D02* X207890000Y-99740000D01* X207890000Y-99740000D02* @@ -5327,100 +5111,6 @@ X160662779Y-71690000D02* X160337221Y-71690000D01* X160662779Y-72710000D02* X160337221Y-72710000D01* -X123170000Y-34770000D02* -X121900000Y-34770000D01* -X123170000Y-33500000D02* -X123170000Y-34770000D01* -X120857071Y-20420000D02* -X120460000Y-20420000D01* -X120857071Y-21180000D02* -X120460000Y-21180000D01* -X111800000Y-20420000D02* -X117800000Y-20420000D01* -X111800000Y-21180000D02* -X111800000Y-20420000D01* -X117800000Y-21180000D02* -X111800000Y-21180000D01* -X120460000Y-22070000D02* -X117800000Y-22070000D01* -X120857071Y-22960000D02* -X120460000Y-22960000D01* -X120857071Y-23720000D02* -X120460000Y-23720000D01* -X111800000Y-22960000D02* -X117800000Y-22960000D01* -X111800000Y-23720000D02* -X111800000Y-22960000D01* -X117800000Y-23720000D02* -X111800000Y-23720000D01* -X120460000Y-24610000D02* -X117800000Y-24610000D01* -X120857071Y-25500000D02* -X120460000Y-25500000D01* -X120857071Y-26260000D02* -X120460000Y-26260000D01* -X111800000Y-25500000D02* -X117800000Y-25500000D01* -X111800000Y-26260000D02* -X111800000Y-25500000D01* -X117800000Y-26260000D02* -X111800000Y-26260000D01* -X120460000Y-27150000D02* -X117800000Y-27150000D01* -X120857071Y-28040000D02* -X120460000Y-28040000D01* -X120857071Y-28800000D02* -X120460000Y-28800000D01* -X111800000Y-28040000D02* -X117800000Y-28040000D01* -X111800000Y-28800000D02* -X111800000Y-28040000D01* -X117800000Y-28800000D02* -X111800000Y-28800000D01* -X120460000Y-29690000D02* -X117800000Y-29690000D01* -X120857071Y-30580000D02* -X120460000Y-30580000D01* -X120857071Y-31340000D02* -X120460000Y-31340000D01* -X111800000Y-30580000D02* -X117800000Y-30580000D01* -X111800000Y-31340000D02* -X111800000Y-30580000D01* -X117800000Y-31340000D02* -X111800000Y-31340000D01* -X120460000Y-32230000D02* -X117800000Y-32230000D01* -X120790000Y-33120000D02* -X120460000Y-33120000D01* -X120790000Y-33880000D02* -X120460000Y-33880000D01* -X117800000Y-33220000D02* -X111800000Y-33220000D01* -X117800000Y-33340000D02* -X111800000Y-33340000D01* -X117800000Y-33460000D02* -X111800000Y-33460000D01* -X117800000Y-33580000D02* -X111800000Y-33580000D01* -X117800000Y-33700000D02* -X111800000Y-33700000D01* -X117800000Y-33820000D02* -X111800000Y-33820000D01* -X111800000Y-33120000D02* -X117800000Y-33120000D01* -X111800000Y-33880000D02* -X111800000Y-33120000D01* -X117800000Y-33880000D02* -X111800000Y-33880000D01* -X117800000Y-34830000D02* -X120460000Y-34830000D01* -X117800000Y-19470000D02* -X117800000Y-34830000D01* -X120460000Y-19470000D02* -X117800000Y-19470000D01* -X120460000Y-34830000D02* -X120460000Y-19470000D01* X128670000Y-63080000D02* X128670000Y-61750000D01* X130000000Y-63080000D02* @@ -5437,45 +5127,119 @@ X131270000Y-63080000D02* X210070000Y-63080000D01* X210070000Y-63080000D02* X210070000Y-57880000D01* -D14* -X258909071Y-15567500D02* -X259980500Y-15567500D01* -X260194785Y-15638928D01* -X260337642Y-15781785D01* -X260409071Y-15996071D01* -X260409071Y-16138928D01* -X259551928Y-14638928D02* -X259480500Y-14781785D01* -X259409071Y-14853214D01* -X259266214Y-14924642D01* -X259194785Y-14924642D01* -X259051928Y-14853214D01* -X258980500Y-14781785D01* -X258909071Y-14638928D01* -X258909071Y-14353214D01* -X258980500Y-14210357D01* -X259051928Y-14138928D01* -X259194785Y-14067500D01* -X259266214Y-14067500D01* -X259409071Y-14138928D01* -X259480500Y-14210357D01* -X259551928Y-14353214D01* -X259551928Y-14638928D01* -X259623357Y-14781785D01* -X259694785Y-14853214D01* -X259837642Y-14924642D01* -X260123357Y-14924642D01* -X260266214Y-14853214D01* -X260337642Y-14781785D01* -X260409071Y-14638928D01* -X260409071Y-14353214D01* -X260337642Y-14210357D01* -X260266214Y-14138928D01* -X260123357Y-14067500D01* -X259837642Y-14067500D01* -X259694785Y-14138928D01* -X259623357Y-14210357D01* -X259551928Y-14353214D01* +D13* +X268670833Y-50002380D02* +X268337500Y-49526190D01* +X268099404Y-50002380D02* +X268099404Y-49002380D01* +X268480357Y-49002380D01* +X268575595Y-49050000D01* +X268623214Y-49097619D01* +X268670833Y-49192857D01* +X268670833Y-49335714D01* +X268623214Y-49430952D01* +X268575595Y-49478571D01* +X268480357Y-49526190D01* +X268099404Y-49526190D01* +X269147023Y-50002380D02* +X269337500Y-50002380D01* +X269432738Y-49954761D01* +X269480357Y-49907142D01* +X269575595Y-49764285D01* +X269623214Y-49573809D01* +X269623214Y-49192857D01* +X269575595Y-49097619D01* +X269527976Y-49050000D01* +X269432738Y-49002380D01* +X269242261Y-49002380D01* +X269147023Y-49050000D01* +X269099404Y-49097619D01* +X269051785Y-49192857D01* +X269051785Y-49430952D01* +X269099404Y-49526190D01* +X269147023Y-49573809D01* +X269242261Y-49621428D01* +X269432738Y-49621428D01* +X269527976Y-49573809D01* +X269575595Y-49526190D01* +X269623214Y-49430952D01* +X270683333Y-53037142D02* +X270635714Y-53084761D01* +X270492857Y-53132380D01* +X270397619Y-53132380D01* +X270254761Y-53084761D01* +X270159523Y-52989523D01* +X270111904Y-52894285D01* +X270064285Y-52703809D01* +X270064285Y-52560952D01* +X270111904Y-52370476D01* +X270159523Y-52275238D01* +X270254761Y-52180000D01* +X270397619Y-52132380D01* +X270492857Y-52132380D01* +X270635714Y-52180000D01* +X270683333Y-52227619D01* +X271016666Y-52132380D02* +X271683333Y-52132380D01* +X271254761Y-53132380D01* +X108313380Y-32956333D02* +X109027666Y-32956333D01* +X109170523Y-33003952D01* +X109265761Y-33099190D01* +X109313380Y-33242047D01* +X109313380Y-33337285D01* +X108313380Y-32575380D02* +X108313380Y-31956333D01* +X108694333Y-32289666D01* +X108694333Y-32146809D01* +X108741952Y-32051571D01* +X108789571Y-32003952D01* +X108884809Y-31956333D01* +X109122904Y-31956333D01* +X109218142Y-32003952D01* +X109265761Y-32051571D01* +X109313380Y-32146809D01* +X109313380Y-32432523D01* +X109265761Y-32527761D01* +X109218142Y-32575380D01* +X263940000Y-14228571D02* +X263940000Y-15300000D01* +X263868571Y-15514285D01* +X263725714Y-15657142D01* +X263511428Y-15728571D01* +X263368571Y-15728571D01* +X264868571Y-14871428D02* +X264725714Y-14800000D01* +X264654285Y-14728571D01* +X264582857Y-14585714D01* +X264582857Y-14514285D01* +X264654285Y-14371428D01* +X264725714Y-14300000D01* +X264868571Y-14228571D01* +X265154285Y-14228571D01* +X265297142Y-14300000D01* +X265368571Y-14371428D01* +X265440000Y-14514285D01* +X265440000Y-14585714D01* +X265368571Y-14728571D01* +X265297142Y-14800000D01* +X265154285Y-14871428D01* +X264868571Y-14871428D01* +X264725714Y-14942857D01* +X264654285Y-15014285D01* +X264582857Y-15157142D01* +X264582857Y-15442857D01* +X264654285Y-15585714D01* +X264725714Y-15657142D01* +X264868571Y-15728571D01* +X265154285Y-15728571D01* +X265297142Y-15657142D01* +X265368571Y-15585714D01* +X265440000Y-15442857D01* +X265440000Y-15157142D01* +X265368571Y-15014285D01* +X265297142Y-14942857D01* +X265154285Y-14871428D01* X262678380Y-39395476D02* X262678380Y-39966904D01* X262678380Y-39681190D02* @@ -5705,19 +5469,19 @@ X189363751Y-47167714D01* X189363751Y-47405810D01* X189316132Y-47501048D01* X189268513Y-47548667D01* -X256588095Y-44342380D02* -X256588095Y-43342380D01* -X256588095Y-43818571D02* -X257159523Y-43818571D01* -X257159523Y-44342380D02* -X257159523Y-43342380D01* -X258159523Y-44342380D02* -X257588095Y-44342380D01* -X257873809Y-44342380D02* -X257873809Y-43342380D01* -X257778571Y-43485238D01* -X257683333Y-43580476D01* -X257588095Y-43628095D01* +X264828095Y-89842380D02* +X264828095Y-88842380D01* +X264828095Y-89318571D02* +X265399523Y-89318571D01* +X265399523Y-89842380D02* +X265399523Y-88842380D01* +X266399523Y-89842380D02* +X265828095Y-89842380D01* +X266113809Y-89842380D02* +X266113809Y-88842380D01* +X266018571Y-88985238D01* +X265923333Y-89080476D01* +X265828095Y-89128095D01* X252927142Y-36745357D02* X252974761Y-36792976D01* X253022380Y-36935833D01* @@ -8454,99 +8218,6 @@ X264262380Y-55693809D01* X264262380Y-55931904D01* X264214761Y-56027142D01* X264167142Y-56074761D01* -X260912857Y-43627142D02* -X260865238Y-43579523D01* -X260817619Y-43436666D01* -X260817619Y-43341428D01* -X260865238Y-43198571D01* -X260960476Y-43103333D01* -X261055714Y-43055714D01* -X261246190Y-43008095D01* -X261389047Y-43008095D01* -X261579523Y-43055714D01* -X261674761Y-43103333D01* -X261770000Y-43198571D01* -X261817619Y-43341428D01* -X261817619Y-43436666D01* -X261770000Y-43579523D01* -X261722380Y-43627142D01* -X261817619Y-43960476D02* -X261817619Y-44579523D01* -X261436666Y-44246190D01* -X261436666Y-44389047D01* -X261389047Y-44484285D01* -X261341428Y-44531904D01* -X261246190Y-44579523D01* -X261008095Y-44579523D01* -X260912857Y-44531904D01* -X260865238Y-44484285D01* -X260817619Y-44389047D01* -X260817619Y-44103333D01* -X260865238Y-44008095D01* -X260912857Y-43960476D01* -X260817619Y-45055714D02* -X260817619Y-45246190D01* -X260865238Y-45341428D01* -X260912857Y-45389047D01* -X261055714Y-45484285D01* -X261246190Y-45531904D01* -X261627142Y-45531904D01* -X261722380Y-45484285D01* -X261770000Y-45436666D01* -X261817619Y-45341428D01* -X261817619Y-45150952D01* -X261770000Y-45055714D01* -X261722380Y-45008095D01* -X261627142Y-44960476D01* -X261389047Y-44960476D01* -X261293809Y-45008095D01* -X261246190Y-45055714D01* -X261198571Y-45150952D01* -X261198571Y-45341428D01* -X261246190Y-45436666D01* -X261293809Y-45484285D01* -X261389047Y-45531904D01* -X264877142Y-48512380D02* -X264543809Y-48036190D01* -X264305714Y-48512380D02* -X264305714Y-47512380D01* -X264686666Y-47512380D01* -X264781904Y-47560000D01* -X264829523Y-47607619D01* -X264877142Y-47702857D01* -X264877142Y-47845714D01* -X264829523Y-47940952D01* -X264781904Y-47988571D01* -X264686666Y-48036190D01* -X264305714Y-48036190D01* -X265210476Y-47512380D02* -X265829523Y-47512380D01* -X265496190Y-47893333D01* -X265639047Y-47893333D01* -X265734285Y-47940952D01* -X265781904Y-47988571D01* -X265829523Y-48083809D01* -X265829523Y-48321904D01* -X265781904Y-48417142D01* -X265734285Y-48464761D01* -X265639047Y-48512380D01* -X265353333Y-48512380D01* -X265258095Y-48464761D01* -X265210476Y-48417142D01* -X266162857Y-47512380D02* -X266781904Y-47512380D01* -X266448571Y-47893333D01* -X266591428Y-47893333D01* -X266686666Y-47940952D01* -X266734285Y-47988571D01* -X266781904Y-48083809D01* -X266781904Y-48321904D01* -X266734285Y-48417142D01* -X266686666Y-48464761D01* -X266591428Y-48512380D01* -X266305714Y-48512380D01* -X266210476Y-48464761D01* -X266162857Y-48417142D01* X204746666Y-98452380D02* X204746666Y-99166666D01* X204699047Y-99309523D01* @@ -8603,26 +8274,6 @@ X158890476Y-72652380D01* X158652380Y-71604761D02* X158414285Y-72319047D01* X159033333Y-72319047D01* -X117181666Y-35222380D02* -X117181666Y-35936666D01* -X117134047Y-36079523D01* -X117038809Y-36174761D01* -X116895952Y-36222380D01* -X116800714Y-36222380D01* -X117562619Y-35222380D02* -X118181666Y-35222380D01* -X117848333Y-35603333D01* -X117991190Y-35603333D01* -X118086428Y-35650952D01* -X118134047Y-35698571D01* -X118181666Y-35793809D01* -X118181666Y-36031904D01* -X118134047Y-36127142D01* -X118086428Y-36174761D01* -X117991190Y-36222380D01* -X117705476Y-36222380D01* -X117610238Y-36174761D01* -X117562619Y-36127142D01* X128738095Y-63752380D02* X128738095Y-64466666D01* X128690476Y-64609523D01* diff --git a/nubus-to-ztex/nubus-to-ztex-In1_Cu.gbr b/nubus-to-ztex/nubus-to-ztex-In1_Cu.gbr index 3c588eb..74717a7 100644 --- a/nubus-to-ztex/nubus-to-ztex-In1_Cu.gbr +++ b/nubus-to-ztex/nubus-to-ztex-In1_Cu.gbr @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L2,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* @@ -33,41 +33,38 @@ G04 #@! TA.AperFunction,ComponentPad* %ADD16C,2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD17R,1.600000X1.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ComponentPad* -%ADD18C,1.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ComponentPad* -%ADD19C,1.550000*% +%ADD17C,1.550000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD20C,0.800000*% +%ADD18C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD21C,1.500000*% +%ADD19C,1.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.152400*% +%ADD20C,0.152400*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD23C,1.000000*% +%ADD21C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,0.500000*% +%ADD22C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD25C,0.800000*% +%ADD23C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD26C,0.254000*% +%ADD24C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD27C,0.100000*% +%ADD25C,0.254000*% +G04 #@! TD* +G04 #@! TA.AperFunction,Conductor* +%ADD26C,0.100000*% G04 #@! TD* G04 APERTURE END LIST* D10* -X257350000Y-47090000D03* +X269197500Y-89500000D03* D11* X269197500Y-81570000D03* X269197500Y-76570000D03* @@ -174,10 +171,6 @@ X271800000Y-70150000D03* X271800000Y-54450000D03* X266850000Y-69550000D03* X266850000Y-55050000D03* -D17* -X266850000Y-44280000D03* -D18* -X264350000Y-44280000D03* G04 #@! TA.AperFunction,ComponentPad* G36* G01* @@ -204,7 +197,7 @@ X200775000Y-99474998I0J-249998D01* G01* G37* G04 #@! TD.AperFunction* -D19* +D17* X197460000Y-100000000D03* X194920000Y-100000000D03* X192380000Y-100000000D03* @@ -301,14 +294,6 @@ X126340000Y-94920000D03* X123800000Y-94920000D03* X121260000Y-94920000D03* D15* -X121900000Y-20800000D03* -X121900000Y-23340000D03* -X121900000Y-25880000D03* -X121900000Y-28420000D03* -X121900000Y-30960000D03* -D14* -X121900000Y-33500000D03* -D15* X208740000Y-59210000D03* X208740000Y-61750000D03* X206200000Y-59210000D03* @@ -374,7 +359,9 @@ X132540000Y-61750000D03* X130000000Y-59210000D03* D14* X130000000Y-61750000D03* -D20* +D18* +X265875000Y-51000000D03* +X222740000Y-36800000D03* X234000000Y-34000000D03* X174500000Y-71000000D03* X194880000Y-35760000D03* @@ -449,6 +436,12 @@ X147900000Y-8080000D03* X256000000Y-35315000D03* X256000000Y-14000000D03* X234000000Y-14000000D03* +X125722000Y-33528000D03* +X228670000Y-36800000D03* +X143040000Y-68680000D03* +X268560000Y-51000000D03* +X265875000Y-49425000D03* +X265875000Y-44295000D03* X232000000Y-34000000D03* X161750000Y-73687500D03* X176885673Y-21678535D03* @@ -500,6 +493,7 @@ X137965000Y-75700000D03* X132000000Y-76565000D03* X137410000Y-24400000D03* X134880000Y-24400000D03* +X271800000Y-49380000D03* X226000000Y-34000000D03* X228000000Y-34000000D03* X224000000Y-34000000D03* @@ -520,102 +514,102 @@ X185110000Y-70590000D03* X183710000Y-70850000D03* X261760000Y-65782500D03* X255920000Y-63320000D03* -D21* +D19* X208740000Y-30000000D02* X208740000Y-27460000D01* X208740000Y-27460000D02* X208740000Y-24760000D01* X208740000Y-30000000D02* X208740000Y-32560000D01* -D22* +D20* X208740000Y-32560000D02* X198080000Y-32560000D01* X198080000Y-32560000D02* X194880000Y-35760000D01* X194880000Y-35760000D02* X194750000Y-35890000D01* -D23* +D21* X215540000Y-27460000D02* X208740000Y-27460000D01* -D24* +D22* X218800000Y-89060000D02* X218240000Y-89620000D01* -D22* +D20* X179800000Y-92480000D02* X169555000Y-92480000D01* -D25* +D23* X168820000Y-52500000D02* X168820000Y-45760000D01* -D24* +D22* X196200000Y-97460000D02* X196200000Y-92480000D01* X123800000Y-97460000D02* X122540000Y-98720000D01* -D22* +D20* X115200000Y-89430000D02* X115200000Y-87480000D01* -D24* +D22* X223320000Y-90017600D02* X222362400Y-89060000D01* -D22* +D20* X132540000Y-23462500D02* X132540000Y-23660000D01* -D24* +D22* X196200000Y-89100000D02* X196200000Y-82592500D01* -D22* +D20* X155250000Y-79750000D02* X155357500Y-79750000D01* -D25* +D23* X168820000Y-55760000D02* X168820000Y-52500000D01* -D22* +D20* X228090000Y-60250000D02* X244912500Y-60250000D01* X212100000Y-60250000D02* X228090000Y-60250000D01* -D25* +D23* X168100000Y-56480000D02* X168820000Y-55760000D01* -D22* +D20* X149555000Y-18100000D02* X138100000Y-18100000D01* X168100000Y-74012500D02* X168357500Y-74270000D01* X168720000Y-52600000D02* X168820000Y-52500000D01* -D24* +D22* X168250000Y-92250000D02* X168250001Y-91974999D01* X168250001Y-91974999D02* X168650000Y-91575000D01* -D21* +D19* X123800000Y-97460000D02* X123800000Y-94920000D01* -D22* +D20* X139300000Y-87450000D02* X137500000Y-89250000D01* X194857500Y-79750000D02* X203560000Y-71047500D01* X203560000Y-71047500D02* X203560000Y-71000000D01* -D24* +D22* X153025000Y-97460000D02* X153025000Y-92075000D01* -D21* +D19* X170640000Y-27460000D02* X170640000Y-21315000D01* -D22* +D20* X191507500Y-83100000D02* X194857500Y-79750000D01* X187000000Y-83100000D02* X191507500Y-83100000D01* X168357500Y-74270000D02* X168357500Y-78180000D01* -D21* +D19* X164440000Y-97460000D02* X166980000Y-97460000D01* -D22* +D20* X163000000Y-71000000D02* X168100000Y-71000000D01* X196200000Y-92480000D02* @@ -638,66 +632,62 @@ X123500000Y-65900000D02* X127500000Y-65900000D01* X148200000Y-86800000D02* X155250000Y-79750000D01* -D21* +D19* X132540000Y-47600000D02* X132540000Y-52520000D01* X132540000Y-52520000D02* X132540000Y-59210000D01* -D22* +D20* X131600000Y-65900000D02* X132540000Y-64960000D01* X132410000Y-52390000D02* X132540000Y-52520000D01* -D21* +D19* X156820000Y-97460000D02* X158100000Y-97460000D01* -D22* +D20* X211060000Y-59210000D02* X212100000Y-60250000D01* -D21* +D19* X170640000Y-40360000D02* X170640000Y-33630000D01* -D22* +D20* X170640000Y-33630000D02* X176480000Y-33630000D01* -D21* +D19* X168100000Y-61750000D02* X168100000Y-71000000D01* -D24* +D22* X118534670Y-98720000D02* X122540000Y-98720000D01* -D22* +D20* X149900000Y-71000000D02* X163000000Y-71000000D01* -D21* +D19* X153025000Y-97460000D02* X154280000Y-97460000D01* -D22* +D20* X135250000Y-67670000D02* X132540000Y-64960000D01* X135250000Y-70800000D02* X135250000Y-73065000D01* -D21* +D19* X132540000Y-27460000D02* X132540000Y-23660000D01* X132540000Y-61750000D02* X132540000Y-64960000D01* -D22* +D20* X115200000Y-90730000D02* X115200000Y-89430000D01* -D21* +D19* X163175000Y-97460000D02* X164440000Y-97460000D01* -D24* +D22* X163175000Y-97460000D02* X163175000Y-91975000D01* -D22* -X121900000Y-34180000D02* -X121900000Y-33500000D01* -D24* X168820000Y-42180000D02* X152880000Y-42180000D01* -D22* +D20* X132950000Y-42180000D02* X132540000Y-42590000D01* X134035000Y-72015000D02* @@ -708,13 +698,13 @@ X145125000Y-50200000D02* X142525000Y-47600000D01* X142525000Y-47600000D02* X139252400Y-47600000D01* -D24* +D22* X152880000Y-42180000D02* X132950000Y-42180000D01* -D21* +D19* X132540000Y-33600000D02* X132540000Y-42590000D01* -D22* +D20* X158760000Y-8080000D02* X147900000Y-8080000D01* X170617047Y-53962953D02* @@ -727,10 +717,10 @@ X266007500Y-65550000D02* X268000000Y-65550000D01* X264200000Y-67357500D02* X263800001Y-67757499D01* -D23* +D21* X223320000Y-91270000D02* X223320000Y-90017600D01* -D22* +D20* X170640000Y-21315000D02* X166520000Y-21315000D01* X189500000Y-71000000D02* @@ -741,48 +731,46 @@ X137500000Y-89250000D02* X137500000Y-89312500D01* X146660000Y-91410000D02* X145300000Y-90050000D01* -D21* +D19* X144120000Y-100000000D02* X144120000Y-97460000D01* -D22* +D20* X147900000Y-8080000D02* X147900000Y-16445000D01* X147900000Y-16445000D02* X149555000Y-18100000D01* -D25* +D23* X168820000Y-45760000D02* X168820000Y-42180000D01* -D22* +D20* X256010000Y-87920000D02* X244180000Y-99750000D01* X228692400Y-82730000D02* X222362400Y-89060000D01* X256220000Y-61875000D02* X256220000Y-59345000D01* -X121900000Y-33500000D02* -X132440000Y-33500000D01* -D21* +D19* X161900000Y-97460000D02* X163175000Y-97460000D01* -D22* +D20* X186786371Y-50762953D02* X184786371Y-50762953D01* X184786371Y-50762953D02* X181586371Y-53962953D01* X268000000Y-65550000D02* X268000000Y-58050000D01* -D24* +D22* X123800000Y-97460000D02* X122530000Y-96190000D01* X158100000Y-97460000D02* X158100000Y-92000000D01* -D22* +D20* X148200000Y-86800000D02* X139950000Y-86800000D01* -D21* +D19* X132540000Y-30000000D02* X132540000Y-33600000D01* -D22* +D20* X196200000Y-82592500D02* X196200000Y-81092500D01* X196200000Y-81092500D02* @@ -793,52 +781,49 @@ X117200000Y-72200000D02* X123500000Y-65900000D01* X186312500Y-71000000D02* X189500000Y-71000000D01* -D21* +D19* X159360000Y-97460000D02* X161900000Y-97460000D01* -D22* +D20* X127900000Y-72015000D02* X134035000Y-72015000D01* X255040000Y-58165000D02* X255500000Y-58625000D01* X207500000Y-71000000D02* X207500000Y-71000000D01* -D24* +D22* X118534670Y-98720000D02* X118534670Y-98720000D01* -D23* -X264350000Y-44280000D02* -X264350000Y-42330000D01* -D21* +D19* X132540000Y-42590000D02* X132540000Y-47600000D01* X146660000Y-94920000D02* X146660000Y-92000000D01* -D22* +D20* X239420000Y-104510000D02* X198510000Y-104510000D01* -D24* +D22* X153025000Y-97460000D02* X153025000Y-102475000D01* X222362400Y-89060000D02* X218800000Y-89060000D01* -D22* +D20* X117200000Y-84780000D02* X117200000Y-72200000D01* -D21* +D19* X123800000Y-94920000D02* X123800000Y-93000000D01* -D22* +D20* X262640000Y-79355000D02* X267730000Y-79355000D01* X263800001Y-67757499D02* X263800001Y-73260001D01* X263800001Y-73260001D02* X267320001Y-76780001D01* -D24* +D22* X203510000Y-96190000D02* X212350000Y-96190000D01* -D22* +D20* X138100000Y-18100000D02* X132540000Y-23660000D01* X165000000Y-8080000D02* @@ -847,37 +832,37 @@ X202250000Y-71000000D02* X203560000Y-71000000D01* X203560000Y-71000000D02* X207500000Y-71000000D01* -D21* +D19* X144120000Y-100000000D02* X144120000Y-103230000D01* -D22* +D20* X145300000Y-90050000D02* X145300000Y-88200000D01* X141430000Y-64120000D02* X141430000Y-53895000D01* -D25* +D23* X168100000Y-71000000D02* X168100000Y-74012500D01* -D22* +D20* X131237500Y-77352500D02* X131100000Y-77215000D01* -D21* +D19* X196200000Y-97460000D02* X194920000Y-97460000D01* X144120000Y-97460000D02* X146660000Y-97460000D01* -D24* +D22* X144120000Y-103230000D02* X145390000Y-104500000D01* X198730000Y-96190000D02* X203510000Y-96190000D01* -D21* +D19* X149200000Y-97460000D02* X151740000Y-97460000D01* -D23* +D21* X218240000Y-91270000D02* X217270000Y-91270000D01* -D22* +D20* X155357500Y-79750000D02* X168357500Y-79750000D01* X208740000Y-57660000D02* @@ -888,28 +873,28 @@ X198510000Y-104510000D02* X198500000Y-104500000D01* X176480000Y-33630000D02* X176480000Y-33630000D01* -D21* +D19* X170640000Y-33630000D02* X170640000Y-30000000D01* -D22* +D20* X252900000Y-82730000D02* X236450000Y-82730000D01* -D21* +D19* X169520000Y-97460000D02* X172060000Y-97460000D01* X132540000Y-27460000D02* X132540000Y-30000000D01* X170640000Y-27460000D02* X170640000Y-30000000D01* -D22* +D20* X115200000Y-87480000D02* X115200000Y-86780000D01* -D21* +D19* X146660000Y-100000000D02* X146660000Y-103230000D01* X146660000Y-100000000D02* X146660000Y-97460000D01* -D22* +D20* X116300000Y-16437500D02* X125317500Y-16437500D01* X125317500Y-16437500D02* @@ -918,10 +903,10 @@ X140070000Y-71650000D02* X140070000Y-76930000D01* X212100000Y-66400000D02* X207500000Y-71000000D01* -D21* +D19* X197460000Y-97460000D02* X196200000Y-97460000D01* -D22* +D20* X140070000Y-65480000D02* X140070000Y-65480000D01* X223320000Y-91040000D02* @@ -942,20 +927,20 @@ X141430000Y-53895000D02* X145125000Y-50200000D01* X140070000Y-65480000D02* X141430000Y-64120000D01* -D24* +D22* X127600000Y-98720000D02* X127600000Y-104500000D01* -D22* +D20* X256010000Y-87920000D02* X256010000Y-85840000D01* X246062500Y-59100000D02* X255025000Y-59100000D01* X255025000Y-59100000D02* X255500000Y-58625000D01* -D23* +D21* X218240000Y-89620000D02* X218240000Y-91270000D01* -D22* +D20* X127499999Y-65900001D02* X127500000Y-65900000D01* X170640000Y-30000000D02* @@ -964,37 +949,37 @@ X127500000Y-65900000D02* X131600000Y-65900000D01* X256220000Y-59345000D02* X255500000Y-58625000D01* -D21* +D19* X146660000Y-97460000D02* X149200000Y-97460000D01* X151740000Y-97460000D02* X153025000Y-97460000D01* -D24* +D22* X196200000Y-92480000D02* X196200000Y-89100000D01* -D22* +D20* X181586371Y-53962953D02* X181586371Y-48162953D01* X119480000Y-96190000D02* X115964670Y-96190000D01* -D24* +D22* X122530000Y-96190000D02* X119480000Y-96190000D01* -D21* +D19* X124896015Y-97460000D02* X126340000Y-97460000D01* -D22* +D20* X212100000Y-60250000D02* X212100000Y-66400000D01* -D21* +D19* X168100000Y-59210000D02* X168100000Y-61750000D01* X154280000Y-97460000D02* X156820000Y-97460000D01* -D24* +D22* X163175000Y-97460000D02* X163175000Y-102425000D01* -D22* +D20* X135250000Y-70800000D02* X135250000Y-67670000D01* X262640000Y-79355000D02* @@ -1005,44 +990,42 @@ X158127500Y-19200000D02* X159227500Y-18100000D01* X159227500Y-18100000D02* X163305000Y-18100000D01* -X115670000Y-40410000D02* -X121900000Y-34180000D01* -D24* +D22* X168250000Y-97460000D02* X168250000Y-92250000D01* -D21* +D19* X166980000Y-97460000D02* X168250000Y-97460000D01* -D22* +D20* X149555000Y-18100000D02* X159227500Y-18100000D01* X244912500Y-60250000D02* X246062500Y-59100000D01* X139252400Y-47600000D02* X132540000Y-47600000D01* -D24* +D22* X217270000Y-91270000D02* X212350000Y-96190000D01* -D22* +D20* X256010000Y-85840000D02* X252900000Y-82730000D01* -D24* +D22* X197460000Y-97460000D02* X198730000Y-96190000D01* -D21* +D19* X146660000Y-97460000D02* X146660000Y-94920000D01* -D22* +D20* X267320001Y-76780001D02* X267720000Y-77180000D01* X256275000Y-79355000D02* X252900000Y-82730000D01* X145400000Y-88100000D02* X146900000Y-88100000D01* -D24* +D22* X126340000Y-97460000D02* X127600000Y-98720000D01* -D22* +D20* X139950000Y-86800000D02* X139300000Y-87450000D01* X255040000Y-53812500D02* @@ -1055,45 +1038,45 @@ X135649999Y-70400001D02* X135649999Y-69900001D01* X202250000Y-71000000D02* X202400000Y-71000000D01* -D21* +D19* X146660000Y-100000000D02* X144120000Y-100000000D01* -D22* +D20* X146900000Y-88100000D02* X148200000Y-86800000D01* -D21* +D19* X168100000Y-59210000D02* X168100000Y-56480000D01* -D24* +D22* X168250000Y-97460000D02* X168250000Y-102000000D01* -D21* +D19* X168250000Y-97460000D02* X169520000Y-97460000D01* -D22* +D20* X168357500Y-78180000D02* X168357500Y-79750000D01* X170640000Y-31202081D02* X170688000Y-31250081D01* -D21* +D19* X123800000Y-97460000D02* X124896015Y-97460000D01* -D22* +D20* X140070000Y-65480000D02* X140070000Y-71650000D01* -D25* +D23* X168820000Y-42180000D02* X170640000Y-40360000D01* -D21* +D19* X208740000Y-59210000D02* X210000000Y-59210000D01* -D22* +D20* X145300000Y-88200000D02* X145400000Y-88100000D01* -D24* +D22* X158100000Y-97460000D02* X158100000Y-102800000D01* -D22* +D20* X190611371Y-50675453D02* X186873871Y-50675453D01* X166520000Y-21315000D02* @@ -1106,43 +1089,37 @@ X267730000Y-79355000D02* X267730000Y-77190000D01* X115200000Y-95425330D02* X115964670Y-96190000D01* -D21* +D19* X132540000Y-61750000D02* X132540000Y-59210000D01* -D22* +D20* X146660000Y-93260000D02* X146660000Y-91410000D01* -D21* +D19* X208740000Y-59210000D02* X208740000Y-58007919D01* -D22* +D20* X115964670Y-96190000D02* X118534670Y-98760000D01* X196170000Y-97490000D02* X196200000Y-97460000D01* -D24* +D22* X196170000Y-97490000D02* X196170000Y-104500000D01* -D21* +D19* X158100000Y-97460000D02* X159360000Y-97460000D01* -D22* +D20* X158730000Y-9200000D02* X158730000Y-8110000D01* X244180000Y-99750000D02* X239420000Y-104510000D01* -D24* +D22* X146660000Y-103230000D02* X145390000Y-104500000D01* -D22* +D20* X208740000Y-58007919D02* X208740000Y-50800000D01* -X256000000Y-35315000D02* -X260445000Y-35315000D01* -X264350000Y-39220000D02* -X264350000Y-42330000D01* -X260445000Y-35315000D02* -X264350000Y-39220000D01* X192750000Y-33630000D02* X194880000Y-35760000D01* X176480000Y-33630000D02* @@ -1161,7 +1138,29 @@ X234000000Y-14000000D02* X256000000Y-14000000D01* X256000000Y-14000000D02* X256000000Y-35315000D01* -D23* +X118840000Y-40410000D02* +X125722000Y-33528000D01* +X115670000Y-40410000D02* +X118840000Y-40410000D01* +X132468000Y-33528000D02* +X132540000Y-33600000D01* +X125722000Y-33528000D02* +X132468000Y-33528000D01* +X208740000Y-50800000D02* +X222740000Y-36800000D01* +X231200000Y-36800000D02* +X234000000Y-34000000D01* +X222740000Y-36800000D02* +X228670000Y-36800000D01* +X228670000Y-36800000D02* +X231200000Y-36800000D01* +X140070000Y-71650000D02* +X143040000Y-68680000D01* +X259427500Y-49425000D02* +X255040000Y-53812500D01* +X265875000Y-49425000D02* +X259427500Y-49425000D01* +D21* X271200000Y-55050000D02* X271800000Y-54450000D01* X266850000Y-55050000D02* @@ -1182,26 +1181,23 @@ X269197500Y-76570000D02* X270197500Y-75570000D01* X271897500Y-82570000D02* X271897500Y-75570000D01* -D22* +D20* X271897500Y-71247500D02* X271887500Y-71237500D01* X266840000Y-55040000D02* X266850000Y-55050000D01* -D23* +D21* X271897500Y-70247500D02* X271800000Y-70150000D01* X271897500Y-75570000D02* X271897500Y-70247500D01* -X266850000Y-47080000D02* -X266850000Y-44280000D01* -X266850000Y-55050000D02* -X266850000Y-47080000D01* -X266840000Y-47090000D02* -X257350000Y-47090000D01* -D22* -X266850000Y-47080000D02* -X266840000Y-47090000D01* -D26* +D24* +X271800000Y-54450000D02* +X271800000Y-49380000D01* +D21* +X269197500Y-89500000D02* +X269197500Y-81570000D01* +D25* X266654985Y-5874284D02* X266304284Y-6224985D01* X266028739Y-6637366D01* @@ -1301,115 +1297,37 @@ X262430723Y-13736612D01* X262465123Y-13740000D01* X272085909Y-13740000D01* X272620001Y-14274092D01* -X272620001Y-53031509D01* -X272574463Y-53001082D01* -X272276912Y-52877832D01* -X271961033Y-52815000D01* -X271638967Y-52815000D01* -X271323088Y-52877832D01* -X271025537Y-53001082D01* +X272620001Y-48744267D01* +X272603937Y-48720226D01* +X272459774Y-48576063D01* +X272290256Y-48462795D01* +X272101898Y-48384774D01* +X271901939Y-48345000D01* +X271698061Y-48345000D01* +X271498102Y-48384774D01* +X271309744Y-48462795D01* +X271140226Y-48576063D01* +X270996063Y-48720226D01* +X270882795Y-48889744D01* +X270804774Y-49078102D01* +X270765000Y-49278061D01* +X270765000Y-49481939D01* +X270804774Y-49681898D01* +X270865001Y-49827298D01* +X270865000Y-53108349D01* X270757748Y-53180013D01* X270530013Y-53407748D01* X270351082Y-53675537D01* X270251893Y-53915000D01* X268027239Y-53915000D01* -X267985000Y-53872761D01* -X267985000Y-45620957D01* -X268004494Y-45610537D01* -X268101185Y-45531185D01* -X268180537Y-45434494D01* -X268239502Y-45324180D01* -X268275812Y-45204482D01* -X268288072Y-45080000D01* -X268288072Y-43480000D01* -X268275812Y-43355518D01* -X268239502Y-43235820D01* -X268180537Y-43125506D01* -X268101185Y-43028815D01* -X268004494Y-42949463D01* -X267894180Y-42890498D01* -X267774482Y-42854188D01* -X267650000Y-42841928D01* -X266050000Y-42841928D01* -X265925518Y-42854188D01* -X265805820Y-42890498D01* -X265695506Y-42949463D01* -X265598815Y-43028815D01* -X265519463Y-43125506D01* -X265460498Y-43235820D01* -X265424188Y-43355518D01* -X265411928Y-43480000D01* -X265411928Y-43487215D01* -X265342702Y-43466903D01* -X264529605Y-44280000D01* -X265342702Y-45093097D01* -X265411928Y-45072785D01* -X265411928Y-45080000D01* -X265424188Y-45204482D01* -X265460498Y-45324180D01* -X265519463Y-45434494D01* -X265598815Y-45531185D01* -X265695506Y-45610537D01* -X265715001Y-45620957D01* -X265715000Y-45955000D01* -X259948449Y-45955000D01* -X259862344Y-45747124D01* -X259552088Y-45282793D01* -X259541997Y-45272702D01* -X263536903Y-45272702D01* -X263608486Y-45516671D01* -X263863996Y-45637571D01* -X264138184Y-45706300D01* -X264420512Y-45720217D01* -X264700130Y-45678787D01* -X264966292Y-45583603D01* -X265091514Y-45516671D01* -X265163097Y-45272702D01* -X264350000Y-44459605D01* -X263536903Y-45272702D01* -X259541997Y-45272702D01* -X259157207Y-44887912D01* -X258692876Y-44577656D01* -X258176939Y-44363948D01* -X258109392Y-44350512D01* -X262909783Y-44350512D01* -X262951213Y-44630130D01* -X263046397Y-44896292D01* -X263113329Y-45021514D01* -X263357298Y-45093097D01* -X264170395Y-44280000D01* -X263357298Y-43466903D01* -X263113329Y-43538486D01* -X262992429Y-43793996D01* -X262923700Y-44068184D01* -X262909783Y-44350512D01* -X258109392Y-44350512D01* -X257629223Y-44255000D01* -X257070777Y-44255000D01* -X256523061Y-44363948D01* -X256007124Y-44577656D01* -X255542793Y-44887912D01* -X255147912Y-45282793D01* -X254837656Y-45747124D01* -X254623948Y-46263061D01* -X254515000Y-46810777D01* -X254515000Y-47369223D01* -X254623948Y-47916939D01* -X254837656Y-48432876D01* -X255147912Y-48897207D01* -X255542793Y-49292088D01* -X256007124Y-49602344D01* -X256523061Y-49816052D01* -X257070777Y-49925000D01* -X257629223Y-49925000D01* -X258176939Y-49816052D01* -X258692876Y-49602344D01* -X259157207Y-49292088D01* -X259552088Y-48897207D01* -X259862344Y-48432876D01* -X259948449Y-48225000D01* -X265715001Y-48225000D01* -X265715000Y-53872761D01* +X267892252Y-53780013D01* +X267624463Y-53601082D01* +X267326912Y-53477832D01* +X267011033Y-53415000D01* +X266688967Y-53415000D01* +X266373088Y-53477832D01* +X266075537Y-53601082D01* +X265807748Y-53780013D01* X265580013Y-54007748D01* X265401082Y-54275537D01* X265277832Y-54573088D01* @@ -1517,20 +1435,38 @@ X267837500Y-81436052D01* X267837500Y-81703948D01* X267889764Y-81966697D01* X267992284Y-82214201D01* -X268141119Y-82436949D01* -X268330551Y-82626381D01* -X268553299Y-82775216D01* -X268800803Y-82877736D01* -X268924761Y-82902393D01* -X269355513Y-83333145D01* -X269391051Y-83376449D01* -X269434354Y-83411987D01* -X269434356Y-83411989D01* -X269563877Y-83518284D01* -X269761053Y-83623676D01* -X269975001Y-83688577D01* -X270197500Y-83710491D01* -X270253252Y-83705000D01* +X268062501Y-82319288D01* +X268062500Y-86901551D01* +X267854624Y-86987656D01* +X267390293Y-87297912D01* +X266995412Y-87692793D01* +X266685156Y-88157124D01* +X266471448Y-88673061D01* +X266362500Y-89220777D01* +X266362500Y-89779223D01* +X266471448Y-90326939D01* +X266685156Y-90842876D01* +X266995412Y-91307207D01* +X267390293Y-91702088D01* +X267854624Y-92012344D01* +X268370561Y-92226052D01* +X268918277Y-92335000D01* +X269476723Y-92335000D01* +X270024439Y-92226052D01* +X270540376Y-92012344D01* +X271004707Y-91702088D01* +X271399588Y-91307207D01* +X271709844Y-90842876D01* +X271923552Y-90326939D01* +X272032500Y-89779223D01* +X272032500Y-89220777D01* +X271923552Y-88673061D01* +X271709844Y-88157124D01* +X271399588Y-87692793D01* +X271004707Y-87297912D01* +X270540376Y-86987656D01* +X270332500Y-86901551D01* +X270332500Y-83705000D01* X271051063Y-83705000D01* X271072599Y-83716511D01* X271305398Y-83787130D01* @@ -6009,19 +5945,6 @@ X117347929Y-44909744D01* X117269908Y-45098102D01* X117230134Y-45298061D01* X112440000Y-45298061D01* -X112440000Y-43287298D01* -X263536903Y-43287298D01* -X264350000Y-44100395D01* -X265163097Y-43287298D01* -X265091514Y-43043329D01* -X264836004Y-42922429D01* -X264561816Y-42853700D01* -X264279488Y-42839783D01* -X263999870Y-42881213D01* -X263733708Y-42976397D01* -X263608486Y-43043329D01* -X263536903Y-43287298D01* -X112440000Y-43287298D01* X112440000Y-36788061D01* X254965000Y-36788061D01* X254965000Y-36991939D01* @@ -6057,32 +5980,7 @@ X255082795Y-36399744D01* X255004774Y-36588102D01* X254965000Y-36788061D01* X112440000Y-36788061D01* -X112440000Y-34350000D01* -X120411928Y-34350000D01* -X120424188Y-34474482D01* -X120460498Y-34594180D01* -X120519463Y-34704494D01* -X120598815Y-34801185D01* -X120695506Y-34880537D01* -X120805820Y-34939502D01* -X120925518Y-34975812D01* -X121050000Y-34988072D01* -X121614250Y-34985000D01* -X121773000Y-34826250D01* -X121773000Y-33627000D01* -X122027000Y-33627000D01* -X122027000Y-34826250D01* -X122185750Y-34985000D01* -X122750000Y-34988072D01* -X122874482Y-34975812D01* -X122994180Y-34939502D01* -X123104494Y-34880537D01* -X123201185Y-34801185D01* -X123280537Y-34704494D01* -X123339502Y-34594180D01* -X123375812Y-34474482D01* -X123388072Y-34350000D01* -X123385612Y-33898061D01* +X112440000Y-33898061D01* X222965000Y-33898061D01* X222965000Y-34101939D01* X223004774Y-34301898D01* @@ -6236,46 +6134,8 @@ X223196063Y-33340226D01* X223082795Y-33509744D01* X223004774Y-33698102D01* X222965000Y-33898061D01* -X123385612Y-33898061D01* -X123385000Y-33785750D01* -X123226250Y-33627000D01* -X122027000Y-33627000D01* -X121773000Y-33627000D01* -X120573750Y-33627000D01* -X120415000Y-33785750D01* -X120411928Y-34350000D01* -X112440000Y-34350000D01* -X112440000Y-32650000D01* -X120411928Y-32650000D01* -X120415000Y-33214250D01* -X120573750Y-33373000D01* -X121773000Y-33373000D01* -X121773000Y-33353000D01* -X122027000Y-33353000D01* -X122027000Y-33373000D01* -X123226250Y-33373000D01* -X123385000Y-33214250D01* -X123388072Y-32650000D01* -X123375812Y-32525518D01* -X123339502Y-32405820D01* -X123280537Y-32295506D01* -X123201185Y-32198815D01* -X123104494Y-32119463D01* -X122994180Y-32060498D01* -X122921620Y-32038487D01* -X123053475Y-31906632D01* -X123215990Y-31663411D01* -X123327932Y-31393158D01* -X123385000Y-31106260D01* -X123385000Y-30813740D01* -X123327932Y-30526842D01* -X123215990Y-30256589D01* -X123053475Y-30013368D01* -X122846632Y-29806525D01* -X122672240Y-29690000D01* -X122846632Y-29573475D01* -X123053475Y-29366632D01* -X123198223Y-29150000D01* +X112440000Y-33898061D01* +X112440000Y-29150000D01* X128511928Y-29150000D01* X128511928Y-30850000D01* X128524188Y-30974482D01* @@ -7151,29 +7011,8 @@ X128619463Y-28795506D01* X128560498Y-28905820D01* X128524188Y-29025518D01* X128511928Y-29150000D01* -X123198223Y-29150000D01* -X123215990Y-29123411D01* -X123327932Y-28853158D01* -X123385000Y-28566260D01* -X123385000Y-28273740D01* -X123327932Y-27986842D01* -X123215990Y-27716589D01* -X123053475Y-27473368D01* -X122846632Y-27266525D01* -X122672240Y-27150000D01* -X122846632Y-27033475D01* -X123053475Y-26826632D01* -X123215990Y-26583411D01* -X123327932Y-26313158D01* -X123385000Y-26026260D01* -X123385000Y-25733740D01* -X123327932Y-25446842D01* -X123215990Y-25176589D01* -X123053475Y-24933368D01* -X122846632Y-24726525D01* -X122672240Y-24610000D01* -X122846632Y-24493475D01* -X123042046Y-24298061D01* +X112440000Y-29150000D01* +X112440000Y-24298061D01* X133845000Y-24298061D01* X133845000Y-24501939D01* X133884774Y-24701898D01* @@ -7241,20 +7080,8 @@ X134076063Y-23740226D01* X133962795Y-23909744D01* X133884774Y-24098102D01* X133845000Y-24298061D01* -X123042046Y-24298061D01* -X123053475Y-24286632D01* -X123215990Y-24043411D01* -X123327932Y-23773158D01* -X123385000Y-23486260D01* -X123385000Y-23193740D01* -X123327932Y-22906842D01* -X123215990Y-22636589D01* -X123053475Y-22393368D01* -X122846632Y-22186525D01* -X122672240Y-22070000D01* -X122846632Y-21953475D01* -X123053475Y-21746632D01* -X123167089Y-21576596D01* +X112440000Y-24298061D01* +X112440000Y-21576596D01* X175850673Y-21576596D01* X175850673Y-21780474D01* X175890447Y-21980433D01* @@ -7288,83 +7115,7 @@ X176081736Y-21018761D01* X175968468Y-21188279D01* X175890447Y-21376637D01* X175850673Y-21576596D01* -X123167089Y-21576596D01* -X123215990Y-21503411D01* -X123327932Y-21233158D01* -X123385000Y-20946260D01* -X123385000Y-20653740D01* -X123327932Y-20366842D01* -X123215990Y-20096589D01* -X123053475Y-19853368D01* -X122846632Y-19646525D01* -X122603411Y-19484010D01* -X122333158Y-19372068D01* -X122046260Y-19315000D01* -X121753740Y-19315000D01* -X121466842Y-19372068D01* -X121196589Y-19484010D01* -X120953368Y-19646525D01* -X120746525Y-19853368D01* -X120584010Y-20096589D01* -X120472068Y-20366842D01* -X120415000Y-20653740D01* -X120415000Y-20946260D01* -X120472068Y-21233158D01* -X120584010Y-21503411D01* -X120746525Y-21746632D01* -X120953368Y-21953475D01* -X121127760Y-22070000D01* -X120953368Y-22186525D01* -X120746525Y-22393368D01* -X120584010Y-22636589D01* -X120472068Y-22906842D01* -X120415000Y-23193740D01* -X120415000Y-23486260D01* -X120472068Y-23773158D01* -X120584010Y-24043411D01* -X120746525Y-24286632D01* -X120953368Y-24493475D01* -X121127760Y-24610000D01* -X120953368Y-24726525D01* -X120746525Y-24933368D01* -X120584010Y-25176589D01* -X120472068Y-25446842D01* -X120415000Y-25733740D01* -X120415000Y-26026260D01* -X120472068Y-26313158D01* -X120584010Y-26583411D01* -X120746525Y-26826632D01* -X120953368Y-27033475D01* -X121127760Y-27150000D01* -X120953368Y-27266525D01* -X120746525Y-27473368D01* -X120584010Y-27716589D01* -X120472068Y-27986842D01* -X120415000Y-28273740D01* -X120415000Y-28566260D01* -X120472068Y-28853158D01* -X120584010Y-29123411D01* -X120746525Y-29366632D01* -X120953368Y-29573475D01* -X121127760Y-29690000D01* -X120953368Y-29806525D01* -X120746525Y-30013368D01* -X120584010Y-30256589D01* -X120472068Y-30526842D01* -X120415000Y-30813740D01* -X120415000Y-31106260D01* -X120472068Y-31393158D01* -X120584010Y-31663411D01* -X120746525Y-31906632D01* -X120878380Y-32038487D01* -X120805820Y-32060498D01* -X120695506Y-32119463D01* -X120598815Y-32198815D01* -X120519463Y-32295506D01* -X120460498Y-32405820D01* -X120424188Y-32525518D01* -X120411928Y-32650000D01* -X112440000Y-32650000D01* +X112440000Y-21576596D01* X112440000Y-19098061D01* X155495000Y-19098061D01* X155495000Y-19301939D01* @@ -7612,7 +7363,7 @@ X112440000Y-5810000D01* X266751193Y-5810000D01* X266654985Y-5874284D01* G04 #@! TA.AperFunction,Conductor* -D27* +D26* G36* X266654985Y-5874284D02* G01* @@ -7714,115 +7465,37 @@ X262430723Y-13736612D01* X262465123Y-13740000D01* X272085909Y-13740000D01* X272620001Y-14274092D01* -X272620001Y-53031509D01* -X272574463Y-53001082D01* -X272276912Y-52877832D01* -X271961033Y-52815000D01* -X271638967Y-52815000D01* -X271323088Y-52877832D01* -X271025537Y-53001082D01* +X272620001Y-48744267D01* +X272603937Y-48720226D01* +X272459774Y-48576063D01* +X272290256Y-48462795D01* +X272101898Y-48384774D01* +X271901939Y-48345000D01* +X271698061Y-48345000D01* +X271498102Y-48384774D01* +X271309744Y-48462795D01* +X271140226Y-48576063D01* +X270996063Y-48720226D01* +X270882795Y-48889744D01* +X270804774Y-49078102D01* +X270765000Y-49278061D01* +X270765000Y-49481939D01* +X270804774Y-49681898D01* +X270865001Y-49827298D01* +X270865000Y-53108349D01* X270757748Y-53180013D01* X270530013Y-53407748D01* X270351082Y-53675537D01* X270251893Y-53915000D01* X268027239Y-53915000D01* -X267985000Y-53872761D01* -X267985000Y-45620957D01* -X268004494Y-45610537D01* -X268101185Y-45531185D01* -X268180537Y-45434494D01* -X268239502Y-45324180D01* -X268275812Y-45204482D01* -X268288072Y-45080000D01* -X268288072Y-43480000D01* -X268275812Y-43355518D01* -X268239502Y-43235820D01* -X268180537Y-43125506D01* -X268101185Y-43028815D01* -X268004494Y-42949463D01* -X267894180Y-42890498D01* -X267774482Y-42854188D01* -X267650000Y-42841928D01* -X266050000Y-42841928D01* -X265925518Y-42854188D01* -X265805820Y-42890498D01* -X265695506Y-42949463D01* -X265598815Y-43028815D01* -X265519463Y-43125506D01* -X265460498Y-43235820D01* -X265424188Y-43355518D01* -X265411928Y-43480000D01* -X265411928Y-43487215D01* -X265342702Y-43466903D01* -X264529605Y-44280000D01* -X265342702Y-45093097D01* -X265411928Y-45072785D01* -X265411928Y-45080000D01* -X265424188Y-45204482D01* -X265460498Y-45324180D01* -X265519463Y-45434494D01* -X265598815Y-45531185D01* -X265695506Y-45610537D01* -X265715001Y-45620957D01* -X265715000Y-45955000D01* -X259948449Y-45955000D01* -X259862344Y-45747124D01* -X259552088Y-45282793D01* -X259541997Y-45272702D01* -X263536903Y-45272702D01* -X263608486Y-45516671D01* -X263863996Y-45637571D01* -X264138184Y-45706300D01* -X264420512Y-45720217D01* -X264700130Y-45678787D01* -X264966292Y-45583603D01* -X265091514Y-45516671D01* -X265163097Y-45272702D01* -X264350000Y-44459605D01* -X263536903Y-45272702D01* -X259541997Y-45272702D01* -X259157207Y-44887912D01* -X258692876Y-44577656D01* -X258176939Y-44363948D01* -X258109392Y-44350512D01* -X262909783Y-44350512D01* -X262951213Y-44630130D01* -X263046397Y-44896292D01* -X263113329Y-45021514D01* -X263357298Y-45093097D01* -X264170395Y-44280000D01* -X263357298Y-43466903D01* -X263113329Y-43538486D01* -X262992429Y-43793996D01* -X262923700Y-44068184D01* -X262909783Y-44350512D01* -X258109392Y-44350512D01* -X257629223Y-44255000D01* -X257070777Y-44255000D01* -X256523061Y-44363948D01* -X256007124Y-44577656D01* -X255542793Y-44887912D01* -X255147912Y-45282793D01* -X254837656Y-45747124D01* -X254623948Y-46263061D01* -X254515000Y-46810777D01* -X254515000Y-47369223D01* -X254623948Y-47916939D01* -X254837656Y-48432876D01* -X255147912Y-48897207D01* -X255542793Y-49292088D01* -X256007124Y-49602344D01* -X256523061Y-49816052D01* -X257070777Y-49925000D01* -X257629223Y-49925000D01* -X258176939Y-49816052D01* -X258692876Y-49602344D01* -X259157207Y-49292088D01* -X259552088Y-48897207D01* -X259862344Y-48432876D01* -X259948449Y-48225000D01* -X265715001Y-48225000D01* -X265715000Y-53872761D01* +X267892252Y-53780013D01* +X267624463Y-53601082D01* +X267326912Y-53477832D01* +X267011033Y-53415000D01* +X266688967Y-53415000D01* +X266373088Y-53477832D01* +X266075537Y-53601082D01* +X265807748Y-53780013D01* X265580013Y-54007748D01* X265401082Y-54275537D01* X265277832Y-54573088D01* @@ -7930,20 +7603,38 @@ X267837500Y-81436052D01* X267837500Y-81703948D01* X267889764Y-81966697D01* X267992284Y-82214201D01* -X268141119Y-82436949D01* -X268330551Y-82626381D01* -X268553299Y-82775216D01* -X268800803Y-82877736D01* -X268924761Y-82902393D01* -X269355513Y-83333145D01* -X269391051Y-83376449D01* -X269434354Y-83411987D01* -X269434356Y-83411989D01* -X269563877Y-83518284D01* -X269761053Y-83623676D01* -X269975001Y-83688577D01* -X270197500Y-83710491D01* -X270253252Y-83705000D01* +X268062501Y-82319288D01* +X268062500Y-86901551D01* +X267854624Y-86987656D01* +X267390293Y-87297912D01* +X266995412Y-87692793D01* +X266685156Y-88157124D01* +X266471448Y-88673061D01* +X266362500Y-89220777D01* +X266362500Y-89779223D01* +X266471448Y-90326939D01* +X266685156Y-90842876D01* +X266995412Y-91307207D01* +X267390293Y-91702088D01* +X267854624Y-92012344D01* +X268370561Y-92226052D01* +X268918277Y-92335000D01* +X269476723Y-92335000D01* +X270024439Y-92226052D01* +X270540376Y-92012344D01* +X271004707Y-91702088D01* +X271399588Y-91307207D01* +X271709844Y-90842876D01* +X271923552Y-90326939D01* +X272032500Y-89779223D01* +X272032500Y-89220777D01* +X271923552Y-88673061D01* +X271709844Y-88157124D01* +X271399588Y-87692793D01* +X271004707Y-87297912D01* +X270540376Y-86987656D01* +X270332500Y-86901551D01* +X270332500Y-83705000D01* X271051063Y-83705000D01* X271072599Y-83716511D01* X271305398Y-83787130D01* @@ -12422,19 +12113,6 @@ X117347929Y-44909744D01* X117269908Y-45098102D01* X117230134Y-45298061D01* X112440000Y-45298061D01* -X112440000Y-43287298D01* -X263536903Y-43287298D01* -X264350000Y-44100395D01* -X265163097Y-43287298D01* -X265091514Y-43043329D01* -X264836004Y-42922429D01* -X264561816Y-42853700D01* -X264279488Y-42839783D01* -X263999870Y-42881213D01* -X263733708Y-42976397D01* -X263608486Y-43043329D01* -X263536903Y-43287298D01* -X112440000Y-43287298D01* X112440000Y-36788061D01* X254965000Y-36788061D01* X254965000Y-36991939D01* @@ -12470,32 +12148,7 @@ X255082795Y-36399744D01* X255004774Y-36588102D01* X254965000Y-36788061D01* X112440000Y-36788061D01* -X112440000Y-34350000D01* -X120411928Y-34350000D01* -X120424188Y-34474482D01* -X120460498Y-34594180D01* -X120519463Y-34704494D01* -X120598815Y-34801185D01* -X120695506Y-34880537D01* -X120805820Y-34939502D01* -X120925518Y-34975812D01* -X121050000Y-34988072D01* -X121614250Y-34985000D01* -X121773000Y-34826250D01* -X121773000Y-33627000D01* -X122027000Y-33627000D01* -X122027000Y-34826250D01* -X122185750Y-34985000D01* -X122750000Y-34988072D01* -X122874482Y-34975812D01* -X122994180Y-34939502D01* -X123104494Y-34880537D01* -X123201185Y-34801185D01* -X123280537Y-34704494D01* -X123339502Y-34594180D01* -X123375812Y-34474482D01* -X123388072Y-34350000D01* -X123385612Y-33898061D01* +X112440000Y-33898061D01* X222965000Y-33898061D01* X222965000Y-34101939D01* X223004774Y-34301898D01* @@ -12649,46 +12302,8 @@ X223196063Y-33340226D01* X223082795Y-33509744D01* X223004774Y-33698102D01* X222965000Y-33898061D01* -X123385612Y-33898061D01* -X123385000Y-33785750D01* -X123226250Y-33627000D01* -X122027000Y-33627000D01* -X121773000Y-33627000D01* -X120573750Y-33627000D01* -X120415000Y-33785750D01* -X120411928Y-34350000D01* -X112440000Y-34350000D01* -X112440000Y-32650000D01* -X120411928Y-32650000D01* -X120415000Y-33214250D01* -X120573750Y-33373000D01* -X121773000Y-33373000D01* -X121773000Y-33353000D01* -X122027000Y-33353000D01* -X122027000Y-33373000D01* -X123226250Y-33373000D01* -X123385000Y-33214250D01* -X123388072Y-32650000D01* -X123375812Y-32525518D01* -X123339502Y-32405820D01* -X123280537Y-32295506D01* -X123201185Y-32198815D01* -X123104494Y-32119463D01* -X122994180Y-32060498D01* -X122921620Y-32038487D01* -X123053475Y-31906632D01* -X123215990Y-31663411D01* -X123327932Y-31393158D01* -X123385000Y-31106260D01* -X123385000Y-30813740D01* -X123327932Y-30526842D01* -X123215990Y-30256589D01* -X123053475Y-30013368D01* -X122846632Y-29806525D01* -X122672240Y-29690000D01* -X122846632Y-29573475D01* -X123053475Y-29366632D01* -X123198223Y-29150000D01* +X112440000Y-33898061D01* +X112440000Y-29150000D01* X128511928Y-29150000D01* X128511928Y-30850000D01* X128524188Y-30974482D01* @@ -13564,29 +13179,8 @@ X128619463Y-28795506D01* X128560498Y-28905820D01* X128524188Y-29025518D01* X128511928Y-29150000D01* -X123198223Y-29150000D01* -X123215990Y-29123411D01* -X123327932Y-28853158D01* -X123385000Y-28566260D01* -X123385000Y-28273740D01* -X123327932Y-27986842D01* -X123215990Y-27716589D01* -X123053475Y-27473368D01* -X122846632Y-27266525D01* -X122672240Y-27150000D01* -X122846632Y-27033475D01* -X123053475Y-26826632D01* -X123215990Y-26583411D01* -X123327932Y-26313158D01* -X123385000Y-26026260D01* -X123385000Y-25733740D01* -X123327932Y-25446842D01* -X123215990Y-25176589D01* -X123053475Y-24933368D01* -X122846632Y-24726525D01* -X122672240Y-24610000D01* -X122846632Y-24493475D01* -X123042046Y-24298061D01* +X112440000Y-29150000D01* +X112440000Y-24298061D01* X133845000Y-24298061D01* X133845000Y-24501939D01* X133884774Y-24701898D01* @@ -13654,20 +13248,8 @@ X134076063Y-23740226D01* X133962795Y-23909744D01* X133884774Y-24098102D01* X133845000Y-24298061D01* -X123042046Y-24298061D01* -X123053475Y-24286632D01* -X123215990Y-24043411D01* -X123327932Y-23773158D01* -X123385000Y-23486260D01* -X123385000Y-23193740D01* -X123327932Y-22906842D01* -X123215990Y-22636589D01* -X123053475Y-22393368D01* -X122846632Y-22186525D01* -X122672240Y-22070000D01* -X122846632Y-21953475D01* -X123053475Y-21746632D01* -X123167089Y-21576596D01* +X112440000Y-24298061D01* +X112440000Y-21576596D01* X175850673Y-21576596D01* X175850673Y-21780474D01* X175890447Y-21980433D01* @@ -13701,83 +13283,7 @@ X176081736Y-21018761D01* X175968468Y-21188279D01* X175890447Y-21376637D01* X175850673Y-21576596D01* -X123167089Y-21576596D01* -X123215990Y-21503411D01* -X123327932Y-21233158D01* -X123385000Y-20946260D01* -X123385000Y-20653740D01* -X123327932Y-20366842D01* -X123215990Y-20096589D01* -X123053475Y-19853368D01* -X122846632Y-19646525D01* -X122603411Y-19484010D01* -X122333158Y-19372068D01* -X122046260Y-19315000D01* -X121753740Y-19315000D01* -X121466842Y-19372068D01* -X121196589Y-19484010D01* -X120953368Y-19646525D01* -X120746525Y-19853368D01* -X120584010Y-20096589D01* -X120472068Y-20366842D01* -X120415000Y-20653740D01* -X120415000Y-20946260D01* -X120472068Y-21233158D01* -X120584010Y-21503411D01* -X120746525Y-21746632D01* -X120953368Y-21953475D01* -X121127760Y-22070000D01* -X120953368Y-22186525D01* -X120746525Y-22393368D01* -X120584010Y-22636589D01* -X120472068Y-22906842D01* -X120415000Y-23193740D01* -X120415000Y-23486260D01* -X120472068Y-23773158D01* -X120584010Y-24043411D01* -X120746525Y-24286632D01* -X120953368Y-24493475D01* -X121127760Y-24610000D01* -X120953368Y-24726525D01* -X120746525Y-24933368D01* -X120584010Y-25176589D01* -X120472068Y-25446842D01* -X120415000Y-25733740D01* -X120415000Y-26026260D01* -X120472068Y-26313158D01* -X120584010Y-26583411D01* -X120746525Y-26826632D01* -X120953368Y-27033475D01* -X121127760Y-27150000D01* -X120953368Y-27266525D01* -X120746525Y-27473368D01* -X120584010Y-27716589D01* -X120472068Y-27986842D01* -X120415000Y-28273740D01* -X120415000Y-28566260D01* -X120472068Y-28853158D01* -X120584010Y-29123411D01* -X120746525Y-29366632D01* -X120953368Y-29573475D01* -X121127760Y-29690000D01* -X120953368Y-29806525D01* -X120746525Y-30013368D01* -X120584010Y-30256589D01* -X120472068Y-30526842D01* -X120415000Y-30813740D01* -X120415000Y-31106260D01* -X120472068Y-31393158D01* -X120584010Y-31663411D01* -X120746525Y-31906632D01* -X120878380Y-32038487D01* -X120805820Y-32060498D01* -X120695506Y-32119463D01* -X120598815Y-32198815D01* -X120519463Y-32295506D01* -X120460498Y-32405820D01* -X120424188Y-32525518D01* -X120411928Y-32650000D01* -X112440000Y-32650000D01* +X112440000Y-21576596D01* X112440000Y-19098061D01* X155495000Y-19098061D01* X155495000Y-19301939D01* @@ -14026,7 +13532,7 @@ X266751193Y-5810000D01* X266654985Y-5874284D01* G37* G04 #@! TD.AperFunction* -D26* +D25* X198750475Y-95587885D02* X198904782Y-95818822D01* X199101178Y-96015218D01* @@ -14158,7 +13664,7 @@ X198709525Y-95587885D01* X198730000Y-95538454D01* X198750475Y-95587885D01* G04 #@! TA.AperFunction,Conductor* -D27* +D26* G36* X198750475Y-95587885D02* G01* diff --git a/nubus-to-ztex/nubus-to-ztex-In2_Cu.gbr b/nubus-to-ztex/nubus-to-ztex-In2_Cu.gbr index ba4a2dc..72dde38 100644 --- a/nubus-to-ztex/nubus-to-ztex-In2_Cu.gbr +++ b/nubus-to-ztex/nubus-to-ztex-In2_Cu.gbr @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1~bpo10+1* -G04 #@! TF.CreationDate,2022-11-12T12:42:49+01:00* +G04 #@! TF.CreationDate,2022-11-13T14:05:17+01:00* G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L3,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-12 12:42:49* +G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1~bpo10+1) date 2022-11-13 14:05:17* %MOMM*% %LPD*% G01* @@ -33,38 +33,32 @@ G04 #@! TA.AperFunction,ComponentPad* %ADD16C,2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD17R,1.600000X1.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ComponentPad* -%ADD18C,1.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ComponentPad* -%ADD19C,1.550000*% +%ADD17C,1.550000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* +%ADD18C,0.800000*% +G04 #@! TD* +G04 #@! TA.AperFunction,Conductor* +%ADD19C,0.152400*% +G04 #@! TD* +G04 #@! TA.AperFunction,Conductor* %ADD20C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.152400*% +%ADD21C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.800000*% +%ADD22C,1.200000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD23C,0.500000*% +%ADD23C,0.254000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,1.200000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD25C,0.254000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD26C,0.100000*% +%ADD24C,0.100000*% G04 #@! TD* G04 APERTURE END LIST* D10* -X257350000Y-47090000D03* +X269197500Y-89500000D03* D11* X269197500Y-81570000D03* X269197500Y-76570000D03* @@ -171,10 +165,6 @@ X271800000Y-70150000D03* X271800000Y-54450000D03* X266850000Y-69550000D03* X266850000Y-55050000D03* -D17* -X266850000Y-44280000D03* -D18* -X264350000Y-44280000D03* G04 #@! TA.AperFunction,ComponentPad* G36* G01* @@ -201,7 +191,7 @@ X200775000Y-99474998I0J-249998D01* G01* G37* G04 #@! TD.AperFunction* -D19* +D17* X197460000Y-100000000D03* X194920000Y-100000000D03* X192380000Y-100000000D03* @@ -298,14 +288,6 @@ X126340000Y-94920000D03* X123800000Y-94920000D03* X121260000Y-94920000D03* D15* -X121900000Y-20800000D03* -X121900000Y-23340000D03* -X121900000Y-25880000D03* -X121900000Y-28420000D03* -X121900000Y-30960000D03* -D14* -X121900000Y-33500000D03* -D15* X208740000Y-59210000D03* X208740000Y-61750000D03* X206200000Y-59210000D03* @@ -371,7 +353,9 @@ X132540000Y-61750000D03* X130000000Y-59210000D03* D14* X130000000Y-61750000D03* -D20* +D18* +X265875000Y-51000000D03* +X222740000Y-36800000D03* X234000000Y-34000000D03* X174500000Y-71000000D03* X194880000Y-35760000D03* @@ -446,6 +430,12 @@ X147900000Y-8080000D03* X256000000Y-35315000D03* X256000000Y-14000000D03* X234000000Y-14000000D03* +X125722000Y-33528000D03* +X228670000Y-36800000D03* +X143040000Y-68680000D03* +X268560000Y-51000000D03* +X265875000Y-49425000D03* +X265875000Y-44295000D03* X232000000Y-34000000D03* X161750000Y-73687500D03* X176885673Y-21678535D03* @@ -497,6 +487,7 @@ X137965000Y-75700000D03* X132000000Y-76565000D03* X137410000Y-24400000D03* X134880000Y-24400000D03* +X271800000Y-49380000D03* X226000000Y-34000000D03* X228000000Y-34000000D03* X224000000Y-34000000D03* @@ -517,7 +508,7 @@ X185110000Y-70590000D03* X183710000Y-70850000D03* X261760000Y-65782500D03* X255920000Y-63320000D03* -D21* +D19* X165460000Y-66400000D02* X165560000Y-66500000D01* X170000000Y-64500000D02* @@ -530,10 +521,10 @@ X136140000Y-11242500D02* X136110000Y-11212500D01* X252812500Y-55387500D02* X257550000Y-55387500D01* -D22* +D20* X165560000Y-59210000D02* X165560000Y-56940000D01* -D21* +D19* X148750000Y-73687500D02* X148750000Y-76402500D01* X117220000Y-80800000D02* @@ -548,30 +539,30 @@ X148750000Y-73687500D02* X161750000Y-73687500D01* X172200000Y-24700000D02* X173180000Y-25680000D01* -D22* +D20* X168100000Y-30000000D02* X168100000Y-32800000D01* X173180000Y-39820000D02* X173180000Y-30000000D01* X165560000Y-61750000D02* X165560000Y-65100000D01* -D21* +D19* X156530000Y-19200000D02* X156530000Y-19765685D01* -D22* +D20* X170640000Y-42360000D02* X173180000Y-39820000D01* X170640000Y-59210000D02* X170640000Y-61750000D01* -D21* +D19* X147202500Y-77950000D02* X148750000Y-76402500D01* X248080000Y-63750000D02* X248479999Y-63350001D01* -D22* +D20* X170640000Y-47000000D02* X170640000Y-42360000D01* -D21* +D19* X134927523Y-82100000D02* X136727523Y-83900000D01* X156530000Y-19765685D02* @@ -580,10 +571,10 @@ X162364315Y-25600000D02* X168100000Y-25600000D01* X165610000Y-73700000D02* X165560000Y-73750000D01* -D22* +D20* X165560000Y-59210000D02* X165560000Y-61750000D01* -D21* +D19* X156110359Y-44789641D02* X162500000Y-38400000D01* X145775000Y-44789641D02* @@ -592,10 +583,10 @@ X143500000Y-77950000D02* X147202500Y-77950000D01* X199687500Y-73687500D02* X202830000Y-76830000D01* -D22* +D20* X168100000Y-27460000D02* X168100000Y-30000000D01* -D21* +D19* X169000000Y-24700000D02* X172200000Y-24700000D01* X156530000Y-9200000D02* @@ -624,22 +615,22 @@ X118300000Y-79800000D02* X117300000Y-80800000D01* X117300000Y-80800000D02* X117220000Y-80800000D01* -D22* +D20* X173180000Y-27460000D02* X173180000Y-30000000D01* -D21* +D19* X165497500Y-73687500D02* X165560000Y-73750000D01* X136727523Y-83900000D02* X137550000Y-83900000D01* X137550000Y-83900000D02* X137750000Y-83700000D01* -D22* +D20* X168100000Y-27460000D02* X168100000Y-25600000D01* X170640000Y-59210000D02* X170640000Y-47000000D01* -D21* +D19* X137750000Y-83700000D02* X143500000Y-77950000D01* X188250000Y-73687500D02* @@ -658,26 +649,26 @@ X143500000Y-77950000D02* X143500000Y-85812500D01* X143500000Y-85812500D02* X143787500Y-86100000D01* -D22* +D20* X170640000Y-63860000D02* X170640000Y-61750000D01* -D21* +D19* X136934315Y-90887500D02* X136727523Y-90680708D01* -D22* +D20* X165560000Y-73750000D02* X165560000Y-75510000D01* -D21* +D19* X166000000Y-64500000D02* X165560000Y-64060000D01* X165440000Y-65220000D02* X165560000Y-65100000D01* X165560000Y-75510000D02* X165600000Y-75550000D01* -D22* +D20* X165560000Y-73750000D02* X165560000Y-66500000D01* -D21* +D19* X161750000Y-73687500D02* X165497500Y-73687500D01* X156530000Y-19200000D02* @@ -700,12 +691,12 @@ X248479999Y-59720001D02* X250225000Y-57975000D01* X116500000Y-78000000D02* X118300000Y-79800000D01* -D22* +D20* X165560000Y-65100000D02* X165560000Y-66500000D01* X173180000Y-25680000D02* X173180000Y-27460000D01* -D21* +D19* X162500000Y-38400000D02* X168100000Y-32800000D01* X168100000Y-25600000D02* @@ -766,18 +757,18 @@ X133406596Y-88000000D02* X133421568Y-88014972D01* X220840000Y-91330000D02* X220780000Y-91270000D01* -D23* +D21* X188560000Y-97460000D02* X188560000Y-102810000D01* -D24* +D22* X187300000Y-97460000D02* X188560000Y-97460000D01* X188560000Y-97460000D02* X189840000Y-97460000D01* -D21* +D19* X173212500Y-61782500D02* X173180000Y-61750000D01* -D25* +D23* X266654985Y-5874284D02* X266304284Y-6224985D01* X266028739Y-6637366D01* @@ -877,6 +868,34 @@ X262430723Y-13736612D01* X262465123Y-13740000D01* X272085909Y-13740000D01* X272620001Y-14274092D01* +X272620001Y-48744267D01* +X272603937Y-48720226D01* +X272459774Y-48576063D01* +X272290256Y-48462795D01* +X272101898Y-48384774D01* +X271901939Y-48345000D01* +X271698061Y-48345000D01* +X271498102Y-48384774D01* +X271309744Y-48462795D01* +X271140226Y-48576063D01* +X270996063Y-48720226D01* +X270882795Y-48889744D01* +X270804774Y-49078102D01* +X270765000Y-49278061D01* +X270765000Y-49481939D01* +X270804774Y-49681898D01* +X270882795Y-49870256D01* +X270996063Y-50039774D01* +X271140226Y-50183937D01* +X271309744Y-50297205D01* +X271498102Y-50375226D01* +X271698061Y-50415000D01* +X271901939Y-50415000D01* +X272101898Y-50375226D01* +X272290256Y-50297205D01* +X272459774Y-50183937D01* +X272603937Y-50039774D01* +X272620001Y-50015733D01* X272620001Y-53031509D01* X272574463Y-53001082D01* X272276912Y-52877832D01* @@ -1382,6 +1401,41 @@ X146295226Y-89748102D01* X146217205Y-89559744D01* X146103937Y-89390226D01* X145959774Y-89246063D01* +X145921931Y-89220777D01* +X266362500Y-89220777D01* +X266362500Y-89779223D01* +X266471448Y-90326939D01* +X266685156Y-90842876D01* +X266995412Y-91307207D01* +X267390293Y-91702088D01* +X267854624Y-92012344D01* +X268370561Y-92226052D01* +X268918277Y-92335000D01* +X269476723Y-92335000D01* +X270024439Y-92226052D01* +X270540376Y-92012344D01* +X271004707Y-91702088D01* +X271399588Y-91307207D01* +X271709844Y-90842876D01* +X271923552Y-90326939D01* +X272032500Y-89779223D01* +X272032500Y-89220777D01* +X271923552Y-88673061D01* +X271709844Y-88157124D01* +X271399588Y-87692793D01* +X271004707Y-87297912D01* +X270540376Y-86987656D01* +X270024439Y-86773948D01* +X269476723Y-86665000D01* +X268918277Y-86665000D01* +X268370561Y-86773948D01* +X267854624Y-86987656D01* +X267390293Y-87297912D01* +X266995412Y-87692793D01* +X266685156Y-88157124D01* +X266471448Y-88673061D01* +X266362500Y-89220777D01* +X145921931Y-89220777D01* X145790256Y-89132795D01* X145700317Y-89095541D01* X145701898Y-89095226D01* @@ -2969,7 +3023,21 @@ X134332795Y-70309744D01* X134254774Y-70498102D01* X134215000Y-70698061D01* X113701007Y-70698061D01* -X113699522Y-69388967D01* +X113698601Y-68578061D01* +X142005000Y-68578061D01* +X142005000Y-68781939D01* +X142044774Y-68981898D01* +X142122795Y-69170256D01* +X142236063Y-69339774D01* +X142380226Y-69483937D01* +X142549744Y-69597205D01* +X142738102Y-69675226D01* +X142938061Y-69715000D01* +X143141939Y-69715000D01* +X143341898Y-69675226D01* +X143530256Y-69597205D01* +X143699774Y-69483937D01* +X143794744Y-69388967D01* X265215000Y-69388967D01* X265215000Y-69711033D01* X265277832Y-70026912D01* @@ -3003,7 +3071,28 @@ X265580013Y-68507748D01* X265401082Y-68775537D01* X265277832Y-69073088D01* X265215000Y-69388967D01* -X113699522Y-69388967D01* +X143794744Y-69388967D01* +X143843937Y-69339774D01* +X143957205Y-69170256D01* +X144035226Y-68981898D01* +X144075000Y-68781939D01* +X144075000Y-68578061D01* +X144035226Y-68378102D01* +X143957205Y-68189744D01* +X143843937Y-68020226D01* +X143699774Y-67876063D01* +X143530256Y-67762795D01* +X143341898Y-67684774D01* +X143141939Y-67645000D01* +X142938061Y-67645000D01* +X142738102Y-67684774D01* +X142549744Y-67762795D01* +X142380226Y-67876063D01* +X142236063Y-68020226D01* +X142122795Y-68189744D01* +X142044774Y-68378102D01* +X142005000Y-68578061D01* +X113698601Y-68578061D01* X113697100Y-67255561D01* X263165000Y-67255561D01* X263165000Y-67459439D01* @@ -4573,6 +4662,93 @@ X146120226Y-49898102D01* X146042205Y-49709744D01* X145928937Y-49540226D01* X145784774Y-49396063D01* +X145675519Y-49323061D01* +X264840000Y-49323061D01* +X264840000Y-49526939D01* +X264879774Y-49726898D01* +X264957795Y-49915256D01* +X265071063Y-50084774D01* +X265198789Y-50212500D01* +X265071063Y-50340226D01* +X264957795Y-50509744D01* +X264879774Y-50698102D01* +X264840000Y-50898061D01* +X264840000Y-51101939D01* +X264879774Y-51301898D01* +X264957795Y-51490256D01* +X265071063Y-51659774D01* +X265215226Y-51803937D01* +X265384744Y-51917205D01* +X265573102Y-51995226D01* +X265773061Y-52035000D01* +X265976939Y-52035000D01* +X266176898Y-51995226D01* +X266365256Y-51917205D01* +X266534774Y-51803937D01* +X266678937Y-51659774D01* +X266792205Y-51490256D01* +X266870226Y-51301898D01* +X266910000Y-51101939D01* +X266910000Y-50898061D01* +X267525000Y-50898061D01* +X267525000Y-51101939D01* +X267564774Y-51301898D01* +X267642795Y-51490256D01* +X267756063Y-51659774D01* +X267900226Y-51803937D01* +X268069744Y-51917205D01* +X268258102Y-51995226D01* +X268458061Y-52035000D01* +X268661939Y-52035000D01* +X268861898Y-51995226D01* +X269050256Y-51917205D01* +X269219774Y-51803937D01* +X269363937Y-51659774D01* +X269477205Y-51490256D01* +X269555226Y-51301898D01* +X269595000Y-51101939D01* +X269595000Y-50898061D01* +X269555226Y-50698102D01* +X269477205Y-50509744D01* +X269363937Y-50340226D01* +X269219774Y-50196063D01* +X269050256Y-50082795D01* +X268861898Y-50004774D01* +X268661939Y-49965000D01* +X268458061Y-49965000D01* +X268258102Y-50004774D01* +X268069744Y-50082795D01* +X267900226Y-50196063D01* +X267756063Y-50340226D01* +X267642795Y-50509744D01* +X267564774Y-50698102D01* +X267525000Y-50898061D01* +X266910000Y-50898061D01* +X266870226Y-50698102D01* +X266792205Y-50509744D01* +X266678937Y-50340226D01* +X266551211Y-50212500D01* +X266678937Y-50084774D01* +X266792205Y-49915256D01* +X266870226Y-49726898D01* +X266910000Y-49526939D01* +X266910000Y-49323061D01* +X266870226Y-49123102D01* +X266792205Y-48934744D01* +X266678937Y-48765226D01* +X266534774Y-48621063D01* +X266365256Y-48507795D01* +X266176898Y-48429774D01* +X265976939Y-48390000D01* +X265773061Y-48390000D01* +X265573102Y-48429774D01* +X265384744Y-48507795D01* +X265215226Y-48621063D01* +X265071063Y-48765226D01* +X264957795Y-48934744D01* +X264879774Y-49123102D01* +X264840000Y-49323061D01* +X145675519Y-49323061D01* X145615256Y-49282795D01* X145426898Y-49204774D01* X145226939Y-49165000D01* @@ -4642,41 +4818,6 @@ X140287400Y-47498061D01* X140247626Y-47298102D01* X140169605Y-47109744D01* X140056337Y-46940226D01* -X139926888Y-46810777D01* -X254515000Y-46810777D01* -X254515000Y-47369223D01* -X254623948Y-47916939D01* -X254837656Y-48432876D01* -X255147912Y-48897207D01* -X255542793Y-49292088D01* -X256007124Y-49602344D01* -X256523061Y-49816052D01* -X257070777Y-49925000D01* -X257629223Y-49925000D01* -X258176939Y-49816052D01* -X258692876Y-49602344D01* -X259157207Y-49292088D01* -X259552088Y-48897207D01* -X259862344Y-48432876D01* -X260076052Y-47916939D01* -X260185000Y-47369223D01* -X260185000Y-46810777D01* -X260076052Y-46263061D01* -X259862344Y-45747124D01* -X259552088Y-45282793D01* -X259157207Y-44887912D01* -X258692876Y-44577656D01* -X258176939Y-44363948D01* -X257629223Y-44255000D01* -X257070777Y-44255000D01* -X256523061Y-44363948D01* -X256007124Y-44577656D01* -X255542793Y-44887912D01* -X255147912Y-45282793D01* -X254837656Y-45747124D01* -X254623948Y-46263061D01* -X254515000Y-46810777D01* -X139926888Y-46810777D01* X139912174Y-46796063D01* X139742656Y-46682795D01* X139554298Y-46604774D01* @@ -4725,67 +4866,41 @@ X167902795Y-45269744D01* X167824774Y-45458102D01* X167785000Y-45658061D01* X113672584Y-45658061D01* -X113670860Y-44138665D01* -X262915000Y-44138665D01* -X262915000Y-44421335D01* -X262970147Y-44698574D01* -X263078320Y-44959727D01* -X263235363Y-45194759D01* -X263435241Y-45394637D01* -X263670273Y-45551680D01* -X263931426Y-45659853D01* -X264208665Y-45715000D01* -X264491335Y-45715000D01* -X264768574Y-45659853D01* -X265029727Y-45551680D01* -X265264759Y-45394637D01* -X265431339Y-45228057D01* -X265460498Y-45324180D01* -X265519463Y-45434494D01* -X265598815Y-45531185D01* -X265695506Y-45610537D01* -X265805820Y-45669502D01* -X265925518Y-45705812D01* -X266050000Y-45718072D01* -X267650000Y-45718072D01* -X267774482Y-45705812D01* -X267894180Y-45669502D01* -X268004494Y-45610537D01* -X268101185Y-45531185D01* -X268180537Y-45434494D01* -X268239502Y-45324180D01* -X268275812Y-45204482D01* -X268288072Y-45080000D01* -X268288072Y-43480000D01* -X268275812Y-43355518D01* -X268239502Y-43235820D01* -X268180537Y-43125506D01* -X268101185Y-43028815D01* -X268004494Y-42949463D01* -X267894180Y-42890498D01* -X267774482Y-42854188D01* -X267650000Y-42841928D01* -X266050000Y-42841928D01* -X265925518Y-42854188D01* -X265805820Y-42890498D01* -X265695506Y-42949463D01* -X265598815Y-43028815D01* -X265519463Y-43125506D01* -X265460498Y-43235820D01* -X265431339Y-43331943D01* -X265264759Y-43165363D01* -X265029727Y-43008320D01* -X264768574Y-42900147D01* -X264491335Y-42845000D01* -X264208665Y-42845000D01* -X263931426Y-42900147D01* -X263670273Y-43008320D01* -X263435241Y-43165363D01* -X263235363Y-43365241D01* -X263078320Y-43600273D01* -X262970147Y-43861426D01* -X262915000Y-44138665D01* -X113670860Y-44138665D01* +X113670921Y-44193061D01* +X264840000Y-44193061D01* +X264840000Y-44396939D01* +X264879774Y-44596898D01* +X264957795Y-44785256D01* +X265071063Y-44954774D01* +X265215226Y-45098937D01* +X265384744Y-45212205D01* +X265573102Y-45290226D01* +X265773061Y-45330000D01* +X265976939Y-45330000D01* +X266176898Y-45290226D01* +X266365256Y-45212205D01* +X266534774Y-45098937D01* +X266678937Y-44954774D01* +X266792205Y-44785256D01* +X266870226Y-44596898D01* +X266910000Y-44396939D01* +X266910000Y-44193061D01* +X266870226Y-43993102D01* +X266792205Y-43804744D01* +X266678937Y-43635226D01* +X266534774Y-43491063D01* +X266365256Y-43377795D01* +X266176898Y-43299774D01* +X265976939Y-43260000D01* +X265773061Y-43260000D01* +X265573102Y-43299774D01* +X265384744Y-43377795D01* +X265215226Y-43491063D01* +X265071063Y-43635226D01* +X264957795Y-43804744D01* +X264879774Y-43993102D01* +X264840000Y-44193061D01* +X113670921Y-44193061D01* X113668520Y-42078061D01* X151845000Y-42078061D01* X151845000Y-42281939D01* @@ -4868,6 +4983,75 @@ X194578102Y-36755226D01* X194778061Y-36795000D01* X194981939Y-36795000D01* X195181898Y-36755226D01* +X195319905Y-36698061D01* +X221705000Y-36698061D01* +X221705000Y-36901939D01* +X221744774Y-37101898D01* +X221822795Y-37290256D01* +X221936063Y-37459774D01* +X222080226Y-37603937D01* +X222249744Y-37717205D01* +X222438102Y-37795226D01* +X222638061Y-37835000D01* +X222841939Y-37835000D01* +X223041898Y-37795226D01* +X223230256Y-37717205D01* +X223399774Y-37603937D01* +X223543937Y-37459774D01* +X223657205Y-37290256D01* +X223735226Y-37101898D01* +X223775000Y-36901939D01* +X223775000Y-36698061D01* +X227635000Y-36698061D01* +X227635000Y-36901939D01* +X227674774Y-37101898D01* +X227752795Y-37290256D01* +X227866063Y-37459774D01* +X228010226Y-37603937D01* +X228179744Y-37717205D01* +X228368102Y-37795226D01* +X228568061Y-37835000D01* +X228771939Y-37835000D01* +X228971898Y-37795226D01* +X229160256Y-37717205D01* +X229329774Y-37603937D01* +X229473937Y-37459774D01* +X229587205Y-37290256D01* +X229665226Y-37101898D01* +X229705000Y-36901939D01* +X229705000Y-36698061D01* +X229665226Y-36498102D01* +X229587205Y-36309744D01* +X229473937Y-36140226D01* +X229329774Y-35996063D01* +X229160256Y-35882795D01* +X228971898Y-35804774D01* +X228771939Y-35765000D01* +X228568061Y-35765000D01* +X228368102Y-35804774D01* +X228179744Y-35882795D01* +X228010226Y-35996063D01* +X227866063Y-36140226D01* +X227752795Y-36309744D01* +X227674774Y-36498102D01* +X227635000Y-36698061D01* +X223775000Y-36698061D01* +X223735226Y-36498102D01* +X223657205Y-36309744D01* +X223543937Y-36140226D01* +X223399774Y-35996063D01* +X223230256Y-35882795D01* +X223041898Y-35804774D01* +X222841939Y-35765000D01* +X222638061Y-35765000D01* +X222438102Y-35804774D01* +X222249744Y-35882795D01* +X222080226Y-35996063D01* +X221936063Y-36140226D01* +X221822795Y-36309744D01* +X221744774Y-36498102D01* +X221705000Y-36698061D01* +X195319905Y-36698061D01* X195370256Y-36677205D01* X195539774Y-36563937D01* X195683937Y-36419774D01* @@ -4926,27 +5110,25 @@ X193962795Y-35269744D01* X193884774Y-35458102D01* X193845000Y-35658061D01* X113661233Y-35658061D01* -X113657818Y-32650000D01* -X120411928Y-32650000D01* -X120411928Y-34350000D01* -X120424188Y-34474482D01* -X120460498Y-34594180D01* -X120519463Y-34704494D01* -X120598815Y-34801185D01* -X120695506Y-34880537D01* -X120805820Y-34939502D01* -X120925518Y-34975812D01* -X121050000Y-34988072D01* -X122750000Y-34988072D01* -X122874482Y-34975812D01* -X122994180Y-34939502D01* -X123104494Y-34880537D01* -X123201185Y-34801185D01* -X123280537Y-34704494D01* -X123339502Y-34594180D01* -X123375812Y-34474482D01* -X123388072Y-34350000D01* -X123388072Y-33528061D01* +X113658699Y-33426061D01* +X124687000Y-33426061D01* +X124687000Y-33629939D01* +X124726774Y-33829898D01* +X124804795Y-34018256D01* +X124918063Y-34187774D01* +X125062226Y-34331937D01* +X125231744Y-34445205D01* +X125420102Y-34523226D01* +X125620061Y-34563000D01* +X125823939Y-34563000D01* +X126023898Y-34523226D01* +X126212256Y-34445205D01* +X126381774Y-34331937D01* +X126525937Y-34187774D01* +X126639205Y-34018256D01* +X126717226Y-33829898D01* +X126757000Y-33629939D01* +X126757000Y-33528061D01* X175445000Y-33528061D01* X175445000Y-33731939D01* X175484774Y-33931898D01* @@ -5165,28 +5347,25 @@ X175676063Y-32970226D01* X175562795Y-33139744D01* X175484774Y-33328102D01* X175445000Y-33528061D01* -X123388072Y-33528061D01* -X123388072Y-32650000D01* -X123375812Y-32525518D01* -X123339502Y-32405820D01* -X123280537Y-32295506D01* -X123201185Y-32198815D01* -X123104494Y-32119463D01* -X122994180Y-32060498D01* -X122921620Y-32038487D01* -X123053475Y-31906632D01* -X123215990Y-31663411D01* -X123327932Y-31393158D01* -X123385000Y-31106260D01* -X123385000Y-30813740D01* -X123327932Y-30526842D01* -X123215990Y-30256589D01* -X123053475Y-30013368D01* -X122846632Y-29806525D01* -X122672240Y-29690000D01* -X122846632Y-29573475D01* -X123053475Y-29366632D01* -X123198223Y-29150000D01* +X126757000Y-33528061D01* +X126757000Y-33426061D01* +X126717226Y-33226102D01* +X126639205Y-33037744D01* +X126525937Y-32868226D01* +X126381774Y-32724063D01* +X126212256Y-32610795D01* +X126023898Y-32532774D01* +X125823939Y-32493000D01* +X125620061Y-32493000D01* +X125420102Y-32532774D01* +X125231744Y-32610795D01* +X125062226Y-32724063D01* +X124918063Y-32868226D01* +X124804795Y-33037744D01* +X124726774Y-33226102D01* +X124687000Y-33426061D01* +X113658699Y-33426061D01* +X113653846Y-29150000D01* X128511928Y-29150000D01* X128511928Y-30850000D01* X128524188Y-30974482D01* @@ -6075,29 +6254,8 @@ X128619463Y-28795506D01* X128560498Y-28905820D01* X128524188Y-29025518D01* X128511928Y-29150000D01* -X123198223Y-29150000D01* -X123215990Y-29123411D01* -X123327932Y-28853158D01* -X123385000Y-28566260D01* -X123385000Y-28273740D01* -X123327932Y-27986842D01* -X123215990Y-27716589D01* -X123053475Y-27473368D01* -X122846632Y-27266525D01* -X122672240Y-27150000D01* -X122846632Y-27033475D01* -X123053475Y-26826632D01* -X123215990Y-26583411D01* -X123327932Y-26313158D01* -X123385000Y-26026260D01* -X123385000Y-25733740D01* -X123327932Y-25446842D01* -X123215990Y-25176589D01* -X123053475Y-24933368D01* -X122846632Y-24726525D01* -X122672240Y-24610000D01* -X122846632Y-24493475D01* -X123042046Y-24298061D01* +X113653846Y-29150000D01* +X113648339Y-24298061D01* X133845000Y-24298061D01* X133845000Y-24501939D01* X133884774Y-24701898D01* @@ -6165,95 +6323,7 @@ X134076063Y-23740226D01* X133962795Y-23909744D01* X133884774Y-24098102D01* X133845000Y-24298061D01* -X123042046Y-24298061D01* -X123053475Y-24286632D01* -X123215990Y-24043411D01* -X123327932Y-23773158D01* -X123385000Y-23486260D01* -X123385000Y-23193740D01* -X123327932Y-22906842D01* -X123215990Y-22636589D01* -X123053475Y-22393368D01* -X122846632Y-22186525D01* -X122672240Y-22070000D01* -X122846632Y-21953475D01* -X123053475Y-21746632D01* -X123215990Y-21503411D01* -X123327932Y-21233158D01* -X123385000Y-20946260D01* -X123385000Y-20653740D01* -X123327932Y-20366842D01* -X123215990Y-20096589D01* -X123053475Y-19853368D01* -X122846632Y-19646525D01* -X122603411Y-19484010D01* -X122333158Y-19372068D01* -X122046260Y-19315000D01* -X121753740Y-19315000D01* -X121466842Y-19372068D01* -X121196589Y-19484010D01* -X120953368Y-19646525D01* -X120746525Y-19853368D01* -X120584010Y-20096589D01* -X120472068Y-20366842D01* -X120415000Y-20653740D01* -X120415000Y-20946260D01* -X120472068Y-21233158D01* -X120584010Y-21503411D01* -X120746525Y-21746632D01* -X120953368Y-21953475D01* -X121127760Y-22070000D01* -X120953368Y-22186525D01* -X120746525Y-22393368D01* -X120584010Y-22636589D01* -X120472068Y-22906842D01* -X120415000Y-23193740D01* -X120415000Y-23486260D01* -X120472068Y-23773158D01* -X120584010Y-24043411D01* -X120746525Y-24286632D01* -X120953368Y-24493475D01* -X121127760Y-24610000D01* -X120953368Y-24726525D01* -X120746525Y-24933368D01* -X120584010Y-25176589D01* -X120472068Y-25446842D01* -X120415000Y-25733740D01* -X120415000Y-26026260D01* -X120472068Y-26313158D01* -X120584010Y-26583411D01* -X120746525Y-26826632D01* -X120953368Y-27033475D01* -X121127760Y-27150000D01* -X120953368Y-27266525D01* -X120746525Y-27473368D01* -X120584010Y-27716589D01* -X120472068Y-27986842D01* -X120415000Y-28273740D01* -X120415000Y-28566260D01* -X120472068Y-28853158D01* -X120584010Y-29123411D01* -X120746525Y-29366632D01* -X120953368Y-29573475D01* -X121127760Y-29690000D01* -X120953368Y-29806525D01* -X120746525Y-30013368D01* -X120584010Y-30256589D01* -X120472068Y-30526842D01* -X120415000Y-30813740D01* -X120415000Y-31106260D01* -X120472068Y-31393158D01* -X120584010Y-31663411D01* -X120746525Y-31906632D01* -X120878380Y-32038487D01* -X120805820Y-32060498D01* -X120695506Y-32119463D01* -X120598815Y-32198815D01* -X120519463Y-32295506D01* -X120460498Y-32405820D01* -X120424188Y-32525518D01* -X120411928Y-32650000D01* -X113657818Y-32650000D01* +X113648339Y-24298061D01* X113641188Y-17998061D01* X148520000Y-17998061D01* X148520000Y-18201939D01* @@ -6673,7 +6743,7 @@ X113627352Y-5810000D01* X266751193Y-5810000D01* X266654985Y-5874284D01* G04 #@! TA.AperFunction,Conductor* -D26* +D24* G36* X266654985Y-5874284D02* G01* @@ -6775,6 +6845,34 @@ X262430723Y-13736612D01* X262465123Y-13740000D01* X272085909Y-13740000D01* X272620001Y-14274092D01* +X272620001Y-48744267D01* +X272603937Y-48720226D01* +X272459774Y-48576063D01* +X272290256Y-48462795D01* +X272101898Y-48384774D01* +X271901939Y-48345000D01* +X271698061Y-48345000D01* +X271498102Y-48384774D01* +X271309744Y-48462795D01* +X271140226Y-48576063D01* +X270996063Y-48720226D01* +X270882795Y-48889744D01* +X270804774Y-49078102D01* +X270765000Y-49278061D01* +X270765000Y-49481939D01* +X270804774Y-49681898D01* +X270882795Y-49870256D01* +X270996063Y-50039774D01* +X271140226Y-50183937D01* +X271309744Y-50297205D01* +X271498102Y-50375226D01* +X271698061Y-50415000D01* +X271901939Y-50415000D01* +X272101898Y-50375226D01* +X272290256Y-50297205D01* +X272459774Y-50183937D01* +X272603937Y-50039774D01* +X272620001Y-50015733D01* X272620001Y-53031509D01* X272574463Y-53001082D01* X272276912Y-52877832D01* @@ -7280,6 +7378,41 @@ X146295226Y-89748102D01* X146217205Y-89559744D01* X146103937Y-89390226D01* X145959774Y-89246063D01* +X145921931Y-89220777D01* +X266362500Y-89220777D01* +X266362500Y-89779223D01* +X266471448Y-90326939D01* +X266685156Y-90842876D01* +X266995412Y-91307207D01* +X267390293Y-91702088D01* +X267854624Y-92012344D01* +X268370561Y-92226052D01* +X268918277Y-92335000D01* +X269476723Y-92335000D01* +X270024439Y-92226052D01* +X270540376Y-92012344D01* +X271004707Y-91702088D01* +X271399588Y-91307207D01* +X271709844Y-90842876D01* +X271923552Y-90326939D01* +X272032500Y-89779223D01* +X272032500Y-89220777D01* +X271923552Y-88673061D01* +X271709844Y-88157124D01* +X271399588Y-87692793D01* +X271004707Y-87297912D01* +X270540376Y-86987656D01* +X270024439Y-86773948D01* +X269476723Y-86665000D01* +X268918277Y-86665000D01* +X268370561Y-86773948D01* +X267854624Y-86987656D01* +X267390293Y-87297912D01* +X266995412Y-87692793D01* +X266685156Y-88157124D01* +X266471448Y-88673061D01* +X266362500Y-89220777D01* +X145921931Y-89220777D01* X145790256Y-89132795D01* X145700317Y-89095541D01* X145701898Y-89095226D01* @@ -8867,7 +9000,21 @@ X134332795Y-70309744D01* X134254774Y-70498102D01* X134215000Y-70698061D01* X113701007Y-70698061D01* -X113699522Y-69388967D01* +X113698601Y-68578061D01* +X142005000Y-68578061D01* +X142005000Y-68781939D01* +X142044774Y-68981898D01* +X142122795Y-69170256D01* +X142236063Y-69339774D01* +X142380226Y-69483937D01* +X142549744Y-69597205D01* +X142738102Y-69675226D01* +X142938061Y-69715000D01* +X143141939Y-69715000D01* +X143341898Y-69675226D01* +X143530256Y-69597205D01* +X143699774Y-69483937D01* +X143794744Y-69388967D01* X265215000Y-69388967D01* X265215000Y-69711033D01* X265277832Y-70026912D01* @@ -8901,7 +9048,28 @@ X265580013Y-68507748D01* X265401082Y-68775537D01* X265277832Y-69073088D01* X265215000Y-69388967D01* -X113699522Y-69388967D01* +X143794744Y-69388967D01* +X143843937Y-69339774D01* +X143957205Y-69170256D01* +X144035226Y-68981898D01* +X144075000Y-68781939D01* +X144075000Y-68578061D01* +X144035226Y-68378102D01* +X143957205Y-68189744D01* +X143843937Y-68020226D01* +X143699774Y-67876063D01* +X143530256Y-67762795D01* +X143341898Y-67684774D01* +X143141939Y-67645000D01* +X142938061Y-67645000D01* +X142738102Y-67684774D01* +X142549744Y-67762795D01* +X142380226Y-67876063D01* +X142236063Y-68020226D01* +X142122795Y-68189744D01* +X142044774Y-68378102D01* +X142005000Y-68578061D01* +X113698601Y-68578061D01* X113697100Y-67255561D01* X263165000Y-67255561D01* X263165000Y-67459439D01* @@ -10471,6 +10639,93 @@ X146120226Y-49898102D01* X146042205Y-49709744D01* X145928937Y-49540226D01* X145784774Y-49396063D01* +X145675519Y-49323061D01* +X264840000Y-49323061D01* +X264840000Y-49526939D01* +X264879774Y-49726898D01* +X264957795Y-49915256D01* +X265071063Y-50084774D01* +X265198789Y-50212500D01* +X265071063Y-50340226D01* +X264957795Y-50509744D01* +X264879774Y-50698102D01* +X264840000Y-50898061D01* +X264840000Y-51101939D01* +X264879774Y-51301898D01* +X264957795Y-51490256D01* +X265071063Y-51659774D01* +X265215226Y-51803937D01* +X265384744Y-51917205D01* +X265573102Y-51995226D01* +X265773061Y-52035000D01* +X265976939Y-52035000D01* +X266176898Y-51995226D01* +X266365256Y-51917205D01* +X266534774Y-51803937D01* +X266678937Y-51659774D01* +X266792205Y-51490256D01* +X266870226Y-51301898D01* +X266910000Y-51101939D01* +X266910000Y-50898061D01* +X267525000Y-50898061D01* +X267525000Y-51101939D01* +X267564774Y-51301898D01* +X267642795Y-51490256D01* +X267756063Y-51659774D01* +X267900226Y-51803937D01* +X268069744Y-51917205D01* +X268258102Y-51995226D01* +X268458061Y-52035000D01* +X268661939Y-52035000D01* +X268861898Y-51995226D01* +X269050256Y-51917205D01* +X269219774Y-51803937D01* +X269363937Y-51659774D01* +X269477205Y-51490256D01* +X269555226Y-51301898D01* +X269595000Y-51101939D01* +X269595000Y-50898061D01* +X269555226Y-50698102D01* +X269477205Y-50509744D01* +X269363937Y-50340226D01* +X269219774Y-50196063D01* +X269050256Y-50082795D01* +X268861898Y-50004774D01* +X268661939Y-49965000D01* +X268458061Y-49965000D01* +X268258102Y-50004774D01* +X268069744Y-50082795D01* +X267900226Y-50196063D01* +X267756063Y-50340226D01* +X267642795Y-50509744D01* +X267564774Y-50698102D01* +X267525000Y-50898061D01* +X266910000Y-50898061D01* +X266870226Y-50698102D01* +X266792205Y-50509744D01* +X266678937Y-50340226D01* +X266551211Y-50212500D01* +X266678937Y-50084774D01* +X266792205Y-49915256D01* +X266870226Y-49726898D01* +X266910000Y-49526939D01* +X266910000Y-49323061D01* +X266870226Y-49123102D01* +X266792205Y-48934744D01* +X266678937Y-48765226D01* +X266534774Y-48621063D01* +X266365256Y-48507795D01* +X266176898Y-48429774D01* +X265976939Y-48390000D01* +X265773061Y-48390000D01* +X265573102Y-48429774D01* +X265384744Y-48507795D01* +X265215226Y-48621063D01* +X265071063Y-48765226D01* +X264957795Y-48934744D01* +X264879774Y-49123102D01* +X264840000Y-49323061D01* +X145675519Y-49323061D01* X145615256Y-49282795D01* X145426898Y-49204774D01* X145226939Y-49165000D01* @@ -10540,41 +10795,6 @@ X140287400Y-47498061D01* X140247626Y-47298102D01* X140169605Y-47109744D01* X140056337Y-46940226D01* -X139926888Y-46810777D01* -X254515000Y-46810777D01* -X254515000Y-47369223D01* -X254623948Y-47916939D01* -X254837656Y-48432876D01* -X255147912Y-48897207D01* -X255542793Y-49292088D01* -X256007124Y-49602344D01* -X256523061Y-49816052D01* -X257070777Y-49925000D01* -X257629223Y-49925000D01* -X258176939Y-49816052D01* -X258692876Y-49602344D01* -X259157207Y-49292088D01* -X259552088Y-48897207D01* -X259862344Y-48432876D01* -X260076052Y-47916939D01* -X260185000Y-47369223D01* -X260185000Y-46810777D01* -X260076052Y-46263061D01* -X259862344Y-45747124D01* -X259552088Y-45282793D01* -X259157207Y-44887912D01* -X258692876Y-44577656D01* -X258176939Y-44363948D01* -X257629223Y-44255000D01* -X257070777Y-44255000D01* -X256523061Y-44363948D01* -X256007124Y-44577656D01* -X255542793Y-44887912D01* -X255147912Y-45282793D01* -X254837656Y-45747124D01* -X254623948Y-46263061D01* -X254515000Y-46810777D01* -X139926888Y-46810777D01* X139912174Y-46796063D01* X139742656Y-46682795D01* X139554298Y-46604774D01* @@ -10623,67 +10843,41 @@ X167902795Y-45269744D01* X167824774Y-45458102D01* X167785000Y-45658061D01* X113672584Y-45658061D01* -X113670860Y-44138665D01* -X262915000Y-44138665D01* -X262915000Y-44421335D01* -X262970147Y-44698574D01* -X263078320Y-44959727D01* -X263235363Y-45194759D01* -X263435241Y-45394637D01* -X263670273Y-45551680D01* -X263931426Y-45659853D01* -X264208665Y-45715000D01* -X264491335Y-45715000D01* -X264768574Y-45659853D01* -X265029727Y-45551680D01* -X265264759Y-45394637D01* -X265431339Y-45228057D01* -X265460498Y-45324180D01* -X265519463Y-45434494D01* -X265598815Y-45531185D01* -X265695506Y-45610537D01* -X265805820Y-45669502D01* -X265925518Y-45705812D01* -X266050000Y-45718072D01* -X267650000Y-45718072D01* -X267774482Y-45705812D01* -X267894180Y-45669502D01* -X268004494Y-45610537D01* -X268101185Y-45531185D01* -X268180537Y-45434494D01* -X268239502Y-45324180D01* -X268275812Y-45204482D01* -X268288072Y-45080000D01* -X268288072Y-43480000D01* -X268275812Y-43355518D01* -X268239502Y-43235820D01* -X268180537Y-43125506D01* -X268101185Y-43028815D01* -X268004494Y-42949463D01* -X267894180Y-42890498D01* -X267774482Y-42854188D01* -X267650000Y-42841928D01* -X266050000Y-42841928D01* -X265925518Y-42854188D01* -X265805820Y-42890498D01* -X265695506Y-42949463D01* -X265598815Y-43028815D01* -X265519463Y-43125506D01* -X265460498Y-43235820D01* -X265431339Y-43331943D01* -X265264759Y-43165363D01* -X265029727Y-43008320D01* -X264768574Y-42900147D01* -X264491335Y-42845000D01* -X264208665Y-42845000D01* -X263931426Y-42900147D01* -X263670273Y-43008320D01* -X263435241Y-43165363D01* -X263235363Y-43365241D01* -X263078320Y-43600273D01* -X262970147Y-43861426D01* -X262915000Y-44138665D01* -X113670860Y-44138665D01* +X113670921Y-44193061D01* +X264840000Y-44193061D01* +X264840000Y-44396939D01* +X264879774Y-44596898D01* +X264957795Y-44785256D01* +X265071063Y-44954774D01* +X265215226Y-45098937D01* +X265384744Y-45212205D01* +X265573102Y-45290226D01* +X265773061Y-45330000D01* +X265976939Y-45330000D01* +X266176898Y-45290226D01* +X266365256Y-45212205D01* +X266534774Y-45098937D01* +X266678937Y-44954774D01* +X266792205Y-44785256D01* +X266870226Y-44596898D01* +X266910000Y-44396939D01* +X266910000Y-44193061D01* +X266870226Y-43993102D01* +X266792205Y-43804744D01* +X266678937Y-43635226D01* +X266534774Y-43491063D01* +X266365256Y-43377795D01* +X266176898Y-43299774D01* +X265976939Y-43260000D01* +X265773061Y-43260000D01* +X265573102Y-43299774D01* +X265384744Y-43377795D01* +X265215226Y-43491063D01* +X265071063Y-43635226D01* +X264957795Y-43804744D01* +X264879774Y-43993102D01* +X264840000Y-44193061D01* +X113670921Y-44193061D01* X113668520Y-42078061D01* X151845000Y-42078061D01* X151845000Y-42281939D01* @@ -10766,6 +10960,75 @@ X194578102Y-36755226D01* X194778061Y-36795000D01* X194981939Y-36795000D01* X195181898Y-36755226D01* +X195319905Y-36698061D01* +X221705000Y-36698061D01* +X221705000Y-36901939D01* +X221744774Y-37101898D01* +X221822795Y-37290256D01* +X221936063Y-37459774D01* +X222080226Y-37603937D01* +X222249744Y-37717205D01* +X222438102Y-37795226D01* +X222638061Y-37835000D01* +X222841939Y-37835000D01* +X223041898Y-37795226D01* +X223230256Y-37717205D01* +X223399774Y-37603937D01* +X223543937Y-37459774D01* +X223657205Y-37290256D01* +X223735226Y-37101898D01* +X223775000Y-36901939D01* +X223775000Y-36698061D01* +X227635000Y-36698061D01* +X227635000Y-36901939D01* +X227674774Y-37101898D01* +X227752795Y-37290256D01* +X227866063Y-37459774D01* +X228010226Y-37603937D01* +X228179744Y-37717205D01* +X228368102Y-37795226D01* +X228568061Y-37835000D01* +X228771939Y-37835000D01* +X228971898Y-37795226D01* +X229160256Y-37717205D01* +X229329774Y-37603937D01* +X229473937Y-37459774D01* +X229587205Y-37290256D01* +X229665226Y-37101898D01* +X229705000Y-36901939D01* +X229705000Y-36698061D01* +X229665226Y-36498102D01* +X229587205Y-36309744D01* +X229473937Y-36140226D01* +X229329774Y-35996063D01* +X229160256Y-35882795D01* +X228971898Y-35804774D01* +X228771939Y-35765000D01* +X228568061Y-35765000D01* +X228368102Y-35804774D01* +X228179744Y-35882795D01* +X228010226Y-35996063D01* +X227866063Y-36140226D01* +X227752795Y-36309744D01* +X227674774Y-36498102D01* +X227635000Y-36698061D01* +X223775000Y-36698061D01* +X223735226Y-36498102D01* +X223657205Y-36309744D01* +X223543937Y-36140226D01* +X223399774Y-35996063D01* +X223230256Y-35882795D01* +X223041898Y-35804774D01* +X222841939Y-35765000D01* +X222638061Y-35765000D01* +X222438102Y-35804774D01* +X222249744Y-35882795D01* +X222080226Y-35996063D01* +X221936063Y-36140226D01* +X221822795Y-36309744D01* +X221744774Y-36498102D01* +X221705000Y-36698061D01* +X195319905Y-36698061D01* X195370256Y-36677205D01* X195539774Y-36563937D01* X195683937Y-36419774D01* @@ -10824,27 +11087,25 @@ X193962795Y-35269744D01* X193884774Y-35458102D01* X193845000Y-35658061D01* X113661233Y-35658061D01* -X113657818Y-32650000D01* -X120411928Y-32650000D01* -X120411928Y-34350000D01* -X120424188Y-34474482D01* -X120460498Y-34594180D01* -X120519463Y-34704494D01* -X120598815Y-34801185D01* -X120695506Y-34880537D01* -X120805820Y-34939502D01* -X120925518Y-34975812D01* -X121050000Y-34988072D01* -X122750000Y-34988072D01* -X122874482Y-34975812D01* -X122994180Y-34939502D01* -X123104494Y-34880537D01* -X123201185Y-34801185D01* -X123280537Y-34704494D01* -X123339502Y-34594180D01* -X123375812Y-34474482D01* -X123388072Y-34350000D01* -X123388072Y-33528061D01* +X113658699Y-33426061D01* +X124687000Y-33426061D01* +X124687000Y-33629939D01* +X124726774Y-33829898D01* +X124804795Y-34018256D01* +X124918063Y-34187774D01* +X125062226Y-34331937D01* +X125231744Y-34445205D01* +X125420102Y-34523226D01* +X125620061Y-34563000D01* +X125823939Y-34563000D01* +X126023898Y-34523226D01* +X126212256Y-34445205D01* +X126381774Y-34331937D01* +X126525937Y-34187774D01* +X126639205Y-34018256D01* +X126717226Y-33829898D01* +X126757000Y-33629939D01* +X126757000Y-33528061D01* X175445000Y-33528061D01* X175445000Y-33731939D01* X175484774Y-33931898D01* @@ -11063,28 +11324,25 @@ X175676063Y-32970226D01* X175562795Y-33139744D01* X175484774Y-33328102D01* X175445000Y-33528061D01* -X123388072Y-33528061D01* -X123388072Y-32650000D01* -X123375812Y-32525518D01* -X123339502Y-32405820D01* -X123280537Y-32295506D01* -X123201185Y-32198815D01* -X123104494Y-32119463D01* -X122994180Y-32060498D01* -X122921620Y-32038487D01* -X123053475Y-31906632D01* -X123215990Y-31663411D01* -X123327932Y-31393158D01* -X123385000Y-31106260D01* -X123385000Y-30813740D01* -X123327932Y-30526842D01* -X123215990Y-30256589D01* -X123053475Y-30013368D01* -X122846632Y-29806525D01* -X122672240Y-29690000D01* -X122846632Y-29573475D01* -X123053475Y-29366632D01* -X123198223Y-29150000D01* +X126757000Y-33528061D01* +X126757000Y-33426061D01* +X126717226Y-33226102D01* +X126639205Y-33037744D01* +X126525937Y-32868226D01* +X126381774Y-32724063D01* +X126212256Y-32610795D01* +X126023898Y-32532774D01* +X125823939Y-32493000D01* +X125620061Y-32493000D01* +X125420102Y-32532774D01* +X125231744Y-32610795D01* +X125062226Y-32724063D01* +X124918063Y-32868226D01* +X124804795Y-33037744D01* +X124726774Y-33226102D01* +X124687000Y-33426061D01* +X113658699Y-33426061D01* +X113653846Y-29150000D01* X128511928Y-29150000D01* X128511928Y-30850000D01* X128524188Y-30974482D01* @@ -11973,29 +12231,8 @@ X128619463Y-28795506D01* X128560498Y-28905820D01* X128524188Y-29025518D01* X128511928Y-29150000D01* -X123198223Y-29150000D01* -X123215990Y-29123411D01* -X123327932Y-28853158D01* -X123385000Y-28566260D01* -X123385000Y-28273740D01* -X123327932Y-27986842D01* -X123215990Y-27716589D01* -X123053475Y-27473368D01* -X122846632Y-27266525D01* -X122672240Y-27150000D01* -X122846632Y-27033475D01* -X123053475Y-26826632D01* -X123215990Y-26583411D01* -X123327932Y-26313158D01* -X123385000Y-26026260D01* -X123385000Y-25733740D01* -X123327932Y-25446842D01* -X123215990Y-25176589D01* -X123053475Y-24933368D01* -X122846632Y-24726525D01* -X122672240Y-24610000D01* -X122846632Y-24493475D01* -X123042046Y-24298061D01* +X113653846Y-29150000D01* +X113648339Y-24298061D01* X133845000Y-24298061D01* X133845000Y-24501939D01* X133884774Y-24701898D01* @@ -12063,95 +12300,7 @@ X134076063Y-23740226D01* X133962795Y-23909744D01* X133884774Y-24098102D01* X133845000Y-24298061D01* -X123042046Y-24298061D01* -X123053475Y-24286632D01* -X123215990Y-24043411D01* -X123327932Y-23773158D01* -X123385000Y-23486260D01* -X123385000Y-23193740D01* -X123327932Y-22906842D01* -X123215990Y-22636589D01* -X123053475Y-22393368D01* -X122846632Y-22186525D01* -X122672240Y-22070000D01* -X122846632Y-21953475D01* -X123053475Y-21746632D01* -X123215990Y-21503411D01* -X123327932Y-21233158D01* -X123385000Y-20946260D01* -X123385000Y-20653740D01* -X123327932Y-20366842D01* -X123215990Y-20096589D01* -X123053475Y-19853368D01* -X122846632Y-19646525D01* -X122603411Y-19484010D01* -X122333158Y-19372068D01* -X122046260Y-19315000D01* -X121753740Y-19315000D01* -X121466842Y-19372068D01* -X121196589Y-19484010D01* -X120953368Y-19646525D01* -X120746525Y-19853368D01* -X120584010Y-20096589D01* -X120472068Y-20366842D01* -X120415000Y-20653740D01* -X120415000Y-20946260D01* -X120472068Y-21233158D01* -X120584010Y-21503411D01* -X120746525Y-21746632D01* -X120953368Y-21953475D01* -X121127760Y-22070000D01* -X120953368Y-22186525D01* -X120746525Y-22393368D01* -X120584010Y-22636589D01* -X120472068Y-22906842D01* -X120415000Y-23193740D01* -X120415000Y-23486260D01* -X120472068Y-23773158D01* -X120584010Y-24043411D01* -X120746525Y-24286632D01* -X120953368Y-24493475D01* -X121127760Y-24610000D01* -X120953368Y-24726525D01* -X120746525Y-24933368D01* -X120584010Y-25176589D01* -X120472068Y-25446842D01* -X120415000Y-25733740D01* -X120415000Y-26026260D01* -X120472068Y-26313158D01* -X120584010Y-26583411D01* -X120746525Y-26826632D01* -X120953368Y-27033475D01* -X121127760Y-27150000D01* -X120953368Y-27266525D01* -X120746525Y-27473368D01* -X120584010Y-27716589D01* -X120472068Y-27986842D01* -X120415000Y-28273740D01* -X120415000Y-28566260D01* -X120472068Y-28853158D01* -X120584010Y-29123411D01* -X120746525Y-29366632D01* -X120953368Y-29573475D01* -X121127760Y-29690000D01* -X120953368Y-29806525D01* -X120746525Y-30013368D01* -X120584010Y-30256589D01* -X120472068Y-30526842D01* -X120415000Y-30813740D01* -X120415000Y-31106260D01* -X120472068Y-31393158D01* -X120584010Y-31663411D01* -X120746525Y-31906632D01* -X120878380Y-32038487D01* -X120805820Y-32060498D01* -X120695506Y-32119463D01* -X120598815Y-32198815D01* -X120519463Y-32295506D01* -X120460498Y-32405820D01* -X120424188Y-32525518D01* -X120411928Y-32650000D01* -X113657818Y-32650000D01* +X113648339Y-24298061D01* X113641188Y-17998061D01* X148520000Y-17998061D01* X148520000Y-18201939D01* diff --git a/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps b/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps index 3503aab..6db7ca4 100644 --- a/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps +++ b/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps @@ -1,6 +1,6 @@ %!PS-Adobe-3.0 %%Creator: PCBNEW -%%CreationDate: Sat Nov 12 12:42:54 2022 +%%CreationDate: Sun Nov 13 14:05:09 2022 %%Title: /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps %%Pages: 1 %%PageOrder: Ascend diff --git a/nubus-to-ztex/nubus-to-ztex-NPTH.drl b/nubus-to-ztex/nubus-to-ztex-NPTH.drl index 551c256..0a7ea37 100644 --- a/nubus-to-ztex/nubus-to-ztex-NPTH.drl +++ b/nubus-to-ztex/nubus-to-ztex-NPTH.drl @@ -1,7 +1,7 @@ M48 -; DRILL file {KiCad 5.1.9+dfsg1-1~bpo10+1} date Sat Nov 12 12:42:55 2022 +; DRILL file {KiCad 5.1.9+dfsg1-1~bpo10+1} date Sun Nov 13 14:05:10 2022 ; FORMAT={-:-/ absolute / inch / decimal} -; #@! TF.CreationDate,2022-11-12T12:42:55+01:00 +; #@! TF.CreationDate,2022-11-13T14:05:10+01:00 ; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.9+dfsg1-1~bpo10+1 ; #@! TF.FileFunction,NonPlated,1,4,NPTH FMAT,2 diff --git a/nubus-to-ztex/nubus-to-ztex-PTH-drl_map.ps b/nubus-to-ztex/nubus-to-ztex-PTH-drl_map.ps index 80b03b9..791cfaf 100644 --- a/nubus-to-ztex/nubus-to-ztex-PTH-drl_map.ps +++ b/nubus-to-ztex/nubus-to-ztex-PTH-drl_map.ps @@ -1,6 +1,6 @@ %!PS-Adobe-3.0 %%Creator: PCBNEW -%%CreationDate: Sat Nov 12 12:42:54 2022 +%%CreationDate: Sun Nov 13 14:05:09 2022 %%Title: /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex-PTH-drl_map.ps %%Pages: 1 %%PageOrder: Ascend @@ -291,6 +291,14 @@ newpath 30262.9 49119.1 lineto stroke newpath +30536.2 66946.4 moveto +30702.9 66779.6 lineto +stroke +newpath +30702.9 66946.4 moveto +30536.2 66779.6 lineto +stroke +newpath 30771.9 46701.6 moveto 30938.6 46534.9 lineto stroke @@ -523,6 +531,14 @@ newpath 37445.9 53328.7 lineto stroke newpath +37754.3 52295.1 moveto +37921 52128.4 lineto +stroke +newpath +37921 52295.1 moveto +37754.3 52128.4 lineto +stroke +newpath 38065.9 45034.5 moveto 38232.6 44867.7 lineto stroke @@ -1043,6 +1059,14 @@ newpath 70181.2 39920.3 lineto stroke newpath +70973.1 65582.6 moveto +71139.8 65415.9 lineto +stroke +newpath +71139.8 65582.6 moveto +70973.1 65415.9 lineto +stroke +newpath 71498.3 66749.6 moveto 71665 66582.9 lineto stroke @@ -1075,6 +1099,14 @@ newpath 73203 55642 lineto stroke newpath +73444.7 65582.6 moveto +73611.4 65415.9 lineto +stroke +newpath +73611.4 65582.6 moveto +73444.7 65415.9 lineto +stroke +newpath 73999.1 66749.6 moveto 74165.8 66582.9 lineto stroke @@ -1267,6 +1299,30 @@ newpath 88253.6 52679.6 lineto stroke newpath +88951.7 62458.7 moveto +89118.4 62292 lineto +stroke +newpath +89118.4 62458.7 moveto +88951.7 62292 lineto +stroke +newpath +88951.7 60320.5 moveto +89118.4 60153.8 lineto +stroke +newpath +89118.4 60320.5 moveto +88951.7 60153.8 lineto +stroke +newpath +88951.7 59664.1 moveto +89118.4 59497.3 lineto +stroke +newpath +89118.4 59664.1 moveto +88951.7 59497.3 lineto +stroke +newpath 89720.7 48752.3 moveto 89887.4 48585.6 lineto stroke @@ -1306,6 +1362,22 @@ newpath 90004.1 53599.7 moveto 89837.4 53432.9 lineto stroke +newpath +90070.8 59664.1 moveto +90237.5 59497.3 lineto +stroke +newpath +90237.5 59664.1 moveto +90070.8 59497.3 lineto +stroke +newpath +91421.2 60339.3 moveto +91587.9 60172.6 lineto +stroke +newpath +91587.9 60339.3 moveto +91421.2 60172.6 lineto +stroke 91545.2 49340 125.039 cir0 newpath 91399.3 49254.3 moveto @@ -1329,2809 +1401,1589 @@ stroke 91399.3 46422.4 85.6695 450 630 arc0 91691.1 46422.4 85.6695 270 450 arc0 newpath -88399.4 62548.3 moveto -88399.4 62214.9 lineto +90419.9 49100.3 moveto +90419.9 48746 lineto stroke newpath -88232.7 62381.6 moveto -88566.2 62381.6 lineto +90242.7 48923.2 moveto +90597 48923.2 lineto stroke newpath -89441.4 62548.3 moveto -89441.4 62214.9 lineto +90419.9 47016.3 moveto +90419.9 46662 lineto stroke newpath -89274.7 62381.6 moveto -89608.1 62381.6 lineto +90242.7 46839.2 moveto +90597 46839.2 lineto stroke newpath -90545.1 48797.9 moveto -90545.1 49048.4 lineto -90294.6 49048.4 lineto -90294.6 48797.9 lineto -90545.1 48797.9 lineto +28907.2 41127.6 moveto +28907.2 41422.3 lineto +28612.4 41422.3 lineto +28612.4 41127.6 lineto +28907.2 41127.6 lineto poly0 newpath -90545.1 46713.9 moveto -90545.1 46964.4 lineto -90294.6 46964.4 lineto -90294.6 46713.9 lineto -90545.1 46713.9 lineto +28907.2 40068.9 moveto +28907.2 40363.6 lineto +28612.4 40363.6 lineto +28612.4 40068.9 lineto +28907.2 40068.9 lineto poly0 newpath -28759.8 41066.5 moveto -28968.2 41274.9 lineto -28759.8 41483.3 lineto -28551.4 41274.9 lineto -28759.8 41066.5 lineto +28907.2 39010.2 moveto +28907.2 39305 lineto +28612.4 39305 lineto +28612.4 39010.2 lineto +28907.2 39010.2 lineto poly0 newpath -28759.8 40007.9 moveto -28968.2 40216.3 lineto -28759.8 40424.7 lineto -28551.4 40216.3 lineto -28759.8 40007.9 lineto +29965.8 41127.6 moveto +29965.8 41422.3 lineto +29671.1 41422.3 lineto +29671.1 41127.6 lineto +29965.8 41127.6 lineto poly0 newpath -28759.8 38949.2 moveto -28968.2 39157.6 lineto -28759.8 39366 lineto -28551.4 39157.6 lineto -28759.8 38949.2 lineto +29965.8 40068.9 moveto +29965.8 40363.6 lineto +29671.1 40363.6 lineto +29671.1 40068.9 lineto +29965.8 40068.9 lineto poly0 newpath -29818.5 41066.5 moveto -30026.9 41274.9 lineto -29818.5 41483.3 lineto -29610.1 41274.9 lineto -29818.5 41066.5 lineto +29965.8 39010.2 moveto +29965.8 39305 lineto +29671.1 39305 lineto +29671.1 39010.2 lineto +29965.8 39010.2 lineto poly0 newpath -29818.5 40007.9 moveto -30026.9 40216.3 lineto -29818.5 40424.7 lineto -29610.1 40216.3 lineto -29818.5 40007.9 lineto +31024.5 41127.6 moveto +31024.5 41422.3 lineto +30729.8 41422.3 lineto +30729.8 41127.6 lineto +31024.5 41127.6 lineto poly0 newpath -29818.5 38949.2 moveto -30026.9 39157.6 lineto -29818.5 39366 lineto -29610.1 39157.6 lineto -29818.5 38949.2 lineto +31024.5 40068.9 moveto +31024.5 40363.6 lineto +30729.8 40363.6 lineto +30729.8 40068.9 lineto +31024.5 40068.9 lineto poly0 newpath -30877.1 41066.5 moveto -31085.5 41274.9 lineto -30877.1 41483.3 lineto -30668.7 41274.9 lineto -30877.1 41066.5 lineto +31024.5 39010.2 moveto +31024.5 39305 lineto +30729.8 39305 lineto +30729.8 39010.2 lineto +31024.5 39010.2 lineto poly0 newpath -30877.1 40007.9 moveto -31085.5 40216.3 lineto -30877.1 40424.7 lineto -30668.7 40216.3 lineto -30877.1 40007.9 lineto +32083.2 41127.6 moveto +32083.2 41422.3 lineto +31788.4 41422.3 lineto +31788.4 41127.6 lineto +32083.2 41127.6 lineto poly0 newpath -30877.1 38949.2 moveto -31085.5 39157.6 lineto -30877.1 39366 lineto -30668.7 39157.6 lineto -30877.1 38949.2 lineto +32083.2 40068.9 moveto +32083.2 40363.6 lineto +31788.4 40363.6 lineto +31788.4 40068.9 lineto +32083.2 40068.9 lineto poly0 newpath -31935.8 41066.5 moveto -32144.2 41274.9 lineto -31935.8 41483.3 lineto -31727.4 41274.9 lineto -31935.8 41066.5 lineto +32083.2 39010.2 moveto +32083.2 39305 lineto +31788.4 39305 lineto +31788.4 39010.2 lineto +32083.2 39010.2 lineto poly0 newpath -31935.8 40007.9 moveto -32144.2 40216.3 lineto -31935.8 40424.7 lineto -31727.4 40216.3 lineto -31935.8 40007.9 lineto +33141.8 41127.6 moveto +33141.8 41422.3 lineto +32847.1 41422.3 lineto +32847.1 41127.6 lineto +33141.8 41127.6 lineto poly0 newpath -31935.8 38949.2 moveto -32144.2 39157.6 lineto -31935.8 39366 lineto -31727.4 39157.6 lineto -31935.8 38949.2 lineto +33141.8 40068.9 moveto +33141.8 40363.6 lineto +32847.1 40363.6 lineto +32847.1 40068.9 lineto +33141.8 40068.9 lineto poly0 newpath -32994.5 41066.5 moveto -33202.9 41274.9 lineto -32994.5 41483.3 lineto -32786.1 41274.9 lineto -32994.5 41066.5 lineto +33141.8 39010.2 moveto +33141.8 39305 lineto +32847.1 39305 lineto +32847.1 39010.2 lineto +33141.8 39010.2 lineto poly0 newpath -32994.5 40007.9 moveto -33202.9 40216.3 lineto -32994.5 40424.7 lineto -32786.1 40216.3 lineto -32994.5 40007.9 lineto +34200.5 41127.6 moveto +34200.5 41422.3 lineto +33905.8 41422.3 lineto +33905.8 41127.6 lineto +34200.5 41127.6 lineto poly0 newpath -32994.5 38949.2 moveto -33202.9 39157.6 lineto -32994.5 39366 lineto -32786.1 39157.6 lineto -32994.5 38949.2 lineto +34200.5 40068.9 moveto +34200.5 40363.6 lineto +33905.8 40363.6 lineto +33905.8 40068.9 lineto +34200.5 40068.9 lineto poly0 newpath -34053.1 41066.5 moveto -34261.5 41274.9 lineto -34053.1 41483.3 lineto -33844.7 41274.9 lineto -34053.1 41066.5 lineto +34200.5 39010.2 moveto +34200.5 39305 lineto +33905.8 39305 lineto +33905.8 39010.2 lineto +34200.5 39010.2 lineto poly0 newpath -34053.1 40007.9 moveto -34261.5 40216.3 lineto -34053.1 40424.7 lineto -33844.7 40216.3 lineto -34053.1 40007.9 lineto +35259.2 41127.6 moveto +35259.2 41422.3 lineto +34964.4 41422.3 lineto +34964.4 41127.6 lineto +35259.2 41127.6 lineto poly0 newpath -34053.1 38949.2 moveto -34261.5 39157.6 lineto -34053.1 39366 lineto -33844.7 39157.6 lineto -34053.1 38949.2 lineto +35259.2 40068.9 moveto +35259.2 40363.6 lineto +34964.4 40363.6 lineto +34964.4 40068.9 lineto +35259.2 40068.9 lineto poly0 newpath -35111.8 41066.5 moveto -35320.2 41274.9 lineto -35111.8 41483.3 lineto -34903.4 41274.9 lineto -35111.8 41066.5 lineto +35259.2 39010.2 moveto +35259.2 39305 lineto +34964.4 39305 lineto +34964.4 39010.2 lineto +35259.2 39010.2 lineto poly0 newpath -35111.8 40007.9 moveto -35320.2 40216.3 lineto -35111.8 40424.7 lineto -34903.4 40216.3 lineto -35111.8 40007.9 lineto +36317.8 41127.6 moveto +36317.8 41422.3 lineto +36023.1 41422.3 lineto +36023.1 41127.6 lineto +36317.8 41127.6 lineto poly0 newpath -35111.8 38949.2 moveto -35320.2 39157.6 lineto -35111.8 39366 lineto -34903.4 39157.6 lineto -35111.8 38949.2 lineto +36317.8 40068.9 moveto +36317.8 40363.6 lineto +36023.1 40363.6 lineto +36023.1 40068.9 lineto +36317.8 40068.9 lineto poly0 newpath -36170.5 41066.5 moveto -36378.9 41274.9 lineto -36170.5 41483.3 lineto -35962.1 41274.9 lineto -36170.5 41066.5 lineto +36317.8 39010.2 moveto +36317.8 39305 lineto +36023.1 39305 lineto +36023.1 39010.2 lineto +36317.8 39010.2 lineto poly0 newpath -36170.5 40007.9 moveto -36378.9 40216.3 lineto -36170.5 40424.7 lineto -35962.1 40216.3 lineto -36170.5 40007.9 lineto +37376.5 41127.6 moveto +37376.5 41422.3 lineto +37081.8 41422.3 lineto +37081.8 41127.6 lineto +37376.5 41127.6 lineto poly0 newpath -36170.5 38949.2 moveto -36378.9 39157.6 lineto -36170.5 39366 lineto -35962.1 39157.6 lineto -36170.5 38949.2 lineto +37376.5 40068.9 moveto +37376.5 40363.6 lineto +37081.8 40363.6 lineto +37081.8 40068.9 lineto +37376.5 40068.9 lineto poly0 newpath -37229.1 41066.5 moveto -37437.5 41274.9 lineto -37229.1 41483.3 lineto -37020.7 41274.9 lineto -37229.1 41066.5 lineto +37376.5 39010.2 moveto +37376.5 39305 lineto +37081.8 39305 lineto +37081.8 39010.2 lineto +37376.5 39010.2 lineto poly0 newpath -37229.1 40007.9 moveto -37437.5 40216.3 lineto -37229.1 40424.7 lineto -37020.7 40216.3 lineto -37229.1 40007.9 lineto +38435.2 41127.6 moveto +38435.2 41422.3 lineto +38140.4 41422.3 lineto +38140.4 41127.6 lineto +38435.2 41127.6 lineto poly0 newpath -37229.1 38949.2 moveto -37437.5 39157.6 lineto -37229.1 39366 lineto -37020.7 39157.6 lineto -37229.1 38949.2 lineto +38435.2 40068.9 moveto +38435.2 40363.6 lineto +38140.4 40363.6 lineto +38140.4 40068.9 lineto +38435.2 40068.9 lineto poly0 newpath -38287.8 41066.5 moveto -38496.2 41274.9 lineto -38287.8 41483.3 lineto -38079.4 41274.9 lineto -38287.8 41066.5 lineto +38435.2 39010.2 moveto +38435.2 39305 lineto +38140.4 39305 lineto +38140.4 39010.2 lineto +38435.2 39010.2 lineto poly0 newpath -38287.8 40007.9 moveto -38496.2 40216.3 lineto -38287.8 40424.7 lineto -38079.4 40216.3 lineto -38287.8 40007.9 lineto +39493.8 41127.6 moveto +39493.8 41422.3 lineto +39199.1 41422.3 lineto +39199.1 41127.6 lineto +39493.8 41127.6 lineto poly0 newpath -38287.8 38949.2 moveto -38496.2 39157.6 lineto -38287.8 39366 lineto -38079.4 39157.6 lineto -38287.8 38949.2 lineto +39493.8 40068.9 moveto +39493.8 40363.6 lineto +39199.1 40363.6 lineto +39199.1 40068.9 lineto +39493.8 40068.9 lineto poly0 newpath -39346.5 41066.5 moveto -39554.9 41274.9 lineto -39346.5 41483.3 lineto -39138.1 41274.9 lineto -39346.5 41066.5 lineto +39493.8 39010.2 moveto +39493.8 39305 lineto +39199.1 39305 lineto +39199.1 39010.2 lineto +39493.8 39010.2 lineto poly0 newpath -39346.5 40007.9 moveto -39554.9 40216.3 lineto -39346.5 40424.7 lineto -39138.1 40216.3 lineto -39346.5 40007.9 lineto +40552.5 41127.6 moveto +40552.5 41422.3 lineto +40257.8 41422.3 lineto +40257.8 41127.6 lineto +40552.5 41127.6 lineto poly0 newpath -39346.5 38949.2 moveto -39554.9 39157.6 lineto -39346.5 39366 lineto -39138.1 39157.6 lineto -39346.5 38949.2 lineto +40552.5 40068.9 moveto +40552.5 40363.6 lineto +40257.8 40363.6 lineto +40257.8 40068.9 lineto +40552.5 40068.9 lineto poly0 newpath -40405.1 41066.5 moveto -40613.5 41274.9 lineto -40405.1 41483.3 lineto -40196.7 41274.9 lineto -40405.1 41066.5 lineto +40552.5 39010.2 moveto +40552.5 39305 lineto +40257.8 39305 lineto +40257.8 39010.2 lineto +40552.5 39010.2 lineto poly0 newpath -40405.1 40007.9 moveto -40613.5 40216.3 lineto -40405.1 40424.7 lineto -40196.7 40216.3 lineto -40405.1 40007.9 lineto +41611.2 41127.6 moveto +41611.2 41422.3 lineto +41316.4 41422.3 lineto +41316.4 41127.6 lineto +41611.2 41127.6 lineto poly0 newpath -40405.1 38949.2 moveto -40613.5 39157.6 lineto -40405.1 39366 lineto -40196.7 39157.6 lineto -40405.1 38949.2 lineto +41611.2 40068.9 moveto +41611.2 40363.6 lineto +41316.4 40363.6 lineto +41316.4 40068.9 lineto +41611.2 40068.9 lineto poly0 newpath -41463.8 41066.5 moveto -41672.2 41274.9 lineto -41463.8 41483.3 lineto -41255.4 41274.9 lineto -41463.8 41066.5 lineto +41611.2 39010.2 moveto +41611.2 39305 lineto +41316.4 39305 lineto +41316.4 39010.2 lineto +41611.2 39010.2 lineto poly0 newpath -41463.8 40007.9 moveto -41672.2 40216.3 lineto -41463.8 40424.7 lineto -41255.4 40216.3 lineto -41463.8 40007.9 lineto +42669.8 41127.6 moveto +42669.8 41422.3 lineto +42375.1 41422.3 lineto +42375.1 41127.6 lineto +42669.8 41127.6 lineto poly0 newpath -41463.8 38949.2 moveto -41672.2 39157.6 lineto -41463.8 39366 lineto -41255.4 39157.6 lineto -41463.8 38949.2 lineto +42669.8 40068.9 moveto +42669.8 40363.6 lineto +42375.1 40363.6 lineto +42375.1 40068.9 lineto +42669.8 40068.9 lineto poly0 newpath -42522.5 41066.5 moveto -42730.9 41274.9 lineto -42522.5 41483.3 lineto -42314.1 41274.9 lineto -42522.5 41066.5 lineto +42669.8 39010.2 moveto +42669.8 39305 lineto +42375.1 39305 lineto +42375.1 39010.2 lineto +42669.8 39010.2 lineto poly0 newpath -42522.5 40007.9 moveto -42730.9 40216.3 lineto -42522.5 40424.7 lineto -42314.1 40216.3 lineto -42522.5 40007.9 lineto +43728.5 41127.6 moveto +43728.5 41422.3 lineto +43433.8 41422.3 lineto +43433.8 41127.6 lineto +43728.5 41127.6 lineto poly0 newpath -42522.5 38949.2 moveto -42730.9 39157.6 lineto -42522.5 39366 lineto -42314.1 39157.6 lineto -42522.5 38949.2 lineto +43728.5 40068.9 moveto +43728.5 40363.6 lineto +43433.8 40363.6 lineto +43433.8 40068.9 lineto +43728.5 40068.9 lineto poly0 newpath -43581.1 41066.5 moveto -43789.5 41274.9 lineto -43581.1 41483.3 lineto -43372.7 41274.9 lineto -43581.1 41066.5 lineto +43728.5 39010.2 moveto +43728.5 39305 lineto +43433.8 39305 lineto +43433.8 39010.2 lineto +43728.5 39010.2 lineto poly0 newpath -43581.1 40007.9 moveto -43789.5 40216.3 lineto -43581.1 40424.7 lineto -43372.7 40216.3 lineto -43581.1 40007.9 lineto +44787.2 41127.6 moveto +44787.2 41422.3 lineto +44492.4 41422.3 lineto +44492.4 41127.6 lineto +44787.2 41127.6 lineto poly0 newpath -43581.1 38949.2 moveto -43789.5 39157.6 lineto -43581.1 39366 lineto -43372.7 39157.6 lineto -43581.1 38949.2 lineto +44787.2 40068.9 moveto +44787.2 40363.6 lineto +44492.4 40363.6 lineto +44492.4 40068.9 lineto +44787.2 40068.9 lineto poly0 newpath -44639.8 41066.5 moveto -44848.2 41274.9 lineto -44639.8 41483.3 lineto -44431.4 41274.9 lineto -44639.8 41066.5 lineto +44787.2 39010.2 moveto +44787.2 39305 lineto +44492.4 39305 lineto +44492.4 39010.2 lineto +44787.2 39010.2 lineto poly0 newpath -44639.8 40007.9 moveto -44848.2 40216.3 lineto -44639.8 40424.7 lineto -44431.4 40216.3 lineto -44639.8 40007.9 lineto +45845.8 41127.6 moveto +45845.8 41422.3 lineto +45551.1 41422.3 lineto +45551.1 41127.6 lineto +45845.8 41127.6 lineto poly0 newpath -44639.8 38949.2 moveto -44848.2 39157.6 lineto -44639.8 39366 lineto -44431.4 39157.6 lineto -44639.8 38949.2 lineto +45845.8 40068.9 moveto +45845.8 40363.6 lineto +45551.1 40363.6 lineto +45551.1 40068.9 lineto +45845.8 40068.9 lineto poly0 newpath -45698.5 41066.5 moveto -45906.9 41274.9 lineto -45698.5 41483.3 lineto -45490.1 41274.9 lineto -45698.5 41066.5 lineto +45845.8 39010.2 moveto +45845.8 39305 lineto +45551.1 39305 lineto +45551.1 39010.2 lineto +45845.8 39010.2 lineto poly0 newpath -45698.5 40007.9 moveto -45906.9 40216.3 lineto -45698.5 40424.7 lineto -45490.1 40216.3 lineto -45698.5 40007.9 lineto +46904.5 41127.6 moveto +46904.5 41422.3 lineto +46609.8 41422.3 lineto +46609.8 41127.6 lineto +46904.5 41127.6 lineto poly0 newpath -45698.5 38949.2 moveto -45906.9 39157.6 lineto -45698.5 39366 lineto -45490.1 39157.6 lineto -45698.5 38949.2 lineto +46904.5 40068.9 moveto +46904.5 40363.6 lineto +46609.8 40363.6 lineto +46609.8 40068.9 lineto +46904.5 40068.9 lineto poly0 newpath -46757.1 41066.5 moveto -46965.5 41274.9 lineto -46757.1 41483.3 lineto -46548.7 41274.9 lineto -46757.1 41066.5 lineto +46904.5 39010.2 moveto +46904.5 39305 lineto +46609.8 39305 lineto +46609.8 39010.2 lineto +46904.5 39010.2 lineto poly0 newpath -46757.1 40007.9 moveto -46965.5 40216.3 lineto -46757.1 40424.7 lineto -46548.7 40216.3 lineto -46757.1 40007.9 lineto +47963.2 41127.6 moveto +47963.2 41422.3 lineto +47668.4 41422.3 lineto +47668.4 41127.6 lineto +47963.2 41127.6 lineto poly0 newpath -46757.1 38949.2 moveto -46965.5 39157.6 lineto -46757.1 39366 lineto -46548.7 39157.6 lineto -46757.1 38949.2 lineto +47963.2 40068.9 moveto +47963.2 40363.6 lineto +47668.4 40363.6 lineto +47668.4 40068.9 lineto +47963.2 40068.9 lineto poly0 newpath -47815.8 41066.5 moveto -48024.2 41274.9 lineto -47815.8 41483.3 lineto -47607.4 41274.9 lineto -47815.8 41066.5 lineto +47963.2 39010.2 moveto +47963.2 39305 lineto +47668.4 39305 lineto +47668.4 39010.2 lineto +47963.2 39010.2 lineto poly0 newpath -47815.8 40007.9 moveto -48024.2 40216.3 lineto -47815.8 40424.7 lineto -47607.4 40216.3 lineto -47815.8 40007.9 lineto +49021.8 41127.6 moveto +49021.8 41422.3 lineto +48727.1 41422.3 lineto +48727.1 41127.6 lineto +49021.8 41127.6 lineto poly0 newpath -47815.8 38949.2 moveto -48024.2 39157.6 lineto -47815.8 39366 lineto -47607.4 39157.6 lineto -47815.8 38949.2 lineto +49021.8 40068.9 moveto +49021.8 40363.6 lineto +48727.1 40363.6 lineto +48727.1 40068.9 lineto +49021.8 40068.9 lineto poly0 newpath -48874.5 41066.5 moveto -49082.9 41274.9 lineto -48874.5 41483.3 lineto -48666.1 41274.9 lineto -48874.5 41066.5 lineto +49021.8 39010.2 moveto +49021.8 39305 lineto +48727.1 39305 lineto +48727.1 39010.2 lineto +49021.8 39010.2 lineto poly0 newpath -48874.5 40007.9 moveto -49082.9 40216.3 lineto -48874.5 40424.7 lineto -48666.1 40216.3 lineto -48874.5 40007.9 lineto +50080.5 41127.6 moveto +50080.5 41422.3 lineto +49785.8 41422.3 lineto +49785.8 41127.6 lineto +50080.5 41127.6 lineto poly0 newpath -48874.5 38949.2 moveto -49082.9 39157.6 lineto -48874.5 39366 lineto -48666.1 39157.6 lineto -48874.5 38949.2 lineto +50080.5 40068.9 moveto +50080.5 40363.6 lineto +49785.8 40363.6 lineto +49785.8 40068.9 lineto +50080.5 40068.9 lineto poly0 newpath -49933.1 41066.5 moveto -50141.5 41274.9 lineto -49933.1 41483.3 lineto -49724.7 41274.9 lineto -49933.1 41066.5 lineto +50080.5 39010.2 moveto +50080.5 39305 lineto +49785.8 39305 lineto +49785.8 39010.2 lineto +50080.5 39010.2 lineto poly0 newpath -49933.1 40007.9 moveto -50141.5 40216.3 lineto -49933.1 40424.7 lineto -49724.7 40216.3 lineto -49933.1 40007.9 lineto +51139.2 41127.6 moveto +51139.2 41422.3 lineto +50844.4 41422.3 lineto +50844.4 41127.6 lineto +51139.2 41127.6 lineto poly0 newpath -49933.1 38949.2 moveto -50141.5 39157.6 lineto -49933.1 39366 lineto -49724.7 39157.6 lineto -49933.1 38949.2 lineto +51139.2 40068.9 moveto +51139.2 40363.6 lineto +50844.4 40363.6 lineto +50844.4 40068.9 lineto +51139.2 40068.9 lineto poly0 newpath -50991.8 41066.5 moveto -51200.2 41274.9 lineto -50991.8 41483.3 lineto -50783.4 41274.9 lineto -50991.8 41066.5 lineto +51139.2 39010.2 moveto +51139.2 39305 lineto +50844.4 39305 lineto +50844.4 39010.2 lineto +51139.2 39010.2 lineto poly0 newpath -50991.8 40007.9 moveto -51200.2 40216.3 lineto -50991.8 40424.7 lineto -50783.4 40216.3 lineto -50991.8 40007.9 lineto +52197.8 41127.6 moveto +52197.8 41422.3 lineto +51903.1 41422.3 lineto +51903.1 41127.6 lineto +52197.8 41127.6 lineto poly0 newpath -50991.8 38949.2 moveto -51200.2 39157.6 lineto -50991.8 39366 lineto -50783.4 39157.6 lineto -50991.8 38949.2 lineto +52197.8 40068.9 moveto +52197.8 40363.6 lineto +51903.1 40363.6 lineto +51903.1 40068.9 lineto +52197.8 40068.9 lineto poly0 newpath -52050.5 41066.5 moveto -52258.9 41274.9 lineto -52050.5 41483.3 lineto -51842.1 41274.9 lineto -52050.5 41066.5 lineto +52197.8 39010.2 moveto +52197.8 39305 lineto +51903.1 39305 lineto +51903.1 39010.2 lineto +52197.8 39010.2 lineto poly0 newpath -52050.5 40007.9 moveto -52258.9 40216.3 lineto -52050.5 40424.7 lineto -51842.1 40216.3 lineto -52050.5 40007.9 lineto +53256.5 41127.6 moveto +53256.5 41422.3 lineto +52961.8 41422.3 lineto +52961.8 41127.6 lineto +53256.5 41127.6 lineto poly0 newpath -52050.5 38949.2 moveto -52258.9 39157.6 lineto -52050.5 39366 lineto -51842.1 39157.6 lineto -52050.5 38949.2 lineto +53256.5 40068.9 moveto +53256.5 40363.6 lineto +52961.8 40363.6 lineto +52961.8 40068.9 lineto +53256.5 40068.9 lineto poly0 newpath -53109.1 41066.5 moveto -53317.5 41274.9 lineto -53109.1 41483.3 lineto -52900.7 41274.9 lineto -53109.1 41066.5 lineto +53256.5 39010.2 moveto +53256.5 39305 lineto +52961.8 39305 lineto +52961.8 39010.2 lineto +53256.5 39010.2 lineto poly0 newpath -53109.1 40007.9 moveto -53317.5 40216.3 lineto -53109.1 40424.7 lineto -52900.7 40216.3 lineto -53109.1 40007.9 lineto +54315.2 41127.6 moveto +54315.2 41422.3 lineto +54020.5 41422.3 lineto +54020.5 41127.6 lineto +54315.2 41127.6 lineto poly0 newpath -53109.1 38949.2 moveto -53317.5 39157.6 lineto -53109.1 39366 lineto -52900.7 39157.6 lineto -53109.1 38949.2 lineto +54315.2 40068.9 moveto +54315.2 40363.6 lineto +54020.5 40363.6 lineto +54020.5 40068.9 lineto +54315.2 40068.9 lineto poly0 newpath -54167.8 41066.5 moveto -54376.2 41274.9 lineto -54167.8 41483.3 lineto -53959.4 41274.9 lineto -54167.8 41066.5 lineto +54315.2 39010.2 moveto +54315.2 39305 lineto +54020.5 39305 lineto +54020.5 39010.2 lineto +54315.2 39010.2 lineto poly0 newpath -54167.8 40007.9 moveto -54376.2 40216.3 lineto -54167.8 40424.7 lineto -53959.4 40216.3 lineto -54167.8 40007.9 lineto +55373.8 41127.6 moveto +55373.8 41422.3 lineto +55079.1 41422.3 lineto +55079.1 41127.6 lineto +55373.8 41127.6 lineto poly0 newpath -54167.8 38949.2 moveto -54376.2 39157.6 lineto -54167.8 39366 lineto -53959.4 39157.6 lineto -54167.8 38949.2 lineto +55373.8 40068.9 moveto +55373.8 40363.6 lineto +55079.1 40363.6 lineto +55079.1 40068.9 lineto +55373.8 40068.9 lineto poly0 newpath -55226.5 41066.5 moveto -55434.9 41274.9 lineto -55226.5 41483.3 lineto -55018.1 41274.9 lineto -55226.5 41066.5 lineto +55373.8 39010.2 moveto +55373.8 39305 lineto +55079.1 39305 lineto +55079.1 39010.2 lineto +55373.8 39010.2 lineto poly0 newpath -55226.5 40007.9 moveto -55434.9 40216.3 lineto -55226.5 40424.7 lineto -55018.1 40216.3 lineto -55226.5 40007.9 lineto +56432.5 41127.6 moveto +56432.5 41422.3 lineto +56137.8 41422.3 lineto +56137.8 41127.6 lineto +56432.5 41127.6 lineto poly0 newpath -55226.5 38949.2 moveto -55434.9 39157.6 lineto -55226.5 39366 lineto -55018.1 39157.6 lineto -55226.5 38949.2 lineto +56432.5 40068.9 moveto +56432.5 40363.6 lineto +56137.8 40363.6 lineto +56137.8 40068.9 lineto +56432.5 40068.9 lineto poly0 newpath -56285.1 41066.5 moveto -56493.5 41274.9 lineto -56285.1 41483.3 lineto -56076.7 41274.9 lineto -56285.1 41066.5 lineto +56432.5 39010.2 moveto +56432.5 39305 lineto +56137.8 39305 lineto +56137.8 39010.2 lineto +56432.5 39010.2 lineto poly0 newpath -56285.1 40007.9 moveto -56493.5 40216.3 lineto -56285.1 40424.7 lineto -56076.7 40216.3 lineto -56285.1 40007.9 lineto +57491.2 41127.6 moveto +57491.2 41422.3 lineto +57196.5 41422.3 lineto +57196.5 41127.6 lineto +57491.2 41127.6 lineto poly0 newpath -56285.1 38949.2 moveto -56493.5 39157.6 lineto -56285.1 39366 lineto -56076.7 39157.6 lineto -56285.1 38949.2 lineto +57491.2 40068.9 moveto +57491.2 40363.6 lineto +57196.5 40363.6 lineto +57196.5 40068.9 lineto +57491.2 40068.9 lineto poly0 newpath -57343.8 41066.5 moveto -57552.2 41274.9 lineto -57343.8 41483.3 lineto -57135.4 41274.9 lineto -57343.8 41066.5 lineto +57491.2 39010.2 moveto +57491.2 39305 lineto +57196.5 39305 lineto +57196.5 39010.2 lineto +57491.2 39010.2 lineto poly0 newpath -57343.8 40007.9 moveto -57552.2 40216.3 lineto -57343.8 40424.7 lineto -57135.4 40216.3 lineto -57343.8 40007.9 lineto +58549.8 41127.6 moveto +58549.8 41422.3 lineto +58255.1 41422.3 lineto +58255.1 41127.6 lineto +58549.8 41127.6 lineto poly0 newpath -57343.8 38949.2 moveto -57552.2 39157.6 lineto -57343.8 39366 lineto -57135.4 39157.6 lineto -57343.8 38949.2 lineto +58549.8 40068.9 moveto +58549.8 40363.6 lineto +58255.1 40363.6 lineto +58255.1 40068.9 lineto +58549.8 40068.9 lineto poly0 newpath -58402.5 41066.5 moveto -58610.9 41274.9 lineto -58402.5 41483.3 lineto -58194.1 41274.9 lineto -58402.5 41066.5 lineto +58549.8 39010.2 moveto +58549.8 39305 lineto +58255.1 39305 lineto +58255.1 39010.2 lineto +58549.8 39010.2 lineto poly0 newpath -58402.5 40007.9 moveto -58610.9 40216.3 lineto -58402.5 40424.7 lineto -58194.1 40216.3 lineto -58402.5 40007.9 lineto +59608.5 41127.6 moveto +59608.5 41422.3 lineto +59313.8 41422.3 lineto +59313.8 41127.6 lineto +59608.5 41127.6 lineto poly0 newpath -58402.5 38949.2 moveto -58610.9 39157.6 lineto -58402.5 39366 lineto -58194.1 39157.6 lineto -58402.5 38949.2 lineto +59608.5 40068.9 moveto +59608.5 40363.6 lineto +59313.8 40363.6 lineto +59313.8 40068.9 lineto +59608.5 40068.9 lineto poly0 newpath -59461.1 41066.5 moveto -59669.5 41274.9 lineto -59461.1 41483.3 lineto -59252.7 41274.9 lineto -59461.1 41066.5 lineto +59608.5 39010.2 moveto +59608.5 39305 lineto +59313.8 39305 lineto +59313.8 39010.2 lineto +59608.5 39010.2 lineto poly0 newpath -59461.1 40007.9 moveto -59669.5 40216.3 lineto -59461.1 40424.7 lineto -59252.7 40216.3 lineto -59461.1 40007.9 lineto +60667.2 41127.6 moveto +60667.2 41422.3 lineto +60372.5 41422.3 lineto +60372.5 41127.6 lineto +60667.2 41127.6 lineto poly0 newpath -59461.1 38949.2 moveto -59669.5 39157.6 lineto -59461.1 39366 lineto -59252.7 39157.6 lineto -59461.1 38949.2 lineto +60667.2 40068.9 moveto +60667.2 40363.6 lineto +60372.5 40363.6 lineto +60372.5 40068.9 lineto +60667.2 40068.9 lineto poly0 newpath -60519.8 41066.5 moveto -60728.2 41274.9 lineto -60519.8 41483.3 lineto -60311.4 41274.9 lineto -60519.8 41066.5 lineto +60667.2 39010.2 moveto +60667.2 39305 lineto +60372.5 39305 lineto +60372.5 39010.2 lineto +60667.2 39010.2 lineto poly0 newpath -60519.8 40007.9 moveto -60728.2 40216.3 lineto -60519.8 40424.7 lineto -60311.4 40216.3 lineto -60519.8 40007.9 lineto +61725.8 41127.6 moveto +61725.8 41422.3 lineto +61431.1 41422.3 lineto +61431.1 41127.6 lineto +61725.8 41127.6 lineto poly0 newpath -60519.8 38949.2 moveto -60728.2 39157.6 lineto -60519.8 39366 lineto -60311.4 39157.6 lineto -60519.8 38949.2 lineto +61725.8 40068.9 moveto +61725.8 40363.6 lineto +61431.1 40363.6 lineto +61431.1 40068.9 lineto +61725.8 40068.9 lineto poly0 newpath -61578.5 41066.5 moveto -61786.9 41274.9 lineto -61578.5 41483.3 lineto -61370.1 41274.9 lineto -61578.5 41066.5 lineto +61725.8 39010.2 moveto +61725.8 39305 lineto +61431.1 39305 lineto +61431.1 39010.2 lineto +61725.8 39010.2 lineto poly0 newpath -61578.5 40007.9 moveto -61786.9 40216.3 lineto -61578.5 40424.7 lineto -61370.1 40216.3 lineto -61578.5 40007.9 lineto -poly0 -newpath -61578.5 38949.2 moveto -61786.9 39157.6 lineto -61578.5 39366 lineto -61370.1 39157.6 lineto -61578.5 38949.2 lineto -poly0 -newpath -28814 72380.6 moveto -29239.1 71955.4 lineto -stroke -newpath -29239.1 72380.6 moveto -28814 71955.4 lineto -stroke -29026.6 72168 212.567 cir0 -newpath -28814 71321.9 moveto -29239.1 70896.8 lineto -stroke -newpath -29239.1 71321.9 moveto -28814 70896.8 lineto -stroke -29026.6 71109.3 212.567 cir0 -newpath -28814 70263.2 moveto -29239.1 69838.1 lineto -stroke -newpath -29239.1 70263.2 moveto -28814 69838.1 lineto -stroke -29026.6 70050.7 212.567 cir0 -newpath -28814 69204.6 moveto -29239.1 68779.4 lineto -stroke -newpath -29239.1 69204.6 moveto -28814 68779.4 lineto -stroke -29026.6 68992 212.567 cir0 -newpath -28814 68145.9 moveto -29239.1 67720.8 lineto -stroke -newpath -29239.1 68145.9 moveto -28814 67720.8 lineto -stroke -29026.6 67933.3 212.567 cir0 -newpath -28814 67087.2 moveto -29239.1 66662.1 lineto -stroke -newpath -29239.1 67087.2 moveto -28814 66662.1 lineto -stroke -29026.6 66874.7 212.567 cir0 -newpath -32165 69629.7 moveto -32640.2 69154.6 lineto -stroke -newpath -32640.2 69629.7 moveto -32165 69154.6 lineto -stroke -newpath -32402.6 69629.7 moveto -32402.6 69154.6 lineto -stroke -newpath -32165 69392.1 moveto +32402.6 69154.6 moveto 32640.2 69392.1 lineto -stroke +32402.6 69629.7 lineto +32165 69392.1 lineto +32402.6 69154.6 lineto +poly0 newpath -32165 68571 moveto -32640.2 68095.9 lineto -stroke -newpath -32640.2 68571 moveto -32165 68095.9 lineto -stroke -newpath -32402.6 68571 moveto -32402.6 68095.9 lineto -stroke -newpath -32165 68333.5 moveto +32402.6 68095.9 moveto 32640.2 68333.5 lineto -stroke +32402.6 68571 lineto +32165 68333.5 lineto +32402.6 68095.9 lineto +poly0 newpath -32165 56396.4 moveto -32640.2 55921.2 lineto -stroke -newpath -32640.2 56396.4 moveto -32165 55921.2 lineto -stroke -newpath -32402.6 56396.4 moveto -32402.6 55921.2 lineto -stroke -newpath -32165 56158.8 moveto +32402.6 55921.2 moveto 32640.2 56158.8 lineto -stroke +32402.6 56396.4 lineto +32165 56158.8 lineto +32402.6 55921.2 lineto +poly0 newpath -32165 55337.7 moveto -32640.2 54862.6 lineto -stroke -newpath -32640.2 55337.7 moveto -32165 54862.6 lineto -stroke -newpath -32402.6 55337.7 moveto -32402.6 54862.6 lineto -stroke -newpath -32165 55100.1 moveto +32402.6 54862.6 moveto 32640.2 55100.1 lineto -stroke +32402.6 55337.7 lineto +32165 55100.1 lineto +32402.6 54862.6 lineto +poly0 newpath -33223.7 69629.7 moveto -33698.9 69154.6 lineto -stroke -newpath -33698.9 69629.7 moveto -33223.7 69154.6 lineto -stroke -newpath -33461.3 69629.7 moveto -33461.3 69154.6 lineto -stroke -newpath -33223.7 69392.1 moveto +33461.3 69154.6 moveto 33698.9 69392.1 lineto -stroke +33461.3 69629.7 lineto +33223.7 69392.1 lineto +33461.3 69154.6 lineto +poly0 newpath -33223.7 68571 moveto -33698.9 68095.9 lineto -stroke -newpath -33698.9 68571 moveto -33223.7 68095.9 lineto -stroke -newpath -33461.3 68571 moveto -33461.3 68095.9 lineto -stroke -newpath -33223.7 68333.5 moveto +33461.3 68095.9 moveto 33698.9 68333.5 lineto -stroke +33461.3 68571 lineto +33223.7 68333.5 lineto +33461.3 68095.9 lineto +poly0 newpath -33223.7 56396.4 moveto -33698.9 55921.2 lineto -stroke -newpath -33698.9 56396.4 moveto -33223.7 55921.2 lineto -stroke -newpath -33461.3 56396.4 moveto -33461.3 55921.2 lineto -stroke -newpath -33223.7 56158.8 moveto +33461.3 55921.2 moveto 33698.9 56158.8 lineto -stroke +33461.3 56396.4 lineto +33223.7 56158.8 lineto +33461.3 55921.2 lineto +poly0 newpath -33223.7 55337.7 moveto -33698.9 54862.6 lineto -stroke -newpath -33698.9 55337.7 moveto -33223.7 54862.6 lineto -stroke -newpath -33461.3 55337.7 moveto -33461.3 54862.6 lineto -stroke -newpath -33223.7 55100.1 moveto +33461.3 54862.6 moveto 33698.9 55100.1 lineto -stroke +33461.3 55337.7 lineto +33223.7 55100.1 lineto +33461.3 54862.6 lineto +poly0 newpath -34282.4 69629.7 moveto -34757.5 69154.6 lineto -stroke -newpath -34757.5 69629.7 moveto -34282.4 69154.6 lineto -stroke -newpath -34520 69629.7 moveto -34520 69154.6 lineto -stroke -newpath -34282.4 69392.1 moveto +34520 69154.6 moveto 34757.5 69392.1 lineto -stroke +34520 69629.7 lineto +34282.4 69392.1 lineto +34520 69154.6 lineto +poly0 newpath -34282.4 68571 moveto -34757.5 68095.9 lineto -stroke -newpath -34757.5 68571 moveto -34282.4 68095.9 lineto -stroke -newpath -34520 68571 moveto -34520 68095.9 lineto -stroke -newpath -34282.4 68333.5 moveto +34520 68095.9 moveto 34757.5 68333.5 lineto -stroke +34520 68571 lineto +34282.4 68333.5 lineto +34520 68095.9 lineto +poly0 newpath -34282.4 56396.4 moveto -34757.5 55921.2 lineto -stroke -newpath -34757.5 56396.4 moveto -34282.4 55921.2 lineto -stroke -newpath -34520 56396.4 moveto -34520 55921.2 lineto -stroke -newpath -34282.4 56158.8 moveto +34520 55921.2 moveto 34757.5 56158.8 lineto -stroke +34520 56396.4 lineto +34282.4 56158.8 lineto +34520 55921.2 lineto +poly0 newpath -34282.4 55337.7 moveto -34757.5 54862.6 lineto -stroke -newpath -34757.5 55337.7 moveto -34282.4 54862.6 lineto -stroke -newpath -34520 55337.7 moveto -34520 54862.6 lineto -stroke -newpath -34282.4 55100.1 moveto +34520 54862.6 moveto 34757.5 55100.1 lineto -stroke +34520 55337.7 lineto +34282.4 55100.1 lineto +34520 54862.6 lineto +poly0 newpath -35341 69629.7 moveto -35816.2 69154.6 lineto -stroke -newpath -35816.2 69629.7 moveto -35341 69154.6 lineto -stroke -newpath -35578.6 69629.7 moveto -35578.6 69154.6 lineto -stroke -newpath -35341 69392.1 moveto +35578.6 69154.6 moveto 35816.2 69392.1 lineto -stroke +35578.6 69629.7 lineto +35341 69392.1 lineto +35578.6 69154.6 lineto +poly0 newpath -35341 68571 moveto -35816.2 68095.9 lineto -stroke -newpath -35816.2 68571 moveto -35341 68095.9 lineto -stroke -newpath -35578.6 68571 moveto -35578.6 68095.9 lineto -stroke -newpath -35341 68333.5 moveto +35578.6 68095.9 moveto 35816.2 68333.5 lineto -stroke +35578.6 68571 lineto +35341 68333.5 lineto +35578.6 68095.9 lineto +poly0 newpath -35341 56396.4 moveto -35816.2 55921.2 lineto -stroke -newpath -35816.2 56396.4 moveto -35341 55921.2 lineto -stroke -newpath -35578.6 56396.4 moveto -35578.6 55921.2 lineto -stroke -newpath -35341 56158.8 moveto +35578.6 55921.2 moveto 35816.2 56158.8 lineto -stroke +35578.6 56396.4 lineto +35341 56158.8 lineto +35578.6 55921.2 lineto +poly0 newpath -35341 55337.7 moveto -35816.2 54862.6 lineto -stroke -newpath -35816.2 55337.7 moveto -35341 54862.6 lineto -stroke -newpath -35578.6 55337.7 moveto -35578.6 54862.6 lineto -stroke -newpath -35341 55100.1 moveto +35578.6 54862.6 moveto 35816.2 55100.1 lineto -stroke +35578.6 55337.7 lineto +35341 55100.1 lineto +35578.6 54862.6 lineto +poly0 newpath -36399.7 69629.7 moveto -36874.9 69154.6 lineto -stroke -newpath -36874.9 69629.7 moveto -36399.7 69154.6 lineto -stroke -newpath -36637.3 69629.7 moveto -36637.3 69154.6 lineto -stroke -newpath -36399.7 69392.1 moveto +36637.3 69154.6 moveto 36874.9 69392.1 lineto -stroke +36637.3 69629.7 lineto +36399.7 69392.1 lineto +36637.3 69154.6 lineto +poly0 newpath -36399.7 68571 moveto -36874.9 68095.9 lineto -stroke -newpath -36874.9 68571 moveto -36399.7 68095.9 lineto -stroke -newpath -36637.3 68571 moveto -36637.3 68095.9 lineto -stroke -newpath -36399.7 68333.5 moveto +36637.3 68095.9 moveto 36874.9 68333.5 lineto -stroke +36637.3 68571 lineto +36399.7 68333.5 lineto +36637.3 68095.9 lineto +poly0 newpath -36399.7 56396.4 moveto -36874.9 55921.2 lineto -stroke -newpath -36874.9 56396.4 moveto -36399.7 55921.2 lineto -stroke -newpath -36637.3 56396.4 moveto -36637.3 55921.2 lineto -stroke -newpath -36399.7 56158.8 moveto +36637.3 55921.2 moveto 36874.9 56158.8 lineto -stroke +36637.3 56396.4 lineto +36399.7 56158.8 lineto +36637.3 55921.2 lineto +poly0 newpath -36399.7 55337.7 moveto -36874.9 54862.6 lineto -stroke -newpath -36874.9 55337.7 moveto -36399.7 54862.6 lineto -stroke -newpath -36637.3 55337.7 moveto -36637.3 54862.6 lineto -stroke -newpath -36399.7 55100.1 moveto +36637.3 54862.6 moveto 36874.9 55100.1 lineto -stroke +36637.3 55337.7 lineto +36399.7 55100.1 lineto +36637.3 54862.6 lineto +poly0 newpath -37458.4 69629.7 moveto -37933.5 69154.6 lineto -stroke -newpath -37933.5 69629.7 moveto -37458.4 69154.6 lineto -stroke -newpath -37696 69629.7 moveto -37696 69154.6 lineto -stroke -newpath -37458.4 69392.1 moveto +37696 69154.6 moveto 37933.5 69392.1 lineto -stroke +37696 69629.7 lineto +37458.4 69392.1 lineto +37696 69154.6 lineto +poly0 newpath -37458.4 68571 moveto -37933.5 68095.9 lineto -stroke -newpath -37933.5 68571 moveto -37458.4 68095.9 lineto -stroke -newpath -37696 68571 moveto -37696 68095.9 lineto -stroke -newpath -37458.4 68333.5 moveto +37696 68095.9 moveto 37933.5 68333.5 lineto -stroke +37696 68571 lineto +37458.4 68333.5 lineto +37696 68095.9 lineto +poly0 newpath -37458.4 56396.4 moveto -37933.5 55921.2 lineto -stroke -newpath -37933.5 56396.4 moveto -37458.4 55921.2 lineto -stroke -newpath -37696 56396.4 moveto -37696 55921.2 lineto -stroke -newpath -37458.4 56158.8 moveto +37696 55921.2 moveto 37933.5 56158.8 lineto -stroke +37696 56396.4 lineto +37458.4 56158.8 lineto +37696 55921.2 lineto +poly0 newpath -37458.4 55337.7 moveto -37933.5 54862.6 lineto -stroke -newpath -37933.5 55337.7 moveto -37458.4 54862.6 lineto -stroke -newpath -37696 55337.7 moveto -37696 54862.6 lineto -stroke -newpath -37458.4 55100.1 moveto +37696 54862.6 moveto 37933.5 55100.1 lineto -stroke +37696 55337.7 lineto +37458.4 55100.1 lineto +37696 54862.6 lineto +poly0 newpath -38517 69629.7 moveto -38992.2 69154.6 lineto -stroke -newpath -38992.2 69629.7 moveto -38517 69154.6 lineto -stroke -newpath -38754.6 69629.7 moveto -38754.6 69154.6 lineto -stroke -newpath -38517 69392.1 moveto +38754.6 69154.6 moveto 38992.2 69392.1 lineto -stroke +38754.6 69629.7 lineto +38517 69392.1 lineto +38754.6 69154.6 lineto +poly0 newpath -38517 68571 moveto -38992.2 68095.9 lineto -stroke -newpath -38992.2 68571 moveto -38517 68095.9 lineto -stroke -newpath -38754.6 68571 moveto -38754.6 68095.9 lineto -stroke -newpath -38517 68333.5 moveto +38754.6 68095.9 moveto 38992.2 68333.5 lineto -stroke +38754.6 68571 lineto +38517 68333.5 lineto +38754.6 68095.9 lineto +poly0 newpath -38517 56396.4 moveto -38992.2 55921.2 lineto -stroke -newpath -38992.2 56396.4 moveto -38517 55921.2 lineto -stroke -newpath -38754.6 56396.4 moveto -38754.6 55921.2 lineto -stroke -newpath -38517 56158.8 moveto +38754.6 55921.2 moveto 38992.2 56158.8 lineto -stroke +38754.6 56396.4 lineto +38517 56158.8 lineto +38754.6 55921.2 lineto +poly0 newpath -38517 55337.7 moveto -38992.2 54862.6 lineto -stroke -newpath -38992.2 55337.7 moveto -38517 54862.6 lineto -stroke -newpath -38754.6 55337.7 moveto -38754.6 54862.6 lineto -stroke -newpath -38517 55100.1 moveto +38754.6 54862.6 moveto 38992.2 55100.1 lineto -stroke +38754.6 55337.7 lineto +38517 55100.1 lineto +38754.6 54862.6 lineto +poly0 newpath -39575.7 69629.7 moveto -40050.9 69154.6 lineto -stroke -newpath -40050.9 69629.7 moveto -39575.7 69154.6 lineto -stroke -newpath -39813.3 69629.7 moveto -39813.3 69154.6 lineto -stroke -newpath -39575.7 69392.1 moveto +39813.3 69154.6 moveto 40050.9 69392.1 lineto -stroke +39813.3 69629.7 lineto +39575.7 69392.1 lineto +39813.3 69154.6 lineto +poly0 newpath -39575.7 68571 moveto -40050.9 68095.9 lineto -stroke -newpath -40050.9 68571 moveto -39575.7 68095.9 lineto -stroke -newpath -39813.3 68571 moveto -39813.3 68095.9 lineto -stroke -newpath -39575.7 68333.5 moveto +39813.3 68095.9 moveto 40050.9 68333.5 lineto -stroke +39813.3 68571 lineto +39575.7 68333.5 lineto +39813.3 68095.9 lineto +poly0 newpath -39575.7 56396.4 moveto -40050.9 55921.2 lineto -stroke -newpath -40050.9 56396.4 moveto -39575.7 55921.2 lineto -stroke -newpath -39813.3 56396.4 moveto -39813.3 55921.2 lineto -stroke -newpath -39575.7 56158.8 moveto +39813.3 55921.2 moveto 40050.9 56158.8 lineto -stroke +39813.3 56396.4 lineto +39575.7 56158.8 lineto +39813.3 55921.2 lineto +poly0 newpath -39575.7 55337.7 moveto -40050.9 54862.6 lineto -stroke -newpath -40050.9 55337.7 moveto -39575.7 54862.6 lineto -stroke -newpath -39813.3 55337.7 moveto -39813.3 54862.6 lineto -stroke -newpath -39575.7 55100.1 moveto +39813.3 54862.6 moveto 40050.9 55100.1 lineto -stroke +39813.3 55337.7 lineto +39575.7 55100.1 lineto +39813.3 54862.6 lineto +poly0 newpath -40634.4 69629.7 moveto -41109.5 69154.6 lineto -stroke -newpath -41109.5 69629.7 moveto -40634.4 69154.6 lineto -stroke -newpath -40872 69629.7 moveto -40872 69154.6 lineto -stroke -newpath -40634.4 69392.1 moveto +40872 69154.6 moveto 41109.5 69392.1 lineto -stroke +40872 69629.7 lineto +40634.4 69392.1 lineto +40872 69154.6 lineto +poly0 newpath -40634.4 68571 moveto -41109.5 68095.9 lineto -stroke -newpath -41109.5 68571 moveto -40634.4 68095.9 lineto -stroke -newpath -40872 68571 moveto -40872 68095.9 lineto -stroke -newpath -40634.4 68333.5 moveto +40872 68095.9 moveto 41109.5 68333.5 lineto -stroke +40872 68571 lineto +40634.4 68333.5 lineto +40872 68095.9 lineto +poly0 newpath -40634.4 56396.4 moveto -41109.5 55921.2 lineto -stroke -newpath -41109.5 56396.4 moveto -40634.4 55921.2 lineto -stroke -newpath -40872 56396.4 moveto -40872 55921.2 lineto -stroke -newpath -40634.4 56158.8 moveto +40872 55921.2 moveto 41109.5 56158.8 lineto -stroke +40872 56396.4 lineto +40634.4 56158.8 lineto +40872 55921.2 lineto +poly0 newpath -40634.4 55337.7 moveto -41109.5 54862.6 lineto -stroke -newpath -41109.5 55337.7 moveto -40634.4 54862.6 lineto -stroke -newpath -40872 55337.7 moveto -40872 54862.6 lineto -stroke -newpath -40634.4 55100.1 moveto +40872 54862.6 moveto 41109.5 55100.1 lineto -stroke +40872 55337.7 lineto +40634.4 55100.1 lineto +40872 54862.6 lineto +poly0 newpath -41693 69629.7 moveto -42168.2 69154.6 lineto -stroke -newpath -42168.2 69629.7 moveto -41693 69154.6 lineto -stroke -newpath -41930.6 69629.7 moveto -41930.6 69154.6 lineto -stroke -newpath -41693 69392.1 moveto +41930.6 69154.6 moveto 42168.2 69392.1 lineto -stroke +41930.6 69629.7 lineto +41693 69392.1 lineto +41930.6 69154.6 lineto +poly0 newpath -41693 68571 moveto -42168.2 68095.9 lineto -stroke -newpath -42168.2 68571 moveto -41693 68095.9 lineto -stroke -newpath -41930.6 68571 moveto -41930.6 68095.9 lineto -stroke -newpath -41693 68333.5 moveto +41930.6 68095.9 moveto 42168.2 68333.5 lineto -stroke +41930.6 68571 lineto +41693 68333.5 lineto +41930.6 68095.9 lineto +poly0 newpath -41693 56396.4 moveto -42168.2 55921.2 lineto -stroke -newpath -42168.2 56396.4 moveto -41693 55921.2 lineto -stroke -newpath -41930.6 56396.4 moveto -41930.6 55921.2 lineto -stroke -newpath -41693 56158.8 moveto +41930.6 55921.2 moveto 42168.2 56158.8 lineto -stroke +41930.6 56396.4 lineto +41693 56158.8 lineto +41930.6 55921.2 lineto +poly0 newpath -41693 55337.7 moveto -42168.2 54862.6 lineto -stroke -newpath -42168.2 55337.7 moveto -41693 54862.6 lineto -stroke -newpath -41930.6 55337.7 moveto -41930.6 54862.6 lineto -stroke -newpath -41693 55100.1 moveto +41930.6 54862.6 moveto 42168.2 55100.1 lineto -stroke +41930.6 55337.7 lineto +41693 55100.1 lineto +41930.6 54862.6 lineto +poly0 newpath -42751.7 69629.7 moveto -43226.9 69154.6 lineto -stroke -newpath -43226.9 69629.7 moveto -42751.7 69154.6 lineto -stroke -newpath -42989.3 69629.7 moveto -42989.3 69154.6 lineto -stroke -newpath -42751.7 69392.1 moveto +42989.3 69154.6 moveto 43226.9 69392.1 lineto -stroke +42989.3 69629.7 lineto +42751.7 69392.1 lineto +42989.3 69154.6 lineto +poly0 newpath -42751.7 68571 moveto -43226.9 68095.9 lineto -stroke -newpath -43226.9 68571 moveto -42751.7 68095.9 lineto -stroke -newpath -42989.3 68571 moveto -42989.3 68095.9 lineto -stroke -newpath -42751.7 68333.5 moveto +42989.3 68095.9 moveto 43226.9 68333.5 lineto -stroke +42989.3 68571 lineto +42751.7 68333.5 lineto +42989.3 68095.9 lineto +poly0 newpath -42751.7 56396.4 moveto -43226.9 55921.2 lineto -stroke -newpath -43226.9 56396.4 moveto -42751.7 55921.2 lineto -stroke -newpath -42989.3 56396.4 moveto -42989.3 55921.2 lineto -stroke -newpath -42751.7 56158.8 moveto +42989.3 55921.2 moveto 43226.9 56158.8 lineto -stroke +42989.3 56396.4 lineto +42751.7 56158.8 lineto +42989.3 55921.2 lineto +poly0 newpath -42751.7 55337.7 moveto -43226.9 54862.6 lineto -stroke -newpath -43226.9 55337.7 moveto -42751.7 54862.6 lineto -stroke -newpath -42989.3 55337.7 moveto -42989.3 54862.6 lineto -stroke -newpath -42751.7 55100.1 moveto +42989.3 54862.6 moveto 43226.9 55100.1 lineto -stroke +42989.3 55337.7 lineto +42751.7 55100.1 lineto +42989.3 54862.6 lineto +poly0 newpath -43810.4 69629.7 moveto -44285.5 69154.6 lineto -stroke -newpath -44285.5 69629.7 moveto -43810.4 69154.6 lineto -stroke -newpath -44048 69629.7 moveto -44048 69154.6 lineto -stroke -newpath -43810.4 69392.1 moveto +44048 69154.6 moveto 44285.5 69392.1 lineto -stroke +44048 69629.7 lineto +43810.4 69392.1 lineto +44048 69154.6 lineto +poly0 newpath -43810.4 68571 moveto -44285.5 68095.9 lineto -stroke -newpath -44285.5 68571 moveto -43810.4 68095.9 lineto -stroke -newpath -44048 68571 moveto -44048 68095.9 lineto -stroke -newpath -43810.4 68333.5 moveto +44048 68095.9 moveto 44285.5 68333.5 lineto -stroke +44048 68571 lineto +43810.4 68333.5 lineto +44048 68095.9 lineto +poly0 newpath -43810.4 56396.4 moveto -44285.5 55921.2 lineto -stroke -newpath -44285.5 56396.4 moveto -43810.4 55921.2 lineto -stroke -newpath -44048 56396.4 moveto -44048 55921.2 lineto -stroke -newpath -43810.4 56158.8 moveto +44048 55921.2 moveto 44285.5 56158.8 lineto -stroke +44048 56396.4 lineto +43810.4 56158.8 lineto +44048 55921.2 lineto +poly0 newpath -43810.4 55337.7 moveto -44285.5 54862.6 lineto -stroke -newpath -44285.5 55337.7 moveto -43810.4 54862.6 lineto -stroke -newpath -44048 55337.7 moveto -44048 54862.6 lineto -stroke -newpath -43810.4 55100.1 moveto +44048 54862.6 moveto 44285.5 55100.1 lineto -stroke +44048 55337.7 lineto +43810.4 55100.1 lineto +44048 54862.6 lineto +poly0 newpath -44869 69629.7 moveto -45344.2 69154.6 lineto -stroke -newpath -45344.2 69629.7 moveto -44869 69154.6 lineto -stroke -newpath -45106.6 69629.7 moveto -45106.6 69154.6 lineto -stroke -newpath -44869 69392.1 moveto +45106.6 69154.6 moveto 45344.2 69392.1 lineto -stroke +45106.6 69629.7 lineto +44869 69392.1 lineto +45106.6 69154.6 lineto +poly0 newpath -44869 68571 moveto -45344.2 68095.9 lineto -stroke -newpath -45344.2 68571 moveto -44869 68095.9 lineto -stroke -newpath -45106.6 68571 moveto -45106.6 68095.9 lineto -stroke -newpath -44869 68333.5 moveto +45106.6 68095.9 moveto 45344.2 68333.5 lineto -stroke +45106.6 68571 lineto +44869 68333.5 lineto +45106.6 68095.9 lineto +poly0 newpath -44869 56396.4 moveto -45344.2 55921.2 lineto -stroke -newpath -45344.2 56396.4 moveto -44869 55921.2 lineto -stroke -newpath -45106.6 56396.4 moveto -45106.6 55921.2 lineto -stroke -newpath -44869 56158.8 moveto +45106.6 55921.2 moveto 45344.2 56158.8 lineto -stroke +45106.6 56396.4 lineto +44869 56158.8 lineto +45106.6 55921.2 lineto +poly0 newpath -44869 55337.7 moveto -45344.2 54862.6 lineto -stroke -newpath -45344.2 55337.7 moveto -44869 54862.6 lineto -stroke -newpath -45106.6 55337.7 moveto -45106.6 54862.6 lineto -stroke -newpath -44869 55100.1 moveto +45106.6 54862.6 moveto 45344.2 55100.1 lineto -stroke +45106.6 55337.7 lineto +44869 55100.1 lineto +45106.6 54862.6 lineto +poly0 newpath -45927.7 69629.7 moveto -46402.9 69154.6 lineto -stroke -newpath -46402.9 69629.7 moveto -45927.7 69154.6 lineto -stroke -newpath -46165.3 69629.7 moveto -46165.3 69154.6 lineto -stroke -newpath -45927.7 69392.1 moveto +46165.3 69154.6 moveto 46402.9 69392.1 lineto -stroke +46165.3 69629.7 lineto +45927.7 69392.1 lineto +46165.3 69154.6 lineto +poly0 newpath -45927.7 68571 moveto -46402.9 68095.9 lineto -stroke -newpath -46402.9 68571 moveto -45927.7 68095.9 lineto -stroke -newpath -46165.3 68571 moveto -46165.3 68095.9 lineto -stroke -newpath -45927.7 68333.5 moveto +46165.3 68095.9 moveto 46402.9 68333.5 lineto -stroke +46165.3 68571 lineto +45927.7 68333.5 lineto +46165.3 68095.9 lineto +poly0 newpath -45927.7 56396.4 moveto -46402.9 55921.2 lineto -stroke -newpath -46402.9 56396.4 moveto -45927.7 55921.2 lineto -stroke -newpath -46165.3 56396.4 moveto -46165.3 55921.2 lineto -stroke -newpath -45927.7 56158.8 moveto +46165.3 55921.2 moveto 46402.9 56158.8 lineto -stroke +46165.3 56396.4 lineto +45927.7 56158.8 lineto +46165.3 55921.2 lineto +poly0 newpath -45927.7 55337.7 moveto -46402.9 54862.6 lineto -stroke -newpath -46402.9 55337.7 moveto -45927.7 54862.6 lineto -stroke -newpath -46165.3 55337.7 moveto -46165.3 54862.6 lineto -stroke -newpath -45927.7 55100.1 moveto +46165.3 54862.6 moveto 46402.9 55100.1 lineto -stroke +46165.3 55337.7 lineto +45927.7 55100.1 lineto +46165.3 54862.6 lineto +poly0 newpath -46986.4 69629.7 moveto -47461.5 69154.6 lineto -stroke -newpath -47461.5 69629.7 moveto -46986.4 69154.6 lineto -stroke -newpath -47224 69629.7 moveto -47224 69154.6 lineto -stroke -newpath -46986.4 69392.1 moveto +47224 69154.6 moveto 47461.5 69392.1 lineto -stroke +47224 69629.7 lineto +46986.4 69392.1 lineto +47224 69154.6 lineto +poly0 newpath -46986.4 68571 moveto -47461.5 68095.9 lineto -stroke -newpath -47461.5 68571 moveto -46986.4 68095.9 lineto -stroke -newpath -47224 68571 moveto -47224 68095.9 lineto -stroke -newpath -46986.4 68333.5 moveto +47224 68095.9 moveto 47461.5 68333.5 lineto -stroke +47224 68571 lineto +46986.4 68333.5 lineto +47224 68095.9 lineto +poly0 newpath -46986.4 56396.4 moveto -47461.5 55921.2 lineto -stroke -newpath -47461.5 56396.4 moveto -46986.4 55921.2 lineto -stroke -newpath -47224 56396.4 moveto -47224 55921.2 lineto -stroke -newpath -46986.4 56158.8 moveto +47224 55921.2 moveto 47461.5 56158.8 lineto -stroke +47224 56396.4 lineto +46986.4 56158.8 lineto +47224 55921.2 lineto +poly0 newpath -46986.4 55337.7 moveto -47461.5 54862.6 lineto -stroke -newpath -47461.5 55337.7 moveto -46986.4 54862.6 lineto -stroke -newpath -47224 55337.7 moveto -47224 54862.6 lineto -stroke -newpath -46986.4 55100.1 moveto +47224 54862.6 moveto 47461.5 55100.1 lineto -stroke +47224 55337.7 lineto +46986.4 55100.1 lineto +47224 54862.6 lineto +poly0 newpath -48045 69629.7 moveto -48520.2 69154.6 lineto -stroke -newpath -48520.2 69629.7 moveto -48045 69154.6 lineto -stroke -newpath -48282.6 69629.7 moveto -48282.6 69154.6 lineto -stroke -newpath -48045 69392.1 moveto +48282.6 69154.6 moveto 48520.2 69392.1 lineto -stroke +48282.6 69629.7 lineto +48045 69392.1 lineto +48282.6 69154.6 lineto +poly0 newpath -48045 68571 moveto -48520.2 68095.9 lineto -stroke -newpath -48520.2 68571 moveto -48045 68095.9 lineto -stroke -newpath -48282.6 68571 moveto -48282.6 68095.9 lineto -stroke -newpath -48045 68333.5 moveto +48282.6 68095.9 moveto 48520.2 68333.5 lineto -stroke +48282.6 68571 lineto +48045 68333.5 lineto +48282.6 68095.9 lineto +poly0 newpath -48045 56396.4 moveto -48520.2 55921.2 lineto -stroke -newpath -48520.2 56396.4 moveto -48045 55921.2 lineto -stroke -newpath -48282.6 56396.4 moveto -48282.6 55921.2 lineto -stroke -newpath -48045 56158.8 moveto +48282.6 55921.2 moveto 48520.2 56158.8 lineto -stroke +48282.6 56396.4 lineto +48045 56158.8 lineto +48282.6 55921.2 lineto +poly0 newpath -48045 55337.7 moveto -48520.2 54862.6 lineto -stroke -newpath -48520.2 55337.7 moveto -48045 54862.6 lineto -stroke -newpath -48282.6 55337.7 moveto -48282.6 54862.6 lineto -stroke -newpath -48045 55100.1 moveto +48282.6 54862.6 moveto 48520.2 55100.1 lineto -stroke +48282.6 55337.7 lineto +48045 55100.1 lineto +48282.6 54862.6 lineto +poly0 newpath -49103.7 69629.7 moveto -49578.9 69154.6 lineto -stroke -newpath -49578.9 69629.7 moveto -49103.7 69154.6 lineto -stroke -newpath -49341.3 69629.7 moveto -49341.3 69154.6 lineto -stroke -newpath -49103.7 69392.1 moveto +49341.3 69154.6 moveto 49578.9 69392.1 lineto -stroke +49341.3 69629.7 lineto +49103.7 69392.1 lineto +49341.3 69154.6 lineto +poly0 newpath -49103.7 68571 moveto -49578.9 68095.9 lineto -stroke -newpath -49578.9 68571 moveto -49103.7 68095.9 lineto -stroke -newpath -49341.3 68571 moveto -49341.3 68095.9 lineto -stroke -newpath -49103.7 68333.5 moveto +49341.3 68095.9 moveto 49578.9 68333.5 lineto -stroke +49341.3 68571 lineto +49103.7 68333.5 lineto +49341.3 68095.9 lineto +poly0 newpath -49103.7 56396.4 moveto -49578.9 55921.2 lineto -stroke -newpath -49578.9 56396.4 moveto -49103.7 55921.2 lineto -stroke -newpath -49341.3 56396.4 moveto -49341.3 55921.2 lineto -stroke -newpath -49103.7 56158.8 moveto +49341.3 55921.2 moveto 49578.9 56158.8 lineto -stroke +49341.3 56396.4 lineto +49103.7 56158.8 lineto +49341.3 55921.2 lineto +poly0 newpath -49103.7 55337.7 moveto -49578.9 54862.6 lineto -stroke -newpath -49578.9 55337.7 moveto -49103.7 54862.6 lineto -stroke -newpath -49341.3 55337.7 moveto -49341.3 54862.6 lineto -stroke -newpath -49103.7 55100.1 moveto +49341.3 54862.6 moveto 49578.9 55100.1 lineto -stroke +49341.3 55337.7 lineto +49103.7 55100.1 lineto +49341.3 54862.6 lineto +poly0 newpath -50162.4 69629.7 moveto -50637.5 69154.6 lineto -stroke -newpath -50637.5 69629.7 moveto -50162.4 69154.6 lineto -stroke -newpath -50400 69629.7 moveto -50400 69154.6 lineto -stroke -newpath -50162.4 69392.1 moveto +50400 69154.6 moveto 50637.5 69392.1 lineto -stroke +50400 69629.7 lineto +50162.4 69392.1 lineto +50400 69154.6 lineto +poly0 newpath -50162.4 68571 moveto -50637.5 68095.9 lineto -stroke -newpath -50637.5 68571 moveto -50162.4 68095.9 lineto -stroke -newpath -50400 68571 moveto -50400 68095.9 lineto -stroke -newpath -50162.4 68333.5 moveto +50400 68095.9 moveto 50637.5 68333.5 lineto -stroke +50400 68571 lineto +50162.4 68333.5 lineto +50400 68095.9 lineto +poly0 newpath -50162.4 56396.4 moveto -50637.5 55921.2 lineto -stroke -newpath -50637.5 56396.4 moveto -50162.4 55921.2 lineto -stroke -newpath -50400 56396.4 moveto -50400 55921.2 lineto -stroke -newpath -50162.4 56158.8 moveto +50400 55921.2 moveto 50637.5 56158.8 lineto -stroke +50400 56396.4 lineto +50162.4 56158.8 lineto +50400 55921.2 lineto +poly0 newpath -50162.4 55337.7 moveto -50637.5 54862.6 lineto -stroke -newpath -50637.5 55337.7 moveto -50162.4 54862.6 lineto -stroke -newpath -50400 55337.7 moveto -50400 54862.6 lineto -stroke -newpath -50162.4 55100.1 moveto +50400 54862.6 moveto 50637.5 55100.1 lineto -stroke +50400 55337.7 lineto +50162.4 55100.1 lineto +50400 54862.6 lineto +poly0 newpath -51221.1 69629.7 moveto -51696.2 69154.6 lineto -stroke -newpath -51696.2 69629.7 moveto -51221.1 69154.6 lineto -stroke -newpath -51458.6 69629.7 moveto -51458.6 69154.6 lineto -stroke -newpath -51221.1 69392.1 moveto +51458.6 69154.6 moveto 51696.2 69392.1 lineto -stroke +51458.6 69629.7 lineto +51221.1 69392.1 lineto +51458.6 69154.6 lineto +poly0 newpath -51221.1 68571 moveto -51696.2 68095.9 lineto -stroke -newpath -51696.2 68571 moveto -51221.1 68095.9 lineto -stroke -newpath -51458.6 68571 moveto -51458.6 68095.9 lineto -stroke -newpath -51221.1 68333.5 moveto +51458.6 68095.9 moveto 51696.2 68333.5 lineto -stroke +51458.6 68571 lineto +51221.1 68333.5 lineto +51458.6 68095.9 lineto +poly0 newpath -51221.1 56396.4 moveto -51696.2 55921.2 lineto -stroke -newpath -51696.2 56396.4 moveto -51221.1 55921.2 lineto -stroke -newpath -51458.6 56396.4 moveto -51458.6 55921.2 lineto -stroke -newpath -51221.1 56158.8 moveto +51458.6 55921.2 moveto 51696.2 56158.8 lineto -stroke +51458.6 56396.4 lineto +51221.1 56158.8 lineto +51458.6 55921.2 lineto +poly0 newpath -51221.1 55337.7 moveto -51696.2 54862.6 lineto -stroke -newpath -51696.2 55337.7 moveto -51221.1 54862.6 lineto -stroke -newpath -51458.6 55337.7 moveto -51458.6 54862.6 lineto -stroke -newpath -51221.1 55100.1 moveto +51458.6 54862.6 moveto 51696.2 55100.1 lineto -stroke +51458.6 55337.7 lineto +51221.1 55100.1 lineto +51458.6 54862.6 lineto +poly0 newpath -52279.7 69629.7 moveto -52754.9 69154.6 lineto -stroke -newpath -52754.9 69629.7 moveto -52279.7 69154.6 lineto -stroke -newpath -52517.3 69629.7 moveto -52517.3 69154.6 lineto -stroke -newpath -52279.7 69392.1 moveto +52517.3 69154.6 moveto 52754.9 69392.1 lineto -stroke +52517.3 69629.7 lineto +52279.7 69392.1 lineto +52517.3 69154.6 lineto +poly0 newpath -52279.7 68571 moveto -52754.9 68095.9 lineto -stroke -newpath -52754.9 68571 moveto -52279.7 68095.9 lineto -stroke -newpath -52517.3 68571 moveto -52517.3 68095.9 lineto -stroke -newpath -52279.7 68333.5 moveto +52517.3 68095.9 moveto 52754.9 68333.5 lineto -stroke +52517.3 68571 lineto +52279.7 68333.5 lineto +52517.3 68095.9 lineto +poly0 newpath -52279.7 56396.4 moveto -52754.9 55921.2 lineto -stroke -newpath -52754.9 56396.4 moveto -52279.7 55921.2 lineto -stroke -newpath -52517.3 56396.4 moveto -52517.3 55921.2 lineto -stroke -newpath -52279.7 56158.8 moveto +52517.3 55921.2 moveto 52754.9 56158.8 lineto -stroke +52517.3 56396.4 lineto +52279.7 56158.8 lineto +52517.3 55921.2 lineto +poly0 newpath -52279.7 55337.7 moveto -52754.9 54862.6 lineto -stroke -newpath -52754.9 55337.7 moveto -52279.7 54862.6 lineto -stroke -newpath -52517.3 55337.7 moveto -52517.3 54862.6 lineto -stroke -newpath -52279.7 55100.1 moveto +52517.3 54862.6 moveto 52754.9 55100.1 lineto -stroke +52517.3 55337.7 lineto +52279.7 55100.1 lineto +52517.3 54862.6 lineto +poly0 newpath -53338.4 69629.7 moveto -53813.5 69154.6 lineto -stroke -newpath -53813.5 69629.7 moveto -53338.4 69154.6 lineto -stroke -newpath -53576 69629.7 moveto -53576 69154.6 lineto -stroke -newpath -53338.4 69392.1 moveto +53576 69154.6 moveto 53813.5 69392.1 lineto -stroke +53576 69629.7 lineto +53338.4 69392.1 lineto +53576 69154.6 lineto +poly0 newpath -53338.4 68571 moveto -53813.5 68095.9 lineto -stroke -newpath -53813.5 68571 moveto -53338.4 68095.9 lineto -stroke -newpath -53576 68571 moveto -53576 68095.9 lineto -stroke -newpath -53338.4 68333.5 moveto +53576 68095.9 moveto 53813.5 68333.5 lineto -stroke +53576 68571 lineto +53338.4 68333.5 lineto +53576 68095.9 lineto +poly0 newpath -53338.4 56396.4 moveto -53813.5 55921.2 lineto -stroke -newpath -53813.5 56396.4 moveto -53338.4 55921.2 lineto -stroke -newpath -53576 56396.4 moveto -53576 55921.2 lineto -stroke -newpath -53338.4 56158.8 moveto +53576 55921.2 moveto 53813.5 56158.8 lineto -stroke +53576 56396.4 lineto +53338.4 56158.8 lineto +53576 55921.2 lineto +poly0 newpath -53338.4 55337.7 moveto -53813.5 54862.6 lineto -stroke -newpath -53813.5 55337.7 moveto -53338.4 54862.6 lineto -stroke -newpath -53576 55337.7 moveto -53576 54862.6 lineto -stroke -newpath -53338.4 55100.1 moveto +53576 54862.6 moveto 53813.5 55100.1 lineto -stroke +53576 55337.7 lineto +53338.4 55100.1 lineto +53576 54862.6 lineto +poly0 newpath -54397.1 69629.7 moveto -54872.2 69154.6 lineto -stroke -newpath -54872.2 69629.7 moveto -54397.1 69154.6 lineto -stroke -newpath -54634.6 69629.7 moveto -54634.6 69154.6 lineto -stroke -newpath -54397.1 69392.1 moveto +54634.6 69154.6 moveto 54872.2 69392.1 lineto -stroke +54634.6 69629.7 lineto +54397.1 69392.1 lineto +54634.6 69154.6 lineto +poly0 newpath -54397.1 68571 moveto -54872.2 68095.9 lineto -stroke -newpath -54872.2 68571 moveto -54397.1 68095.9 lineto -stroke -newpath -54634.6 68571 moveto -54634.6 68095.9 lineto -stroke -newpath -54397.1 68333.5 moveto +54634.6 68095.9 moveto 54872.2 68333.5 lineto -stroke +54634.6 68571 lineto +54397.1 68333.5 lineto +54634.6 68095.9 lineto +poly0 newpath -54397.1 56396.4 moveto -54872.2 55921.2 lineto -stroke -newpath -54872.2 56396.4 moveto -54397.1 55921.2 lineto -stroke -newpath -54634.6 56396.4 moveto -54634.6 55921.2 lineto -stroke -newpath -54397.1 56158.8 moveto +54634.6 55921.2 moveto 54872.2 56158.8 lineto -stroke +54634.6 56396.4 lineto +54397.1 56158.8 lineto +54634.6 55921.2 lineto +poly0 newpath -54397.1 55337.7 moveto -54872.2 54862.6 lineto -stroke -newpath -54872.2 55337.7 moveto -54397.1 54862.6 lineto -stroke -newpath -54634.6 55337.7 moveto -54634.6 54862.6 lineto -stroke -newpath -54397.1 55100.1 moveto +54634.6 54862.6 moveto 54872.2 55100.1 lineto -stroke +54634.6 55337.7 lineto +54397.1 55100.1 lineto +54634.6 54862.6 lineto +poly0 newpath -55455.7 69629.7 moveto -55930.9 69154.6 lineto -stroke -newpath -55930.9 69629.7 moveto -55455.7 69154.6 lineto -stroke -newpath -55693.3 69629.7 moveto -55693.3 69154.6 lineto -stroke -newpath -55455.7 69392.1 moveto +55693.3 69154.6 moveto 55930.9 69392.1 lineto -stroke +55693.3 69629.7 lineto +55455.7 69392.1 lineto +55693.3 69154.6 lineto +poly0 newpath -55455.7 68571 moveto -55930.9 68095.9 lineto -stroke -newpath -55930.9 68571 moveto -55455.7 68095.9 lineto -stroke -newpath -55693.3 68571 moveto -55693.3 68095.9 lineto -stroke -newpath -55455.7 68333.5 moveto +55693.3 68095.9 moveto 55930.9 68333.5 lineto -stroke +55693.3 68571 lineto +55455.7 68333.5 lineto +55693.3 68095.9 lineto +poly0 newpath -55455.7 56396.4 moveto -55930.9 55921.2 lineto -stroke -newpath -55930.9 56396.4 moveto -55455.7 55921.2 lineto -stroke -newpath -55693.3 56396.4 moveto -55693.3 55921.2 lineto -stroke -newpath -55455.7 56158.8 moveto +55693.3 55921.2 moveto 55930.9 56158.8 lineto -stroke +55693.3 56396.4 lineto +55455.7 56158.8 lineto +55693.3 55921.2 lineto +poly0 newpath -55455.7 55337.7 moveto -55930.9 54862.6 lineto -stroke -newpath -55930.9 55337.7 moveto -55455.7 54862.6 lineto -stroke -newpath -55693.3 55337.7 moveto -55693.3 54862.6 lineto -stroke -newpath -55455.7 55100.1 moveto +55693.3 54862.6 moveto 55930.9 55100.1 lineto -stroke +55693.3 55337.7 lineto +55455.7 55100.1 lineto +55693.3 54862.6 lineto +poly0 newpath -56514.4 69629.7 moveto -56989.5 69154.6 lineto -stroke -newpath -56989.5 69629.7 moveto -56514.4 69154.6 lineto -stroke -newpath -56752 69629.7 moveto -56752 69154.6 lineto -stroke -newpath -56514.4 69392.1 moveto +56752 69154.6 moveto 56989.5 69392.1 lineto -stroke +56752 69629.7 lineto +56514.4 69392.1 lineto +56752 69154.6 lineto +poly0 newpath -56514.4 68571 moveto -56989.5 68095.9 lineto -stroke -newpath -56989.5 68571 moveto -56514.4 68095.9 lineto -stroke -newpath -56752 68571 moveto -56752 68095.9 lineto -stroke -newpath -56514.4 68333.5 moveto +56752 68095.9 moveto 56989.5 68333.5 lineto -stroke +56752 68571 lineto +56514.4 68333.5 lineto +56752 68095.9 lineto +poly0 newpath -56514.4 56396.4 moveto -56989.5 55921.2 lineto -stroke -newpath -56989.5 56396.4 moveto -56514.4 55921.2 lineto -stroke -newpath -56752 56396.4 moveto -56752 55921.2 lineto -stroke -newpath -56514.4 56158.8 moveto +56752 55921.2 moveto 56989.5 56158.8 lineto -stroke +56752 56396.4 lineto +56514.4 56158.8 lineto +56752 55921.2 lineto +poly0 newpath -56514.4 55337.7 moveto -56989.5 54862.6 lineto -stroke -newpath -56989.5 55337.7 moveto -56514.4 54862.6 lineto -stroke -newpath -56752 55337.7 moveto -56752 54862.6 lineto -stroke -newpath -56514.4 55100.1 moveto +56752 54862.6 moveto 56989.5 55100.1 lineto -stroke +56752 55337.7 lineto +56514.4 55100.1 lineto +56752 54862.6 lineto +poly0 newpath -57573.1 69629.7 moveto -58048.2 69154.6 lineto -stroke -newpath -58048.2 69629.7 moveto -57573.1 69154.6 lineto -stroke -newpath -57810.6 69629.7 moveto -57810.6 69154.6 lineto -stroke -newpath -57573.1 69392.1 moveto +57810.6 69154.6 moveto 58048.2 69392.1 lineto -stroke +57810.6 69629.7 lineto +57573.1 69392.1 lineto +57810.6 69154.6 lineto +poly0 newpath -57573.1 68571 moveto -58048.2 68095.9 lineto -stroke -newpath -58048.2 68571 moveto -57573.1 68095.9 lineto -stroke -newpath -57810.6 68571 moveto -57810.6 68095.9 lineto -stroke -newpath -57573.1 68333.5 moveto +57810.6 68095.9 moveto 58048.2 68333.5 lineto -stroke +57810.6 68571 lineto +57573.1 68333.5 lineto +57810.6 68095.9 lineto +poly0 newpath -57573.1 56396.4 moveto -58048.2 55921.2 lineto -stroke -newpath -58048.2 56396.4 moveto -57573.1 55921.2 lineto -stroke -newpath -57810.6 56396.4 moveto -57810.6 55921.2 lineto -stroke -newpath -57573.1 56158.8 moveto +57810.6 55921.2 moveto 58048.2 56158.8 lineto -stroke +57810.6 56396.4 lineto +57573.1 56158.8 lineto +57810.6 55921.2 lineto +poly0 newpath -57573.1 55337.7 moveto -58048.2 54862.6 lineto -stroke -newpath -58048.2 55337.7 moveto -57573.1 54862.6 lineto -stroke -newpath -57810.6 55337.7 moveto -57810.6 54862.6 lineto -stroke -newpath -57573.1 55100.1 moveto +57810.6 54862.6 moveto 58048.2 55100.1 lineto -stroke +57810.6 55337.7 lineto +57573.1 55100.1 lineto +57810.6 54862.6 lineto +poly0 newpath -58631.7 69629.7 moveto -59106.9 69154.6 lineto -stroke -newpath -59106.9 69629.7 moveto -58631.7 69154.6 lineto -stroke -newpath -58869.3 69629.7 moveto -58869.3 69154.6 lineto -stroke -newpath -58631.7 69392.1 moveto +58869.3 69154.6 moveto 59106.9 69392.1 lineto -stroke +58869.3 69629.7 lineto +58631.7 69392.1 lineto +58869.3 69154.6 lineto +poly0 newpath -58631.7 68571 moveto -59106.9 68095.9 lineto -stroke -newpath -59106.9 68571 moveto -58631.7 68095.9 lineto -stroke -newpath -58869.3 68571 moveto -58869.3 68095.9 lineto -stroke -newpath -58631.7 68333.5 moveto +58869.3 68095.9 moveto 59106.9 68333.5 lineto -stroke +58869.3 68571 lineto +58631.7 68333.5 lineto +58869.3 68095.9 lineto +poly0 newpath -58631.7 56396.4 moveto -59106.9 55921.2 lineto -stroke -newpath -59106.9 56396.4 moveto -58631.7 55921.2 lineto -stroke -newpath -58869.3 56396.4 moveto -58869.3 55921.2 lineto -stroke -newpath -58631.7 56158.8 moveto +58869.3 55921.2 moveto 59106.9 56158.8 lineto -stroke +58869.3 56396.4 lineto +58631.7 56158.8 lineto +58869.3 55921.2 lineto +poly0 newpath -58631.7 55337.7 moveto -59106.9 54862.6 lineto -stroke -newpath -59106.9 55337.7 moveto -58631.7 54862.6 lineto -stroke -newpath -58869.3 55337.7 moveto -58869.3 54862.6 lineto -stroke -newpath -58631.7 55100.1 moveto +58869.3 54862.6 moveto 59106.9 55100.1 lineto -stroke +58869.3 55337.7 lineto +58631.7 55100.1 lineto +58869.3 54862.6 lineto +poly0 newpath -59690.4 69629.7 moveto -60165.5 69154.6 lineto -stroke -newpath -60165.5 69629.7 moveto -59690.4 69154.6 lineto -stroke -newpath -59928 69629.7 moveto -59928 69154.6 lineto -stroke -newpath -59690.4 69392.1 moveto +59928 69154.6 moveto 60165.5 69392.1 lineto -stroke +59928 69629.7 lineto +59690.4 69392.1 lineto +59928 69154.6 lineto +poly0 newpath -59690.4 68571 moveto -60165.5 68095.9 lineto -stroke -newpath -60165.5 68571 moveto -59690.4 68095.9 lineto -stroke -newpath -59928 68571 moveto -59928 68095.9 lineto -stroke -newpath -59690.4 68333.5 moveto +59928 68095.9 moveto 60165.5 68333.5 lineto -stroke +59928 68571 lineto +59690.4 68333.5 lineto +59928 68095.9 lineto +poly0 newpath -59690.4 56396.4 moveto -60165.5 55921.2 lineto -stroke -newpath -60165.5 56396.4 moveto -59690.4 55921.2 lineto -stroke -newpath -59928 56396.4 moveto -59928 55921.2 lineto -stroke -newpath -59690.4 56158.8 moveto +59928 55921.2 moveto 60165.5 56158.8 lineto -stroke +59928 56396.4 lineto +59690.4 56158.8 lineto +59928 55921.2 lineto +poly0 newpath -59690.4 55337.7 moveto -60165.5 54862.6 lineto -stroke -newpath -60165.5 55337.7 moveto -59690.4 54862.6 lineto -stroke -newpath -59928 55337.7 moveto -59928 54862.6 lineto -stroke -newpath -59690.4 55100.1 moveto +59928 54862.6 moveto 60165.5 55100.1 lineto -stroke +59928 55337.7 lineto +59690.4 55100.1 lineto +59928 54862.6 lineto +poly0 newpath -60749.1 69629.7 moveto -61224.2 69154.6 lineto -stroke -newpath -61224.2 69629.7 moveto -60749.1 69154.6 lineto -stroke -newpath -60986.6 69629.7 moveto -60986.6 69154.6 lineto -stroke -newpath -60749.1 69392.1 moveto +60986.6 69154.6 moveto 61224.2 69392.1 lineto -stroke +60986.6 69629.7 lineto +60749.1 69392.1 lineto +60986.6 69154.6 lineto +poly0 newpath -60749.1 68571 moveto -61224.2 68095.9 lineto -stroke -newpath -61224.2 68571 moveto -60749.1 68095.9 lineto -stroke -newpath -60986.6 68571 moveto -60986.6 68095.9 lineto -stroke -newpath -60749.1 68333.5 moveto +60986.6 68095.9 moveto 61224.2 68333.5 lineto -stroke +60986.6 68571 lineto +60749.1 68333.5 lineto +60986.6 68095.9 lineto +poly0 newpath -60749.1 56396.4 moveto -61224.2 55921.2 lineto -stroke -newpath -61224.2 56396.4 moveto -60749.1 55921.2 lineto -stroke -newpath -60986.6 56396.4 moveto -60986.6 55921.2 lineto -stroke -newpath -60749.1 56158.8 moveto +60986.6 55921.2 moveto 61224.2 56158.8 lineto -stroke +60986.6 56396.4 lineto +60749.1 56158.8 lineto +60986.6 55921.2 lineto +poly0 newpath -60749.1 55337.7 moveto -61224.2 54862.6 lineto -stroke -newpath -61224.2 55337.7 moveto -60749.1 54862.6 lineto -stroke -newpath -60986.6 55337.7 moveto -60986.6 54862.6 lineto -stroke -newpath -60749.1 55100.1 moveto +60986.6 54862.6 moveto 61224.2 55100.1 lineto -stroke +60986.6 55337.7 lineto +60749.1 55100.1 lineto +60986.6 54862.6 lineto +poly0 newpath -61807.7 69629.7 moveto -62282.9 69154.6 lineto -stroke -newpath -62282.9 69629.7 moveto -61807.7 69154.6 lineto -stroke -newpath -62045.3 69629.7 moveto -62045.3 69154.6 lineto -stroke -newpath -61807.7 69392.1 moveto +62045.3 69154.6 moveto 62282.9 69392.1 lineto -stroke +62045.3 69629.7 lineto +61807.7 69392.1 lineto +62045.3 69154.6 lineto +poly0 newpath -61807.7 68571 moveto -62282.9 68095.9 lineto -stroke -newpath -62282.9 68571 moveto -61807.7 68095.9 lineto -stroke -newpath -62045.3 68571 moveto -62045.3 68095.9 lineto -stroke -newpath -61807.7 68333.5 moveto +62045.3 68095.9 moveto 62282.9 68333.5 lineto -stroke +62045.3 68571 lineto +61807.7 68333.5 lineto +62045.3 68095.9 lineto +poly0 newpath -61807.7 56396.4 moveto -62282.9 55921.2 lineto -stroke -newpath -62282.9 56396.4 moveto -61807.7 55921.2 lineto -stroke -newpath -62045.3 56396.4 moveto -62045.3 55921.2 lineto -stroke -newpath -61807.7 56158.8 moveto +62045.3 55921.2 moveto 62282.9 56158.8 lineto -stroke +62045.3 56396.4 lineto +61807.7 56158.8 lineto +62045.3 55921.2 lineto +poly0 newpath -61807.7 55337.7 moveto -62282.9 54862.6 lineto -stroke -newpath -62282.9 55337.7 moveto -61807.7 54862.6 lineto -stroke -newpath -62045.3 55337.7 moveto -62045.3 54862.6 lineto -stroke -newpath -61807.7 55100.1 moveto +62045.3 54862.6 moveto 62282.9 55100.1 lineto -stroke +62045.3 55337.7 lineto +61807.7 55100.1 lineto +62045.3 54862.6 lineto +poly0 newpath -62866.4 69629.7 moveto -63341.5 69154.6 lineto -stroke -newpath -63341.5 69629.7 moveto -62866.4 69154.6 lineto -stroke -newpath -63104 69629.7 moveto -63104 69154.6 lineto -stroke -newpath -62866.4 69392.1 moveto +63104 69154.6 moveto 63341.5 69392.1 lineto -stroke +63104 69629.7 lineto +62866.4 69392.1 lineto +63104 69154.6 lineto +poly0 newpath -62866.4 68571 moveto -63341.5 68095.9 lineto -stroke -newpath -63341.5 68571 moveto -62866.4 68095.9 lineto -stroke -newpath -63104 68571 moveto -63104 68095.9 lineto -stroke -newpath -62866.4 68333.5 moveto +63104 68095.9 moveto 63341.5 68333.5 lineto -stroke +63104 68571 lineto +62866.4 68333.5 lineto +63104 68095.9 lineto +poly0 newpath -62866.4 56396.4 moveto -63341.5 55921.2 lineto -stroke -newpath -63341.5 56396.4 moveto -62866.4 55921.2 lineto -stroke -newpath -63104 56396.4 moveto -63104 55921.2 lineto -stroke -newpath -62866.4 56158.8 moveto +63104 55921.2 moveto 63341.5 56158.8 lineto -stroke +63104 56396.4 lineto +62866.4 56158.8 lineto +63104 55921.2 lineto +poly0 newpath -62866.4 55337.7 moveto -63341.5 54862.6 lineto -stroke -newpath -63341.5 55337.7 moveto -62866.4 54862.6 lineto -stroke -newpath -63104 55337.7 moveto -63104 54862.6 lineto -stroke -newpath -62866.4 55100.1 moveto +63104 54862.6 moveto 63341.5 55100.1 lineto -stroke +63104 55337.7 lineto +62866.4 55100.1 lineto +63104 54862.6 lineto +poly0 newpath -63925.1 69629.7 moveto -64400.2 69154.6 lineto -stroke -newpath -64400.2 69629.7 moveto -63925.1 69154.6 lineto -stroke -newpath -64162.6 69629.7 moveto -64162.6 69154.6 lineto -stroke -newpath -63925.1 69392.1 moveto +64162.6 69154.6 moveto 64400.2 69392.1 lineto -stroke +64162.6 69629.7 lineto +63925.1 69392.1 lineto +64162.6 69154.6 lineto +poly0 newpath -63925.1 68571 moveto -64400.2 68095.9 lineto -stroke -newpath -64400.2 68571 moveto -63925.1 68095.9 lineto -stroke -newpath -64162.6 68571 moveto -64162.6 68095.9 lineto -stroke -newpath -63925.1 68333.5 moveto +64162.6 68095.9 moveto 64400.2 68333.5 lineto -stroke +64162.6 68571 lineto +63925.1 68333.5 lineto +64162.6 68095.9 lineto +poly0 newpath -63925.1 56396.4 moveto -64400.2 55921.2 lineto -stroke -newpath -64400.2 56396.4 moveto -63925.1 55921.2 lineto -stroke -newpath -64162.6 56396.4 moveto -64162.6 55921.2 lineto -stroke -newpath -63925.1 56158.8 moveto +64162.6 55921.2 moveto 64400.2 56158.8 lineto -stroke +64162.6 56396.4 lineto +63925.1 56158.8 lineto +64162.6 55921.2 lineto +poly0 newpath -63925.1 55337.7 moveto -64400.2 54862.6 lineto -stroke -newpath -64400.2 55337.7 moveto -63925.1 54862.6 lineto -stroke -newpath -64162.6 55337.7 moveto -64162.6 54862.6 lineto -stroke -newpath -63925.1 55100.1 moveto +64162.6 54862.6 moveto 64400.2 55100.1 lineto -stroke +64162.6 55337.7 lineto +63925.1 55100.1 lineto +64162.6 54862.6 lineto +poly0 newpath -64983.7 69629.7 moveto -65458.9 69154.6 lineto -stroke -newpath -65458.9 69629.7 moveto -64983.7 69154.6 lineto -stroke -newpath -65221.3 69629.7 moveto -65221.3 69154.6 lineto -stroke -newpath -64983.7 69392.1 moveto +65221.3 69154.6 moveto 65458.9 69392.1 lineto -stroke +65221.3 69629.7 lineto +64983.7 69392.1 lineto +65221.3 69154.6 lineto +poly0 newpath -64983.7 68571 moveto -65458.9 68095.9 lineto -stroke -newpath -65458.9 68571 moveto -64983.7 68095.9 lineto -stroke -newpath -65221.3 68571 moveto -65221.3 68095.9 lineto -stroke -newpath -64983.7 68333.5 moveto +65221.3 68095.9 moveto 65458.9 68333.5 lineto -stroke +65221.3 68571 lineto +64983.7 68333.5 lineto +65221.3 68095.9 lineto +poly0 newpath -64983.7 56396.4 moveto -65458.9 55921.2 lineto -stroke -newpath -65458.9 56396.4 moveto -64983.7 55921.2 lineto -stroke -newpath -65221.3 56396.4 moveto -65221.3 55921.2 lineto -stroke -newpath -64983.7 56158.8 moveto +65221.3 55921.2 moveto 65458.9 56158.8 lineto -stroke +65221.3 56396.4 lineto +64983.7 56158.8 lineto +65221.3 55921.2 lineto +poly0 newpath -64983.7 55337.7 moveto -65458.9 54862.6 lineto -stroke -newpath -65458.9 55337.7 moveto -64983.7 54862.6 lineto -stroke -newpath -65221.3 55337.7 moveto -65221.3 54862.6 lineto -stroke -newpath -64983.7 55100.1 moveto +65221.3 54862.6 moveto 65458.9 55100.1 lineto -stroke +65221.3 55337.7 lineto +64983.7 55100.1 lineto +65221.3 54862.6 lineto +poly0 newpath 68930.8 43046.3 moveto 69431 42546.2 lineto @@ -4140,13 +2992,7 @@ newpath 69431 43046.3 moveto 68930.8 42546.2 lineto stroke -newpath -69357.7 42619.4 moveto -69357.7 42973.1 lineto -69004 42973.1 lineto -69004 42619.4 lineto -69357.7 42619.4 lineto -poly0 +69180.9 42796.2 250.079 cir0 newpath 69989.5 43046.3 moveto 70489.6 42546.2 lineto @@ -4155,13 +3001,7 @@ newpath 70489.6 43046.3 moveto 69989.5 42546.2 lineto stroke -newpath -70416.4 42619.4 moveto -70416.4 42973.1 lineto -70062.7 42973.1 lineto -70062.7 42619.4 lineto -70416.4 42619.4 lineto -poly0 +70239.5 42796.2 250.079 cir0 newpath 71048.1 43046.3 moveto 71548.3 42546.2 lineto @@ -4170,13 +3010,7 @@ newpath 71548.3 43046.3 moveto 71048.1 42546.2 lineto stroke -newpath -71475 42619.4 moveto -71475 42973.1 lineto -71121.4 42973.1 lineto -71121.4 42619.4 lineto -71475 42619.4 lineto -poly0 +71298.2 42796.2 250.079 cir0 newpath 89170.5 58163.6 moveto 89712.3 57621.8 lineto @@ -4186,12 +3020,13 @@ newpath 89170.5 57621.8 lineto stroke newpath -89441.4 57621.8 moveto -89712.3 57892.7 lineto -89441.4 58163.6 lineto -89170.5 57892.7 lineto +89441.4 58163.6 moveto 89441.4 57621.8 lineto -poly0 +stroke +newpath +89170.5 57892.7 moveto +89712.3 57892.7 lineto +stroke newpath 89170.5 52120 moveto 89712.3 51578.2 lineto @@ -4201,12 +3036,13 @@ newpath 89170.5 51578.2 lineto stroke newpath -89441.4 51578.2 moveto -89712.3 51849.1 lineto -89441.4 52120 lineto -89170.5 51849.1 lineto +89441.4 52120 moveto 89441.4 51578.2 lineto -poly0 +stroke +newpath +89170.5 51849.1 moveto +89712.3 51849.1 lineto +stroke newpath 91233.7 58413.7 moveto 91775.5 57871.8 lineto @@ -4216,12 +3052,13 @@ newpath 91233.7 57871.8 lineto stroke newpath -91504.6 57871.8 moveto -91775.5 58142.8 lineto -91504.6 58413.7 lineto -91233.7 58142.8 lineto +91504.6 58413.7 moveto 91504.6 57871.8 lineto -poly0 +stroke +newpath +91233.7 58142.8 moveto +91775.5 58142.8 lineto +stroke newpath 91233.7 51869.9 moveto 91775.5 51328.1 lineto @@ -4231,21 +3068,28 @@ newpath 91233.7 51328.1 lineto stroke newpath -91504.6 51328.1 moveto -91775.5 51599 lineto -91504.6 51869.9 lineto -91233.7 51599 lineto +91504.6 51869.9 moveto 91504.6 51328.1 lineto +stroke +newpath +91233.7 51599 moveto +91775.5 51599 lineto +stroke +newpath +89961.4 43992.5 moveto +90878.3 43075.5 lineto +stroke +newpath +90878.3 43992.5 moveto +89961.4 43075.5 lineto +stroke +newpath +90744.1 43209.8 moveto +90744.1 43858.2 lineto +90095.7 43858.2 lineto +90095.7 43209.8 lineto +90744.1 43209.8 lineto poly0 -newpath -85481.8 61668.9 moveto -85481.8 60751.9 lineto -stroke -newpath -85023.4 61210.4 moveto -85940.3 61210.4 lineto -stroke -85481.8 61210.4 458.478 cir0 118.11 setlinewidth 0 0 0 setrgbcolor 0 0 0 setrgbcolor @@ -4604,31 +3448,31 @@ newpath 43600.7 33538.8 lineto stroke newpath -45288 33482.6 moveto -45288 32695.2 lineto +45344.2 33876.3 moveto +44781.8 33876.3 lineto +44725.5 33313.8 lineto +44781.8 33370.1 lineto +44894.3 33426.3 lineto +45175.5 33426.3 lineto +45288 33370.1 lineto +45344.2 33313.8 lineto +45400.4 33201.4 lineto +45400.4 32920.1 lineto +45344.2 32807.7 lineto +45288 32751.4 lineto +45175.5 32695.2 lineto +44894.3 32695.2 lineto +44781.8 32751.4 lineto +44725.5 32807.7 lineto stroke newpath -45006.7 33932.5 moveto -44725.5 33088.9 lineto -45456.7 33088.9 lineto +46412.8 33482.6 moveto +46412.8 32695.2 lineto stroke newpath -46469.1 33876.3 moveto -45906.6 33876.3 lineto -45850.4 33313.8 lineto -45906.6 33370.1 lineto -46019.1 33426.3 lineto -46300.3 33426.3 lineto -46412.8 33370.1 lineto -46469.1 33313.8 lineto -46525.3 33201.4 lineto -46525.3 32920.1 lineto -46469.1 32807.7 lineto -46412.8 32751.4 lineto -46300.3 32695.2 lineto -46019.1 32695.2 lineto -45906.6 32751.4 lineto -45850.4 32807.7 lineto +46131.6 33932.5 moveto +45850.4 33088.9 lineto +46581.5 33088.9 lineto stroke newpath 47931.4 32695.2 moveto @@ -5144,11 +3988,11 @@ newpath 59854.9 32485.9 lineto stroke newpath -24589.7 30278.1 moveto -24589.7 29944.7 lineto +24579.3 30288.5 moveto +24579.3 29934.2 lineto stroke newpath -24423 30111.4 moveto +24402.2 30111.4 moveto 24756.5 30111.4 lineto stroke 0 0 0 setrgbcolor @@ -5219,27 +4063,22 @@ newpath 27965.1 30252 lineto stroke newpath -28921.3 30758.2 moveto -29033.7 30758.2 lineto -29146.2 30701.9 lineto -29202.5 30645.7 lineto -29258.7 30533.2 lineto -29315 30308.2 lineto -29315 30027 lineto -29258.7 29802 lineto -29202.5 29689.5 lineto -29146.2 29633.3 lineto -29033.7 29577.1 lineto -28921.3 29577.1 lineto -28808.8 29633.3 lineto -28752.5 29689.5 lineto -28696.3 29802 lineto -28640 30027 lineto -28640 30308.2 lineto -28696.3 30533.2 lineto -28752.5 30645.7 lineto -28808.8 30701.9 lineto -28921.3 30758.2 lineto +29258.7 30758.2 moveto +28696.3 30758.2 lineto +28640 30195.7 lineto +28696.3 30252 lineto +28808.8 30308.2 lineto +29090 30308.2 lineto +29202.5 30252 lineto +29258.7 30195.7 lineto +29315 30083.2 lineto +29315 29802 lineto +29258.7 29689.5 lineto +29202.5 29633.3 lineto +29090 29577.1 lineto +28808.8 29577.1 lineto +28696.3 29633.3 lineto +28640 29689.5 lineto stroke newpath 29821.1 29577.1 moveto @@ -5360,15 +4199,20 @@ newpath 38707.5 29689.5 lineto stroke newpath -40563.5 29577.1 moveto -39888.6 29577.1 lineto -stroke -newpath -40226.1 29577.1 moveto -40226.1 30758.2 lineto -40113.6 30589.4 lineto -40001.1 30477 lineto -39888.6 30420.7 lineto +39832.4 30758.2 moveto +40563.5 30758.2 lineto +40169.8 30308.2 lineto +40338.6 30308.2 lineto +40451.1 30252 lineto +40507.3 30195.7 lineto +40563.5 30083.2 lineto +40563.5 29802 lineto +40507.3 29689.5 lineto +40451.1 29633.3 lineto +40338.6 29577.1 lineto +40001.1 29577.1 lineto +39888.6 29633.3 lineto +39832.4 29689.5 lineto stroke newpath 41013.5 30758.2 moveto @@ -5491,36 +4335,24 @@ newpath 50293.6 30926.9 lineto stroke newpath -24704.6 28427.1 moveto -24704.6 28677.6 lineto -24454.1 28677.6 lineto -24454.1 28427.1 lineto -24704.6 28427.1 lineto +24695.4 28405 moveto +24695.4 28699.7 lineto +24400.7 28699.7 lineto +24400.7 28405 lineto +24695.4 28405 lineto poly0 0 0 0 setrgbcolor 0 0 0 setrgbcolor newpath -26109.1 29199.1 moveto -26221.6 29199.1 lineto -26334.1 29142.9 lineto -26390.3 29086.6 lineto -26446.6 28974.1 lineto -26502.8 28749.2 lineto -26502.8 28468 lineto -26446.6 28243 lineto -26390.3 28130.5 lineto -26334.1 28074.3 lineto -26221.6 28018 lineto -26109.1 28018 lineto -25996.6 28074.3 lineto -25940.4 28130.5 lineto -25884.1 28243 lineto -25827.9 28468 lineto -25827.9 28749.2 lineto -25884.1 28974.1 lineto -25940.4 29086.6 lineto -25996.6 29142.9 lineto -26109.1 29199.1 lineto +26502.8 28018 moveto +25827.9 28018 lineto +stroke +newpath +26165.3 28018 moveto +26165.3 29199.1 lineto +26052.9 29030.4 lineto +25940.4 28917.9 lineto +25827.9 28861.7 lineto stroke newpath 27009 28130.5 moveto @@ -5531,56 +4363,50 @@ newpath 27009 28018 lineto stroke newpath -27740.2 28692.9 moveto -27627.7 28749.2 lineto -27571.4 28805.4 lineto -27515.2 28917.9 lineto -27515.2 28974.1 lineto -27571.4 29086.6 lineto -27627.7 29142.9 lineto -27740.2 29199.1 lineto -27965.1 29199.1 lineto -28077.6 29142.9 lineto -28133.9 29086.6 lineto -28190.1 28974.1 lineto -28190.1 28917.9 lineto -28133.9 28805.4 lineto -28077.6 28749.2 lineto -27965.1 28692.9 lineto -27740.2 28692.9 lineto -27627.7 28636.7 lineto -27571.4 28580.4 lineto -27515.2 28468 lineto -27515.2 28243 lineto -27571.4 28130.5 lineto -27627.7 28074.3 lineto -27740.2 28018 lineto -27965.1 28018 lineto -28077.6 28074.3 lineto -28133.9 28130.5 lineto -28190.1 28243 lineto +27796.4 29199.1 moveto +27908.9 29199.1 lineto +28021.4 29142.9 lineto +28077.6 29086.6 lineto +28133.9 28974.1 lineto +28190.1 28749.2 lineto 28190.1 28468 lineto -28133.9 28580.4 lineto -28077.6 28636.7 lineto -27965.1 28692.9 lineto +28133.9 28243 lineto +28077.6 28130.5 lineto +28021.4 28074.3 lineto +27908.9 28018 lineto +27796.4 28018 lineto +27683.9 28074.3 lineto +27627.7 28130.5 lineto +27571.4 28243 lineto +27515.2 28468 lineto +27515.2 28749.2 lineto +27571.4 28974.1 lineto +27627.7 29086.6 lineto +27683.9 29142.9 lineto +27796.4 29199.1 lineto stroke newpath -29258.7 29199.1 moveto -28696.3 29199.1 lineto -28640 28636.7 lineto -28696.3 28692.9 lineto -28808.8 28749.2 lineto -29090 28749.2 lineto -29202.5 28692.9 lineto -29258.7 28636.7 lineto -29315 28524.2 lineto -29315 28243 lineto -29258.7 28130.5 lineto -29202.5 28074.3 lineto -29090 28018 lineto -28808.8 28018 lineto -28696.3 28074.3 lineto -28640 28130.5 lineto +28921.3 29199.1 moveto +29033.7 29199.1 lineto +29146.2 29142.9 lineto +29202.5 29086.6 lineto +29258.7 28974.1 lineto +29315 28749.2 lineto +29315 28468 lineto +29258.7 28243 lineto +29202.5 28130.5 lineto +29146.2 28074.3 lineto +29033.7 28018 lineto +28921.3 28018 lineto +28808.8 28074.3 lineto +28752.5 28130.5 lineto +28696.3 28243 lineto +28640 28468 lineto +28640 28749.2 lineto +28696.3 28974.1 lineto +28752.5 29086.6 lineto +28808.8 29142.9 lineto +28921.3 29199.1 lineto stroke newpath 29821.1 28018 moveto @@ -5701,20 +4527,28 @@ newpath 38707.5 28130.5 lineto stroke newpath -39832.4 29199.1 moveto -40563.5 29199.1 lineto -40169.8 28749.2 lineto -40338.6 28749.2 lineto -40451.1 28692.9 lineto -40507.3 28636.7 lineto +40001.1 28018 moveto +40226.1 28018 lineto +40338.6 28074.3 lineto +40394.8 28130.5 lineto +40507.3 28299.2 lineto 40563.5 28524.2 lineto -40563.5 28243 lineto -40507.3 28130.5 lineto -40451.1 28074.3 lineto -40338.6 28018 lineto -40001.1 28018 lineto -39888.6 28074.3 lineto -39832.4 28130.5 lineto +40563.5 28974.1 lineto +40507.3 29086.6 lineto +40451.1 29142.9 lineto +40338.6 29199.1 lineto +40113.6 29199.1 lineto +40001.1 29142.9 lineto +39944.9 29086.6 lineto +39888.6 28974.1 lineto +39888.6 28692.9 lineto +39944.9 28580.4 lineto +40001.1 28524.2 lineto +40113.6 28468 lineto +40338.6 28468 lineto +40451.1 28524.2 lineto +40507.3 28580.4 lineto +40563.5 28692.9 lineto stroke newpath 41013.5 29199.1 moveto @@ -5739,109 +4573,144 @@ newpath 43207 29367.8 lineto stroke newpath -43600.7 29086.6 moveto -43656.9 29142.9 lineto -43769.4 29199.1 lineto -44050.6 29199.1 lineto -44163.1 29142.9 lineto -44219.3 29086.6 lineto +43713.2 28018 moveto +43938.1 28018 lineto +44050.6 28074.3 lineto +44106.9 28130.5 lineto +44219.3 28299.2 lineto +44275.6 28524.2 lineto 44275.6 28974.1 lineto -44275.6 28861.7 lineto -44219.3 28692.9 lineto -43544.4 28018 lineto -44275.6 28018 lineto +44219.3 29086.6 lineto +44163.1 29142.9 lineto +44050.6 29199.1 lineto +43825.6 29199.1 lineto +43713.2 29142.9 lineto +43656.9 29086.6 lineto +43600.7 28974.1 lineto +43600.7 28692.9 lineto +43656.9 28580.4 lineto +43713.2 28524.2 lineto +43825.6 28468 lineto +44050.6 28468 lineto +44163.1 28524.2 lineto +44219.3 28580.4 lineto +44275.6 28692.9 lineto stroke newpath -45681.7 28018 moveto -45681.7 29199.1 lineto +45288 29199.1 moveto +45063 29199.1 lineto +44950.5 29142.9 lineto +44894.3 29086.6 lineto +44781.8 28917.9 lineto +44725.5 28692.9 lineto +44725.5 28243 lineto +44781.8 28130.5 lineto +44838 28074.3 lineto +44950.5 28018 lineto +45175.5 28018 lineto +45288 28074.3 lineto +45344.2 28130.5 lineto +45400.4 28243 lineto +45400.4 28524.2 lineto +45344.2 28636.7 lineto +45288 28692.9 lineto +45175.5 28749.2 lineto +44950.5 28749.2 lineto +44838 28692.9 lineto +44781.8 28636.7 lineto +44725.5 28524.2 lineto stroke newpath -46187.8 28018 moveto -46187.8 28636.7 lineto -46131.6 28749.2 lineto -46019.1 28805.4 lineto -45850.4 28805.4 lineto -45737.9 28749.2 lineto -45681.7 28692.9 lineto +46806.5 28018 moveto +46806.5 29199.1 lineto stroke newpath -46919 28018 moveto -46806.5 28074.3 lineto -46750.3 28130.5 lineto -46694 28243 lineto -46694 28580.4 lineto -46750.3 28692.9 lineto -46806.5 28749.2 lineto -46919 28805.4 lineto -47087.7 28805.4 lineto -47200.2 28749.2 lineto -47256.5 28692.9 lineto -47312.7 28580.4 lineto -47312.7 28243 lineto -47256.5 28130.5 lineto -47200.2 28074.3 lineto -47087.7 28018 lineto -46919 28018 lineto +47312.7 28018 moveto +47312.7 28636.7 lineto +47256.5 28749.2 lineto +47144 28805.4 lineto +46975.2 28805.4 lineto +46862.8 28749.2 lineto +46806.5 28692.9 lineto stroke newpath -47987.6 28018 moveto -47875.1 28074.3 lineto -47818.9 28186.7 lineto -47818.9 29199.1 lineto +48043.9 28018 moveto +47931.4 28074.3 lineto +47875.1 28130.5 lineto +47818.9 28243 lineto +47818.9 28580.4 lineto +47875.1 28692.9 lineto +47931.4 28749.2 lineto +48043.9 28805.4 lineto +48212.6 28805.4 lineto +48325.1 28749.2 lineto +48381.3 28692.9 lineto +48437.6 28580.4 lineto +48437.6 28243 lineto +48381.3 28130.5 lineto +48325.1 28074.3 lineto +48212.6 28018 lineto +48043.9 28018 lineto stroke newpath -48887.5 28074.3 moveto -48775 28018 lineto -48550 28018 lineto -48437.6 28074.3 lineto -48381.3 28186.7 lineto -48381.3 28636.7 lineto -48437.6 28749.2 lineto -48550 28805.4 lineto -48775 28805.4 lineto -48887.5 28749.2 lineto -48943.7 28636.7 lineto -48943.7 28524.2 lineto -48381.3 28411.7 lineto +49112.5 28018 moveto +49000 28074.3 lineto +48943.7 28186.7 lineto +48943.7 29199.1 lineto stroke newpath -49393.7 28074.3 moveto -49506.2 28018 lineto -49731.1 28018 lineto -49843.6 28074.3 lineto -49899.9 28186.7 lineto -49899.9 28243 lineto -49843.6 28355.5 lineto -49731.1 28411.7 lineto -49562.4 28411.7 lineto -49449.9 28468 lineto -49393.7 28580.4 lineto -49393.7 28636.7 lineto -49449.9 28749.2 lineto -49562.4 28805.4 lineto -49731.1 28805.4 lineto -49843.6 28749.2 lineto +50012.4 28074.3 moveto +49899.9 28018 lineto +49674.9 28018 lineto +49562.4 28074.3 lineto +49506.2 28186.7 lineto +49506.2 28636.7 lineto +49562.4 28749.2 lineto +49674.9 28805.4 lineto +49899.9 28805.4 lineto +50012.4 28749.2 lineto +50068.6 28636.7 lineto +50068.6 28524.2 lineto +49506.2 28411.7 lineto stroke newpath -50293.6 27568.1 moveto -50349.8 27624.3 lineto -50462.3 27793 lineto -50518.5 27905.5 lineto -50574.8 28074.3 lineto -50631 28355.5 lineto -50631 28580.4 lineto -50574.8 28861.7 lineto -50518.5 29030.4 lineto -50462.3 29142.9 lineto -50349.8 29311.6 lineto -50293.6 29367.8 lineto +50518.5 28074.3 moveto +50631 28018 lineto +50856 28018 lineto +50968.5 28074.3 lineto +51024.7 28186.7 lineto +51024.7 28243 lineto +50968.5 28355.5 lineto +50856 28411.7 lineto +50687.3 28411.7 lineto +50574.8 28468 lineto +50518.5 28580.4 lineto +50518.5 28636.7 lineto +50574.8 28749.2 lineto +50687.3 28805.4 lineto +50856 28805.4 lineto +50968.5 28749.2 lineto stroke newpath -24548.1 26784.9 moveto +51418.4 27568.1 moveto +51474.7 27624.3 lineto +51587.2 27793 lineto +51643.4 27905.5 lineto +51699.7 28074.3 lineto +51755.9 28355.5 lineto +51755.9 28580.4 lineto +51699.7 28861.7 lineto +51643.4 29030.4 lineto +51587.2 29142.9 lineto +51474.7 29311.6 lineto +51418.4 29367.8 lineto +stroke +newpath +24518.9 26755.7 moveto 24756.5 26993.3 lineto -24548.1 27201.7 lineto -24339.7 26993.3 lineto -24548.1 26784.9 lineto +24518.9 27230.8 lineto +24281.3 26993.3 lineto +24518.9 26755.7 lineto poly0 0 0 0 setrgbcolor 0 0 0 setrgbcolor @@ -5865,50 +4734,24 @@ newpath 27009 26459 lineto stroke newpath -27796.4 27640.1 moveto -27908.9 27640.1 lineto -28021.4 27583.8 lineto -28077.6 27527.6 lineto -28133.9 27415.1 lineto -28190.1 27190.1 lineto -28190.1 26908.9 lineto -28133.9 26683.9 lineto -28077.6 26571.4 lineto -28021.4 26515.2 lineto -27908.9 26459 lineto -27796.4 26459 lineto -27683.9 26515.2 lineto -27627.7 26571.4 lineto -27571.4 26683.9 lineto -27515.2 26908.9 lineto -27515.2 27190.1 lineto -27571.4 27415.1 lineto -27627.7 27527.6 lineto -27683.9 27583.8 lineto -27796.4 27640.1 lineto +28190.1 26459 moveto +27515.2 26459 lineto stroke newpath -28921.3 27640.1 moveto -29033.7 27640.1 lineto -29146.2 27583.8 lineto -29202.5 27527.6 lineto -29258.7 27415.1 lineto -29315 27190.1 lineto -29315 26908.9 lineto -29258.7 26683.9 lineto -29202.5 26571.4 lineto -29146.2 26515.2 lineto -29033.7 26459 lineto -28921.3 26459 lineto -28808.8 26515.2 lineto -28752.5 26571.4 lineto -28696.3 26683.9 lineto -28640 26908.9 lineto -28640 27190.1 lineto -28696.3 27415.1 lineto -28752.5 27527.6 lineto -28808.8 27583.8 lineto -28921.3 27640.1 lineto +27852.6 26459 moveto +27852.6 27640.1 lineto +27740.2 27471.3 lineto +27627.7 27358.8 lineto +27515.2 27302.6 lineto +stroke +newpath +29202.5 27246.4 moveto +29202.5 26459 lineto +stroke +newpath +28921.3 27696.3 moveto +28640 26852.7 lineto +29371.2 26852.7 lineto stroke newpath 29821.1 26459 moveto @@ -6013,44 +4856,31 @@ newpath 37920.1 27640.1 lineto stroke newpath -38707.5 27640.1 moveto -39438.7 27640.1 lineto -39045 27190.1 lineto -39213.7 27190.1 lineto -39326.2 27133.9 lineto -39382.4 27077.6 lineto -39438.7 26965.1 lineto -39438.7 26683.9 lineto -39382.4 26571.4 lineto -39326.2 26515.2 lineto -39213.7 26459 lineto -38876.3 26459 lineto -38763.8 26515.2 lineto -38707.5 26571.4 lineto +39326.2 27246.4 moveto +39326.2 26459 lineto stroke newpath -40001.1 26459 moveto -40226.1 26459 lineto -40338.6 26515.2 lineto -40394.8 26571.4 lineto -40507.3 26740.2 lineto +39045 27696.3 moveto +38763.8 26852.7 lineto +39494.9 26852.7 lineto +stroke +newpath +40507.3 27640.1 moveto +39944.9 27640.1 lineto +39888.6 27077.6 lineto +39944.9 27133.9 lineto +40057.4 27190.1 lineto +40338.6 27190.1 lineto +40451.1 27133.9 lineto +40507.3 27077.6 lineto 40563.5 26965.1 lineto -40563.5 27415.1 lineto -40507.3 27527.6 lineto -40451.1 27583.8 lineto -40338.6 27640.1 lineto -40113.6 27640.1 lineto -40001.1 27583.8 lineto -39944.9 27527.6 lineto -39888.6 27415.1 lineto -39888.6 27133.9 lineto -39944.9 27021.4 lineto -40001.1 26965.1 lineto -40113.6 26908.9 lineto -40338.6 26908.9 lineto -40451.1 26965.1 lineto -40507.3 27021.4 lineto -40563.5 27133.9 lineto +40563.5 26683.9 lineto +40507.3 26571.4 lineto +40451.1 26515.2 lineto +40338.6 26459 lineto +40057.4 26459 lineto +39944.9 26515.2 lineto +39888.6 26571.4 lineto stroke newpath 41013.5 27640.1 moveto @@ -6075,147 +4905,157 @@ newpath 43207 27808.8 lineto stroke newpath -43713.2 26459 moveto -43938.1 26459 lineto -44050.6 26515.2 lineto -44106.9 26571.4 lineto -44219.3 26740.2 lineto -44275.6 26965.1 lineto -44275.6 27415.1 lineto -44219.3 27527.6 lineto -44163.1 27583.8 lineto -44050.6 27640.1 lineto -43825.6 27640.1 lineto -43713.2 27583.8 lineto -43656.9 27527.6 lineto -43600.7 27415.1 lineto -43600.7 27133.9 lineto -43656.9 27021.4 lineto -43713.2 26965.1 lineto -43825.6 26908.9 lineto -44050.6 26908.9 lineto -44163.1 26965.1 lineto -44219.3 27021.4 lineto -44275.6 27133.9 lineto +44275.6 26459 moveto +43600.7 26459 lineto stroke newpath -45288 27640.1 moveto -45063 27640.1 lineto -44950.5 27583.8 lineto -44894.3 27527.6 lineto -44781.8 27358.8 lineto -44725.5 27133.9 lineto -44725.5 26683.9 lineto -44781.8 26571.4 lineto -44838 26515.2 lineto -44950.5 26459 lineto -45175.5 26459 lineto -45288 26515.2 lineto -45344.2 26571.4 lineto -45400.4 26683.9 lineto -45400.4 26965.1 lineto -45344.2 27077.6 lineto -45288 27133.9 lineto -45175.5 27190.1 lineto -44950.5 27190.1 lineto -44838 27133.9 lineto -44781.8 27077.6 lineto -44725.5 26965.1 lineto +43938.1 26459 moveto +43938.1 27640.1 lineto +43825.6 27471.3 lineto +43713.2 27358.8 lineto +43600.7 27302.6 lineto stroke newpath -46806.5 26459 moveto -46806.5 27640.1 lineto +44725.5 27527.6 moveto +44781.8 27583.8 lineto +44894.3 27640.1 lineto +45175.5 27640.1 lineto +45288 27583.8 lineto +45344.2 27527.6 lineto +45400.4 27415.1 lineto +45400.4 27302.6 lineto +45344.2 27133.9 lineto +44669.3 26459 lineto +45400.4 26459 lineto stroke newpath -47312.7 26459 moveto -47312.7 27077.6 lineto -47256.5 27190.1 lineto -47144 27246.4 lineto -46975.2 27246.4 lineto -46862.8 27190.1 lineto -46806.5 27133.9 lineto +46075.4 27133.9 moveto +45962.9 27190.1 lineto +45906.6 27246.4 lineto +45850.4 27358.8 lineto +45850.4 27415.1 lineto +45906.6 27527.6 lineto +45962.9 27583.8 lineto +46075.4 27640.1 lineto +46300.3 27640.1 lineto +46412.8 27583.8 lineto +46469.1 27527.6 lineto +46525.3 27415.1 lineto +46525.3 27358.8 lineto +46469.1 27246.4 lineto +46412.8 27190.1 lineto +46300.3 27133.9 lineto +46075.4 27133.9 lineto +45962.9 27077.6 lineto +45906.6 27021.4 lineto +45850.4 26908.9 lineto +45850.4 26683.9 lineto +45906.6 26571.4 lineto +45962.9 26515.2 lineto +46075.4 26459 lineto +46300.3 26459 lineto +46412.8 26515.2 lineto +46469.1 26571.4 lineto +46525.3 26683.9 lineto +46525.3 26908.9 lineto +46469.1 27021.4 lineto +46412.8 27077.6 lineto +46300.3 27133.9 lineto stroke newpath -48043.9 26459 moveto -47931.4 26515.2 lineto -47875.1 26571.4 lineto -47818.9 26683.9 lineto -47818.9 27021.4 lineto -47875.1 27133.9 lineto -47931.4 27190.1 lineto -48043.9 27246.4 lineto -48212.6 27246.4 lineto -48325.1 27190.1 lineto -48381.3 27133.9 lineto -48437.6 27021.4 lineto -48437.6 26683.9 lineto -48381.3 26571.4 lineto -48325.1 26515.2 lineto -48212.6 26459 lineto -48043.9 26459 lineto +47931.4 26459 moveto +47931.4 27640.1 lineto stroke newpath -49112.5 26459 moveto -49000 26515.2 lineto -48943.7 26627.7 lineto -48943.7 27640.1 lineto +48437.6 26459 moveto +48437.6 27077.6 lineto +48381.3 27190.1 lineto +48268.8 27246.4 lineto +48100.1 27246.4 lineto +47987.6 27190.1 lineto +47931.4 27133.9 lineto stroke newpath -50012.4 26515.2 moveto -49899.9 26459 lineto -49674.9 26459 lineto -49562.4 26515.2 lineto -49506.2 26627.7 lineto -49506.2 27077.6 lineto -49562.4 27190.1 lineto -49674.9 27246.4 lineto -49899.9 27246.4 lineto -50012.4 27190.1 lineto -50068.6 27077.6 lineto -50068.6 26965.1 lineto -49506.2 26852.7 lineto +49168.7 26459 moveto +49056.2 26515.2 lineto +49000 26571.4 lineto +48943.7 26683.9 lineto +48943.7 27021.4 lineto +49000 27133.9 lineto +49056.2 27190.1 lineto +49168.7 27246.4 lineto +49337.4 27246.4 lineto +49449.9 27190.1 lineto +49506.2 27133.9 lineto +49562.4 27021.4 lineto +49562.4 26683.9 lineto +49506.2 26571.4 lineto +49449.9 26515.2 lineto +49337.4 26459 lineto +49168.7 26459 lineto stroke newpath -50518.5 26515.2 moveto -50631 26459 lineto -50856 26459 lineto -50968.5 26515.2 lineto -51024.7 26627.7 lineto -51024.7 26683.9 lineto -50968.5 26796.4 lineto -50856 26852.7 lineto -50687.3 26852.7 lineto -50574.8 26908.9 lineto -50518.5 27021.4 lineto -50518.5 27077.6 lineto -50574.8 27190.1 lineto -50687.3 27246.4 lineto -50856 27246.4 lineto -50968.5 27190.1 lineto +50237.3 26459 moveto +50124.8 26515.2 lineto +50068.6 26627.7 lineto +50068.6 27640.1 lineto stroke newpath -51418.4 26009 moveto -51474.7 26065.3 lineto -51587.2 26234 lineto -51643.4 26346.5 lineto -51699.7 26515.2 lineto -51755.9 26796.4 lineto -51755.9 27021.4 lineto -51699.7 27302.6 lineto -51643.4 27471.3 lineto -51587.2 27583.8 lineto -51474.7 27752.5 lineto -51418.4 27808.8 lineto +51137.2 26515.2 moveto +51024.7 26459 lineto +50799.8 26459 lineto +50687.3 26515.2 lineto +50631 26627.7 lineto +50631 27077.6 lineto +50687.3 27190.1 lineto +50799.8 27246.4 lineto +51024.7 27246.4 lineto +51137.2 27190.1 lineto +51193.5 27077.6 lineto +51193.5 26965.1 lineto +50631 26852.7 lineto stroke newpath -24331.3 25646.8 moveto -24756.5 25221.6 lineto +51643.4 26515.2 moveto +51755.9 26459 lineto +51980.9 26459 lineto +52093.4 26515.2 lineto +52149.6 26627.7 lineto +52149.6 26683.9 lineto +52093.4 26796.4 lineto +51980.9 26852.7 lineto +51812.1 26852.7 lineto +51699.7 26908.9 lineto +51643.4 27021.4 lineto +51643.4 27077.6 lineto +51699.7 27190.1 lineto +51812.1 27246.4 lineto +51980.9 27246.4 lineto +52093.4 27190.1 lineto stroke newpath -24756.5 25646.8 moveto -24331.3 25221.6 lineto +52543.3 26009 moveto +52599.5 26065.3 lineto +52712 26234 lineto +52768.3 26346.5 lineto +52824.5 26515.2 lineto +52880.8 26796.4 lineto +52880.8 27021.4 lineto +52824.5 27302.6 lineto +52768.3 27471.3 lineto +52712 27583.8 lineto +52599.5 27752.5 lineto +52543.3 27808.8 lineto stroke -24543.9 25434.2 212.567 cir0 +newpath +24256.3 25684.3 moveto +24756.5 25184.1 lineto +stroke +newpath +24756.5 25684.3 moveto +24256.3 25184.1 lineto +stroke +24506.4 25434.2 250.079 cir0 0 0 0 setrgbcolor 0 0 0 setrgbcolor newpath @@ -6238,40 +5078,40 @@ newpath 27009 24899.9 lineto stroke newpath -27796.4 26081 moveto -27908.9 26081 lineto -28021.4 26024.8 lineto -28077.6 25968.5 lineto -28133.9 25856 lineto -28190.1 25631.1 lineto -28190.1 25349.8 lineto -28133.9 25124.9 lineto -28077.6 25012.4 lineto -28021.4 24956.1 lineto -27908.9 24899.9 lineto -27796.4 24899.9 lineto -27683.9 24956.1 lineto -27627.7 25012.4 lineto -27571.4 25124.9 lineto -27515.2 25349.8 lineto -27515.2 25631.1 lineto -27571.4 25856 lineto -27627.7 25968.5 lineto -27683.9 26024.8 lineto -27796.4 26081 lineto +27515.2 25968.5 moveto +27571.4 26024.8 lineto +27683.9 26081 lineto +27965.1 26081 lineto +28077.6 26024.8 lineto +28133.9 25968.5 lineto +28190.1 25856 lineto +28190.1 25743.5 lineto +28133.9 25574.8 lineto +27458.9 24899.9 lineto +28190.1 24899.9 lineto stroke newpath -28640 25968.5 moveto -28696.3 26024.8 lineto -28808.8 26081 lineto -29090 26081 lineto -29202.5 26024.8 lineto -29258.7 25968.5 lineto -29315 25856 lineto -29315 25743.5 lineto -29258.7 25574.8 lineto -28583.8 24899.9 lineto -29315 24899.9 lineto +28921.3 26081 moveto +29033.7 26081 lineto +29146.2 26024.8 lineto +29202.5 25968.5 lineto +29258.7 25856 lineto +29315 25631.1 lineto +29315 25349.8 lineto +29258.7 25124.9 lineto +29202.5 25012.4 lineto +29146.2 24956.1 lineto +29033.7 24899.9 lineto +28921.3 24899.9 lineto +28808.8 24956.1 lineto +28752.5 25012.4 lineto +28696.3 25124.9 lineto +28640 25349.8 lineto +28640 25631.1 lineto +28696.3 25856 lineto +28752.5 25968.5 lineto +28808.8 26024.8 lineto +28921.3 26081 lineto stroke newpath 29821.1 24899.9 moveto @@ -6385,27 +5225,9 @@ newpath 39494.9 25293.6 lineto stroke newpath -40169.8 26081 moveto -40282.3 26081 lineto -40394.8 26024.8 lineto -40451.1 25968.5 lineto -40507.3 25856 lineto -40563.5 25631.1 lineto -40563.5 25349.8 lineto -40507.3 25124.9 lineto -40451.1 25012.4 lineto -40394.8 24956.1 lineto -40282.3 24899.9 lineto -40169.8 24899.9 lineto -40057.4 24956.1 lineto -40001.1 25012.4 lineto -39944.9 25124.9 lineto -39888.6 25349.8 lineto -39888.6 25631.1 lineto -39944.9 25856 lineto -40001.1 25968.5 lineto -40057.4 26024.8 lineto -40169.8 26081 lineto +39832.4 26081 moveto +40619.8 26081 lineto +40113.6 24899.9 lineto stroke newpath 41013.5 26081 moveto @@ -6430,28 +5252,20 @@ newpath 43207 26249.7 lineto stroke newpath -44163.1 26081 moveto -43938.1 26081 lineto -43825.6 26024.8 lineto -43769.4 25968.5 lineto -43656.9 25799.8 lineto -43600.7 25574.8 lineto -43600.7 25124.9 lineto -43656.9 25012.4 lineto -43713.2 24956.1 lineto -43825.6 24899.9 lineto -44050.6 24899.9 lineto -44163.1 24956.1 lineto -44219.3 25012.4 lineto -44275.6 25124.9 lineto -44275.6 25406.1 lineto -44219.3 25518.6 lineto -44163.1 25574.8 lineto +43544.4 26081 moveto +44275.6 26081 lineto +43881.9 25631.1 lineto 44050.6 25631.1 lineto -43825.6 25631.1 lineto -43713.2 25574.8 lineto -43656.9 25518.6 lineto -43600.7 25406.1 lineto +44163.1 25574.8 lineto +44219.3 25518.6 lineto +44275.6 25406.1 lineto +44275.6 25124.9 lineto +44219.3 25012.4 lineto +44163.1 24956.1 lineto +44050.6 24899.9 lineto +43713.2 24899.9 lineto +43600.7 24956.1 lineto +43544.4 25012.4 lineto stroke newpath 45681.7 24899.9 moveto @@ -6539,19 +5353,19 @@ newpath 50293.6 26249.7 lineto stroke newpath -24281.3 24112.7 moveto -24756.5 23637.6 lineto +24214.6 24146.1 moveto +24756.5 23604.2 lineto stroke newpath -24756.5 24112.7 moveto -24281.3 23637.6 lineto +24756.5 24146.1 moveto +24214.6 23604.2 lineto stroke newpath -24518.9 24112.7 moveto -24518.9 23637.6 lineto +24485.5 24146.1 moveto +24485.5 23604.2 lineto stroke newpath -24281.3 23875.2 moveto +24214.6 23875.2 moveto 24756.5 23875.2 lineto stroke 0 0 0 setrgbcolor @@ -6576,24 +5390,43 @@ newpath 27009 23340.8 lineto stroke newpath -28190.1 23340.8 moveto -27515.2 23340.8 lineto +27458.9 24521.9 moveto +28190.1 24521.9 lineto +27796.4 24072 lineto +27965.1 24072 lineto +28077.6 24015.8 lineto +28133.9 23959.5 lineto +28190.1 23847 lineto +28190.1 23565.8 lineto +28133.9 23453.3 lineto +28077.6 23397.1 lineto +27965.1 23340.8 lineto +27627.7 23340.8 lineto +27515.2 23397.1 lineto +27458.9 23453.3 lineto stroke newpath -27852.6 23340.8 moveto -27852.6 24521.9 lineto -27740.2 24353.2 lineto -27627.7 24240.7 lineto -27515.2 24184.5 lineto -stroke -newpath -29202.5 24128.2 moveto -29202.5 23340.8 lineto -stroke -newpath -28921.3 24578.2 moveto -28640 23734.5 lineto -29371.2 23734.5 lineto +28921.3 24521.9 moveto +29033.7 24521.9 lineto +29146.2 24465.7 lineto +29202.5 24409.5 lineto +29258.7 24297 lineto +29315 24072 lineto +29315 23790.8 lineto +29258.7 23565.8 lineto +29202.5 23453.3 lineto +29146.2 23397.1 lineto +29033.7 23340.8 lineto +28921.3 23340.8 lineto +28808.8 23397.1 lineto +28752.5 23453.3 lineto +28696.3 23565.8 lineto +28640 23790.8 lineto +28640 24072 lineto +28696.3 24297 lineto +28752.5 24409.5 lineto +28808.8 24465.7 lineto +28921.3 24521.9 lineto stroke newpath 29821.1 23340.8 moveto @@ -6698,31 +5531,33 @@ newpath 37920.1 24521.9 lineto stroke newpath -39326.2 24128.2 moveto -39326.2 23340.8 lineto +39382.4 24521.9 moveto +38820 24521.9 lineto +38763.8 23959.5 lineto +38820 24015.8 lineto +38932.5 24072 lineto +39213.7 24072 lineto +39326.2 24015.8 lineto +39382.4 23959.5 lineto +39438.7 23847 lineto +39438.7 23565.8 lineto +39382.4 23453.3 lineto +39326.2 23397.1 lineto +39213.7 23340.8 lineto +38932.5 23340.8 lineto +38820 23397.1 lineto +38763.8 23453.3 lineto stroke newpath -39045 24578.2 moveto -38763.8 23734.5 lineto -39494.9 23734.5 lineto +40563.5 23340.8 moveto +39888.6 23340.8 lineto stroke newpath -40507.3 24521.9 moveto -39944.9 24521.9 lineto -39888.6 23959.5 lineto -39944.9 24015.8 lineto -40057.4 24072 lineto -40338.6 24072 lineto -40451.1 24015.8 lineto -40507.3 23959.5 lineto -40563.5 23847 lineto -40563.5 23565.8 lineto -40507.3 23453.3 lineto -40451.1 23397.1 lineto -40338.6 23340.8 lineto -40057.4 23340.8 lineto -39944.9 23397.1 lineto -39888.6 23453.3 lineto +40226.1 23340.8 moveto +40226.1 24521.9 lineto +40113.6 24353.2 lineto +40001.1 24240.7 lineto +39888.6 24184.5 lineto stroke newpath 41013.5 24521.9 moveto @@ -6747,175 +5582,128 @@ newpath 43207 24690.7 lineto stroke newpath -44275.6 23340.8 moveto -43600.7 23340.8 lineto +44163.1 24128.2 moveto +44163.1 23340.8 lineto stroke newpath -43938.1 23340.8 moveto -43938.1 24521.9 lineto -43825.6 24353.2 lineto -43713.2 24240.7 lineto -43600.7 24184.5 lineto +43881.9 24578.2 moveto +43600.7 23734.5 lineto +44331.8 23734.5 lineto stroke newpath -44725.5 24409.5 moveto -44781.8 24465.7 lineto -44894.3 24521.9 lineto -45175.5 24521.9 lineto -45288 24465.7 lineto -45344.2 24409.5 lineto -45400.4 24297 lineto -45400.4 24184.5 lineto -45344.2 24015.8 lineto -44669.3 23340.8 lineto -45400.4 23340.8 lineto +45681.7 23340.8 moveto +45681.7 24521.9 lineto stroke newpath -46075.4 24015.8 moveto -45962.9 24072 lineto -45906.6 24128.2 lineto -45850.4 24240.7 lineto -45850.4 24297 lineto -45906.6 24409.5 lineto -45962.9 24465.7 lineto -46075.4 24521.9 lineto -46300.3 24521.9 lineto -46412.8 24465.7 lineto -46469.1 24409.5 lineto -46525.3 24297 lineto -46525.3 24240.7 lineto -46469.1 24128.2 lineto -46412.8 24072 lineto -46300.3 24015.8 lineto -46075.4 24015.8 lineto -45962.9 23959.5 lineto -45906.6 23903.3 lineto -45850.4 23790.8 lineto -45850.4 23565.8 lineto -45906.6 23453.3 lineto -45962.9 23397.1 lineto -46075.4 23340.8 lineto -46300.3 23340.8 lineto -46412.8 23397.1 lineto -46469.1 23453.3 lineto -46525.3 23565.8 lineto -46525.3 23790.8 lineto -46469.1 23903.3 lineto -46412.8 23959.5 lineto -46300.3 24015.8 lineto +46187.8 23340.8 moveto +46187.8 23959.5 lineto +46131.6 24072 lineto +46019.1 24128.2 lineto +45850.4 24128.2 lineto +45737.9 24072 lineto +45681.7 24015.8 lineto stroke newpath -47931.4 23340.8 moveto -47931.4 24521.9 lineto +46919 23340.8 moveto +46806.5 23397.1 lineto +46750.3 23453.3 lineto +46694 23565.8 lineto +46694 23903.3 lineto +46750.3 24015.8 lineto +46806.5 24072 lineto +46919 24128.2 lineto +47087.7 24128.2 lineto +47200.2 24072 lineto +47256.5 24015.8 lineto +47312.7 23903.3 lineto +47312.7 23565.8 lineto +47256.5 23453.3 lineto +47200.2 23397.1 lineto +47087.7 23340.8 lineto +46919 23340.8 lineto stroke newpath -48437.6 23340.8 moveto -48437.6 23959.5 lineto -48381.3 24072 lineto -48268.8 24128.2 lineto -48100.1 24128.2 lineto -47987.6 24072 lineto -47931.4 24015.8 lineto +47987.6 23340.8 moveto +47875.1 23397.1 lineto +47818.9 23509.6 lineto +47818.9 24521.9 lineto stroke newpath -49168.7 23340.8 moveto -49056.2 23397.1 lineto -49000 23453.3 lineto -48943.7 23565.8 lineto -48943.7 23903.3 lineto -49000 24015.8 lineto -49056.2 24072 lineto -49168.7 24128.2 lineto -49337.4 24128.2 lineto +48887.5 23397.1 moveto +48775 23340.8 lineto +48550 23340.8 lineto +48437.6 23397.1 lineto +48381.3 23509.6 lineto +48381.3 23959.5 lineto +48437.6 24072 lineto +48550 24128.2 lineto +48775 24128.2 lineto +48887.5 24072 lineto +48943.7 23959.5 lineto +48943.7 23847 lineto +48381.3 23734.5 lineto +stroke +newpath +49393.7 23397.1 moveto +49506.2 23340.8 lineto +49731.1 23340.8 lineto +49843.6 23397.1 lineto +49899.9 23509.6 lineto +49899.9 23565.8 lineto +49843.6 23678.3 lineto +49731.1 23734.5 lineto +49562.4 23734.5 lineto +49449.9 23790.8 lineto +49393.7 23903.3 lineto +49393.7 23959.5 lineto 49449.9 24072 lineto -49506.2 24015.8 lineto -49562.4 23903.3 lineto -49562.4 23565.8 lineto -49506.2 23453.3 lineto -49449.9 23397.1 lineto -49337.4 23340.8 lineto -49168.7 23340.8 lineto +49562.4 24128.2 lineto +49731.1 24128.2 lineto +49843.6 24072 lineto stroke newpath -50237.3 23340.8 moveto -50124.8 23397.1 lineto -50068.6 23509.6 lineto -50068.6 24521.9 lineto +50293.6 22890.9 moveto +50349.8 22947.1 lineto +50462.3 23115.9 lineto +50518.5 23228.4 lineto +50574.8 23397.1 lineto +50631 23678.3 lineto +50631 23903.3 lineto +50574.8 24184.5 lineto +50518.5 24353.2 lineto +50462.3 24465.7 lineto +50349.8 24634.4 lineto +50293.6 24690.7 lineto stroke newpath -51137.2 23397.1 moveto -51024.7 23340.8 lineto -50799.8 23340.8 lineto -50687.3 23397.1 lineto -50631 23509.6 lineto -50631 23959.5 lineto -50687.3 24072 lineto -50799.8 24128.2 lineto -51024.7 24128.2 lineto -51137.2 24072 lineto -51193.5 23959.5 lineto -51193.5 23847 lineto -50631 23734.5 lineto +23839.5 22774.6 moveto +24756.5 21857.6 lineto stroke newpath -51643.4 23397.1 moveto -51755.9 23340.8 lineto -51980.9 23340.8 lineto -52093.4 23397.1 lineto -52149.6 23509.6 lineto -52149.6 23565.8 lineto -52093.4 23678.3 lineto -51980.9 23734.5 lineto -51812.1 23734.5 lineto -51699.7 23790.8 lineto -51643.4 23903.3 lineto -51643.4 23959.5 lineto -51699.7 24072 lineto -51812.1 24128.2 lineto -51980.9 24128.2 lineto -52093.4 24072 lineto +24756.5 22774.6 moveto +23839.5 21857.6 lineto stroke newpath -52543.3 22890.9 moveto -52599.5 22947.1 lineto -52712 23115.9 lineto -52768.3 23228.4 lineto -52824.5 23397.1 lineto -52880.8 23678.3 lineto -52880.8 23903.3 lineto -52824.5 24184.5 lineto -52768.3 24353.2 lineto -52712 24465.7 lineto -52599.5 24634.4 lineto -52543.3 24690.7 lineto -stroke -newpath -24256.3 22566.2 moveto -24756.5 22066 lineto -stroke -newpath -24756.5 22566.2 moveto -24256.3 22066 lineto -stroke -newpath -24683.2 22139.3 moveto -24683.2 22492.9 lineto -24329.5 22492.9 lineto -24329.5 22139.3 lineto -24683.2 22139.3 lineto +24622.2 21991.9 moveto +24622.2 22640.3 lineto +23973.8 22640.3 lineto +23973.8 21991.9 lineto +24622.2 21991.9 lineto poly0 0 0 0 setrgbcolor 0 0 0 setrgbcolor newpath -26502.8 21781.8 moveto -25827.9 21781.8 lineto -stroke -newpath -26165.3 21781.8 moveto -26165.3 22962.9 lineto -26052.9 22794.2 lineto -25940.4 22681.7 lineto -25827.9 22625.4 lineto +25827.9 22850.4 moveto +25884.1 22906.6 lineto +25996.6 22962.9 lineto +26277.8 22962.9 lineto +26390.3 22906.6 lineto +26446.6 22850.4 lineto +26502.8 22737.9 lineto +26502.8 22625.4 lineto +26446.6 22456.7 lineto +25771.6 21781.8 lineto +26502.8 21781.8 lineto stroke newpath 27009 21894.3 moveto @@ -7064,13 +5852,38 @@ newpath 37920.1 22962.9 lineto stroke newpath -39326.2 22569.2 moveto -39326.2 21781.8 lineto -stroke -newpath -39045 23019.1 moveto -38763.8 22175.5 lineto -39494.9 22175.5 lineto +38988.7 22456.7 moveto +38876.3 22512.9 lineto +38820 22569.2 lineto +38763.8 22681.7 lineto +38763.8 22737.9 lineto +38820 22850.4 lineto +38876.3 22906.6 lineto +38988.7 22962.9 lineto +39213.7 22962.9 lineto +39326.2 22906.6 lineto +39382.4 22850.4 lineto +39438.7 22737.9 lineto +39438.7 22681.7 lineto +39382.4 22569.2 lineto +39326.2 22512.9 lineto +39213.7 22456.7 lineto +38988.7 22456.7 lineto +38876.3 22400.5 lineto +38820 22344.2 lineto +38763.8 22231.7 lineto +38763.8 22006.8 lineto +38820 21894.3 lineto +38876.3 21838 lineto +38988.7 21781.8 lineto +39213.7 21781.8 lineto +39326.2 21838 lineto +39382.4 21894.3 lineto +39438.7 22006.8 lineto +39438.7 22231.7 lineto +39382.4 22344.2 lineto +39326.2 22400.5 lineto +39213.7 22456.7 lineto stroke newpath 39832.4 22962.9 moveto @@ -7100,20 +5913,15 @@ newpath 43207 23131.6 lineto stroke newpath -43544.4 22962.9 moveto -44275.6 22962.9 lineto -43881.9 22512.9 lineto -44050.6 22512.9 lineto -44163.1 22456.7 lineto -44219.3 22400.5 lineto -44275.6 22288 lineto -44275.6 22006.8 lineto -44219.3 21894.3 lineto -44163.1 21838 lineto -44050.6 21781.8 lineto -43713.2 21781.8 lineto -43600.7 21838 lineto -43544.4 21894.3 lineto +44275.6 21781.8 moveto +43600.7 21781.8 lineto +stroke +newpath +43938.1 21781.8 moveto +43938.1 22962.9 lineto +43825.6 22794.2 lineto +43713.2 22681.7 lineto +43600.7 22625.4 lineto stroke newpath 45681.7 21781.8 moveto @@ -7169,667 +5977,18 @@ newpath 48381.3 22175.5 lineto stroke newpath -49393.7 21838 moveto -49506.2 21781.8 lineto -49731.1 21781.8 lineto -49843.6 21838 lineto -49899.9 21950.5 lineto -49899.9 22006.8 lineto -49843.6 22119.2 lineto -49731.1 22175.5 lineto -49562.4 22175.5 lineto -49449.9 22231.7 lineto -49393.7 22344.2 lineto -49393.7 22400.5 lineto -49449.9 22512.9 lineto -49562.4 22569.2 lineto -49731.1 22569.2 lineto -49843.6 22512.9 lineto -stroke -newpath -50293.6 21331.8 moveto -50349.8 21388.1 lineto -50462.3 21556.8 lineto -50518.5 21669.3 lineto -50574.8 21838 lineto -50631 22119.2 lineto -50631 22344.2 lineto -50574.8 22625.4 lineto -50518.5 22794.2 lineto -50462.3 22906.6 lineto -50349.8 23075.4 lineto -50293.6 23131.6 lineto -stroke -newpath -24214.6 21028 moveto -24756.5 20486.1 lineto -stroke -newpath -24756.5 21028 moveto -24214.6 20486.1 lineto -stroke -newpath -24485.5 20486.1 moveto -24756.5 20757 lineto -24485.5 21028 lineto -24214.6 20757 lineto -24485.5 20486.1 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -26502.8 20222.7 moveto -25827.9 20222.7 lineto -stroke -newpath -26165.3 20222.7 moveto -26165.3 21403.8 lineto -26052.9 21235.1 lineto -25940.4 21122.6 lineto -25827.9 21066.4 lineto -stroke -newpath -27009 20335.2 moveto -27065.2 20279 lineto -27009 20222.7 lineto -26952.7 20279 lineto -27009 20335.2 lineto -27009 20222.7 lineto -stroke -newpath -27458.9 21403.8 moveto -28190.1 21403.8 lineto -27796.4 20953.9 lineto -27965.1 20953.9 lineto -28077.6 20897.6 lineto -28133.9 20841.4 lineto -28190.1 20728.9 lineto -28190.1 20447.7 lineto -28133.9 20335.2 lineto -28077.6 20279 lineto -27965.1 20222.7 lineto -27627.7 20222.7 lineto -27515.2 20279 lineto -27458.9 20335.2 lineto -stroke -newpath -28921.3 21403.8 moveto -29033.7 21403.8 lineto -29146.2 21347.6 lineto -29202.5 21291.3 lineto -29258.7 21178.9 lineto -29315 20953.9 lineto -29315 20672.7 lineto -29258.7 20447.7 lineto -29202.5 20335.2 lineto -29146.2 20279 lineto -29033.7 20222.7 lineto -28921.3 20222.7 lineto -28808.8 20279 lineto -28752.5 20335.2 lineto -28696.3 20447.7 lineto -28640 20672.7 lineto -28640 20953.9 lineto -28696.3 21178.9 lineto -28752.5 21291.3 lineto -28808.8 21347.6 lineto -28921.3 21403.8 lineto -stroke -newpath -29821.1 20222.7 moveto -29821.1 21010.1 lineto -stroke -newpath -29821.1 20897.6 moveto -29877.4 20953.9 lineto -29989.9 21010.1 lineto -30158.6 21010.1 lineto -30271.1 20953.9 lineto -30327.3 20841.4 lineto -30327.3 20222.7 lineto -stroke -newpath -30327.3 20841.4 moveto -30383.6 20953.9 lineto -30496.1 21010.1 lineto -30664.8 21010.1 lineto -30777.3 20953.9 lineto -30833.5 20841.4 lineto -30833.5 20222.7 lineto -stroke -newpath -31395.9 20222.7 moveto -31395.9 21010.1 lineto -stroke -newpath -31395.9 20897.6 moveto -31452.2 20953.9 lineto -31564.7 21010.1 lineto -31733.4 21010.1 lineto -31845.9 20953.9 lineto -31902.1 20841.4 lineto -31902.1 20222.7 lineto -stroke -newpath -31902.1 20841.4 moveto -31958.4 20953.9 lineto -32070.9 21010.1 lineto -32239.6 21010.1 lineto -32352.1 20953.9 lineto -32408.3 20841.4 lineto -32408.3 20222.7 lineto -stroke -newpath -34714.3 21460.1 moveto -33701.9 19941.5 lineto -stroke -newpath -36232.8 21403.8 moveto -36345.3 21403.8 lineto -36457.8 21347.6 lineto -36514.1 21291.3 lineto -36570.3 21178.9 lineto -36626.5 20953.9 lineto -36626.5 20672.7 lineto -36570.3 20447.7 lineto -36514.1 20335.2 lineto -36457.8 20279 lineto -36345.3 20222.7 lineto -36232.8 20222.7 lineto -36120.4 20279 lineto -36064.1 20335.2 lineto -36007.9 20447.7 lineto -35951.6 20672.7 lineto -35951.6 20953.9 lineto -36007.9 21178.9 lineto -36064.1 21291.3 lineto -36120.4 21347.6 lineto -36232.8 21403.8 lineto -stroke -newpath -37132.7 20335.2 moveto -37189 20279 lineto -37132.7 20222.7 lineto -37076.5 20279 lineto -37132.7 20335.2 lineto -37132.7 20222.7 lineto -stroke -newpath -37920.1 21403.8 moveto -38032.6 21403.8 lineto -38145.1 21347.6 lineto -38201.3 21291.3 lineto -38257.6 21178.9 lineto -38313.8 20953.9 lineto -38313.8 20672.7 lineto -38257.6 20447.7 lineto -38201.3 20335.2 lineto -38145.1 20279 lineto -38032.6 20222.7 lineto -37920.1 20222.7 lineto -37807.6 20279 lineto -37751.4 20335.2 lineto -37695.2 20447.7 lineto -37638.9 20672.7 lineto -37638.9 20953.9 lineto -37695.2 21178.9 lineto -37751.4 21291.3 lineto -37807.6 21347.6 lineto -37920.1 21403.8 lineto -stroke -newpath -39382.4 21403.8 moveto -38820 21403.8 lineto -38763.8 20841.4 lineto -38820 20897.6 lineto -38932.5 20953.9 lineto -39213.7 20953.9 lineto -39326.2 20897.6 lineto -39382.4 20841.4 lineto -39438.7 20728.9 lineto -39438.7 20447.7 lineto -39382.4 20335.2 lineto -39326.2 20279 lineto -39213.7 20222.7 lineto -38932.5 20222.7 lineto -38820 20279 lineto -38763.8 20335.2 lineto -stroke -newpath -40563.5 20222.7 moveto -39888.6 20222.7 lineto -stroke -newpath -40226.1 20222.7 moveto -40226.1 21403.8 lineto -40113.6 21235.1 lineto -40001.1 21122.6 lineto -39888.6 21066.4 lineto -stroke -newpath -41013.5 21403.8 moveto -41013.5 21178.9 lineto -stroke -newpath -41463.4 21403.8 moveto -41463.4 21178.9 lineto -stroke -newpath -43207 19772.8 moveto -43150.7 19829 lineto -43038.2 19997.8 lineto -42982 20110.2 lineto -42925.7 20279 lineto -42869.5 20560.2 lineto -42869.5 20785.2 lineto -42925.7 21066.4 lineto -42982 21235.1 lineto -43038.2 21347.6 lineto -43150.7 21516.3 lineto -43207 21572.6 lineto -stroke -newpath -44163.1 21010.1 moveto -44163.1 20222.7 lineto -stroke -newpath -43881.9 21460.1 moveto -43600.7 20616.4 lineto -44331.8 20616.4 lineto -stroke -newpath -45681.7 20222.7 moveto -45681.7 21403.8 lineto -stroke -newpath -46187.8 20222.7 moveto -46187.8 20841.4 lineto -46131.6 20953.9 lineto -46019.1 21010.1 lineto -45850.4 21010.1 lineto -45737.9 20953.9 lineto -45681.7 20897.6 lineto -stroke -newpath -46919 20222.7 moveto -46806.5 20279 lineto -46750.3 20335.2 lineto -46694 20447.7 lineto -46694 20785.2 lineto -46750.3 20897.6 lineto -46806.5 20953.9 lineto -46919 21010.1 lineto -47087.7 21010.1 lineto -47200.2 20953.9 lineto -47256.5 20897.6 lineto -47312.7 20785.2 lineto -47312.7 20447.7 lineto -47256.5 20335.2 lineto -47200.2 20279 lineto -47087.7 20222.7 lineto -46919 20222.7 lineto -stroke -newpath -47987.6 20222.7 moveto -47875.1 20279 lineto -47818.9 20391.5 lineto -47818.9 21403.8 lineto -stroke -newpath -48887.5 20279 moveto -48775 20222.7 lineto -48550 20222.7 lineto -48437.6 20279 lineto -48381.3 20391.5 lineto -48381.3 20841.4 lineto -48437.6 20953.9 lineto -48550 21010.1 lineto -48775 21010.1 lineto -48887.5 20953.9 lineto -48943.7 20841.4 lineto -48943.7 20728.9 lineto -48381.3 20616.4 lineto -stroke -newpath -49393.7 20279 moveto -49506.2 20222.7 lineto -49731.1 20222.7 lineto -49843.6 20279 lineto -49899.9 20391.5 lineto -49899.9 20447.7 lineto -49843.6 20560.2 lineto -49731.1 20616.4 lineto -49562.4 20616.4 lineto -49449.9 20672.7 lineto -49393.7 20785.2 lineto -49393.7 20841.4 lineto -49449.9 20953.9 lineto -49562.4 21010.1 lineto -49731.1 21010.1 lineto -49843.6 20953.9 lineto -stroke -newpath -50293.6 19772.8 moveto -50349.8 19829 lineto -50462.3 19997.8 lineto -50518.5 20110.2 lineto -50574.8 20279 lineto -50631 20560.2 lineto -50631 20785.2 lineto -50574.8 21066.4 lineto -50518.5 21235.1 lineto -50462.3 21347.6 lineto -50349.8 21516.3 lineto -50293.6 21572.6 lineto -stroke -newpath -24298 19656.5 moveto -24298 18739.5 lineto -stroke -newpath -23839.5 19198 moveto -24756.5 19198 lineto -stroke -24298 19198 458.478 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -25827.9 19732.3 moveto -25884.1 19788.5 lineto -25996.6 19844.8 lineto -26277.8 19844.8 lineto -26390.3 19788.5 lineto -26446.6 19732.3 lineto -26502.8 19619.8 lineto -26502.8 19507.3 lineto -26446.6 19338.6 lineto -25771.6 18663.7 lineto -26502.8 18663.7 lineto -stroke -newpath -27009 18776.2 moveto -27065.2 18719.9 lineto -27009 18663.7 lineto -26952.7 18719.9 lineto -27009 18776.2 lineto -27009 18663.7 lineto -stroke -newpath -27515.2 19732.3 moveto -27571.4 19788.5 lineto -27683.9 19844.8 lineto -27965.1 19844.8 lineto -28077.6 19788.5 lineto -28133.9 19732.3 lineto -28190.1 19619.8 lineto -28190.1 19507.3 lineto -28133.9 19338.6 lineto -27458.9 18663.7 lineto -28190.1 18663.7 lineto -stroke -newpath -28921.3 19844.8 moveto -29033.7 19844.8 lineto -29146.2 19788.5 lineto -29202.5 19732.3 lineto -29258.7 19619.8 lineto -29315 19394.8 lineto -29315 19113.6 lineto -29258.7 18888.6 lineto -29202.5 18776.2 lineto -29146.2 18719.9 lineto -29033.7 18663.7 lineto -28921.3 18663.7 lineto -28808.8 18719.9 lineto -28752.5 18776.2 lineto -28696.3 18888.6 lineto -28640 19113.6 lineto -28640 19394.8 lineto -28696.3 19619.8 lineto -28752.5 19732.3 lineto -28808.8 19788.5 lineto -28921.3 19844.8 lineto -stroke -newpath -29821.1 18663.7 moveto -29821.1 19451.1 lineto -stroke -newpath -29821.1 19338.6 moveto -29877.4 19394.8 lineto -29989.9 19451.1 lineto -30158.6 19451.1 lineto -30271.1 19394.8 lineto -30327.3 19282.4 lineto -30327.3 18663.7 lineto -stroke -newpath -30327.3 19282.4 moveto -30383.6 19394.8 lineto -30496.1 19451.1 lineto -30664.8 19451.1 lineto -30777.3 19394.8 lineto -30833.5 19282.4 lineto -30833.5 18663.7 lineto -stroke -newpath -31395.9 18663.7 moveto -31395.9 19451.1 lineto -stroke -newpath -31395.9 19338.6 moveto -31452.2 19394.8 lineto -31564.7 19451.1 lineto -31733.4 19451.1 lineto -31845.9 19394.8 lineto -31902.1 19282.4 lineto -31902.1 18663.7 lineto -stroke -newpath -31902.1 19282.4 moveto -31958.4 19394.8 lineto -32070.9 19451.1 lineto -32239.6 19451.1 lineto -32352.1 19394.8 lineto -32408.3 19282.4 lineto -32408.3 18663.7 lineto -stroke -newpath -34714.3 19901 moveto -33701.9 18382.5 lineto -stroke -newpath -36232.8 19844.8 moveto -36345.3 19844.8 lineto -36457.8 19788.5 lineto -36514.1 19732.3 lineto -36570.3 19619.8 lineto -36626.5 19394.8 lineto -36626.5 19113.6 lineto -36570.3 18888.6 lineto -36514.1 18776.2 lineto -36457.8 18719.9 lineto -36345.3 18663.7 lineto -36232.8 18663.7 lineto -36120.4 18719.9 lineto -36064.1 18776.2 lineto -36007.9 18888.6 lineto -35951.6 19113.6 lineto -35951.6 19394.8 lineto -36007.9 19619.8 lineto -36064.1 19732.3 lineto -36120.4 19788.5 lineto -36232.8 19844.8 lineto -stroke -newpath -37132.7 18776.2 moveto -37189 18719.9 lineto -37132.7 18663.7 lineto -37076.5 18719.9 lineto -37132.7 18776.2 lineto -37132.7 18663.7 lineto -stroke -newpath -37920.1 19844.8 moveto -38032.6 19844.8 lineto -38145.1 19788.5 lineto -38201.3 19732.3 lineto -38257.6 19619.8 lineto -38313.8 19394.8 lineto -38313.8 19113.6 lineto -38257.6 18888.6 lineto -38201.3 18776.2 lineto -38145.1 18719.9 lineto -38032.6 18663.7 lineto -37920.1 18663.7 lineto -37807.6 18719.9 lineto -37751.4 18776.2 lineto -37695.2 18888.6 lineto -37638.9 19113.6 lineto -37638.9 19394.8 lineto -37695.2 19619.8 lineto -37751.4 19732.3 lineto -37807.6 19788.5 lineto -37920.1 19844.8 lineto -stroke -newpath -38988.7 19338.6 moveto -38876.3 19394.8 lineto -38820 19451.1 lineto -38763.8 19563.6 lineto -38763.8 19619.8 lineto -38820 19732.3 lineto -38876.3 19788.5 lineto -38988.7 19844.8 lineto -39213.7 19844.8 lineto -39326.2 19788.5 lineto -39382.4 19732.3 lineto -39438.7 19619.8 lineto -39438.7 19563.6 lineto -39382.4 19451.1 lineto -39326.2 19394.8 lineto -39213.7 19338.6 lineto -38988.7 19338.6 lineto -38876.3 19282.4 lineto -38820 19226.1 lineto -38763.8 19113.6 lineto -38763.8 18888.6 lineto -38820 18776.2 lineto -38876.3 18719.9 lineto -38988.7 18663.7 lineto -39213.7 18663.7 lineto -39326.2 18719.9 lineto -39382.4 18776.2 lineto -39438.7 18888.6 lineto -39438.7 19113.6 lineto -39382.4 19226.1 lineto -39326.2 19282.4 lineto -39213.7 19338.6 lineto -stroke -newpath -39832.4 19844.8 moveto -40619.8 19844.8 lineto -40113.6 18663.7 lineto -stroke -newpath -41013.5 19844.8 moveto -41013.5 19619.8 lineto -stroke -newpath -41463.4 19844.8 moveto -41463.4 19619.8 lineto -stroke -newpath -43207 18213.7 moveto -43150.7 18270 lineto -43038.2 18438.7 lineto -42982 18551.2 lineto -42925.7 18719.9 lineto -42869.5 19001.1 lineto -42869.5 19226.1 lineto -42925.7 19507.3 lineto -42982 19676.1 lineto -43038.2 19788.5 lineto -43150.7 19957.3 lineto -43207 20013.5 lineto -stroke -newpath -44275.6 18663.7 moveto -43600.7 18663.7 lineto -stroke -newpath -43938.1 18663.7 moveto -43938.1 19844.8 lineto -43825.6 19676.1 lineto -43713.2 19563.6 lineto -43600.7 19507.3 lineto -stroke -newpath -45681.7 18663.7 moveto -45681.7 19844.8 lineto -stroke -newpath -46187.8 18663.7 moveto -46187.8 19282.4 lineto -46131.6 19394.8 lineto -46019.1 19451.1 lineto -45850.4 19451.1 lineto -45737.9 19394.8 lineto -45681.7 19338.6 lineto -stroke -newpath -46919 18663.7 moveto -46806.5 18719.9 lineto -46750.3 18776.2 lineto -46694 18888.6 lineto -46694 19226.1 lineto -46750.3 19338.6 lineto -46806.5 19394.8 lineto -46919 19451.1 lineto -47087.7 19451.1 lineto -47200.2 19394.8 lineto -47256.5 19338.6 lineto -47312.7 19226.1 lineto -47312.7 18888.6 lineto -47256.5 18776.2 lineto -47200.2 18719.9 lineto -47087.7 18663.7 lineto -46919 18663.7 lineto -stroke -newpath -47987.6 18663.7 moveto -47875.1 18719.9 lineto -47818.9 18832.4 lineto -47818.9 19844.8 lineto -stroke -newpath -48887.5 18719.9 moveto -48775 18663.7 lineto -48550 18663.7 lineto -48437.6 18719.9 lineto -48381.3 18832.4 lineto -48381.3 19282.4 lineto -48437.6 19394.8 lineto -48550 19451.1 lineto -48775 19451.1 lineto -48887.5 19394.8 lineto -48943.7 19282.4 lineto -48943.7 19169.9 lineto -48381.3 19057.4 lineto -stroke -newpath -49337.4 18213.7 moveto -49393.7 18270 lineto -49506.2 18438.7 lineto -49562.4 18551.2 lineto -49618.7 18719.9 lineto -49674.9 19001.1 lineto -49674.9 19226.1 lineto -49618.7 19507.3 lineto -49562.4 19676.1 lineto -49506.2 19788.5 lineto -49393.7 19957.3 lineto -49337.4 20013.5 lineto +49337.4 21331.8 moveto +49393.7 21388.1 lineto +49506.2 21556.8 lineto +49562.4 21669.3 lineto +49618.7 21838 lineto +49674.9 22119.2 lineto +49674.9 22344.2 lineto +49618.7 22625.4 lineto +49562.4 22794.2 lineto +49506.2 22906.6 lineto +49393.7 23075.4 lineto +49337.4 23131.6 lineto stroke showpage grestore diff --git a/nubus-to-ztex/nubus-to-ztex-PTH.drl b/nubus-to-ztex/nubus-to-ztex-PTH.drl index 66cd0bb..b4b8534 100644 --- a/nubus-to-ztex/nubus-to-ztex-PTH.drl +++ b/nubus-to-ztex/nubus-to-ztex-PTH.drl @@ -1,21 +1,19 @@ M48 -; DRILL file {KiCad 5.1.9+dfsg1-1~bpo10+1} date Sat Nov 12 12:42:55 2022 +; DRILL file {KiCad 5.1.9+dfsg1-1~bpo10+1} date Sun Nov 13 14:05:10 2022 ; FORMAT={-:-/ absolute / inch / decimal} -; #@! TF.CreationDate,2022-11-12T12:42:55+01:00 +; #@! TF.CreationDate,2022-11-13T14:05:10+01:00 ; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.9+dfsg1-1~bpo10+1 ; #@! TF.FileFunction,Plated,1,4,PTH FMAT,2 INCH T1C0.0157 T2C0.0236 -T3C0.0315 -T4C0.0335 -T5C0.0394 -T6C0.0402 -T7C0.0449 -T8C0.0472 -T9C0.0512 -T10C0.0866 +T3C0.0335 +T4C0.0394 +T5C0.0449 +T6C0.0472 +T7C0.0512 +T8C0.0866 % G90 G05 @@ -38,6 +36,7 @@ X4.874Y-3.6614 X4.9099Y-3.2185 X4.9183Y-3.1043 X4.9239Y-2.9882 +X4.9497Y-1.32 X4.9719Y-3.2323 X4.9823Y-3.1762 X4.999Y-3.0278 @@ -67,6 +66,7 @@ X5.5146Y-2.8209 X5.5266Y-3.247 X5.5271Y-3.2953 X5.6024Y-2.5906 +X5.6315Y-2.7039 X5.6609Y-3.3898 X5.7136Y-1.9764 X5.7205Y-3.5453 @@ -132,10 +132,12 @@ X8.1693Y-2.7953 X8.2181Y-2.0 X8.4858Y-1.0811 X8.6945Y-3.8571 +X8.7693Y-1.4488 X8.8189Y-1.3386 X8.8976Y-1.3386 X8.9764Y-1.3386 X8.9799Y-2.372 +X9.0028Y-1.4488 X9.0551Y-1.3386 X9.1339Y-1.3386 X9.2126Y-0.5512 @@ -160,18 +162,20 @@ X10.1398Y-2.1806 X10.3055Y-2.5899 X10.3402Y-3.1242 X10.4016Y-2.6519 +X10.4675Y-1.7439 +X10.4675Y-1.9459 +X10.4675Y-2.0079 X10.5402Y-3.0386 X10.5406Y-3.1242 X10.5512Y-2.2854 X10.5512Y-2.4035 X10.5512Y-2.5807 +X10.5732Y-2.0079 +X10.7008Y-1.9441 T3 -X10.4075Y-1.7433 -X10.5059Y-1.7433 -T4 X10.5983Y-3.0146 X10.5983Y-3.2114 -T5 +T4 X4.774Y-3.737 X4.774Y-3.837 X4.774Y-3.937 @@ -268,14 +272,7 @@ X7.774Y-3.937 X7.874Y-3.737 X7.874Y-3.837 X7.874Y-3.937 -T6 -X4.7992Y-0.8189 -X4.7992Y-0.9189 -X4.7992Y-1.0189 -X4.7992Y-1.1189 -X4.7992Y-1.2189 -X4.7992Y-1.3189 -T7 +T5 X5.1181Y-1.0811 X5.1181Y-1.1811 X5.1181Y-2.3311 @@ -404,17 +401,17 @@ X8.2181Y-1.0811 X8.2181Y-1.1811 X8.2181Y-2.3311 X8.2181Y-2.4311 -T8 +T6 X8.5921Y-3.5933 X8.6921Y-3.5933 X8.7921Y-3.5933 -T9 +T7 X10.5059Y-2.1673 X10.5059Y-2.7382 X10.7008Y-2.1437 X10.7008Y-2.7618 -T10 -X10.1319Y-1.8539 +T8 +X10.5983Y-3.5236 T2 G00X10.6908Y-2.9752 M15 diff --git a/nubus-to-ztex/nubus-to-ztex-bottom.pos b/nubus-to-ztex/nubus-to-ztex-bottom.pos index 732bbd0..6de244b 100644 --- a/nubus-to-ztex/nubus-to-ztex-bottom.pos +++ b/nubus-to-ztex/nubus-to-ztex-bottom.pos @@ -1,4 +1,4 @@ -### Module positions - created on Sat Nov 12 12:43:10 2022 ### +### Module positions - created on Sun Nov 13 14:05:24 2022 ### ### Printed by Pcbnew version kicad 5.1.9+dfsg1-1~bpo10+1 ## Unit = mm, Angle = deg. ## Side : bottom diff --git a/nubus-to-ztex/nubus-to-ztex-drl.rpt b/nubus-to-ztex/nubus-to-ztex-drl.rpt index 4381849..c378bc2 100644 --- a/nubus-to-ztex/nubus-to-ztex-drl.rpt +++ b/nubus-to-ztex/nubus-to-ztex-drl.rpt @@ -1,5 +1,5 @@ Drill report for /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex.kicad_pcb -Created on Sat Nov 12 12:42:53 2022 +Created on Sun Nov 13 14:05:08 2022 Copper Layer Stackup: ============================================================= @@ -12,18 +12,16 @@ Copper Layer Stackup: Drill file 'nubus-to-ztex-PTH.drl' contains plated through holes: ============================================================= - T1 0.40mm 0.016" (145 holes) + T1 0.40mm 0.016" (154 holes) T2 0.60mm 0.024" (2 holes) (with 2 slots) - T3 0.80mm 0.031" (2 holes) - T4 0.85mm 0.033" (2 holes) - T5 1.00mm 0.039" (96 holes) - T6 1.02mm 0.040" (6 holes) - T7 1.14mm 0.045" (128 holes) - T8 1.20mm 0.047" (3 holes) - T9 1.30mm 0.051" (4 holes) - T10 2.20mm 0.087" (1 hole) + T3 0.85mm 0.033" (2 holes) + T4 1.00mm 0.039" (96 holes) + T5 1.14mm 0.045" (128 holes) + T6 1.20mm 0.047" (3 holes) + T7 1.30mm 0.051" (4 holes) + T8 2.20mm 0.087" (1 hole) - Total plated holes count 389 + Total plated holes count 390 Drill file 'nubus-to-ztex-NPTH.drl' contains diff --git a/nubus-to-ztex/nubus-to-ztex-top.pos b/nubus-to-ztex/nubus-to-ztex-top.pos index 0600c92..e3d1743 100644 --- a/nubus-to-ztex/nubus-to-ztex-top.pos +++ b/nubus-to-ztex/nubus-to-ztex-top.pos @@ -1,4 +1,4 @@ -### Module positions - created on Sat Nov 12 12:43:10 2022 ### +### Module positions - created on Sun Nov 13 14:05:24 2022 ### ### Printed by Pcbnew version kicad 5.1.9+dfsg1-1~bpo10+1 ## Unit = mm, Angle = deg. ## Side : top @@ -9,6 +9,7 @@ C3 47uF_10V_0805 C4 100nF C_0603_1608Metric 119.2000 -84.7800 180.0000 top C5 100nF C_0603_1608Metric 182.5000 -72.9000 90.0000 top C6 100nF C_0603_1608Metric 138.7000 -90.1000 90.0000 top +C7 10nF_1kV_0805 C_0805_2012Metric 270.8500 -51.0000 180.0000 top C8 100nF C_0603_1608Metric 130.4400 -79.6400 0.0000 top C9 100nF C_0603_1608Metric 157.3400 -21.8000 0.0000 top C10 47uF_10V_0805 C_0805_2012Metric 157.3400 -24.0000 0.0000 top @@ -51,6 +52,7 @@ R5 10k R6 10k R_0603_1608Metric 131.6978 -86.0071 90.0000 top R7 10k R_0603_1608Metric 129.8978 -86.0071 90.0000 top R8 10k R_0603_1608Metric 128.0978 -86.0071 270.0000 top +R9 1M_500V_2512 R_2512_6332Metric 268.8375 -46.9300 180.0000 top R11 590 R_0603_1608Metric 134.8800 -16.0603 270.0000 top R12 590 R_0603_1608Metric 137.4200 -16.0603 270.0000 top R13 590 R_0603_1608Metric 118.6100 -69.1500 0.0000 top @@ -66,7 +68,6 @@ R24 15k R25 15k R_0603_1608Metric 260.8600 -80.9000 90.0000 top R26 10k R_0603_1608Metric 249.2400 -86.3100 180.0000 top R27 10k R_0603_1608Metric 249.2400 -84.1100 180.0000 top -R33 1M_1210 R_1210_3225Metric 265.4500 -50.3200 180.0000 top R36 10k R_0603_1608Metric 114.4000 -83.7000 180.0000 top R37 10k R_0603_1608Metric 120.3000 -79.8000 0.0000 top R38 10k R_0603_1608Metric 125.5000 -83.3000 180.0000 top diff --git a/nubus-to-ztex/nubus-to-ztex.csv b/nubus-to-ztex/nubus-to-ztex.csv index 6edd484..19425cd 100644 --- a/nubus-to-ztex/nubus-to-ztex.csv +++ b/nubus-to-ztex/nubus-to-ztex.csv @@ -1,31 +1,21 @@ Part/Designator,Manufacture Part Number/Seeed SKU,Quantity,URL -"R4,R5,R6,R7,R8,R26,R27,R36,R37,R38,R39,R40,R41,R42,R43,R44,R45",0603WAF1002T5E,17,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html -"R24,R25",0603WAF1502T5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html -"R22,R23",0603WAF270JT5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html +"R4,R5,R6,R7,R8,R36,R37,R38,R39,R40,R41,R42,R43,R44,R45",0603WAF1002T5E,15, "R1,R2,R3,R11,R12,R13,R14,R15,R16,R17,R18,R19",0603WAF5900T5E,12,https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5900T5E_C23084.html -J4,0850030567,1,https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D +J4,09033966921,1,https://www.mouser.fr/ProductDetail/HARTING/09031966921?qs=gIpPgrDBK%2Fho4yEpoERymA%3D%3D J5,10029449-111RLF,1,https://lcsc.com/product-detail/D-Sub-DVI-HDMI-Connectors_Amphenol-ICC-10029449-111RLF_C427307.html -J6,105017-0001,1,https://lcsc.com/product-detail/USB-Connectors_MOLEX-1050170001_C136000.html -R33,1210W2F1004T5E,1,https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html J2,47219-2001,1,https://eu.mouser.com/ProductDetail/Molex/47219-2001?qs=6kT3pPPHxnVaoXw6Dd7beQ%3D%3D -J7,640456-3,1,https://www.lcsc.com/product-detail/Wire-To-Board-Wire-To-Wire-Connector_TE-Connectivity-640456-3_C86503.html "U1,U2,U3","74LVT125PW,118",3,https://eu.mouser.com/ProductDetail/Nexperia/74LVT125PW118?qs=me8TqzrmIYWdhdg6%252BsGGHw%3D%3D "JAB1,JCD1",77313-101-64LF,2,https://eu.mouser.com/ProductDetail/Amphenol-FCI/77313-101-64LF?qs=xJiur%252Bgubk1MSan%2F7C0v%252BA%3D%3D -J1,87832-1420,1,https://www.mouser.fr/ProductDetail/Molex/87832-1420?qs=9Wl4wMk6Njp2JciwISLQUQ%3D%3D +R9,AS25J1004ET,1,https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Ohmite-AS25J1004ET_C2546765.html +C7,C0805V103KCRACTU,1,https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_KEMET-C0805V103KCRACTU_C2170011.html C20,CC0603KRX7R8BB103,1,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB103_C327204.html -"C1,C2,C4,C5,C6,C8,C9,C12,C14,C15,C17,C18,C19,C22,C23,C24,C26,C27,C30",CC0603KRX7R8BB104,19,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB104_C92490.html -"C3,C10,C21,C28",GRM21BR61A476ME15K,4,https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Murata-Electronics-GRM21BR61A476ME15K_C2292827.html -C25,JMK316ABJ107ML-T,1,https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Taiyo-Yuden-JMK316ABJ107ML-T_C268006.html -C39,KM010M400E110A,1,https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html +"C1,C2,C4,C5,C6,C8,C9,C12,C14,C15,C17,C18,C19,C22,C23,C24,C30",CC0603KRX7R8BB104,17,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB104_C92490.html +"C3,C10,C21",GRM21BR61A476ME15K,3,https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Murata-Electronics-GRM21BR61A476ME15K_C2292827.html "D11,D12,D13,D14",LTST-C170KGKT,4,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KGKT_C98221.html "D1,D2",LTST-C170KRKT,2,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KRKT_C94868.html "D6,D7,D8,D9,D10",LTST-C170KSKT,5,https://lcsc.com/product-detail/Light-Emitting-Diodes-LED_Lite-On-LTST-C170KSKT_C125091.html D3,LTST-C170TBKT,1,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED_LTST-C170TBKT-blue_C98222.html -FB1,PZ2012U221-2R0TF,1,https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html -J3,PZ254R-11-06P,1,https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html J8,SMH-108-02-L-D,1,https://www.mouser.fr/ProductDetail/Samtec/SMH-108-02-L-D?qs=%252BZP6%2F%252BtExtAyrMiVCu9Apg%3D%3D -U10,SN65220DBVT,1,https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html "U13,U14,U15",SN74CB3T3245PWR,3,https://www.lcsc.com/product-detail/Signal-Switches-Encoders-Decoders-Multiplexers_Texas-Instruments-SN74CB3T3245PWR_C15298.html "U5,U6,U7,U8",SN74LVT245BPWR,4,https://lcsc.com/product-detail/Receivers-Transceivers_Texas-Instruments-SN74LVT245BPWR_C74214.html U9,TPD12S016PWR,1,https://lcsc.com/product-detail/Interface-Specialized_Texas-Instruments-TPD12S016PWR_C201665.html -U11,TPS2051CDBVR,1,https://lcsc.com/product-detail/Power-Distribution-Switches_Texas-Instruments-TPS2051CDBVR_C129581.html diff --git a/nubus-to-ztex/nubus-to-ztex.d356 b/nubus-to-ztex/nubus-to-ztex.d356 index 49fef8b..d404f43 100644 --- a/nubus-to-ztex/nubus-to-ztex.d356 +++ b/nubus-to-ztex/nubus-to-ztex.d356 @@ -1,6 +1,8 @@ P CODE 00 P UNITS CUST 0 P arrayDim N +317GND VIA MD0157PA00X+104675Y-020079X0315Y0000R000S3 +317GND VIA MD0157PA00X+087693Y-014488X0315Y0000R000S3 317GND VIA MD0157PA00X+092126Y-013386X0315Y0000R000S3 317GND VIA MD0157PA00X+068701Y-027953X0315Y0000R000S3 317GND VIA MD0157PA00X+076724Y-014079X0315Y0000R000S3 @@ -75,6 +77,12 @@ P arrayDim N 317GND VIA MD0157PA00X+100787Y-013904X0315Y0000R000S3 317GND VIA MD0157PA00X+100787Y-005512X0315Y0000R000S3 317GND VIA MD0157PA00X+092126Y-005512X0315Y0000R000S3 +317GND VIA MD0157PA00X+049497Y-013200X0315Y0000R000S3 +317GND VIA MD0157PA00X+090028Y-014488X0315Y0000R000S3 +317GND VIA MD0157PA00X+056315Y-027039X0315Y0000R000S3 +317GND VIA MD0157PA00X+105732Y-020079X0315Y0000R000S3 +317GND VIA MD0157PA00X+104675Y-019459X0315Y0000R000S3 +317GND VIA MD0157PA00X+104675Y-017439X0315Y0000R000S3 317/B2B/JTAG_VIO VIA MD0157PA00X+091339Y-013386X0315Y0000R000S3 317+3V3 VIA MD0157PA00X+063681Y-029011X0315Y0000R000S3 317+3V3 VIA MD0157PA00X+069640Y-008535X0315Y0000R000S3 @@ -126,6 +134,7 @@ P arrayDim N 317~CLK2X_5V VIA MD0157PA00X+051969Y-030144X0315Y0000R000S3 317~START_3V3 VIA MD0157PA00X+054098Y-009606X0315Y0000R000S3 317~ACK_3V3 VIA MD0157PA00X+053102Y-009606X0315Y0000R000S3 +317SHIELD VIA MD0157PA00X+107008Y-019441X0315Y0000R000S3 317FPGA_JTAG_TDO VIA MD0157PA00X+088976Y-013386X0315Y0000R000S3 317FPGA_JTAG_TCK VIA MD0157PA00X+089764Y-013386X0315Y0000R000S3 317FPGA_JTAG_TDI VIA MD0157PA00X+088189Y-013386X0315Y0000R000S3 @@ -146,6 +155,16 @@ P arrayDim N 317TM2_OE_N VIA MD0157PA00X+072327Y-027894X0315Y0000R000S3 317/HDMI/HDMI_5V VIA MD0157PA00X+103055Y-025899X0315Y0000R000S3 317/HDMI/HDMI_5V VIA MD0157PA00X+100756Y-024929X0315Y0000R000S3 +327GND R9 -2 A01X+104675Y-018476X0482Y1319R180S2 +327SHIELD R9 -1 A01X+107008Y-018476X0482Y1319R180S2 +327GND C7 -2 A01X+106260Y-020079X0394Y0571R180S2 +327SHIELD C7 -1 A01X+107008Y-020079X0394Y0571R180S2 +327NET-(J3-PAD6) J3 -6 A01X+048479Y-008200X0500Y1252R270S2 +327LED0 J3 -5 A01X+048479Y-009200X0500Y1252R270S2 +327LED1 J3 -4 A01X+048479Y-010200X0500Y1252R270S2 +327NET-(J3-PAD3) J3 -3 A01X+048479Y-011200X0500Y1252R270S2 +327NET-(J3-PAD2) J3 -2 A01X+048479Y-012200X0500Y1252R270S2 +327GND J3 -1 A01X+048479Y-013200X0500Y1252R270S2 327+3V3 J8 -16 A01X+101969Y-014524X0559Y0882R090S3 327+3V3 J8 -15 A01X+103489Y-014524X0559Y0921R090S3 327GND J8 -14 A01X+101969Y-013524X0559Y0882R090S3 @@ -222,7 +241,7 @@ P arrayDim N 327~RESET_5V U15 -18 A01X+073282Y-020576X0610Y0177R090S2 327GND U15 -19 A01X+073538Y-020576X0610Y0177R090S2 327+3V3 U15 -20 A01X+073794Y-020576X0610Y0177R090S2 -317SHIELD H1 -1 D0866PA00X+101319Y-018539X1732Y0000R000S0 +317SHIELD H1 -1 D0866PA00X+105983Y-035236X1732Y0000R000S0 327+3V3 C15 -1 A01X+100000Y-014524X0344Y0374R270S2 327GND C15 -2 A01X+100000Y-013904X0344Y0374R270S2 327~START_3V3 D7 -1 A01X+054098Y-008267X0384Y0551R270S2 @@ -649,10 +668,6 @@ P arrayDim N 327HDMI_D2- J5 -3 A01X+104705Y-023051X0118Y0748R270S2 327GND J5 -2 A01X+104705Y-022854X0118Y0748R270S2 327HDMI_D2+ J5 -1 A01X+104705Y-022657X0118Y0748R270S2 -317SHIELD C39 -1 D0315PA00X+105059Y-017433X0630Y0630R180S0 -317GND C39 -2 D0315PA00X+104075Y-017433X0630Y0000R180S0 -327SHIELD R33 -1 A01X+105059Y-019811X0492Y1043R180S2 -327GND R33 -2 A01X+103957Y-019811X0492Y1043R180S2 367N/C J4 D1122UA00X+080740Y-040370X1122Y0000R180S0 367N/C J4 D1122UA00X+045740Y-040370X1122Y0000R180S0 317-12V J4 -a1 D0394PA00X+078740Y-039370X0610Y0610R180S0 @@ -755,12 +770,6 @@ P arrayDim N 327+3V3 C2 -1 A01X+065375Y-021953X0344Y0374R000S2 327NUBUS_OE R4 -2 A01X+062879Y-028425X0344Y0374R180S2 327+3V3 R4 -1 A01X+063499Y-028425X0344Y0374R180S2 -317NET-(J3-PAD6) J3 -6 D0402PA00X+047992Y-008189X0669Y0669R180S0 -317LED0 J3 -5 D0402PA00X+047992Y-009189X0669Y0669R180S0 -317LED1 J3 -4 D0402PA00X+047992Y-010189X0669Y0669R180S0 -317NET-(J3-PAD3) J3 -3 D0402PA00X+047992Y-011189X0669Y0669R180S0 -317NET-(J3-PAD2) J3 -2 D0402PA00X+047992Y-012189X0669Y0669R180S0 -317GND J3 -1 D0402PA00X+047992Y-013189X0669Y0669R180S0 317GND JAB1 -64 D0449PA00X+082181Y-023311X0669Y0669R270S0 317/B2B/JTAG_VIO JAB1 -63 D0449PA00X+082181Y-024311X0669Y0669R270S0 317FPGA_JTAG_TCK JAB1 -62 D0449PA00X+081181Y-023311X0669Y0669R270S0 diff --git a/nubus-to-ztex/nubus-to-ztex.kicad_pcb b/nubus-to-ztex/nubus-to-ztex.kicad_pcb index 583c272..209899e 100644 --- a/nubus-to-ztex/nubus-to-ztex.kicad_pcb +++ b/nubus-to-ztex/nubus-to-ztex.kicad_pcb @@ -3,7 +3,7 @@ (general (thickness 1.6) (drawings 125) - (tracks 3438) + (tracks 3453) (zones 0) (modules 98) (nets 208) @@ -57,8 +57,8 @@ (mod_edge_width 0.15) (mod_text_size 1 1) (mod_text_width 0.15) - (pad_size 1.06 0.65) - (pad_drill 0) + (pad_size 4.4 4.4) + (pad_drill 2.2) (pad_to_mask_clearance 0.051) (solder_mask_min_width 0.25) (aux_axis_origin 0 0) @@ -530,6 +530,123 @@ (add_net SHIELD) ) + (module Resistor_SMD:R_2512_6332Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 6375A00E) + (at 268.8375 46.93 180) + (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61B62C00/641A2763) + (attr smd) + (fp_text reference R9 (at 0 -2.62) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value "1M 500V 2512" (at 0 2.62) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 3.82 1.92) (end -3.82 1.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.82 -1.92) (end 3.82 1.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.82 -1.92) (end 3.82 -1.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.82 1.92) (end -3.82 -1.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.177064 1.71) (end 2.177064 1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.177064 -1.71) (end 2.177064 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 2 smd roundrect (at 2.9625 0 180) (size 1.225 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.204082) + (net 1 GND)) + (pad 1 smd roundrect (at -2.9625 0 180) (size 1.225 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.204082) + (net 116 SHIELD)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 637593F7) + (at 270.85 51 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags capacitor) + (path /61B62C00/641A275A) + (attr smd) + (fp_text reference C7 (at 0 -1.68) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value "10nF 1kV 0805" (at 0 1.68) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.7 0.98) (end -1.7 0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -0.98) (end 1.7 0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -0.98) (end 1.7 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 0.98) (end -1.7 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 2 smd roundrect (at 0.95 0 180) (size 1 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.95 0 180) (size 1 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 116 SHIELD)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module For_SeeedStudio:SAMTEC_TSM-106-01-L-SH (layer F.Cu) (tedit 6370A91F) (tstamp 618E3CB2) + (at 117.856 27.178 90) + (path /618E8C75/5F69129B) + (fp_text reference J3 (at -5.445 -8.995 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x06_Male (at 5.35 8.735 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -7.62 1.27) (end -7.62 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 -1.27) (end 7.62 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 -1.27) (end 7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 1.27) (end -7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 1.27) (end -7.62 -7.11) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 -7.11) (end 7.62 -7.11) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 -7.11) (end 7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 1.27) (end -7.62 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 -1.27) (end 7.62 1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 -1.27) (end -7.62 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 1.27) (end -7.62 1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start -7.87 7.12) (end -7.87 -7.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.87 -7.36) (end 7.87 -7.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.87 -7.36) (end 7.87 7.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.87 7.12) (end -7.87 7.12) (layer F.CrtYd) (width 0.05)) + (fp_circle (center -8.27 5.28) (end -8.17 5.28) (layer F.SilkS) (width 0.2)) + (fp_circle (center -8.27 5.28) (end -8.17 5.28) (layer F.Fab) (width 0.2)) + (pad 6 smd rect (at 6.35 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 176 "Net-(J3-Pad6)")) + (pad 5 smd rect (at 3.81 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 129 LED0)) + (pad 4 smd rect (at 1.27 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 132 LED1)) + (pad 3 smd rect (at -1.27 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 177 "Net-(J3-Pad3)")) + (pad 2 smd rect (at -3.81 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 178 "Net-(J3-Pad2)")) + (pad 1 smd rect (at -6.35 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 1 GND)) + (model ${KIPRJMOD}/TSM-106-01-L-SH.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 180)) + ) + ) + (module For_SeeedStudio:litex_logo (layer F.Cu) (tedit 0) (tstamp 63737A6E) (at 230.73 77) (path /637CDFF9) @@ -539,207 +656,74 @@ (fp_text value LogoLitex (at 0.75 0) (layer F.SilkS) hide (effects (font (size 1.524 1.524) (thickness 0.3))) ) - (fp_poly (pts (xy -5.081857 -0.378527) (xy -5.08 -0.374254) (xy -5.090827 -0.318845) (xy -5.120105 -0.189413) - (xy -5.163028 -0.006819) (xy -5.202053 0.155638) (xy -5.252274 0.366172) (xy -5.292476 0.540873) - (xy -5.317821 0.658325) (xy -5.324168 0.696058) (xy -5.278434 0.709936) (xy -5.153507 0.721401) - (xy -4.96788 0.729345) (xy -4.740045 0.732658) (xy -4.713654 0.732692) (xy -4.45055 0.732863) - (xy -4.271755 0.739258) (xy -4.163922 0.760701) (xy -4.113702 0.806018) (xy -4.107749 0.884031) - (xy -4.132714 1.003567) (xy -4.157375 1.100452) (xy -4.211672 1.316087) (xy -4.850745 1.329678) - (xy -5.489817 1.343269) (xy -5.724047 2.320192) (xy -5.817431 2.718251) (xy -5.885667 3.033933) - (xy -5.929651 3.279018) (xy -5.950277 3.465286) (xy -5.948442 3.604517) (xy -5.925041 3.708491) - (xy -5.88097 3.788988) (xy -5.839336 3.836643) (xy -5.752512 3.906786) (xy -5.64968 3.943186) - (xy -5.496247 3.955857) (xy -5.425132 3.956539) (xy -5.224249 3.942602) (xy -5.028252 3.906882) - (xy -4.932424 3.877155) (xy -4.806129 3.834649) (xy -4.721308 3.820925) (xy -4.706351 3.825445) - (xy -4.689512 3.886179) (xy -4.676354 4.011837) (xy -4.671743 4.109861) (xy -4.664808 4.366605) - (xy -4.885379 4.449535) (xy -5.133711 4.516708) (xy -5.425771 4.556652) (xy -5.716484 4.565497) - (xy -5.95251 4.54113) (xy -6.225739 4.442195) (xy -6.431123 4.274259) (xy -6.56666 4.04049) - (xy -6.630347 3.744056) (xy -6.628821 3.470945) (xy -6.611243 3.346227) (xy -6.574578 3.148238) - (xy -6.521854 2.889808) (xy -6.456097 2.583768) (xy -6.380334 2.242949) (xy -6.297591 1.880181) - (xy -6.210897 1.508296) (xy -6.123278 1.140124) (xy -6.03776 0.788495) (xy -5.95737 0.46624) - (xy -5.885136 0.186191) (xy -5.824085 -0.038822) (xy -5.777242 -0.195969) (xy -5.747636 -0.272418) - (xy -5.744846 -0.276155) (xy -5.679934 -0.305484) (xy -5.557645 -0.335682) (xy -5.407336 -0.362417) - (xy -5.258364 -0.381357) (xy -5.140086 -0.388171) (xy -5.081857 -0.378527)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.539454 0.705398) (xy -1.223134 0.781352) (xy -0.969655 0.919896) (xy -0.785049 1.115875) - (xy -0.675349 1.364133) (xy -0.646584 1.659514) (xy -0.656471 1.770961) (xy -0.733311 2.081528) - (xy -0.877538 2.34412) (xy -1.093166 2.561097) (xy -1.384209 2.734822) (xy -1.754682 2.867654) - (xy -2.2086 2.961955) (xy -2.749975 3.020086) (xy -2.784231 3.022404) (xy -3.24827 3.052885) - (xy -3.261629 3.248269) (xy -3.233122 3.493587) (xy -3.122302 3.710939) (xy -2.942642 3.87453) - (xy -2.93077 3.881608) (xy -2.755178 3.944691) (xy -2.516231 3.978324) (xy -2.243659 3.982708) - (xy -1.967189 3.958046) (xy -1.71655 3.904539) (xy -1.624121 3.872714) (xy -1.481757 3.822483) - (xy -1.380297 3.79884) (xy -1.347671 3.802879) (xy -1.333326 3.866767) (xy -1.319003 3.993626) - (xy -1.312642 4.079057) (xy -1.308883 4.22822) (xy -1.328894 4.312243) (xy -1.384028 4.362883) - (xy -1.420999 4.382129) (xy -1.654147 4.467212) (xy -1.941842 4.532451) (xy -2.253336 4.574618) - (xy -2.557884 4.590482) (xy -2.824738 4.576813) (xy -2.979616 4.545861) (xy -3.321657 4.400713) - (xy -3.586364 4.196875) (xy -3.774874 3.932733) (xy -3.888325 3.606672) (xy -3.927853 3.217079) - (xy -3.927912 3.199423) (xy -3.888306 2.700987) (xy -3.835689 2.488294) (xy -3.16346 2.488294) - (xy -3.14956 2.519727) (xy -3.090601 2.531023) (xy -2.968238 2.526406) (xy -2.800498 2.51311) - (xy -2.558985 2.486801) (xy -2.302722 2.449109) (xy -2.100385 2.410908) (xy -1.774354 2.30738) - (xy -1.535083 2.160574) (xy -1.396753 1.997389) (xy -1.332554 1.816017) (xy -1.322468 1.612984) - (xy -1.349189 1.491996) (xy -1.436979 1.388591) (xy -1.593443 1.315568) (xy -1.793131 1.277141) - (xy -2.010594 1.277523) (xy -2.220383 1.320928) (xy -2.269966 1.339544) (xy -2.502702 1.480174) - (xy -2.728658 1.694427) (xy -2.929037 1.958975) (xy -3.085042 2.250493) (xy -3.150647 2.432501) - (xy -3.16346 2.488294) (xy -3.835689 2.488294) (xy -3.774079 2.239257) (xy -3.59179 1.822376) - (xy -3.347997 1.458486) (xy -3.049257 1.155732) (xy -2.702131 0.922256) (xy -2.313176 0.7662) - (xy -1.912586 0.69719) (xy -1.539454 0.705398)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 12.135815 -6.997212) (xy 11.990886 -6.783775) (xy 11.791618 -6.505798) (xy 11.546236 -6.173771) - (xy 11.262966 -5.798183) (xy 10.950034 -5.389524) (xy 10.615664 -4.958283) (xy 10.268082 -4.514951) - (xy 9.915513 -4.070017) (xy 9.566184 -3.633971) (xy 9.228318 -3.217302) (xy 8.910143 -2.830501) - (xy 8.619882 -2.484056) (xy 8.365762 -2.188458) (xy 8.359147 -2.180902) (xy 8.171157 -1.964072) - (xy 8.007745 -1.771393) (xy 7.87907 -1.615193) (xy 7.795293 -1.507796) (xy 7.766538 -1.461964) - (xy 7.785379 -1.407576) (xy 7.838474 -1.275968) (xy 7.920685 -1.079315) (xy 8.026871 -0.829796) - (xy 8.151892 -0.539586) (xy 8.285055 -0.233562) (xy 8.446412 0.138854) (xy 8.61445 0.532556) - (xy 8.77894 0.923161) (xy 8.929651 1.286286) (xy 9.056353 1.597549) (xy 9.11062 1.734039) - (xy 9.203974 1.975983) (xy 9.311182 2.260989) (xy 9.427466 2.575622) (xy 9.548048 2.906447) - (xy 9.66815 3.240029) (xy 9.782995 3.562934) (xy 9.887805 3.861726) (xy 9.977803 4.122971) - (xy 10.048211 4.333233) (xy 10.094251 4.479078) (xy 10.111146 4.547071) (xy 10.111153 4.547578) - (xy 10.063703 4.56025) (xy 9.926869 4.570239) (xy 9.70893 4.577352) (xy 9.418163 4.581399) - (xy 9.062846 4.582188) (xy 8.707782 4.580076) (xy 7.304411 4.567115) (xy 7.113841 4.029808) - (xy 6.91749 3.477785) (xy 6.748485 3.006456) (xy 6.602448 2.604116) (xy 6.475002 2.259062) - (xy 6.361767 1.959587) (xy 6.258367 1.693989) (xy 6.160422 1.450564) (xy 6.063556 1.217605) - (xy 6.04956 1.184519) (xy 5.945957 0.945346) (xy 5.85347 0.741643) (xy 5.779434 0.588811) - (xy 5.73118 0.502249) (xy 5.718132 0.488462) (xy 5.681198 0.525167) (xy 5.588283 0.630692) - (xy 5.445151 0.798153) (xy 5.257569 1.020665) (xy 5.031299 1.291342) (xy 4.772108 1.6033) - (xy 4.485758 1.949654) (xy 4.178016 2.323519) (xy 4.000963 2.539311) (xy 2.320192 4.59016) - (xy 0.83737 4.590849) (xy 0.409842 4.590426) (xy 0.070833 4.588436) (xy -0.188824 4.584466) - (xy -0.378294 4.578098) (xy -0.506744 4.568917) (xy -0.583342 4.556507) (xy -0.617252 4.540452) - (xy -0.619823 4.524748) (xy -0.586486 4.480731) (xy -0.496146 4.368575) (xy -0.354465 4.195088) - (xy -0.167104 3.967075) (xy 0.060274 3.691343) (xy 0.322007 3.374699) (xy 0.612432 3.023949) - (xy 0.925887 2.645899) (xy 1.256711 2.247357) (xy 1.59924 1.835128) (xy 1.947812 1.41602) - (xy 2.296766 0.996837) (xy 2.640438 0.584388) (xy 2.973167 0.185479) (xy 3.28929 -0.193084) - (xy 3.583145 -0.544495) (xy 3.84907 -0.861947) (xy 4.081402 -1.138633) (xy 4.274479 -1.367747) - (xy 4.42264 -1.542482) (xy 4.52022 -1.656032) (xy 4.54857 -1.688062) (xy 4.566786 -1.710701) - (xy 4.578641 -1.73811) (xy 4.58138 -1.777211) (xy 4.572252 -1.834924) (xy 4.548503 -1.91817) - (xy 4.507382 -2.033868) (xy 4.446135 -2.18894) (xy 4.36201 -2.390305) (xy 4.252254 -2.644885) - (xy 4.114115 -2.9596) (xy 3.94484 -3.341371) (xy 3.741676 -3.797117) (xy 3.50187 -4.33376) - (xy 3.450851 -4.44787) (xy 2.26184 -7.107115) (xy 3.702745 -7.120065) (xy 4.067833 -7.122675) - (xy 4.400265 -7.123765) (xy 4.687772 -7.123398) (xy 4.918087 -7.121635) (xy 5.078943 -7.118539) - (xy 5.158073 -7.114173) (xy 5.164046 -7.11262) (xy 5.18572 -7.064057) (xy 5.238731 -6.934406) - (xy 5.319118 -6.733669) (xy 5.422915 -6.47185) (xy 5.546162 -6.158952) (xy 5.684894 -5.804977) - (xy 5.83515 -5.419929) (xy 5.863759 -5.346432) (xy 6.041514 -4.89004) (xy 6.187398 -4.516807) - (xy 6.304754 -4.218768) (xy 6.396924 -3.987955) (xy 6.467249 -3.816404) (xy 6.51907 -3.696147) - (xy 6.55573 -3.619218) (xy 6.580571 -3.577651) (xy 6.596932 -3.563479) (xy 6.608158 -3.568737) - (xy 6.608993 -3.56984) (xy 6.644182 -3.613895) (xy 6.727503 -3.716727) (xy 6.845265 -3.861471) - (xy 6.942708 -3.980961) (xy 7.391543 -4.541205) (xy 7.878215 -5.167849) (xy 8.389656 -5.843913) - (xy 8.737682 -6.313365) (xy 9.33943 -7.131538) (xy 12.222774 -7.131538) (xy 12.135815 -6.997212)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -10.720287 -0.478918) (xy -10.710481 -0.478509) (xy -10.369965 -0.464038) (xy -10.87305 1.611923) - (xy -10.978643 2.049322) (xy -11.076822 2.459241) (xy -11.165216 2.831544) (xy -11.241452 3.156089) - (xy -11.30316 3.42274) (xy -11.347968 3.621356) (xy -11.373504 3.7418) (xy -11.378645 3.773365) - (xy -11.373038 3.801311) (xy -11.346458 3.822432) (xy -11.287052 3.837675) (xy -11.182969 3.847982) - (xy -11.022357 3.854301) (xy -10.793364 3.857575) (xy -10.484138 3.85875) (xy -10.306539 3.858846) - (xy -9.938172 3.860115) (xy -9.658086 3.864277) (xy -9.456897 3.871865) (xy -9.325224 3.883414) - (xy -9.253682 3.899456) (xy -9.232866 3.919904) (xy -9.245032 3.999919) (xy -9.27503 4.136434) - (xy -9.297098 4.225192) (xy -9.360388 4.469423) (xy -10.810386 4.482362) (xy -11.175453 4.484987) - (xy -11.50681 4.486157) (xy -11.792456 4.485926) (xy -12.020391 4.484348) (xy -12.178611 4.481478) - (xy -12.255116 4.477371) (xy -12.260385 4.47575) (xy -12.249339 4.422357) (xy -12.217933 4.285356) - (xy -12.168769 4.075544) (xy -12.104447 3.803717) (xy -12.027568 3.48067) (xy -11.940731 3.1172) - (xy -11.846539 2.724102) (xy -11.747591 2.312173) (xy -11.646488 1.892209) (xy -11.545831 1.475006) - (xy -11.44822 1.07136) (xy -11.356256 0.692066) (xy -11.27254 0.347921) (xy -11.199671 0.049722) - (xy -11.140251 -0.191737) (xy -11.09688 -0.365659) (xy -11.072159 -0.461247) (xy -11.067464 -0.476511) - (xy -11.014178 -0.48276) (xy -10.889675 -0.483612) (xy -10.720287 -0.478918)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -7.256069 0.734992) (xy -7.133946 0.741043) (xy -7.08296 0.749576) (xy -7.082693 0.750273) - (xy -7.093933 0.806429) (xy -7.125595 0.94444) (xy -7.174586 1.151694) (xy -7.237818 1.415582) - (xy -7.312199 1.723495) (xy -7.394639 2.062822) (xy -7.482048 2.420954) (xy -7.571334 2.785282) - (xy -7.659409 3.143194) (xy -7.74318 3.482082) (xy -7.819558 3.789335) (xy -7.885453 4.052345) - (xy -7.937773 4.258501) (xy -7.973429 4.395193) (xy -7.989329 4.449811) (xy -7.989436 4.449998) - (xy -8.047032 4.472868) (xy -8.165949 4.487192) (xy -8.315645 4.492873) (xy -8.465579 4.489816) - (xy -8.585211 4.477925) (xy -8.643999 4.457104) (xy -8.64577 4.452055) (xy -8.63467 4.396743) - (xy -8.603033 4.257068) (xy -8.553356 4.043607) (xy -8.488133 3.766934) (xy -8.409859 3.437626) - (xy -8.321031 3.066259) (xy -8.224142 2.663409) (xy -8.206154 2.588846) (xy -8.108221 2.182651) - (xy -8.017876 1.807087) (xy -7.937605 1.472548) (xy -7.869891 1.189426) (xy -7.81722 0.968115) - (xy -7.782077 0.819008) (xy -7.766946 0.752498) (xy -7.766539 0.75006) (xy -7.721815 0.741499) - (xy -7.60412 0.735296) (xy -7.438169 0.732706) (xy -7.424616 0.732692) (xy -7.256069 0.734992)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 3.321538 -1.081122) (xy 3.279478 -1.015456) (xy 3.167124 -0.925405) (xy 3.005217 -0.822033) - (xy 2.814497 -0.716405) (xy 2.615704 -0.619584) (xy 2.42958 -0.542635) (xy 2.276865 -0.49662) - (xy 2.210535 -0.488461) (xy 2.113707 -0.466182) (xy 1.98014 -0.410714) (xy 1.941088 -0.390769) - (xy 1.802491 -0.32857) (xy 1.683777 -0.29499) (xy 1.660946 -0.293077) (xy 1.581464 -0.273442) - (xy 1.563076 -0.246134) (xy 1.523404 -0.1687) (xy 1.422143 -0.0717) (xy 1.28593 0.022478) - (xy 1.178553 0.077079) (xy 1.01828 0.135555) (xy 0.938423 0.141618) (xy 0.928076 0.124401) - (xy 0.968436 0.093431) (xy 1.070789 0.040105) (xy 1.135673 0.010224) (xy 1.323402 -0.090905) - (xy 1.427036 -0.197129) (xy 1.4596 -0.324103) (xy 1.456702 -0.375604) (xy 1.437266 -0.434389) - (xy 1.611923 -0.434389) (xy 1.650217 -0.398877) (xy 1.732538 -0.393268) (xy 1.810046 -0.417149) - (xy 1.829644 -0.43624) (xy 1.82463 -0.499355) (xy 1.812512 -0.509668) (xy 1.73371 -0.518576) - (xy 1.649335 -0.486174) (xy 1.611923 -0.434389) (xy 1.437266 -0.434389) (xy 1.423488 -0.476058) - (xy 1.335447 -0.559075) (xy 1.223015 -0.622788) (xy 1.042401 -0.707755) (xy 0.926376 -0.736986) - (xy 0.861858 -0.700671) (xy 0.835763 -0.588998) (xy 0.835006 -0.392154) (xy 0.837058 -0.333346) - (xy 0.839083 -0.099966) (xy 0.823732 0.039067) (xy 0.796303 0.08463) (xy 0.759536 0.05233) - (xy 0.726881 -0.053631) (xy 0.701937 -0.209224) (xy 0.688305 -0.39042) (xy 0.689583 -0.573189) - (xy 0.692169 -0.610577) (xy 0.708269 -0.805961) (xy 0.928076 -0.789398) (xy 1.113539 -0.756519) - (xy 1.311173 -0.694354) (xy 1.367692 -0.670305) (xy 1.536367 -0.596608) (xy 1.633589 -0.569004) - (xy 1.67288 -0.58539) (xy 1.67298 -0.622788) (xy 1.691902 -0.675791) (xy 1.762485 -0.676034) - (xy 1.856998 -0.62768) (xy 1.902879 -0.588274) (xy 1.963783 -0.536009) (xy 2.028295 -0.516812) - (xy 2.127574 -0.528622) (xy 2.271694 -0.563874) (xy 2.529183 -0.643258) (xy 2.753185 -0.744902) - (xy 2.985556 -0.889233) (xy 3.089519 -0.96298) (xy 3.211805 -1.044288) (xy 3.29587 -1.085539) - (xy 3.321538 -1.081122)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -6.977282 -0.785783) (xy -6.84909 -0.696518) (xy -6.767299 -0.561043) (xy -6.743749 -0.396313) - (xy -6.790278 -0.219287) (xy -6.867227 -0.10144) (xy -6.972836 0.000275) (xy -7.089239 0.042556) - (xy -7.20158 0.048846) (xy -7.388831 0.023012) (xy -7.484537 -0.036635) (xy -7.576605 -0.207614) - (xy -7.58688 -0.396081) (xy -7.521078 -0.576102) (xy -7.384919 -0.721744) (xy -7.325511 -0.757846) - (xy -7.140035 -0.811878) (xy -6.977282 -0.785783)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -0.229567 -1.253855) (xy -0.296835 -1.176065) (xy -0.395344 -1.052623) (xy -0.435125 -0.91686) - (xy -0.439616 -0.818233) (xy -0.403761 -0.611209) (xy -0.296003 -0.469696) (xy -0.116053 -0.393546) - (xy 0.136377 -0.382609) (xy 0.346093 -0.41167) (xy 0.447249 -0.427575) (xy 0.463364 -0.416741) - (xy 0.424989 -0.389115) (xy 0.283876 -0.338562) (xy 0.090164 -0.313507) (xy -0.114022 -0.315549) - (xy -0.286557 -0.346286) (xy -0.32441 -0.360683) (xy -0.456616 -0.469526) (xy -0.536911 -0.63396) - (xy -0.563566 -0.825757) (xy -0.534853 -1.01669) (xy -0.449042 -1.17853) (xy -0.3785 -1.243789) - (xy -0.263011 -1.309912) (xy -0.210558 -1.310938) (xy -0.229567 -1.253855)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -0.905989 -2.024087) (xy -0.83269 -1.944563) (xy -0.746067 -1.837587) (xy -0.687127 -1.947717) - (xy -0.629467 -2.021365) (xy -0.570206 -2.00953) (xy -0.564413 -2.004918) (xy -0.507282 -1.918153) - (xy -0.453417 -1.776133) (xy -0.414086 -1.619312) (xy -0.400559 -1.488145) (xy -0.407785 -1.442652) - (xy -0.466022 -1.38478) (xy -0.551093 -1.36996) (xy -0.619708 -1.398807) (xy -0.635 -1.439428) - (xy -0.602637 -1.488707) (xy -0.561731 -1.483049) (xy -0.50642 -1.496196) (xy -0.48766 -1.573296) - (xy -0.5075 -1.686905) (xy -0.540715 -1.764827) (xy -0.593887 -1.830245) (xy -0.65496 -1.810817) - (xy -0.662586 -1.804683) (xy -0.735236 -1.774853) (xy -0.829677 -1.811364) (xy -0.854564 -1.827079) - (xy -0.942532 -1.906841) (xy -0.976924 -1.979395) (xy -0.95987 -2.044411) (xy -0.905989 -2.024087)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 1.007435 -2.269541) (xy 1.155683 -2.168496) (xy 1.243335 -1.992348) (xy 1.269279 -1.773443) - (xy 1.236638 -1.584506) (xy 1.139654 -1.4683) (xy 0.982103 -1.427531) (xy 0.818173 -1.450209) - (xy 0.739597 -1.48587) (xy 0.745536 -1.517391) (xy 0.823436 -1.529593) (xy 0.879678 -1.524584) - (xy 1.041025 -1.531456) (xy 1.135295 -1.609331) (xy 1.162552 -1.75828) (xy 1.157057 -1.821527) - (xy 1.129704 -1.972877) (xy 1.093701 -2.033271) (xy 1.038033 -2.009416) (xy 0.97197 -1.934221) - (xy 0.85543 -1.833606) (xy 0.76369 -1.816861) (xy 0.672117 -1.866992) (xy 0.647679 -1.96756) - (xy 0.653652 -1.985352) (xy 0.781538 -1.985352) (xy 0.786902 -1.921062) (xy 0.819517 -1.926826) - (xy 0.87923 -1.978269) (xy 0.952702 -2.067276) (xy 0.976923 -2.132378) (xy 0.953638 -2.19244) - (xy 0.937846 -2.198077) (xy 0.857365 -2.156602) (xy 0.796242 -2.060277) (xy 0.781538 -1.985352) - (xy 0.653652 -1.985352) (xy 0.691217 -2.097241) (xy 0.749392 -2.17908) (xy 0.839322 -2.26887) - (xy 0.916939 -2.29212) (xy 1.007435 -2.269541)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -2.488343 -2.23016) (xy -2.391581 -2.203421) (xy -2.327389 -2.173704) (xy -2.33768 -2.158921) - (xy -2.432544 -2.153171) (xy -2.478943 -2.152327) (xy -2.611855 -2.1429) (xy -2.672666 -2.111774) - (xy -2.686539 -2.051538) (xy -2.664162 -1.981038) (xy -2.580386 -1.952098) (xy -2.527789 -1.94865) - (xy -2.369039 -1.943454) (xy -2.503366 -1.890374) (xy -2.601136 -1.829856) (xy -2.635756 -1.730381) - (xy -2.637693 -1.678834) (xy -2.63185 -1.576369) (xy -2.595272 -1.536925) (xy -2.49939 -1.539747) - (xy -2.45452 -1.54577) (xy -2.343964 -1.556098) (xy -2.319216 -1.542139) (xy -2.344616 -1.519024) - (xy -2.44381 -1.481793) (xy -2.576635 -1.466133) (xy -2.735385 -1.465385) (xy -2.742712 -1.782885) - (xy -2.747231 -1.956653) (xy -2.751743 -2.094726) (xy -2.754924 -2.161442) (xy -2.717914 -2.212495) - (xy -2.61829 -2.236644) (xy -2.488343 -2.23016)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.462053 -1.921206) (xy -1.431977 -1.841948) (xy -1.423554 -1.711846) (xy -1.425418 -1.679418) - (xy -1.447221 -1.550112) (xy -1.495713 -1.489856) (xy -1.550866 -1.474199) (xy -1.635893 -1.481358) - (xy -1.66077 -1.510833) (xy -1.621261 -1.557163) (xy -1.5875 -1.563077) (xy -1.537677 -1.593812) - (xy -1.516198 -1.696854) (xy -1.514231 -1.768231) (xy -1.506553 -1.895436) (xy -1.481823 -1.933492) - (xy -1.462053 -1.921206)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -0.04747 -2.523053) (xy 0.051551 -2.489706) (xy 0.171864 -2.377222) (xy 0.251761 -2.211782) - (xy 0.272942 -2.035589) (xy 0.266665 -1.993637) (xy 0.222402 -1.889664) (xy 0.139297 -1.758673) - (xy 0.038061 -1.62706) (xy -0.060593 -1.521226) (xy -0.135951 -1.467569) (xy -0.147809 -1.465385) - (xy -0.173923 -1.509698) (xy -0.20559 -1.626058) (xy -0.236087 -1.789591) (xy -0.236933 -1.795096) - (xy -0.267243 -2.002636) (xy -0.294595 -2.205411) (xy -0.305893 -2.298748) (xy -0.228821 -2.298748) - (xy -0.224047 -2.259135) (xy -0.185544 -1.986319) (xy -0.152286 -1.802656) (xy -0.118237 -1.700262) - (xy -0.077359 -1.671252) (xy -0.023612 -1.707741) (xy 0.04904 -1.801843) (xy 0.08548 -1.854931) - (xy 0.174306 -2.021812) (xy 0.180968 -2.157977) (xy 0.103325 -2.288745) (xy 0.04368 -2.349441) - (xy -0.095376 -2.462582) (xy -0.18471 -2.492668) (xy -0.227975 -2.438467) (xy -0.228821 -2.298748) - (xy -0.305893 -2.298748) (xy -0.309968 -2.332404) (xy -0.320157 -2.462221) (xy -0.302169 -2.52213) - (xy -0.240069 -2.53914) (xy -0.187526 -2.54) (xy -0.04747 -2.523053)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 2.698165 -2.19296) (xy 2.76483 -2.163923) (xy 2.807113 -2.090433) (xy 2.840599 -1.951957) - (xy 2.860331 -1.843942) (xy 2.867896 -1.715301) (xy 2.842971 -1.661354) (xy 2.801035 -1.689691) - (xy 2.7607 -1.795096) (xy 2.726579 -1.929423) (xy 2.632123 -1.74625) (xy 2.534527 -1.612327) - (xy 2.431759 -1.564356) (xy 2.336741 -1.605361) (xy 2.292575 -1.666737) (xy 2.264862 -1.791101) - (xy 2.395086 -1.791101) (xy 2.396711 -1.636346) (xy 2.492778 -1.751661) (xy 2.563199 -1.873721) - (xy 2.588846 -1.983681) (xy 2.559616 -2.075561) (xy 2.495592 -2.098278) (xy 2.432272 -2.043386) - (xy 2.42311 -2.02312) (xy 2.402275 -1.919965) (xy 2.395086 -1.791101) (xy 2.264862 -1.791101) - (xy 2.261762 -1.805012) (xy 2.27895 -1.971803) (xy 2.337562 -2.114597) (xy 2.350886 -2.132364) - (xy 2.432579 -2.175467) (xy 2.56395 -2.197382) (xy 2.591535 -2.198077) (xy 2.698165 -2.19296)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 3.053395 -2.349159) (xy 3.088929 -2.232546) (xy 3.123326 -2.068068) (xy 3.125765 -2.053841) - (xy 3.154416 -1.849197) (xy 3.165422 -1.690248) (xy 3.15904 -1.591444) (xy 3.135525 -1.567236) - (xy 3.117499 -1.5875) (xy 3.091921 -1.661935) (xy 3.05918 -1.800159) (xy 3.025615 -1.969206) - (xy 2.997563 -2.13611) (xy 2.981361 -2.267904) (xy 2.979615 -2.30474) (xy 2.999915 -2.379138) - (xy 3.024048 -2.393461) (xy 3.053395 -2.349159)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.283306 -6.415448) (xy -5.212593 -6.404197) (xy -5.130192 -6.380056) (xy -5.026892 -6.338406) + (xy -4.89348 -6.274629) (xy -4.720746 -6.184106) (xy -4.499476 -6.062217) (xy -4.220461 -5.904346) + (xy -3.874487 -5.705872) (xy -3.504557 -5.492359) (xy -3.090181 -5.252306) (xy -2.752654 -5.055163) + (xy -2.483863 -4.895614) (xy -2.275697 -4.768345) (xy -2.120045 -4.668041) (xy -2.008794 -4.589387) + (xy -1.933833 -4.527069) (xy -1.887051 -4.47577) (xy -1.860335 -4.430177) (xy -1.852669 -4.410016) + (xy -1.826374 -4.280572) (xy -1.846708 -4.165571) (xy -1.923262 -4.053106) (xy -2.065627 -3.931267) + (xy -2.283391 -3.788148) (xy -2.417885 -3.708181) (xy -2.658369 -3.572326) (xy -2.838975 -3.484371) + (xy -2.981511 -3.438635) (xy -3.107786 -3.429437) (xy -3.239609 -3.451095) (xy -3.319618 -3.473272) + (xy -3.427043 -3.498358) (xy -3.5195 -3.493073) (xy -3.630554 -3.449944) (xy -3.775703 -3.371712) + (xy -3.922727 -3.28593) (xy -3.998056 -3.228218) (xy -4.016007 -3.180327) (xy -3.9909 -3.124008) + (xy -3.981503 -3.109412) (xy -3.941789 -3.017007) (xy -3.953945 -2.926333) (xy -4.025984 -2.828847) + (xy -4.165919 -2.716008) (xy -4.381761 -2.579273) (xy -4.590288 -2.46033) (xy -4.876994 -2.307719) + (xy -5.102732 -2.207478) (xy -5.287208 -2.156882) (xy -5.450128 -2.153204) (xy -5.611197 -2.193718) + (xy -5.790122 -2.275699) (xy -5.818028 -2.290497) (xy -5.924814 -2.349518) (xy -6.103624 -2.450355) + (xy -6.341944 -2.585866) (xy -6.62726 -2.748906) (xy -6.947059 -2.932332) (xy -7.288827 -3.129) + (xy -7.472287 -3.23483) (xy -7.854324 -3.455933) (xy -8.160093 -3.634613) (xy -8.39827 -3.7767) + (xy -8.577531 -3.888022) (xy -8.706552 -3.974411) (xy -8.794008 -4.041694) (xy -8.848575 -4.095703) + (xy -8.87893 -4.142267) (xy -8.893748 -4.187216) (xy -8.89442 -4.190415) (xy -8.899658 -4.3359) + (xy -8.87012 -4.454242) (xy -8.810354 -4.511487) (xy -8.667349 -4.613073) (xy -8.443978 -4.757207) + (xy -8.143114 -4.942096) (xy -7.76763 -5.165944) (xy -7.3204 -5.42696) (xy -7.206644 -5.492665) + (xy -6.792923 -5.730835) (xy -6.454424 -5.924418) (xy -6.181956 -6.078002) (xy -5.966326 -6.196174) + (xy -5.798344 -6.283521) (xy -5.668816 -6.344629) (xy -5.568552 -6.384088) (xy -5.488359 -6.406482) + (xy -5.419045 -6.416399) (xy -5.351543 -6.418428) (xy -5.283306 -6.415448)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.34064 -3.736295) (xy 0.341923 -3.697654) (xy 0.362245 -3.596048) (xy 0.4323 -3.56578) + (xy 0.434389 -3.565769) (xy 0.532936 -3.52795) (xy 0.579659 -3.433533) (xy 0.570606 -3.31108) + (xy 0.501825 -3.189152) (xy 0.488461 -3.175) (xy 0.388525 -3.108872) (xy 0.27404 -3.077111) + (xy 0.174014 -3.08067) (xy 0.117451 -3.120501) (xy 0.116615 -3.162788) (xy 0.138491 -3.244274) + (xy 0.24423 -3.244274) (xy 0.275076 -3.184031) (xy 0.34466 -3.184039) (xy 0.418551 -3.239816) + (xy 0.437896 -3.269481) (xy 0.484681 -3.392575) (xy 0.458163 -3.455915) (xy 0.395995 -3.468077) + (xy 0.300269 -3.425051) (xy 0.249266 -3.308456) (xy 0.24423 -3.244274) (xy 0.138491 -3.244274) + (xy 0.142455 -3.259036) (xy 0.179463 -3.407593) (xy 0.199817 -3.4925) (xy 0.246895 -3.661533) + (xy 0.289993 -3.76419) (xy 0.323209 -3.791951) (xy 0.34064 -3.736295)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.770125 -3.516205) (xy 0.791697 -3.382596) (xy 0.807754 -3.199423) (xy 0.901385 -3.382596) + (xy 0.967847 -3.495843) (xy 1.022235 -3.560194) (xy 1.034816 -3.565769) (xy 1.065703 -3.557073) + (xy 1.066149 -3.518543) (xy 1.030936 -3.431516) (xy 0.95485 -3.27733) (xy 0.9534 -3.274469) + (xy 0.844083 -3.094411) (xy 0.729649 -2.964698) (xy 0.623932 -2.896287) (xy 0.540765 -2.900134) + (xy 0.515042 -2.927277) (xy 0.533139 -2.967567) (xy 0.59764 -2.988335) (xy 0.659604 -3.005201) + (xy 0.690345 -3.049441) (xy 0.697631 -3.145651) (xy 0.691291 -3.284904) (xy 0.692017 -3.445331) + (xy 0.711219 -3.543021) (xy 0.740165 -3.569477) (xy 0.770125 -3.516205)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.390769 -2.466731) (xy 0.366346 -2.442308) (xy 0.341923 -2.466731) (xy 0.366346 -2.491154) + (xy 0.390769 -2.466731)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.514231 -2.173654) (xy -1.538654 -2.149231) (xy -1.563077 -2.173654) (xy -1.538654 -2.198077) + (xy -1.514231 -2.173654)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.948085 -2.402639) (xy 1.953846 -2.367505) (xy 1.993416 -2.286311) (xy 2.028362 -2.264109) + (xy 2.075702 -2.223475) (xy 2.04733 -2.168584) (xy 2.017486 -2.095563) (xy 2.023742 -1.977305) + (xy 2.067717 -1.793843) (xy 2.081572 -1.74625) (xy 2.084653 -1.673522) (xy 2.046367 -1.665332) + (xy 1.991247 -1.714105) (xy 1.952637 -1.786064) (xy 1.915947 -1.916965) (xy 1.905 -2.005872) + (xy 1.874646 -2.080472) (xy 1.782884 -2.100385) (xy 1.683482 -2.11981) (xy 1.668209 -2.163043) + (xy 1.741991 -2.207514) (xy 1.758461 -2.21223) (xy 1.841154 -2.277694) (xy 1.856153 -2.340042) + (xy 1.875786 -2.421866) (xy 1.905 -2.442308) (xy 1.948085 -2.402639)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.506497 -1.962427) (xy 1.542341 -1.811184) (xy 1.549565 -1.71149) (xy 1.530405 -1.676678) + (xy 1.487097 -1.720081) (xy 1.470642 -1.748637) (xy 1.432407 -1.878914) (xy 1.427441 -2.017161) + (xy 1.444197 -2.173654) (xy 1.506497 -1.962427)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.470228 -2.095282) (xy 0.512137 -1.997756) (xy 0.533861 -1.922875) (xy 0.568593 -1.756033) + (xy 0.56603 -1.679462) (xy 0.526168 -1.693138) (xy 0.502295 -1.720646) (xy 0.456318 -1.81426) + (xy 0.425054 -1.938559) (xy 0.415098 -2.053855) (xy 0.433042 -2.120459) (xy 0.435569 -2.122307) + (xy 0.470228 -2.095282)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.099072 -2.029991) (xy -1.033039 -1.888805) (xy -1.049792 -1.765863) (xy -1.140015 -1.68317) + (xy -1.255347 -1.660769) (xy -1.342926 -1.68485) (xy -1.367674 -1.773441) (xy -1.367693 -1.777473) + (xy -1.354581 -1.828848) (xy -1.27 -1.828848) (xy -1.249704 -1.729659) (xy -1.193283 -1.720377) + (xy -1.123462 -1.782885) (xy -1.087368 -1.861344) (xy -1.123016 -1.928885) (xy -1.197337 -1.978575) + (xy -1.250703 -1.939845) (xy -1.27 -1.828848) (xy -1.354581 -1.828848) (xy -1.336544 -1.899517) + (xy -1.270033 -2.009492) (xy -1.198997 -2.085859) (xy -1.153893 -2.091064) (xy -1.099072 -2.029991)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.974164 -1.892996) (xy -1.86199 -1.860403) (xy -1.781063 -1.805294) (xy -1.723 -1.714484) + (xy -1.738771 -1.674262) (xy -1.811361 -1.69929) (xy -1.85986 -1.737392) (xy -1.936016 -1.798164) + (xy -1.978471 -1.791923) (xy -2.009125 -1.745233) (xy -2.07029 -1.676694) (xy -2.127698 -1.663704) + (xy -2.149231 -1.70562) (xy -2.165345 -1.78882) (xy -2.175812 -1.81974) (xy -2.161135 -1.873764) + (xy -2.083899 -1.897816) (xy -1.974164 -1.892996)) (layer F.SilkS) (width 0.01)) (fp_poly (pts (xy -5.3123 -6.839105) (xy -5.178624 -6.771134) (xy -4.97885 -6.664023) (xy -4.722115 -6.522825) (xy -4.417555 -6.352591) (xy -4.074307 -6.158374) (xy -3.70151 -5.945227) (xy -3.474882 -5.814648) (xy -3.021981 -5.552179) (xy -2.647805 -5.333389) (xy -2.34587 -5.15417) (xy -2.109695 -5.010415) @@ -781,335 +765,468 @@ (xy -6.90457 -6.022326) (xy -6.551797 -6.220868) (xy -6.2277 -6.40222) (xy -5.941774 -6.560976) (xy -5.703515 -6.691733) (xy -5.522418 -6.789085) (xy -5.40798 -6.847626) (xy -5.370739 -6.862885) (xy -5.3123 -6.839105)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.974164 -1.892996) (xy -1.86199 -1.860403) (xy -1.781063 -1.805294) (xy -1.723 -1.714484) - (xy -1.738771 -1.674262) (xy -1.811361 -1.69929) (xy -1.85986 -1.737392) (xy -1.936016 -1.798164) - (xy -1.978471 -1.791923) (xy -2.009125 -1.745233) (xy -2.07029 -1.676694) (xy -2.127698 -1.663704) - (xy -2.149231 -1.70562) (xy -2.165345 -1.78882) (xy -2.175812 -1.81974) (xy -2.161135 -1.873764) - (xy -2.083899 -1.897816) (xy -1.974164 -1.892996)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.099072 -2.029991) (xy -1.033039 -1.888805) (xy -1.049792 -1.765863) (xy -1.140015 -1.68317) - (xy -1.255347 -1.660769) (xy -1.342926 -1.68485) (xy -1.367674 -1.773441) (xy -1.367693 -1.777473) - (xy -1.354581 -1.828848) (xy -1.27 -1.828848) (xy -1.249704 -1.729659) (xy -1.193283 -1.720377) - (xy -1.123462 -1.782885) (xy -1.087368 -1.861344) (xy -1.123016 -1.928885) (xy -1.197337 -1.978575) - (xy -1.250703 -1.939845) (xy -1.27 -1.828848) (xy -1.354581 -1.828848) (xy -1.336544 -1.899517) - (xy -1.270033 -2.009492) (xy -1.198997 -2.085859) (xy -1.153893 -2.091064) (xy -1.099072 -2.029991)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.470228 -2.095282) (xy 0.512137 -1.997756) (xy 0.533861 -1.922875) (xy 0.568593 -1.756033) - (xy 0.56603 -1.679462) (xy 0.526168 -1.693138) (xy 0.502295 -1.720646) (xy 0.456318 -1.81426) - (xy 0.425054 -1.938559) (xy 0.415098 -2.053855) (xy 0.433042 -2.120459) (xy 0.435569 -2.122307) - (xy 0.470228 -2.095282)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 1.506497 -1.962427) (xy 1.542341 -1.811184) (xy 1.549565 -1.71149) (xy 1.530405 -1.676678) - (xy 1.487097 -1.720081) (xy 1.470642 -1.748637) (xy 1.432407 -1.878914) (xy 1.427441 -2.017161) - (xy 1.444197 -2.173654) (xy 1.506497 -1.962427)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 1.948085 -2.402639) (xy 1.953846 -2.367505) (xy 1.993416 -2.286311) (xy 2.028362 -2.264109) - (xy 2.075702 -2.223475) (xy 2.04733 -2.168584) (xy 2.017486 -2.095563) (xy 2.023742 -1.977305) - (xy 2.067717 -1.793843) (xy 2.081572 -1.74625) (xy 2.084653 -1.673522) (xy 2.046367 -1.665332) - (xy 1.991247 -1.714105) (xy 1.952637 -1.786064) (xy 1.915947 -1.916965) (xy 1.905 -2.005872) - (xy 1.874646 -2.080472) (xy 1.782884 -2.100385) (xy 1.683482 -2.11981) (xy 1.668209 -2.163043) - (xy 1.741991 -2.207514) (xy 1.758461 -2.21223) (xy 1.841154 -2.277694) (xy 1.856153 -2.340042) - (xy 1.875786 -2.421866) (xy 1.905 -2.442308) (xy 1.948085 -2.402639)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.514231 -2.173654) (xy -1.538654 -2.149231) (xy -1.563077 -2.173654) (xy -1.538654 -2.198077) - (xy -1.514231 -2.173654)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.390769 -2.466731) (xy 0.366346 -2.442308) (xy 0.341923 -2.466731) (xy 0.366346 -2.491154) - (xy 0.390769 -2.466731)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.770125 -3.516205) (xy 0.791697 -3.382596) (xy 0.807754 -3.199423) (xy 0.901385 -3.382596) - (xy 0.967847 -3.495843) (xy 1.022235 -3.560194) (xy 1.034816 -3.565769) (xy 1.065703 -3.557073) - (xy 1.066149 -3.518543) (xy 1.030936 -3.431516) (xy 0.95485 -3.27733) (xy 0.9534 -3.274469) - (xy 0.844083 -3.094411) (xy 0.729649 -2.964698) (xy 0.623932 -2.896287) (xy 0.540765 -2.900134) - (xy 0.515042 -2.927277) (xy 0.533139 -2.967567) (xy 0.59764 -2.988335) (xy 0.659604 -3.005201) - (xy 0.690345 -3.049441) (xy 0.697631 -3.145651) (xy 0.691291 -3.284904) (xy 0.692017 -3.445331) - (xy 0.711219 -3.543021) (xy 0.740165 -3.569477) (xy 0.770125 -3.516205)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.34064 -3.736295) (xy 0.341923 -3.697654) (xy 0.362245 -3.596048) (xy 0.4323 -3.56578) - (xy 0.434389 -3.565769) (xy 0.532936 -3.52795) (xy 0.579659 -3.433533) (xy 0.570606 -3.31108) - (xy 0.501825 -3.189152) (xy 0.488461 -3.175) (xy 0.388525 -3.108872) (xy 0.27404 -3.077111) - (xy 0.174014 -3.08067) (xy 0.117451 -3.120501) (xy 0.116615 -3.162788) (xy 0.138491 -3.244274) - (xy 0.24423 -3.244274) (xy 0.275076 -3.184031) (xy 0.34466 -3.184039) (xy 0.418551 -3.239816) - (xy 0.437896 -3.269481) (xy 0.484681 -3.392575) (xy 0.458163 -3.455915) (xy 0.395995 -3.468077) - (xy 0.300269 -3.425051) (xy 0.249266 -3.308456) (xy 0.24423 -3.244274) (xy 0.138491 -3.244274) - (xy 0.142455 -3.259036) (xy 0.179463 -3.407593) (xy 0.199817 -3.4925) (xy 0.246895 -3.661533) - (xy 0.289993 -3.76419) (xy 0.323209 -3.791951) (xy 0.34064 -3.736295)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -5.283306 -6.415448) (xy -5.212593 -6.404197) (xy -5.130192 -6.380056) (xy -5.026892 -6.338406) - (xy -4.89348 -6.274629) (xy -4.720746 -6.184106) (xy -4.499476 -6.062217) (xy -4.220461 -5.904346) - (xy -3.874487 -5.705872) (xy -3.504557 -5.492359) (xy -3.090181 -5.252306) (xy -2.752654 -5.055163) - (xy -2.483863 -4.895614) (xy -2.275697 -4.768345) (xy -2.120045 -4.668041) (xy -2.008794 -4.589387) - (xy -1.933833 -4.527069) (xy -1.887051 -4.47577) (xy -1.860335 -4.430177) (xy -1.852669 -4.410016) - (xy -1.826374 -4.280572) (xy -1.846708 -4.165571) (xy -1.923262 -4.053106) (xy -2.065627 -3.931267) - (xy -2.283391 -3.788148) (xy -2.417885 -3.708181) (xy -2.658369 -3.572326) (xy -2.838975 -3.484371) - (xy -2.981511 -3.438635) (xy -3.107786 -3.429437) (xy -3.239609 -3.451095) (xy -3.319618 -3.473272) - (xy -3.427043 -3.498358) (xy -3.5195 -3.493073) (xy -3.630554 -3.449944) (xy -3.775703 -3.371712) - (xy -3.922727 -3.28593) (xy -3.998056 -3.228218) (xy -4.016007 -3.180327) (xy -3.9909 -3.124008) - (xy -3.981503 -3.109412) (xy -3.941789 -3.017007) (xy -3.953945 -2.926333) (xy -4.025984 -2.828847) - (xy -4.165919 -2.716008) (xy -4.381761 -2.579273) (xy -4.590288 -2.46033) (xy -4.876994 -2.307719) - (xy -5.102732 -2.207478) (xy -5.287208 -2.156882) (xy -5.450128 -2.153204) (xy -5.611197 -2.193718) - (xy -5.790122 -2.275699) (xy -5.818028 -2.290497) (xy -5.924814 -2.349518) (xy -6.103624 -2.450355) - (xy -6.341944 -2.585866) (xy -6.62726 -2.748906) (xy -6.947059 -2.932332) (xy -7.288827 -3.129) - (xy -7.472287 -3.23483) (xy -7.854324 -3.455933) (xy -8.160093 -3.634613) (xy -8.39827 -3.7767) - (xy -8.577531 -3.888022) (xy -8.706552 -3.974411) (xy -8.794008 -4.041694) (xy -8.848575 -4.095703) - (xy -8.87893 -4.142267) (xy -8.893748 -4.187216) (xy -8.89442 -4.190415) (xy -8.899658 -4.3359) - (xy -8.87012 -4.454242) (xy -8.810354 -4.511487) (xy -8.667349 -4.613073) (xy -8.443978 -4.757207) - (xy -8.143114 -4.942096) (xy -7.76763 -5.165944) (xy -7.3204 -5.42696) (xy -7.206644 -5.492665) - (xy -6.792923 -5.730835) (xy -6.454424 -5.924418) (xy -6.181956 -6.078002) (xy -5.966326 -6.196174) - (xy -5.798344 -6.283521) (xy -5.668816 -6.344629) (xy -5.568552 -6.384088) (xy -5.488359 -6.406482) - (xy -5.419045 -6.416399) (xy -5.351543 -6.418428) (xy -5.283306 -6.415448)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 3.053395 -2.349159) (xy 3.088929 -2.232546) (xy 3.123326 -2.068068) (xy 3.125765 -2.053841) + (xy 3.154416 -1.849197) (xy 3.165422 -1.690248) (xy 3.15904 -1.591444) (xy 3.135525 -1.567236) + (xy 3.117499 -1.5875) (xy 3.091921 -1.661935) (xy 3.05918 -1.800159) (xy 3.025615 -1.969206) + (xy 2.997563 -2.13611) (xy 2.981361 -2.267904) (xy 2.979615 -2.30474) (xy 2.999915 -2.379138) + (xy 3.024048 -2.393461) (xy 3.053395 -2.349159)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.698165 -2.19296) (xy 2.76483 -2.163923) (xy 2.807113 -2.090433) (xy 2.840599 -1.951957) + (xy 2.860331 -1.843942) (xy 2.867896 -1.715301) (xy 2.842971 -1.661354) (xy 2.801035 -1.689691) + (xy 2.7607 -1.795096) (xy 2.726579 -1.929423) (xy 2.632123 -1.74625) (xy 2.534527 -1.612327) + (xy 2.431759 -1.564356) (xy 2.336741 -1.605361) (xy 2.292575 -1.666737) (xy 2.264862 -1.791101) + (xy 2.395086 -1.791101) (xy 2.396711 -1.636346) (xy 2.492778 -1.751661) (xy 2.563199 -1.873721) + (xy 2.588846 -1.983681) (xy 2.559616 -2.075561) (xy 2.495592 -2.098278) (xy 2.432272 -2.043386) + (xy 2.42311 -2.02312) (xy 2.402275 -1.919965) (xy 2.395086 -1.791101) (xy 2.264862 -1.791101) + (xy 2.261762 -1.805012) (xy 2.27895 -1.971803) (xy 2.337562 -2.114597) (xy 2.350886 -2.132364) + (xy 2.432579 -2.175467) (xy 2.56395 -2.197382) (xy 2.591535 -2.198077) (xy 2.698165 -2.19296)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.04747 -2.523053) (xy 0.051551 -2.489706) (xy 0.171864 -2.377222) (xy 0.251761 -2.211782) + (xy 0.272942 -2.035589) (xy 0.266665 -1.993637) (xy 0.222402 -1.889664) (xy 0.139297 -1.758673) + (xy 0.038061 -1.62706) (xy -0.060593 -1.521226) (xy -0.135951 -1.467569) (xy -0.147809 -1.465385) + (xy -0.173923 -1.509698) (xy -0.20559 -1.626058) (xy -0.236087 -1.789591) (xy -0.236933 -1.795096) + (xy -0.267243 -2.002636) (xy -0.294595 -2.205411) (xy -0.305893 -2.298748) (xy -0.228821 -2.298748) + (xy -0.224047 -2.259135) (xy -0.185544 -1.986319) (xy -0.152286 -1.802656) (xy -0.118237 -1.700262) + (xy -0.077359 -1.671252) (xy -0.023612 -1.707741) (xy 0.04904 -1.801843) (xy 0.08548 -1.854931) + (xy 0.174306 -2.021812) (xy 0.180968 -2.157977) (xy 0.103325 -2.288745) (xy 0.04368 -2.349441) + (xy -0.095376 -2.462582) (xy -0.18471 -2.492668) (xy -0.227975 -2.438467) (xy -0.228821 -2.298748) + (xy -0.305893 -2.298748) (xy -0.309968 -2.332404) (xy -0.320157 -2.462221) (xy -0.302169 -2.52213) + (xy -0.240069 -2.53914) (xy -0.187526 -2.54) (xy -0.04747 -2.523053)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.462053 -1.921206) (xy -1.431977 -1.841948) (xy -1.423554 -1.711846) (xy -1.425418 -1.679418) + (xy -1.447221 -1.550112) (xy -1.495713 -1.489856) (xy -1.550866 -1.474199) (xy -1.635893 -1.481358) + (xy -1.66077 -1.510833) (xy -1.621261 -1.557163) (xy -1.5875 -1.563077) (xy -1.537677 -1.593812) + (xy -1.516198 -1.696854) (xy -1.514231 -1.768231) (xy -1.506553 -1.895436) (xy -1.481823 -1.933492) + (xy -1.462053 -1.921206)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -2.488343 -2.23016) (xy -2.391581 -2.203421) (xy -2.327389 -2.173704) (xy -2.33768 -2.158921) + (xy -2.432544 -2.153171) (xy -2.478943 -2.152327) (xy -2.611855 -2.1429) (xy -2.672666 -2.111774) + (xy -2.686539 -2.051538) (xy -2.664162 -1.981038) (xy -2.580386 -1.952098) (xy -2.527789 -1.94865) + (xy -2.369039 -1.943454) (xy -2.503366 -1.890374) (xy -2.601136 -1.829856) (xy -2.635756 -1.730381) + (xy -2.637693 -1.678834) (xy -2.63185 -1.576369) (xy -2.595272 -1.536925) (xy -2.49939 -1.539747) + (xy -2.45452 -1.54577) (xy -2.343964 -1.556098) (xy -2.319216 -1.542139) (xy -2.344616 -1.519024) + (xy -2.44381 -1.481793) (xy -2.576635 -1.466133) (xy -2.735385 -1.465385) (xy -2.742712 -1.782885) + (xy -2.747231 -1.956653) (xy -2.751743 -2.094726) (xy -2.754924 -2.161442) (xy -2.717914 -2.212495) + (xy -2.61829 -2.236644) (xy -2.488343 -2.23016)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.007435 -2.269541) (xy 1.155683 -2.168496) (xy 1.243335 -1.992348) (xy 1.269279 -1.773443) + (xy 1.236638 -1.584506) (xy 1.139654 -1.4683) (xy 0.982103 -1.427531) (xy 0.818173 -1.450209) + (xy 0.739597 -1.48587) (xy 0.745536 -1.517391) (xy 0.823436 -1.529593) (xy 0.879678 -1.524584) + (xy 1.041025 -1.531456) (xy 1.135295 -1.609331) (xy 1.162552 -1.75828) (xy 1.157057 -1.821527) + (xy 1.129704 -1.972877) (xy 1.093701 -2.033271) (xy 1.038033 -2.009416) (xy 0.97197 -1.934221) + (xy 0.85543 -1.833606) (xy 0.76369 -1.816861) (xy 0.672117 -1.866992) (xy 0.647679 -1.96756) + (xy 0.653652 -1.985352) (xy 0.781538 -1.985352) (xy 0.786902 -1.921062) (xy 0.819517 -1.926826) + (xy 0.87923 -1.978269) (xy 0.952702 -2.067276) (xy 0.976923 -2.132378) (xy 0.953638 -2.19244) + (xy 0.937846 -2.198077) (xy 0.857365 -2.156602) (xy 0.796242 -2.060277) (xy 0.781538 -1.985352) + (xy 0.653652 -1.985352) (xy 0.691217 -2.097241) (xy 0.749392 -2.17908) (xy 0.839322 -2.26887) + (xy 0.916939 -2.29212) (xy 1.007435 -2.269541)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.905989 -2.024087) (xy -0.83269 -1.944563) (xy -0.746067 -1.837587) (xy -0.687127 -1.947717) + (xy -0.629467 -2.021365) (xy -0.570206 -2.00953) (xy -0.564413 -2.004918) (xy -0.507282 -1.918153) + (xy -0.453417 -1.776133) (xy -0.414086 -1.619312) (xy -0.400559 -1.488145) (xy -0.407785 -1.442652) + (xy -0.466022 -1.38478) (xy -0.551093 -1.36996) (xy -0.619708 -1.398807) (xy -0.635 -1.439428) + (xy -0.602637 -1.488707) (xy -0.561731 -1.483049) (xy -0.50642 -1.496196) (xy -0.48766 -1.573296) + (xy -0.5075 -1.686905) (xy -0.540715 -1.764827) (xy -0.593887 -1.830245) (xy -0.65496 -1.810817) + (xy -0.662586 -1.804683) (xy -0.735236 -1.774853) (xy -0.829677 -1.811364) (xy -0.854564 -1.827079) + (xy -0.942532 -1.906841) (xy -0.976924 -1.979395) (xy -0.95987 -2.044411) (xy -0.905989 -2.024087)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.229567 -1.253855) (xy -0.296835 -1.176065) (xy -0.395344 -1.052623) (xy -0.435125 -0.91686) + (xy -0.439616 -0.818233) (xy -0.403761 -0.611209) (xy -0.296003 -0.469696) (xy -0.116053 -0.393546) + (xy 0.136377 -0.382609) (xy 0.346093 -0.41167) (xy 0.447249 -0.427575) (xy 0.463364 -0.416741) + (xy 0.424989 -0.389115) (xy 0.283876 -0.338562) (xy 0.090164 -0.313507) (xy -0.114022 -0.315549) + (xy -0.286557 -0.346286) (xy -0.32441 -0.360683) (xy -0.456616 -0.469526) (xy -0.536911 -0.63396) + (xy -0.563566 -0.825757) (xy -0.534853 -1.01669) (xy -0.449042 -1.17853) (xy -0.3785 -1.243789) + (xy -0.263011 -1.309912) (xy -0.210558 -1.310938) (xy -0.229567 -1.253855)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -6.977282 -0.785783) (xy -6.84909 -0.696518) (xy -6.767299 -0.561043) (xy -6.743749 -0.396313) + (xy -6.790278 -0.219287) (xy -6.867227 -0.10144) (xy -6.972836 0.000275) (xy -7.089239 0.042556) + (xy -7.20158 0.048846) (xy -7.388831 0.023012) (xy -7.484537 -0.036635) (xy -7.576605 -0.207614) + (xy -7.58688 -0.396081) (xy -7.521078 -0.576102) (xy -7.384919 -0.721744) (xy -7.325511 -0.757846) + (xy -7.140035 -0.811878) (xy -6.977282 -0.785783)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 3.321538 -1.081122) (xy 3.279478 -1.015456) (xy 3.167124 -0.925405) (xy 3.005217 -0.822033) + (xy 2.814497 -0.716405) (xy 2.615704 -0.619584) (xy 2.42958 -0.542635) (xy 2.276865 -0.49662) + (xy 2.210535 -0.488461) (xy 2.113707 -0.466182) (xy 1.98014 -0.410714) (xy 1.941088 -0.390769) + (xy 1.802491 -0.32857) (xy 1.683777 -0.29499) (xy 1.660946 -0.293077) (xy 1.581464 -0.273442) + (xy 1.563076 -0.246134) (xy 1.523404 -0.1687) (xy 1.422143 -0.0717) (xy 1.28593 0.022478) + (xy 1.178553 0.077079) (xy 1.01828 0.135555) (xy 0.938423 0.141618) (xy 0.928076 0.124401) + (xy 0.968436 0.093431) (xy 1.070789 0.040105) (xy 1.135673 0.010224) (xy 1.323402 -0.090905) + (xy 1.427036 -0.197129) (xy 1.4596 -0.324103) (xy 1.456702 -0.375604) (xy 1.437266 -0.434389) + (xy 1.611923 -0.434389) (xy 1.650217 -0.398877) (xy 1.732538 -0.393268) (xy 1.810046 -0.417149) + (xy 1.829644 -0.43624) (xy 1.82463 -0.499355) (xy 1.812512 -0.509668) (xy 1.73371 -0.518576) + (xy 1.649335 -0.486174) (xy 1.611923 -0.434389) (xy 1.437266 -0.434389) (xy 1.423488 -0.476058) + (xy 1.335447 -0.559075) (xy 1.223015 -0.622788) (xy 1.042401 -0.707755) (xy 0.926376 -0.736986) + (xy 0.861858 -0.700671) (xy 0.835763 -0.588998) (xy 0.835006 -0.392154) (xy 0.837058 -0.333346) + (xy 0.839083 -0.099966) (xy 0.823732 0.039067) (xy 0.796303 0.08463) (xy 0.759536 0.05233) + (xy 0.726881 -0.053631) (xy 0.701937 -0.209224) (xy 0.688305 -0.39042) (xy 0.689583 -0.573189) + (xy 0.692169 -0.610577) (xy 0.708269 -0.805961) (xy 0.928076 -0.789398) (xy 1.113539 -0.756519) + (xy 1.311173 -0.694354) (xy 1.367692 -0.670305) (xy 1.536367 -0.596608) (xy 1.633589 -0.569004) + (xy 1.67288 -0.58539) (xy 1.67298 -0.622788) (xy 1.691902 -0.675791) (xy 1.762485 -0.676034) + (xy 1.856998 -0.62768) (xy 1.902879 -0.588274) (xy 1.963783 -0.536009) (xy 2.028295 -0.516812) + (xy 2.127574 -0.528622) (xy 2.271694 -0.563874) (xy 2.529183 -0.643258) (xy 2.753185 -0.744902) + (xy 2.985556 -0.889233) (xy 3.089519 -0.96298) (xy 3.211805 -1.044288) (xy 3.29587 -1.085539) + (xy 3.321538 -1.081122)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -7.256069 0.734992) (xy -7.133946 0.741043) (xy -7.08296 0.749576) (xy -7.082693 0.750273) + (xy -7.093933 0.806429) (xy -7.125595 0.94444) (xy -7.174586 1.151694) (xy -7.237818 1.415582) + (xy -7.312199 1.723495) (xy -7.394639 2.062822) (xy -7.482048 2.420954) (xy -7.571334 2.785282) + (xy -7.659409 3.143194) (xy -7.74318 3.482082) (xy -7.819558 3.789335) (xy -7.885453 4.052345) + (xy -7.937773 4.258501) (xy -7.973429 4.395193) (xy -7.989329 4.449811) (xy -7.989436 4.449998) + (xy -8.047032 4.472868) (xy -8.165949 4.487192) (xy -8.315645 4.492873) (xy -8.465579 4.489816) + (xy -8.585211 4.477925) (xy -8.643999 4.457104) (xy -8.64577 4.452055) (xy -8.63467 4.396743) + (xy -8.603033 4.257068) (xy -8.553356 4.043607) (xy -8.488133 3.766934) (xy -8.409859 3.437626) + (xy -8.321031 3.066259) (xy -8.224142 2.663409) (xy -8.206154 2.588846) (xy -8.108221 2.182651) + (xy -8.017876 1.807087) (xy -7.937605 1.472548) (xy -7.869891 1.189426) (xy -7.81722 0.968115) + (xy -7.782077 0.819008) (xy -7.766946 0.752498) (xy -7.766539 0.75006) (xy -7.721815 0.741499) + (xy -7.60412 0.735296) (xy -7.438169 0.732706) (xy -7.424616 0.732692) (xy -7.256069 0.734992)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -10.720287 -0.478918) (xy -10.710481 -0.478509) (xy -10.369965 -0.464038) (xy -10.87305 1.611923) + (xy -10.978643 2.049322) (xy -11.076822 2.459241) (xy -11.165216 2.831544) (xy -11.241452 3.156089) + (xy -11.30316 3.42274) (xy -11.347968 3.621356) (xy -11.373504 3.7418) (xy -11.378645 3.773365) + (xy -11.373038 3.801311) (xy -11.346458 3.822432) (xy -11.287052 3.837675) (xy -11.182969 3.847982) + (xy -11.022357 3.854301) (xy -10.793364 3.857575) (xy -10.484138 3.85875) (xy -10.306539 3.858846) + (xy -9.938172 3.860115) (xy -9.658086 3.864277) (xy -9.456897 3.871865) (xy -9.325224 3.883414) + (xy -9.253682 3.899456) (xy -9.232866 3.919904) (xy -9.245032 3.999919) (xy -9.27503 4.136434) + (xy -9.297098 4.225192) (xy -9.360388 4.469423) (xy -10.810386 4.482362) (xy -11.175453 4.484987) + (xy -11.50681 4.486157) (xy -11.792456 4.485926) (xy -12.020391 4.484348) (xy -12.178611 4.481478) + (xy -12.255116 4.477371) (xy -12.260385 4.47575) (xy -12.249339 4.422357) (xy -12.217933 4.285356) + (xy -12.168769 4.075544) (xy -12.104447 3.803717) (xy -12.027568 3.48067) (xy -11.940731 3.1172) + (xy -11.846539 2.724102) (xy -11.747591 2.312173) (xy -11.646488 1.892209) (xy -11.545831 1.475006) + (xy -11.44822 1.07136) (xy -11.356256 0.692066) (xy -11.27254 0.347921) (xy -11.199671 0.049722) + (xy -11.140251 -0.191737) (xy -11.09688 -0.365659) (xy -11.072159 -0.461247) (xy -11.067464 -0.476511) + (xy -11.014178 -0.48276) (xy -10.889675 -0.483612) (xy -10.720287 -0.478918)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 12.135815 -6.997212) (xy 11.990886 -6.783775) (xy 11.791618 -6.505798) (xy 11.546236 -6.173771) + (xy 11.262966 -5.798183) (xy 10.950034 -5.389524) (xy 10.615664 -4.958283) (xy 10.268082 -4.514951) + (xy 9.915513 -4.070017) (xy 9.566184 -3.633971) (xy 9.228318 -3.217302) (xy 8.910143 -2.830501) + (xy 8.619882 -2.484056) (xy 8.365762 -2.188458) (xy 8.359147 -2.180902) (xy 8.171157 -1.964072) + (xy 8.007745 -1.771393) (xy 7.87907 -1.615193) (xy 7.795293 -1.507796) (xy 7.766538 -1.461964) + (xy 7.785379 -1.407576) (xy 7.838474 -1.275968) (xy 7.920685 -1.079315) (xy 8.026871 -0.829796) + (xy 8.151892 -0.539586) (xy 8.285055 -0.233562) (xy 8.446412 0.138854) (xy 8.61445 0.532556) + (xy 8.77894 0.923161) (xy 8.929651 1.286286) (xy 9.056353 1.597549) (xy 9.11062 1.734039) + (xy 9.203974 1.975983) (xy 9.311182 2.260989) (xy 9.427466 2.575622) (xy 9.548048 2.906447) + (xy 9.66815 3.240029) (xy 9.782995 3.562934) (xy 9.887805 3.861726) (xy 9.977803 4.122971) + (xy 10.048211 4.333233) (xy 10.094251 4.479078) (xy 10.111146 4.547071) (xy 10.111153 4.547578) + (xy 10.063703 4.56025) (xy 9.926869 4.570239) (xy 9.70893 4.577352) (xy 9.418163 4.581399) + (xy 9.062846 4.582188) (xy 8.707782 4.580076) (xy 7.304411 4.567115) (xy 7.113841 4.029808) + (xy 6.91749 3.477785) (xy 6.748485 3.006456) (xy 6.602448 2.604116) (xy 6.475002 2.259062) + (xy 6.361767 1.959587) (xy 6.258367 1.693989) (xy 6.160422 1.450564) (xy 6.063556 1.217605) + (xy 6.04956 1.184519) (xy 5.945957 0.945346) (xy 5.85347 0.741643) (xy 5.779434 0.588811) + (xy 5.73118 0.502249) (xy 5.718132 0.488462) (xy 5.681198 0.525167) (xy 5.588283 0.630692) + (xy 5.445151 0.798153) (xy 5.257569 1.020665) (xy 5.031299 1.291342) (xy 4.772108 1.6033) + (xy 4.485758 1.949654) (xy 4.178016 2.323519) (xy 4.000963 2.539311) (xy 2.320192 4.59016) + (xy 0.83737 4.590849) (xy 0.409842 4.590426) (xy 0.070833 4.588436) (xy -0.188824 4.584466) + (xy -0.378294 4.578098) (xy -0.506744 4.568917) (xy -0.583342 4.556507) (xy -0.617252 4.540452) + (xy -0.619823 4.524748) (xy -0.586486 4.480731) (xy -0.496146 4.368575) (xy -0.354465 4.195088) + (xy -0.167104 3.967075) (xy 0.060274 3.691343) (xy 0.322007 3.374699) (xy 0.612432 3.023949) + (xy 0.925887 2.645899) (xy 1.256711 2.247357) (xy 1.59924 1.835128) (xy 1.947812 1.41602) + (xy 2.296766 0.996837) (xy 2.640438 0.584388) (xy 2.973167 0.185479) (xy 3.28929 -0.193084) + (xy 3.583145 -0.544495) (xy 3.84907 -0.861947) (xy 4.081402 -1.138633) (xy 4.274479 -1.367747) + (xy 4.42264 -1.542482) (xy 4.52022 -1.656032) (xy 4.54857 -1.688062) (xy 4.566786 -1.710701) + (xy 4.578641 -1.73811) (xy 4.58138 -1.777211) (xy 4.572252 -1.834924) (xy 4.548503 -1.91817) + (xy 4.507382 -2.033868) (xy 4.446135 -2.18894) (xy 4.36201 -2.390305) (xy 4.252254 -2.644885) + (xy 4.114115 -2.9596) (xy 3.94484 -3.341371) (xy 3.741676 -3.797117) (xy 3.50187 -4.33376) + (xy 3.450851 -4.44787) (xy 2.26184 -7.107115) (xy 3.702745 -7.120065) (xy 4.067833 -7.122675) + (xy 4.400265 -7.123765) (xy 4.687772 -7.123398) (xy 4.918087 -7.121635) (xy 5.078943 -7.118539) + (xy 5.158073 -7.114173) (xy 5.164046 -7.11262) (xy 5.18572 -7.064057) (xy 5.238731 -6.934406) + (xy 5.319118 -6.733669) (xy 5.422915 -6.47185) (xy 5.546162 -6.158952) (xy 5.684894 -5.804977) + (xy 5.83515 -5.419929) (xy 5.863759 -5.346432) (xy 6.041514 -4.89004) (xy 6.187398 -4.516807) + (xy 6.304754 -4.218768) (xy 6.396924 -3.987955) (xy 6.467249 -3.816404) (xy 6.51907 -3.696147) + (xy 6.55573 -3.619218) (xy 6.580571 -3.577651) (xy 6.596932 -3.563479) (xy 6.608158 -3.568737) + (xy 6.608993 -3.56984) (xy 6.644182 -3.613895) (xy 6.727503 -3.716727) (xy 6.845265 -3.861471) + (xy 6.942708 -3.980961) (xy 7.391543 -4.541205) (xy 7.878215 -5.167849) (xy 8.389656 -5.843913) + (xy 8.737682 -6.313365) (xy 9.33943 -7.131538) (xy 12.222774 -7.131538) (xy 12.135815 -6.997212)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.539454 0.705398) (xy -1.223134 0.781352) (xy -0.969655 0.919896) (xy -0.785049 1.115875) + (xy -0.675349 1.364133) (xy -0.646584 1.659514) (xy -0.656471 1.770961) (xy -0.733311 2.081528) + (xy -0.877538 2.34412) (xy -1.093166 2.561097) (xy -1.384209 2.734822) (xy -1.754682 2.867654) + (xy -2.2086 2.961955) (xy -2.749975 3.020086) (xy -2.784231 3.022404) (xy -3.24827 3.052885) + (xy -3.261629 3.248269) (xy -3.233122 3.493587) (xy -3.122302 3.710939) (xy -2.942642 3.87453) + (xy -2.93077 3.881608) (xy -2.755178 3.944691) (xy -2.516231 3.978324) (xy -2.243659 3.982708) + (xy -1.967189 3.958046) (xy -1.71655 3.904539) (xy -1.624121 3.872714) (xy -1.481757 3.822483) + (xy -1.380297 3.79884) (xy -1.347671 3.802879) (xy -1.333326 3.866767) (xy -1.319003 3.993626) + (xy -1.312642 4.079057) (xy -1.308883 4.22822) (xy -1.328894 4.312243) (xy -1.384028 4.362883) + (xy -1.420999 4.382129) (xy -1.654147 4.467212) (xy -1.941842 4.532451) (xy -2.253336 4.574618) + (xy -2.557884 4.590482) (xy -2.824738 4.576813) (xy -2.979616 4.545861) (xy -3.321657 4.400713) + (xy -3.586364 4.196875) (xy -3.774874 3.932733) (xy -3.888325 3.606672) (xy -3.927853 3.217079) + (xy -3.927912 3.199423) (xy -3.888306 2.700987) (xy -3.835689 2.488294) (xy -3.16346 2.488294) + (xy -3.14956 2.519727) (xy -3.090601 2.531023) (xy -2.968238 2.526406) (xy -2.800498 2.51311) + (xy -2.558985 2.486801) (xy -2.302722 2.449109) (xy -2.100385 2.410908) (xy -1.774354 2.30738) + (xy -1.535083 2.160574) (xy -1.396753 1.997389) (xy -1.332554 1.816017) (xy -1.322468 1.612984) + (xy -1.349189 1.491996) (xy -1.436979 1.388591) (xy -1.593443 1.315568) (xy -1.793131 1.277141) + (xy -2.010594 1.277523) (xy -2.220383 1.320928) (xy -2.269966 1.339544) (xy -2.502702 1.480174) + (xy -2.728658 1.694427) (xy -2.929037 1.958975) (xy -3.085042 2.250493) (xy -3.150647 2.432501) + (xy -3.16346 2.488294) (xy -3.835689 2.488294) (xy -3.774079 2.239257) (xy -3.59179 1.822376) + (xy -3.347997 1.458486) (xy -3.049257 1.155732) (xy -2.702131 0.922256) (xy -2.313176 0.7662) + (xy -1.912586 0.69719) (xy -1.539454 0.705398)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.081857 -0.378527) (xy -5.08 -0.374254) (xy -5.090827 -0.318845) (xy -5.120105 -0.189413) + (xy -5.163028 -0.006819) (xy -5.202053 0.155638) (xy -5.252274 0.366172) (xy -5.292476 0.540873) + (xy -5.317821 0.658325) (xy -5.324168 0.696058) (xy -5.278434 0.709936) (xy -5.153507 0.721401) + (xy -4.96788 0.729345) (xy -4.740045 0.732658) (xy -4.713654 0.732692) (xy -4.45055 0.732863) + (xy -4.271755 0.739258) (xy -4.163922 0.760701) (xy -4.113702 0.806018) (xy -4.107749 0.884031) + (xy -4.132714 1.003567) (xy -4.157375 1.100452) (xy -4.211672 1.316087) (xy -4.850745 1.329678) + (xy -5.489817 1.343269) (xy -5.724047 2.320192) (xy -5.817431 2.718251) (xy -5.885667 3.033933) + (xy -5.929651 3.279018) (xy -5.950277 3.465286) (xy -5.948442 3.604517) (xy -5.925041 3.708491) + (xy -5.88097 3.788988) (xy -5.839336 3.836643) (xy -5.752512 3.906786) (xy -5.64968 3.943186) + (xy -5.496247 3.955857) (xy -5.425132 3.956539) (xy -5.224249 3.942602) (xy -5.028252 3.906882) + (xy -4.932424 3.877155) (xy -4.806129 3.834649) (xy -4.721308 3.820925) (xy -4.706351 3.825445) + (xy -4.689512 3.886179) (xy -4.676354 4.011837) (xy -4.671743 4.109861) (xy -4.664808 4.366605) + (xy -4.885379 4.449535) (xy -5.133711 4.516708) (xy -5.425771 4.556652) (xy -5.716484 4.565497) + (xy -5.95251 4.54113) (xy -6.225739 4.442195) (xy -6.431123 4.274259) (xy -6.56666 4.04049) + (xy -6.630347 3.744056) (xy -6.628821 3.470945) (xy -6.611243 3.346227) (xy -6.574578 3.148238) + (xy -6.521854 2.889808) (xy -6.456097 2.583768) (xy -6.380334 2.242949) (xy -6.297591 1.880181) + (xy -6.210897 1.508296) (xy -6.123278 1.140124) (xy -6.03776 0.788495) (xy -5.95737 0.46624) + (xy -5.885136 0.186191) (xy -5.824085 -0.038822) (xy -5.777242 -0.195969) (xy -5.747636 -0.272418) + (xy -5.744846 -0.276155) (xy -5.679934 -0.305484) (xy -5.557645 -0.335682) (xy -5.407336 -0.362417) + (xy -5.258364 -0.381357) (xy -5.140086 -0.388171) (xy -5.081857 -0.378527)) (layer F.SilkS) (width 0.01)) ) (module For_SeeedStudio:SAMTEC-SMH-108-02-X-D locked (layer F.Cu) (tedit 636E21A4) (tstamp 63377FF9) (at 270.138 28 270) (descr "translated Allegro footprint") (path /62CC4C0A/63467144) - (fp_text reference J8 (at -12.9325 10.4075 90) (layer F.SilkS) + (fp_text reference J8 (at -12.95 5.698 180) (layer F.SilkS) (effects (font (size 1.5 1.5) (thickness 0.15))) ) (fp_text value "SMH-108-02-L-D (Pmod 2x8 F)" (at 0 9.58949 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.15))) ) - (fp_line (start -10.864 -4.70499) (end -10.864 12.709) (layer F.SilkS) (width 0.2)) - (fp_line (start -10.864 -4.70499) (end -10.864 12.709) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.864 12.709) (end 10.864 12.709) (layer F.SilkS) (width 0.2)) - (fp_line (start -10.864 12.709) (end 10.864 12.709) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.864 12.709) (end 10.864 -4.70499) (layer F.SilkS) (width 0.2)) - (fp_line (start 10.864 12.709) (end 10.864 -4.70499) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.864 -4.70499) (end -10.864 -4.70499) (layer F.SilkS) (width 0.2)) - (fp_line (start 10.864 -4.70499) (end -10.864 -4.70499) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.59099 11.138) (end -12.83 11.138) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 9.525) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.287 10.503) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 11.138) (end -11.97 10.503) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.97 10.503) (end -12.128 10.63) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 10.63) (end -12.287 10.503) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 11.138) (end -12.049 10.566) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.049 10.566) (end -12.128 10.63) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 10.63) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 11.138) (end -12.208 10.566) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.208 10.566) (end -12.287 10.503) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.008 13.78) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.59099 7.277) (end -12.83 7.277) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 8.89) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.97 7.912) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.277) (end -12.287 7.912) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.287 7.912) (end -12.128 7.785) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.785) (end -11.97 7.912) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.277) (end -12.208 7.84901) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.208 7.84901) (end -12.128 7.785) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.785) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.277) (end -12.049 7.84901) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.049 7.84901) (end -11.97 7.912) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.414 4.25399) (end -9.84199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -10.414 -4.25399) (end -10.414 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -9.84199 4.25399) (end -9.84199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -9.84199 3.74599) (end -7.93801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -10.414 3.553) (end -10.414 -6.44101) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.115 4.25399) (end -12.83 4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 0.318008) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.287 3.62001) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 4.25399) (end -11.97 3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.97 3.62001) (end -12.128 3.74599) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 3.74599) (end -12.287 3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 4.25399) (end -12.049 3.683) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.049 3.683) (end -12.128 3.74599) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 3.74599) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 4.25399) (end -12.208 3.683) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.208 3.683) (end -12.287 3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -0.318008) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -7.62 -4.25399) (end -10.414 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -11.115 -4.25399) (end -12.83 -4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.97 -3.62001) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -4.25399) (end -12.287 -3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.287 -3.62001) (end -12.128 -3.74599) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -3.74599) (end -11.97 -3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -4.25399) (end -12.208 -3.683) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.208 -3.683) (end -12.128 -3.74599) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -3.74599) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -4.25399) (end -12.049 -3.683) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.049 -3.683) (end -11.97 -3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -0.991006 -5.73999) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.779 -5.899) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.414 -5.73999) (end -9.779 -5.58201) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.779 -5.58201) (end -9.906 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.906 -5.73999) (end -9.779 -5.899) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.414 -5.73999) (end -9.84199 -5.661) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.84199 -5.661) (end -9.906 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.906 -5.73999) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.414 -5.73999) (end -9.84199 -5.82) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.84199 -5.82) (end -9.779 -5.899) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 11.839) (end -8.89 15.497) (layer Dwgs.User) (width 0.1)) - (fp_line (start -0.991006 14.796) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.255 14.637) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 14.796) (end -8.255 14.954) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.255 14.954) (end -8.382 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.382 14.796) (end -8.255 14.637) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 14.796) (end -8.31799 14.875) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.31799 14.875) (end -8.382 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.382 14.796) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 14.796) (end -8.31799 14.716) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.31799 14.716) (end -8.255 14.637) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.28401 11.494) (end -8.496 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -9.28401 11.494) (end -8.496 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -9.28401 11.494) (end -9.28401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -9.28401 11.494) (end -9.28401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -8.496 11.494) (end -8.496 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -8.496 3.74599) (end -8.496 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -6.744 11.494) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -6.744 11.494) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -6.744 11.494) (end -6.744 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -6.744 11.494) (end -6.744 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -5.95599 11.494) (end -5.95599 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -5.95599 3.74599) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -8.89 11.839) (end -8.89 14.481) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.35 11.839) (end -6.35 14.481) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.255 13.621) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 13.78) (end -8.255 13.938) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.255 13.938) (end -8.382 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.382 13.78) (end -8.255 13.621) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 13.78) (end -8.31799 13.859) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.31799 13.859) (end -8.382 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.382 13.78) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 13.78) (end -8.31799 13.7) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.31799 13.7) (end -8.255 13.621) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 13.78) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.985 13.938) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.35 13.78) (end -6.985 13.621) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.985 13.621) (end -6.858 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.858 13.78) (end -6.985 13.938) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.35 13.78) (end -6.92201 13.7) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.92201 13.7) (end -6.858 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.858 13.78) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.35 13.78) (end -6.92201 13.859) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.92201 13.859) (end -6.985 13.938) (layer Dwgs.User) (width 0.1)) - (fp_line (start -7.62 4.25399) (end -7.93801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -7.93801 3.74599) (end -7.93801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -7.30199 3.74599) (end -5.39801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -7.30199 4.25399) (end -7.30199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -7.62 4.25399) (end -7.30199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 -4.25399) (end -7.62 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -4.20401 11.494) (end -3.416 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -4.20401 11.494) (end -3.416 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -4.20401 11.494) (end -4.20401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -4.20401 11.494) (end -4.20401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -3.416 11.494) (end -3.416 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -3.416 3.74599) (end -3.416 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -1.664 11.494) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -1.664 11.494) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -1.664 11.494) (end -1.664 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -1.664 11.494) (end -1.664 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 4.25399) (end -5.39801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -5.39801 3.74599) (end -5.39801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -4.76199 3.74599) (end -2.85801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -4.76199 4.25399) (end -4.76199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 4.25399) (end -4.76199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -2.54 4.25399) (end -2.85801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -2.85801 3.74599) (end -2.85801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -2.22199 3.74599) (end -0.318008 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -2.22199 4.25399) (end -2.22199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -2.54 4.25399) (end -2.22199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -2.54 -4.25399) (end -5.08 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 0 -4.25399) (end -2.54 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 0.991006 14.796) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -0.875995 11.494) (end -0.875995 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -0.875995 3.74599) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 0.875995 11.494) (end 1.664 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 0.875995 11.494) (end 1.664 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 0.875995 11.494) (end 0.875995 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 0.875995 11.494) (end 0.875995 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 1.664 11.494) (end 1.664 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 1.664 3.74599) (end 1.664 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 0 4.25399) (end -0.318008 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -0.318008 3.74599) (end -0.318008 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 0.318008 3.74599) (end 2.22199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 0.318008 4.25399) (end 0.318008 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 0 4.25399) (end 0.318008 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 2.54 4.25399) (end 2.22199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 2.22199 3.74599) (end 2.22199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 2.54 -4.25399) (end 0 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 0.991006 -5.73999) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 3.416 11.494) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 3.416 11.494) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 3.416 11.494) (end 3.416 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 3.416 11.494) (end 3.416 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 4.20401 11.494) (end 4.20401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 4.20401 3.74599) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 5.95599 11.494) (end 6.744 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 5.95599 11.494) (end 6.744 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 5.95599 11.494) (end 5.95599 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 5.95599 11.494) (end 5.95599 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 2.85801 3.74599) (end 4.76199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 2.85801 4.25399) (end 2.85801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 2.54 4.25399) (end 2.85801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 4.25399) (end 4.76199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 4.76199 3.74599) (end 4.76199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 5.39801 3.74599) (end 7.30199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 5.39801 4.25399) (end 5.39801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 4.25399) (end 5.39801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 -4.25399) (end 2.54 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 7.62 -4.25399) (end 5.08 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 8.89 11.839) (end 8.89 15.497) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.255 14.954) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.89 14.796) (end 8.255 14.637) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.255 14.637) (end 8.382 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.382 14.796) (end 8.255 14.954) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.89 14.796) (end 8.31799 14.716) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.31799 14.716) (end 8.382 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.382 14.796) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.89 14.796) (end 8.31799 14.875) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.31799 14.875) (end 8.255 14.954) (layer Dwgs.User) (width 0.1)) - (fp_line (start 6.744 11.494) (end 6.744 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 6.744 3.74599) (end 6.744 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 8.496 11.494) (end 9.28401 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 8.496 11.494) (end 8.496 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 9.28401 11.494) (end 9.28401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 7.62 4.25399) (end 7.30199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 7.30199 3.74599) (end 7.30199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 7.62 4.25399) (end 7.93801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 7.93801 4.25399) (end 7.93801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 7.93801 3.74599) (end 9.84199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 9.84199 4.25399) (end 10.414 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 9.84199 3.74599) (end 9.84199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 10.414 -4.25399) (end 10.414 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 7.62 -4.25399) (end 10.414 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 9.779 -5.58201) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.414 -5.73999) (end 9.779 -5.899) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.779 -5.899) (end 9.906 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.906 -5.73999) (end 9.779 -5.58201) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.414 -5.73999) (end 9.84199 -5.82) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.84199 -5.82) (end 9.906 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.906 -5.73999) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.414 -5.73999) (end 9.84199 -5.661) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.84199 -5.661) (end 9.779 -5.58201) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.414 3.553) (end 10.414 -6.44101) (layer Dwgs.User) (width 0.1)) - (fp_poly (pts (xy -9.65101 6.05599) (xy -8.12902 6.05599) (xy -8.12902 8.498) (xy -9.65101 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -9.60001 6.107) (xy -8.17999 6.107) (xy -8.17999 8.447) (xy -9.60001 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -9.65101 9.96701) (xy -8.12902 9.96701) (xy -8.12902 12.309) (xy -9.65101 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -9.60001 10.018) (xy -8.17999 10.018) (xy -8.17999 12.258) (xy -9.60001 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -7.11101 6.05599) (xy -5.58902 6.05599) (xy -5.58902 8.498) (xy -7.11101 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -7.06001 6.107) (xy -5.63999 6.107) (xy -5.63999 8.447) (xy -7.06001 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -7.11101 9.96701) (xy -5.58902 9.96701) (xy -5.58902 12.309) (xy -7.11101 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -7.06001 10.018) (xy -5.63999 10.018) (xy -5.63999 12.258) (xy -7.06001 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -4.57101 6.05599) (xy -3.04902 6.05599) (xy -3.04902 8.498) (xy -4.57101 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -4.52001 6.107) (xy -3.09999 6.107) (xy -3.09999 8.447) (xy -4.52001 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -4.57101 9.96701) (xy -3.04902 9.96701) (xy -3.04902 12.309) (xy -4.57101 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -4.52001 10.018) (xy -3.09999 10.018) (xy -3.09999 12.258) (xy -4.52001 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -2.03101 6.05599) (xy -0.509016 6.05599) (xy -0.509016 8.498) (xy -2.03101 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -1.98001 6.107) (xy -0.559994 6.107) (xy -0.559994 8.447) (xy -1.98001 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -2.03101 9.96701) (xy -0.509016 9.96701) (xy -0.509016 12.309) (xy -2.03101 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -1.98001 10.018) (xy -0.559994 10.018) (xy -0.559994 12.258) (xy -1.98001 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 0.508991 6.05599) (xy 2.03098 6.05599) (xy 2.03098 8.498) (xy 0.508991 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 0.559994 6.107) (xy 1.98001 6.107) (xy 1.98001 8.447) (xy 0.559994 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 0.508991 9.96701) (xy 2.03098 9.96701) (xy 2.03098 12.309) (xy 0.508991 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 0.559994 10.018) (xy 1.98001 10.018) (xy 1.98001 12.258) (xy 0.559994 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 3.04899 6.05599) (xy 4.57098 6.05599) (xy 4.57098 8.498) (xy 3.04899 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 3.09999 6.107) (xy 4.52001 6.107) (xy 4.52001 8.447) (xy 3.09999 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 3.04899 9.96701) (xy 4.57098 9.96701) (xy 4.57098 12.309) (xy 3.04899 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 3.09999 10.018) (xy 4.52001 10.018) (xy 4.52001 12.258) (xy 3.09999 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 5.58899 6.05599) (xy 7.11098 6.05599) (xy 7.11098 8.498) (xy 5.58899 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 5.63999 6.107) (xy 7.06001 6.107) (xy 7.06001 8.447) (xy 5.63999 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 5.58899 9.96701) (xy 7.11098 9.96701) (xy 7.11098 12.309) (xy 5.58899 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 5.63999 10.018) (xy 7.06001 10.018) (xy 7.06001 12.258) (xy 5.63999 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 8.12899 6.05599) (xy 9.65098 6.05599) (xy 9.65098 8.498) (xy 8.12899 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 8.17999 6.107) (xy 9.60001 6.107) (xy 9.60001 8.447) (xy 8.17999 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 8.12899 9.96701) (xy 9.65098 9.96701) (xy 9.65098 12.309) (xy 8.12899 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 8.17999 10.018) (xy 9.60001 10.018) (xy 9.60001 12.258) (xy 8.17999 12.258)) (layer F.Paste) (width 0.01)) - (fp_line (start -11.75 -5.75) (end 11.75 -5.75) (layer F.CrtYd) (width 0.12)) - (fp_line (start 11.75 -5.75) (end 11.75 13.65) (layer F.CrtYd) (width 0.12)) - (fp_line (start 11.75 13.65) (end -11.75 13.65) (layer F.CrtYd) (width 0.12)) (fp_line (start -11.75 13.65) (end -11.75 -5.75) (layer F.CrtYd) (width 0.12)) + (fp_line (start 11.75 13.65) (end -11.75 13.65) (layer F.CrtYd) (width 0.12)) + (fp_line (start 11.75 -5.75) (end 11.75 13.65) (layer F.CrtYd) (width 0.12)) + (fp_line (start -11.75 -5.75) (end 11.75 -5.75) (layer F.CrtYd) (width 0.12)) + (fp_poly (pts (xy 8.17999 10.018) (xy 9.60001 10.018) (xy 9.60001 12.258) (xy 8.17999 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 8.12899 9.96701) (xy 9.65098 9.96701) (xy 9.65098 12.309) (xy 8.12899 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 8.17999 6.107) (xy 9.60001 6.107) (xy 9.60001 8.447) (xy 8.17999 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 8.12899 6.05599) (xy 9.65098 6.05599) (xy 9.65098 8.498) (xy 8.12899 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 5.63999 10.018) (xy 7.06001 10.018) (xy 7.06001 12.258) (xy 5.63999 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 5.58899 9.96701) (xy 7.11098 9.96701) (xy 7.11098 12.309) (xy 5.58899 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 5.63999 6.107) (xy 7.06001 6.107) (xy 7.06001 8.447) (xy 5.63999 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 5.58899 6.05599) (xy 7.11098 6.05599) (xy 7.11098 8.498) (xy 5.58899 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 3.09999 10.018) (xy 4.52001 10.018) (xy 4.52001 12.258) (xy 3.09999 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 3.04899 9.96701) (xy 4.57098 9.96701) (xy 4.57098 12.309) (xy 3.04899 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 3.09999 6.107) (xy 4.52001 6.107) (xy 4.52001 8.447) (xy 3.09999 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 3.04899 6.05599) (xy 4.57098 6.05599) (xy 4.57098 8.498) (xy 3.04899 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 0.559994 10.018) (xy 1.98001 10.018) (xy 1.98001 12.258) (xy 0.559994 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 0.508991 9.96701) (xy 2.03098 9.96701) (xy 2.03098 12.309) (xy 0.508991 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 0.559994 6.107) (xy 1.98001 6.107) (xy 1.98001 8.447) (xy 0.559994 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 0.508991 6.05599) (xy 2.03098 6.05599) (xy 2.03098 8.498) (xy 0.508991 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -1.98001 10.018) (xy -0.559994 10.018) (xy -0.559994 12.258) (xy -1.98001 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -2.03101 9.96701) (xy -0.509016 9.96701) (xy -0.509016 12.309) (xy -2.03101 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -1.98001 6.107) (xy -0.559994 6.107) (xy -0.559994 8.447) (xy -1.98001 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -2.03101 6.05599) (xy -0.509016 6.05599) (xy -0.509016 8.498) (xy -2.03101 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -4.52001 10.018) (xy -3.09999 10.018) (xy -3.09999 12.258) (xy -4.52001 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -4.57101 9.96701) (xy -3.04902 9.96701) (xy -3.04902 12.309) (xy -4.57101 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -4.52001 6.107) (xy -3.09999 6.107) (xy -3.09999 8.447) (xy -4.52001 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -4.57101 6.05599) (xy -3.04902 6.05599) (xy -3.04902 8.498) (xy -4.57101 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -7.06001 10.018) (xy -5.63999 10.018) (xy -5.63999 12.258) (xy -7.06001 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -7.11101 9.96701) (xy -5.58902 9.96701) (xy -5.58902 12.309) (xy -7.11101 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -7.06001 6.107) (xy -5.63999 6.107) (xy -5.63999 8.447) (xy -7.06001 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -7.11101 6.05599) (xy -5.58902 6.05599) (xy -5.58902 8.498) (xy -7.11101 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -9.60001 10.018) (xy -8.17999 10.018) (xy -8.17999 12.258) (xy -9.60001 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -9.65101 9.96701) (xy -8.12902 9.96701) (xy -8.12902 12.309) (xy -9.65101 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -9.60001 6.107) (xy -8.17999 6.107) (xy -8.17999 8.447) (xy -9.60001 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -9.65101 6.05599) (xy -8.12902 6.05599) (xy -8.12902 8.498) (xy -9.65101 8.498)) (layer F.Mask) (width 0.01)) + (fp_line (start 10.414 3.553) (end 10.414 -6.44101) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.84199 -5.661) (end 9.779 -5.58201) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.414 -5.73999) (end 9.84199 -5.661) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.906 -5.73999) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.84199 -5.82) (end 9.906 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.414 -5.73999) (end 9.84199 -5.82) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.906 -5.73999) (end 9.779 -5.58201) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.779 -5.899) (end 9.906 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.414 -5.73999) (end 9.779 -5.899) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.779 -5.58201) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 7.62 -4.25399) (end 10.414 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 10.414 -4.25399) (end 10.414 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 9.84199 3.74599) (end 9.84199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 9.84199 4.25399) (end 10.414 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 7.93801 3.74599) (end 9.84199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 7.93801 4.25399) (end 7.93801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 4.25399) (end 7.93801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 7.30199 3.74599) (end 7.30199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 4.25399) (end 7.30199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 9.28401 11.494) (end 9.28401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 8.496 11.494) (end 8.496 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 8.496 11.494) (end 9.28401 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 6.744 3.74599) (end 6.744 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 6.744 11.494) (end 6.744 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 8.31799 14.875) (end 8.255 14.954) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.89 14.796) (end 8.31799 14.875) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.382 14.796) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.31799 14.716) (end 8.382 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.89 14.796) (end 8.31799 14.716) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.382 14.796) (end 8.255 14.954) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.255 14.637) (end 8.382 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.89 14.796) (end 8.255 14.637) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.255 14.954) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.89 11.839) (end 8.89 15.497) (layer Dwgs.User) (width 0.1)) + (fp_line (start 7.62 -4.25399) (end 5.08 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 5.08 -4.25399) (end 2.54 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 5.08 4.25399) (end 5.39801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 5.39801 4.25399) (end 5.39801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 5.39801 3.74599) (end 7.30199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 4.76199 3.74599) (end 4.76199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 5.08 4.25399) (end 4.76199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 4.25399) (end 2.85801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 2.85801 4.25399) (end 2.85801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 2.85801 3.74599) (end 4.76199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 5.95599 11.494) (end 5.95599 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 5.95599 11.494) (end 5.95599 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 5.95599 11.494) (end 6.744 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 5.95599 11.494) (end 6.744 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 4.20401 3.74599) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 4.20401 11.494) (end 4.20401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 3.416 11.494) (end 3.416 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 3.416 11.494) (end 3.416 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 3.416 11.494) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 3.416 11.494) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 0.991006 -5.73999) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 2.54 -4.25399) (end 0 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 2.22199 3.74599) (end 2.22199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 4.25399) (end 2.22199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 0 4.25399) (end 0.318008 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 0.318008 4.25399) (end 0.318008 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 0.318008 3.74599) (end 2.22199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -0.318008 3.74599) (end -0.318008 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 0 4.25399) (end -0.318008 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 1.664 3.74599) (end 1.664 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 1.664 11.494) (end 1.664 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 0.875995 11.494) (end 0.875995 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 0.875995 11.494) (end 0.875995 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 0.875995 11.494) (end 1.664 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 0.875995 11.494) (end 1.664 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -0.875995 3.74599) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -0.875995 11.494) (end -0.875995 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 0.991006 14.796) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start 0 -4.25399) (end -2.54 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -2.54 -4.25399) (end -5.08 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -2.54 4.25399) (end -2.22199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -2.22199 4.25399) (end -2.22199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -2.22199 3.74599) (end -0.318008 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -2.85801 3.74599) (end -2.85801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -2.54 4.25399) (end -2.85801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -5.08 4.25399) (end -4.76199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -4.76199 4.25399) (end -4.76199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -4.76199 3.74599) (end -2.85801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -5.39801 3.74599) (end -5.39801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -5.08 4.25399) (end -5.39801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -1.664 11.494) (end -1.664 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -1.664 11.494) (end -1.664 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -1.664 11.494) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -1.664 11.494) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -3.416 3.74599) (end -3.416 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -3.416 11.494) (end -3.416 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -4.20401 11.494) (end -4.20401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -4.20401 11.494) (end -4.20401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -4.20401 11.494) (end -3.416 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -4.20401 11.494) (end -3.416 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -5.08 -4.25399) (end -7.62 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 4.25399) (end -7.30199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -7.30199 4.25399) (end -7.30199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -7.30199 3.74599) (end -5.39801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -7.93801 3.74599) (end -7.93801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 4.25399) (end -7.93801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -6.92201 13.859) (end -6.985 13.938) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.35 13.78) (end -6.92201 13.859) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.858 13.78) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.92201 13.7) (end -6.858 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.35 13.78) (end -6.92201 13.7) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.858 13.78) (end -6.985 13.938) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.985 13.621) (end -6.858 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.35 13.78) (end -6.985 13.621) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.985 13.938) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 13.78) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.31799 13.7) (end -8.255 13.621) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 13.78) (end -8.31799 13.7) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.382 13.78) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.31799 13.859) (end -8.382 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 13.78) (end -8.31799 13.859) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.382 13.78) (end -8.255 13.621) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.255 13.938) (end -8.382 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 13.78) (end -8.255 13.938) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.255 13.621) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.35 11.839) (end -6.35 14.481) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 11.839) (end -8.89 14.481) (layer Dwgs.User) (width 0.1)) + (fp_line (start -5.95599 3.74599) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -5.95599 11.494) (end -5.95599 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -6.744 11.494) (end -6.744 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -6.744 11.494) (end -6.744 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -6.744 11.494) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -6.744 11.494) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -8.496 3.74599) (end -8.496 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -8.496 11.494) (end -8.496 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -9.28401 11.494) (end -9.28401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -9.28401 11.494) (end -9.28401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -9.28401 11.494) (end -8.496 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -9.28401 11.494) (end -8.496 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -8.31799 14.716) (end -8.255 14.637) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 14.796) (end -8.31799 14.716) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.382 14.796) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.31799 14.875) (end -8.382 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 14.796) (end -8.31799 14.875) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.382 14.796) (end -8.255 14.637) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.255 14.954) (end -8.382 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 14.796) (end -8.255 14.954) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.255 14.637) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -0.991006 14.796) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 11.839) (end -8.89 15.497) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.84199 -5.82) (end -9.779 -5.899) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.414 -5.73999) (end -9.84199 -5.82) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.906 -5.73999) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.84199 -5.661) (end -9.906 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.414 -5.73999) (end -9.84199 -5.661) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.906 -5.73999) (end -9.779 -5.899) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.779 -5.58201) (end -9.906 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.414 -5.73999) (end -9.779 -5.58201) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.779 -5.899) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -0.991006 -5.73999) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.049 -3.683) (end -11.97 -3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -4.25399) (end -12.049 -3.683) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -3.74599) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.208 -3.683) (end -12.128 -3.74599) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -4.25399) (end -12.208 -3.683) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -3.74599) (end -11.97 -3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.287 -3.62001) (end -12.128 -3.74599) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -4.25399) (end -12.287 -3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.97 -3.62001) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.115 -4.25399) (end -12.83 -4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -7.62 -4.25399) (end -10.414 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -12.128 -0.318008) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.208 3.683) (end -12.287 3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 4.25399) (end -12.208 3.683) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 3.74599) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.049 3.683) (end -12.128 3.74599) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 4.25399) (end -12.049 3.683) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 3.74599) (end -12.287 3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.97 3.62001) (end -12.128 3.74599) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 4.25399) (end -11.97 3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.287 3.62001) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 0.318008) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.115 4.25399) (end -12.83 4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.414 3.553) (end -10.414 -6.44101) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.84199 3.74599) (end -7.93801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -9.84199 4.25399) (end -9.84199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -10.414 -4.25399) (end -10.414 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -10.414 4.25399) (end -9.84199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -12.049 7.84901) (end -11.97 7.912) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.277) (end -12.049 7.84901) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.785) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.208 7.84901) (end -12.128 7.785) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.277) (end -12.208 7.84901) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.785) (end -11.97 7.912) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.287 7.912) (end -12.128 7.785) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.277) (end -12.287 7.912) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.97 7.912) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 8.89) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.59099 7.277) (end -12.83 7.277) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.008 13.78) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.208 10.566) (end -12.287 10.503) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 11.138) (end -12.208 10.566) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 10.63) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.049 10.566) (end -12.128 10.63) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 11.138) (end -12.049 10.566) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 10.63) (end -12.287 10.503) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.97 10.503) (end -12.128 10.63) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 11.138) (end -11.97 10.503) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.287 10.503) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 9.525) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.59099 11.138) (end -12.83 11.138) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.864 -4.70499) (end -10.864 -4.70499) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.864 -4.70499) (end -10.864 -4.70499) (layer F.SilkS) (width 0.2)) + (fp_line (start 10.864 12.709) (end 10.864 -4.70499) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.864 12.709) (end 10.864 -4.70499) (layer F.SilkS) (width 0.2)) + (fp_line (start -10.864 12.709) (end 10.864 12.709) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.864 12.709) (end 10.864 12.709) (layer F.SilkS) (width 0.2)) + (fp_line (start -10.864 -4.70499) (end -10.864 12.709) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.864 -4.70499) (end -10.864 12.709) (layer F.SilkS) (width 0.2)) (fp_text user 15 (at 11.205 7.912 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -1197,15 +1314,15 @@ (fp_text value "87832-1420 (2x7 M 2mm)" (at 6.36354 9.520345 90) (layer F.Fab) (effects (font (size 1.000559 1.000559) (thickness 0.15))) ) - (fp_circle (center -4.2 -6.05) (end -4.09 -6.05) (layer F.SilkS) (width 0.6096)) - (fp_line (start -3.75 -8.6) (end 3.75 -8.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start -3.75 8.6) (end -3.75 -8.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start 3.75 8.6) (end -3.75 8.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start 3.75 -8.6) (end 3.75 8.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start -3.15 -8.325) (end 3.15 -8.325) (layer F.SilkS) (width 0.127)) - (fp_line (start -3.15 8.325) (end -3.15 -8.325) (layer F.Fab) (width 0.127)) - (fp_line (start 3.15 8.325) (end -3.15 8.325) (layer F.SilkS) (width 0.127)) (fp_line (start 3.15 -8.325) (end 3.15 8.325) (layer F.Fab) (width 0.127)) + (fp_line (start 3.15 8.325) (end -3.15 8.325) (layer F.SilkS) (width 0.127)) + (fp_line (start -3.15 8.325) (end -3.15 -8.325) (layer F.Fab) (width 0.127)) + (fp_line (start -3.15 -8.325) (end 3.15 -8.325) (layer F.SilkS) (width 0.127)) + (fp_line (start 3.75 -8.6) (end 3.75 8.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start 3.75 8.6) (end -3.75 8.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start -3.75 8.6) (end -3.75 -8.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start -3.75 -8.6) (end 3.75 -8.6) (layer F.CrtYd) (width 0.127)) + (fp_circle (center -4.2 -6.05) (end -4.09 -6.05) (layer F.SilkS) (width 0.6096)) (pad None np_thru_hole circle (at 0 5 270) (size 1.05 1.05) (drill 1.05) (layers *.Cu *.Mask)) (pad None np_thru_hole circle (at 0 -5 270) (size 1.05 1.05) (drill 1.05) (layers *.Cu *.Mask)) (pad 14 smd rect (at 2.13 6 180) (size 1 2.75) (layers F.Cu F.Paste F.Mask) @@ -1255,16 +1372,16 @@ (fp_text value 100nF (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1291,17 +1408,17 @@ (fp_text value SN74CB3T3245PWR (at 0 4.3 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -1363,16 +1480,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1399,17 +1516,17 @@ (fp_text value SN74CB3T3245PWR (at 0 4.3 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -1460,19 +1577,19 @@ ) (module MountingHole:MountingHole_2.2mm_M2_Pad (layer F.Cu) (tedit 56D1B4CB) (tstamp 6337B584) - (at 257.35 47.09) + (at 269.1975 89.5) (descr "Mounting Hole 2.2mm, M2") (tags "mounting hole 2.2mm m2") (path /61B62C00/63467FFE) (attr virtual) - (fp_text reference H1 (at 0 -3.2) (layer F.SilkS) + (fp_text reference H1 (at -3.6075 -0.11) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value MountingHole_Pad (at 0 3.2) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15)) (fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05)) + (fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15)) (fp_text user %R (at 0.3 0) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -1492,16 +1609,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1528,18 +1645,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1566,18 +1683,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1604,18 +1721,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1642,18 +1759,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1680,18 +1797,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1718,16 +1835,16 @@ (fp_text value 590 (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1754,16 +1871,16 @@ (fp_text value 590 (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1790,16 +1907,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1826,16 +1943,16 @@ (fp_text value 590 (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1862,16 +1979,16 @@ (fp_text value 590 (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1898,17 +2015,17 @@ (fp_text value SN74CB3T3245PWR (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -1970,16 +2087,16 @@ (fp_text value 100nF (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2006,16 +2123,16 @@ (fp_text value "47uF 10V 0805" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -2039,25 +2156,25 @@ (fp_text value "47219-2001 (micro-sd)" (at 8.61729 -4.41119) (layer F.Fab) (effects (font (size 1.000268 1.000268) (thickness 0.015))) ) - (fp_line (start -6.8 7.25) (end 6.8 7.25) (layer F.SilkS) (width 0.127)) - (fp_line (start -6.8 -7.25) (end 6.8 -7.25) (layer F.SilkS) (width 0.127)) - (fp_line (start -6.8 -7.2) (end -6.8 -4.8) (layer F.SilkS) (width 0.127)) - (fp_line (start -6.8 5.9) (end -6.8 3.5) (layer F.Fab) (width 0.127)) - (fp_line (start -6.8 -2.3) (end -6.8 -4.8) (layer F.Fab) (width 0.127)) - (fp_line (start 6.8 -7.2) (end 6.8 -4.8) (layer F.SilkS) (width 0.127)) - (fp_line (start 6.8 -4.8) (end 6.8 5.9) (layer F.Fab) (width 0.127)) - (fp_line (start -6 7.2) (end -6 6.5) (layer F.SilkS) (width 0.127)) - (fp_line (start 6 7.2) (end 6 6.5) (layer F.SilkS) (width 0.127)) - (fp_line (start -6 6.5) (end -4.3 5.5) (layer F.SilkS) (width 0.127)) - (fp_line (start -4.3 5.5) (end -1.9 4.9) (layer F.SilkS) (width 0.127)) - (fp_line (start -1.9 4.9) (end 1.6 4.9) (layer F.SilkS) (width 0.127)) - (fp_line (start 1.6 4.9) (end 4.2 5.5) (layer F.SilkS) (width 0.127)) - (fp_line (start 4.2 5.5) (end 6 6.5) (layer F.SilkS) (width 0.127)) - (fp_line (start -6.8 -4.8) (end 6.8 -4.8) (layer F.SilkS) (width 0.127)) - (fp_line (start -7.9 -7.6) (end 7.9 -7.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start 7.9 -7.6) (end 7.9 7.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start 7.9 7.6) (end -7.9 7.6) (layer F.CrtYd) (width 0.127)) (fp_line (start -7.9 7.6) (end -7.9 -7.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start 7.9 7.6) (end -7.9 7.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start 7.9 -7.6) (end 7.9 7.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start -7.9 -7.6) (end 7.9 -7.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start -6.8 -4.8) (end 6.8 -4.8) (layer F.SilkS) (width 0.127)) + (fp_line (start 4.2 5.5) (end 6 6.5) (layer F.SilkS) (width 0.127)) + (fp_line (start 1.6 4.9) (end 4.2 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -1.9 4.9) (end 1.6 4.9) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.3 5.5) (end -1.9 4.9) (layer F.SilkS) (width 0.127)) + (fp_line (start -6 6.5) (end -4.3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start 6 7.2) (end 6 6.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -6 7.2) (end -6 6.5) (layer F.SilkS) (width 0.127)) + (fp_line (start 6.8 -4.8) (end 6.8 5.9) (layer F.Fab) (width 0.127)) + (fp_line (start 6.8 -7.2) (end 6.8 -4.8) (layer F.SilkS) (width 0.127)) + (fp_line (start -6.8 -2.3) (end -6.8 -4.8) (layer F.Fab) (width 0.127)) + (fp_line (start -6.8 5.9) (end -6.8 3.5) (layer F.Fab) (width 0.127)) + (fp_line (start -6.8 -7.2) (end -6.8 -4.8) (layer F.SilkS) (width 0.127)) + (fp_line (start -6.8 -7.25) (end 6.8 -7.25) (layer F.SilkS) (width 0.127)) + (fp_line (start -6.8 7.25) (end 6.8 7.25) (layer F.SilkS) (width 0.127)) (pad 1 smd rect (at 3.2 2.1 180) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) (net 183 SD_D2)) (pad 2 smd rect (at 2.1 2.1 180) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) @@ -2101,16 +2218,16 @@ (fp_text value 100nF (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2137,16 +2254,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2173,16 +2290,16 @@ (fp_text value 10k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2209,16 +2326,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2245,16 +2362,16 @@ (fp_text value 10k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2281,16 +2398,16 @@ (fp_text value 10k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2317,16 +2434,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2353,16 +2470,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2389,16 +2506,16 @@ (fp_text value 10k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2425,16 +2542,16 @@ (fp_text value 10k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2461,16 +2578,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2497,16 +2614,16 @@ (fp_text value "47uF 10V 0805" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -2533,18 +2650,18 @@ (fp_text value "BLUE Led" (at 0 1.65 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -2571,16 +2688,16 @@ (fp_text value 590 (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2607,16 +2724,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2643,22 +2760,22 @@ (fp_text value 74LVT125PW,118 (at 0 3.55 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -2.5) (end 2.2 -2.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) (fp_line (start -2.325 -2.5) (end -3.675 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -2.5) (end 2.2 -2.5) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -2709,17 +2826,17 @@ (fp_text value 74LVT245BPW,118 (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -2782,17 +2899,17 @@ (fp_text value 74LVT245BPW,118 (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -2855,17 +2972,17 @@ (fp_text value 74LVT245BPW,118 (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -2928,17 +3045,17 @@ (fp_text value 74LVT245BPW,118 (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -3001,16 +3118,16 @@ (fp_text value 100nF (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3037,22 +3154,22 @@ (fp_text value 74LVT125PW,118 (at 0 3.55) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -2.5) (end 2.2 -2.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) (fp_line (start -2.325 -2.5) (end -3.675 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -2.5) (end 2.2 -2.5) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -3103,16 +3220,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3139,22 +3256,22 @@ (fp_text value 74LVT125PW,118 (at 0 3.55 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -2.5) (end 2.2 -2.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) (fp_line (start -2.325 -2.5) (end -3.675 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -2.5) (end 2.2 -2.5) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -3202,18 +3319,18 @@ (fp_text value TPD12S016PWR (at 6.975 4.912) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_circle (center -4.44 -3.985) (end -4.34 -3.985) (layer F.SilkS) (width 0.2)) - (fp_circle (center -4.44 -3.985) (end -4.34 -3.985) (layer F.Fab) (width 0.2)) - (fp_line (start -2.2 -3.9) (end 2.2 -3.9) (layer F.Fab) (width 0.127)) - (fp_line (start -2.2 3.9) (end 2.2 3.9) (layer F.Fab) (width 0.127)) - (fp_line (start -2.2 -4.1) (end 2.2 -4.1) (layer F.SilkS) (width 0.127)) - (fp_line (start -2.2 4.1) (end 2.2 4.1) (layer F.SilkS) (width 0.127)) - (fp_line (start -2.2 -3.9) (end -2.2 3.9) (layer F.Fab) (width 0.127)) - (fp_line (start 2.2 -3.9) (end 2.2 3.9) (layer F.Fab) (width 0.127)) - (fp_line (start -3.905 -4.15) (end 3.905 -4.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.905 4.15) (end 3.905 4.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.905 -4.15) (end -3.905 4.15) (layer F.CrtYd) (width 0.05)) (fp_line (start 3.905 -4.15) (end 3.905 4.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.905 -4.15) (end -3.905 4.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.905 4.15) (end 3.905 4.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.905 -4.15) (end 3.905 -4.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.2 -3.9) (end 2.2 3.9) (layer F.Fab) (width 0.127)) + (fp_line (start -2.2 -3.9) (end -2.2 3.9) (layer F.Fab) (width 0.127)) + (fp_line (start -2.2 4.1) (end 2.2 4.1) (layer F.SilkS) (width 0.127)) + (fp_line (start -2.2 -4.1) (end 2.2 -4.1) (layer F.SilkS) (width 0.127)) + (fp_line (start -2.2 3.9) (end 2.2 3.9) (layer F.Fab) (width 0.127)) + (fp_line (start -2.2 -3.9) (end 2.2 -3.9) (layer F.Fab) (width 0.127)) + (fp_circle (center -4.44 -3.985) (end -4.34 -3.985) (layer F.Fab) (width 0.2)) + (fp_circle (center -4.44 -3.985) (end -4.34 -3.985) (layer F.SilkS) (width 0.2)) (pad 1 smd rect (at -2.87 -3.575) (size 1.57 0.41) (layers F.Cu F.Paste F.Mask) (net 117 HDMI_CEC_A)) (pad 2 smd rect (at -2.87 -2.925) (size 1.57 0.41) (layers F.Cu F.Paste F.Mask) @@ -3281,18 +3398,18 @@ (fp_text value "GREEN Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3319,18 +3436,18 @@ (fp_text value "GREEN Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3357,18 +3474,18 @@ (fp_text value "GREEN Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3395,18 +3512,18 @@ (fp_text value "GREEN Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3433,16 +3550,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3469,16 +3586,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3505,16 +3622,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3541,16 +3658,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3565,7 +3682,7 @@ ) ) - (module Connector_USB:USB_Micro-B_Molex-105017-0001 (layer F.Cu) (tedit 61BF441E) (tstamp 61BFD883) + (module Connector_USB:USB_Micro-B_Molex-105017-0001 (layer F.Cu) (tedit 6370C4E8) (tstamp 61BFD883) (at 270.66 79.07 90) (descr http://www.molex.com/pdm_docs/sd/1050170001_sd.pdf) (tags "Micro-USB SMD Typ-B") @@ -3577,28 +3694,28 @@ (fp_text value "105017-0001 (USB micro-B)" (at 0.3 4.3375 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -4.4 3.64) (end 4.4 3.64) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.4 -2.46) (end 4.4 3.64) (layer F.CrtYd) (width 0.05)) - (fp_line (start -4.4 -2.46) (end 4.4 -2.46) (layer F.CrtYd) (width 0.05)) - (fp_line (start -4.4 3.64) (end -4.4 -2.46) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.9 -1.7625) (end -3.45 -1.7625) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.9 0.0875) (end -3.9 -1.7625) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.9 2.6375) (end 3.9 2.3875) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.75 3.3875) (end 3.75 -1.6125) (layer F.Fab) (width 0.1)) - (fp_line (start -3 2.689204) (end 3 2.689204) (layer F.Fab) (width 0.1)) - (fp_line (start -3.75 3.389204) (end 3.75 3.389204) (layer F.Fab) (width 0.1)) - (fp_line (start -3.75 -1.6125) (end 3.75 -1.6125) (layer F.Fab) (width 0.1)) - (fp_line (start -3.75 3.3875) (end -3.75 -1.6125) (layer F.Fab) (width 0.1)) - (fp_line (start -3.9 2.6375) (end -3.9 2.3875) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.9 0.0875) (end 3.9 -1.7625) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.9 -1.7625) (end 3.45 -1.7625) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.7 -2.3125) (end -1.25 -2.3125) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.7 -2.3125) (end -1.7 -1.8625) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.3 -1.7125) (end -1.5 -1.9125) (layer F.Fab) (width 0.1)) - (fp_line (start -1.1 -1.9125) (end -1.3 -1.7125) (layer F.Fab) (width 0.1)) - (fp_line (start -1.5 -2.1225) (end -1.1 -2.1225) (layer F.Fab) (width 0.1)) - (fp_line (start -1.5 -2.1225) (end -1.5 -1.9125) (layer F.Fab) (width 0.1)) (fp_line (start -1.1 -2.1225) (end -1.1 -1.9125) (layer F.Fab) (width 0.1)) + (fp_line (start -1.5 -2.1225) (end -1.5 -1.9125) (layer F.Fab) (width 0.1)) + (fp_line (start -1.5 -2.1225) (end -1.1 -2.1225) (layer F.Fab) (width 0.1)) + (fp_line (start -1.1 -1.9125) (end -1.3 -1.7125) (layer F.Fab) (width 0.1)) + (fp_line (start -1.3 -1.7125) (end -1.5 -1.9125) (layer F.Fab) (width 0.1)) + (fp_line (start -1.7 -2.3125) (end -1.7 -1.8625) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.7 -2.3125) (end -1.25 -2.3125) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.9 -1.7625) (end 3.45 -1.7625) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.9 0.0875) (end 3.9 -1.7625) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.9 2.6375) (end -3.9 2.3875) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.75 3.3875) (end -3.75 -1.6125) (layer F.Fab) (width 0.1)) + (fp_line (start -3.75 -1.6125) (end 3.75 -1.6125) (layer F.Fab) (width 0.1)) + (fp_line (start -3.75 3.389204) (end 3.75 3.389204) (layer F.Fab) (width 0.1)) + (fp_line (start -3 2.689204) (end 3 2.689204) (layer F.Fab) (width 0.1)) + (fp_line (start 3.75 3.3875) (end 3.75 -1.6125) (layer F.Fab) (width 0.1)) + (fp_line (start 3.9 2.6375) (end 3.9 2.3875) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.9 0.0875) (end -3.9 -1.7625) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.9 -1.7625) (end -3.45 -1.7625) (layer F.SilkS) (width 0.12)) + (fp_line (start -4.4 3.64) (end -4.4 -2.46) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 -2.46) (end 4.4 -2.46) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.4 -2.46) (end 4.4 3.64) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 3.64) (end 4.4 3.64) (layer F.CrtYd) (width 0.05)) (fp_text user "PCB Edge" (at 0 2.6875 90) (layer Dwgs.User) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3650,16 +3767,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3686,16 +3803,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3722,16 +3839,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3758,16 +3875,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3794,16 +3911,16 @@ (fp_text value "47uF 10V 0805" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3829,38 +3946,38 @@ (fp_text value "640456-3 (Fan)" (at 2.54 4.08) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.27 -2.92) (end -1.27 2.88) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 2.88) (end 6.35 2.88) (layer F.Fab) (width 0.1)) - (fp_line (start 6.35 2.88) (end 6.35 -2.92) (layer F.Fab) (width 0.1)) - (fp_line (start 6.35 -2.92) (end -1.27 -2.92) (layer F.Fab) (width 0.1)) - (fp_line (start -1.38 -3.03) (end -1.38 2.99) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.38 2.99) (end 6.46 2.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 6.46 2.99) (end 6.46 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 6.46 -3.03) (end -1.38 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.67 -2) (end -1.67 2) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 -0.5) (end -0.562893 0) (layer F.Fab) (width 0.1)) - (fp_line (start -0.562893 0) (end -1.27 0.5) (layer F.Fab) (width 0.1)) - (fp_line (start 0 2.99) (end 0 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 0 1.99) (end 5.08 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.08 1.99) (end 5.08 2.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 0 1.99) (end 0.25 1.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.25 1.46) (end 4.83 1.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.83 1.46) (end 5.08 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.25 2.99) (end 0.25 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.83 2.99) (end 4.83 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.8 -3.03) (end -0.8 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.8 -2.43) (end 0.8 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 -2.43) (end 0.8 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.74 -3.03) (end 1.74 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.74 -2.43) (end 3.34 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.34 -2.43) (end 3.34 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.28 -3.03) (end 4.28 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.28 -2.43) (end 5.88 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.88 -2.43) (end 5.88 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.77 -3.42) (end -1.77 3.38) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.77 3.38) (end 6.85 3.38) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.85 3.38) (end 6.85 -3.42) (layer F.CrtYd) (width 0.05)) (fp_line (start 6.85 -3.42) (end -1.77 -3.42) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.85 3.38) (end 6.85 -3.42) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.77 3.38) (end 6.85 3.38) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.77 -3.42) (end -1.77 3.38) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.88 -2.43) (end 5.88 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.28 -2.43) (end 5.88 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.28 -3.03) (end 4.28 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.34 -2.43) (end 3.34 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.74 -2.43) (end 3.34 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.74 -3.03) (end 1.74 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 -2.43) (end 0.8 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.8 -2.43) (end 0.8 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.8 -3.03) (end -0.8 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.83 2.99) (end 4.83 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.25 2.99) (end 0.25 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.83 1.46) (end 5.08 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.25 1.46) (end 4.83 1.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.99) (end 0.25 1.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.08 1.99) (end 5.08 2.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.99) (end 5.08 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 2.99) (end 0 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.562893 0) (end -1.27 0.5) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.5) (end -0.562893 0) (layer F.Fab) (width 0.1)) + (fp_line (start -1.67 -2) (end -1.67 2) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.46 -3.03) (end -1.38 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.46 2.99) (end 6.46 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.38 2.99) (end 6.46 2.99) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.38 -3.03) (end -1.38 2.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.35 -2.92) (end -1.27 -2.92) (layer F.Fab) (width 0.1)) + (fp_line (start 6.35 2.88) (end 6.35 -2.92) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 2.88) (end 6.35 2.88) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -2.92) (end -1.27 2.88) (layer F.Fab) (width 0.1)) (fp_text user %R (at 2.54 -2.22) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -3889,16 +4006,16 @@ (fp_text value 10k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3925,16 +4042,16 @@ (fp_text value 10k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3961,16 +4078,16 @@ (fp_text value 10k (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3997,16 +4114,16 @@ (fp_text value 10k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4033,16 +4150,16 @@ (fp_text value 10nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4068,23 +4185,23 @@ (fp_text value "77313-101-64LF (2x32 M 2.54mm)" (at 1.27 81.07 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 -1.27) (end 3.81 80.01) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 80.01) (end -1.27 80.01) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 80.01) (end -1.27 0) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start -1.33 80.07) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end -1.33 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.87 -1.33) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.8 -1.8) (end -1.8 80.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 80.55) (end 4.35 80.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.35 80.55) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.35 80.55) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 80.55) (end 4.35 80.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end -1.8 80.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.87 -1.33) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 80.07) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 80.01) (end -1.27 0) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 80.01) (end -1.27 80.01) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 -1.27) (end 3.81 80.01) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) (fp_text user %R (at 1.27 39.37 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -4235,16 +4352,16 @@ (fp_text value 100nF (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4271,16 +4388,16 @@ (fp_text value 100nF (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4307,16 +4424,16 @@ (fp_text value 100nF (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4343,16 +4460,16 @@ (fp_text value "100uF 1206 (officially 150 uF ? could be >= 100 uF or even less, probably)" (at 5 1.82 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05)) (fp_line (start 2.28 1.12) (end -2.28 1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.12))) ) @@ -4379,16 +4496,16 @@ (fp_text value Ferrite_Bead_Small (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -4415,17 +4532,17 @@ (fp_text value TPS2051CDBV (at 0 2.9 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.075))) ) @@ -4458,17 +4575,17 @@ (fp_text value SN65220DBV (at 0 2.9 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.9 -1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.9 1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.9 -1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -1.9 -1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.9 1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.075))) ) @@ -4503,16 +4620,16 @@ (fp_text value 27 (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4539,16 +4656,16 @@ (fp_text value 27 (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4575,16 +4692,16 @@ (fp_text value 15k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4611,16 +4728,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4647,16 +4764,16 @@ (fp_text value 15k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4683,16 +4800,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4719,18 +4836,18 @@ (fp_text value "RED Led" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -4757,18 +4874,18 @@ (fp_text value "RED Led" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -4795,16 +4912,16 @@ (fp_text value 590 (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4831,16 +4948,16 @@ (fp_text value 590 (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4867,16 +4984,16 @@ (fp_text value 100nF (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4903,16 +5020,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4939,16 +5056,16 @@ (fp_text value 100nF (at -3.27 -1.99 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4975,16 +5092,16 @@ (fp_text value "47uF 10V 0805" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -5011,27 +5128,27 @@ (fp_text value "10029449-111RLF (HDMI A)" (at 0 8.45 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 8.2 1.7) (end 8.2 -1.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -8.2 -3.4) (end -8.2 -2.8) (layer F.SilkS) (width 0.12)) - (fp_line (start -3 5.45) (end 3 5.45) (layer Dwgs.User) (width 0.1)) - (fp_line (start 5 -1.3) (end 4.75 -1.8) (layer F.Fab) (width 0.1)) - (fp_line (start 4.5 -1.3) (end 5 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start 4.75 -1.8) (end 4.5 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start -9 6.92) (end -9 -4.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 9 6.92) (end -9 6.92) (layer F.CrtYd) (width 0.05)) - (fp_line (start 9 -4.4) (end 9 6.92) (layer F.CrtYd) (width 0.05)) - (fp_line (start -9 -4.4) (end 9 -4.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.1 -3.3) (end 8.1 -3.3) (layer F.Fab) (width 0.1)) - (fp_line (start -8.1 6.42) (end -8.1 -3.3) (layer F.Fab) (width 0.1)) - (fp_line (start 8.1 6.42) (end -8.1 6.42) (layer F.Fab) (width 0.1)) - (fp_line (start 8.1 -3.3) (end 8.1 6.42) (layer F.Fab) (width 0.1)) - (fp_line (start 5.5 -3.4) (end 5.5 -4.05) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.2 -3.4) (end 5.5 -3.4) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.2 -3.4) (end 8.2 -2.8) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.2 5.2) (end 8.2 4.2) (layer F.SilkS) (width 0.12)) - (fp_line (start -8.2 4.2) (end -8.2 5.2) (layer F.SilkS) (width 0.12)) - (fp_line (start -8.2 -3.4) (end -5 -3.4) (layer F.SilkS) (width 0.12)) (fp_line (start -8.2 1.7) (end -8.2 -1.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.2 -3.4) (end -5 -3.4) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.2 4.2) (end -8.2 5.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.2 5.2) (end 8.2 4.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.2 -3.4) (end 8.2 -2.8) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.2 -3.4) (end 5.5 -3.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.5 -3.4) (end 5.5 -4.05) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.1 -3.3) (end 8.1 6.42) (layer F.Fab) (width 0.1)) + (fp_line (start 8.1 6.42) (end -8.1 6.42) (layer F.Fab) (width 0.1)) + (fp_line (start -8.1 6.42) (end -8.1 -3.3) (layer F.Fab) (width 0.1)) + (fp_line (start -8.1 -3.3) (end 8.1 -3.3) (layer F.Fab) (width 0.1)) + (fp_line (start -9 -4.4) (end 9 -4.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 9 -4.4) (end 9 6.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start 9 6.92) (end -9 6.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start -9 6.92) (end -9 -4.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.75 -1.8) (end 4.5 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start 4.5 -1.3) (end 5 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start 5 -1.3) (end 4.75 -1.8) (layer F.Fab) (width 0.1)) + (fp_line (start -3 5.45) (end 3 5.45) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.2 -3.4) (end -8.2 -2.8) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.2 1.7) (end 8.2 -1.3) (layer F.SilkS) (width 0.12)) (fp_text user "PCB Edge" (at 0 4.7 90) (layer Dwgs.User) (effects (font (size 0.5 0.5) (thickness 0.1))) ) @@ -5091,208 +5208,6 @@ ) ) - (module Capacitor_THT:CP_Radial_D6.3mm_P2.50mm (layer F.Cu) (tedit 61BF3186) (tstamp 61904511) - (at 266.85 44.28 180) - (descr "CP, Radial series, Radial, pin pitch=2.50mm, , diameter=6.3mm, Electrolytic Capacitor") - (tags "CP Radial series Radial pin pitch 2.50mm diameter 6.3mm Electrolytic Capacitor") - (path /61B62C00/63467FEB) - (fp_text reference C39 (at 5.58 0.01 -90) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value "1uF 250V Radial 6.3x2.5" (at 1.25 4.4 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_circle (center 1.25 0) (end 4.4 0) (layer F.Fab) (width 0.1)) - (fp_circle (center 1.25 0) (end 4.52 0) (layer F.SilkS) (width 0.12)) - (fp_circle (center 1.25 0) (end 4.65 0) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.443972 -1.3735) (end -0.813972 -1.3735) (layer F.Fab) (width 0.1)) - (fp_line (start -1.128972 -1.6885) (end -1.128972 -1.0585) (layer F.Fab) (width 0.1)) - (fp_line (start 1.25 -3.23) (end 1.25 3.23) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.29 -3.23) (end 1.29 3.23) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.33 -3.23) (end 1.33 3.23) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.37 -3.228) (end 1.37 3.228) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.41 -3.227) (end 1.41 3.227) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.45 -3.224) (end 1.45 3.224) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.49 -3.222) (end 1.49 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.49 1.04) (end 1.49 3.222) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.53 -3.218) (end 1.53 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.53 1.04) (end 1.53 3.218) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.57 -3.215) (end 1.57 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.57 1.04) (end 1.57 3.215) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.61 -3.211) (end 1.61 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.61 1.04) (end 1.61 3.211) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.65 -3.206) (end 1.65 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.65 1.04) (end 1.65 3.206) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.69 -3.201) (end 1.69 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.69 1.04) (end 1.69 3.201) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.73 -3.195) (end 1.73 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.73 1.04) (end 1.73 3.195) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.77 -3.189) (end 1.77 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.77 1.04) (end 1.77 3.189) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.81 -3.182) (end 1.81 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.81 1.04) (end 1.81 3.182) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.85 -3.175) (end 1.85 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.85 1.04) (end 1.85 3.175) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.89 -3.167) (end 1.89 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.89 1.04) (end 1.89 3.167) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.93 -3.159) (end 1.93 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.93 1.04) (end 1.93 3.159) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.971 -3.15) (end 1.971 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.971 1.04) (end 1.971 3.15) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.011 -3.141) (end 2.011 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.011 1.04) (end 2.011 3.141) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.051 -3.131) (end 2.051 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.051 1.04) (end 2.051 3.131) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.091 -3.121) (end 2.091 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.091 1.04) (end 2.091 3.121) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.131 -3.11) (end 2.131 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.131 1.04) (end 2.131 3.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.171 -3.098) (end 2.171 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.171 1.04) (end 2.171 3.098) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.211 -3.086) (end 2.211 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.211 1.04) (end 2.211 3.086) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.251 -3.074) (end 2.251 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.251 1.04) (end 2.251 3.074) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.291 -3.061) (end 2.291 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.291 1.04) (end 2.291 3.061) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.331 -3.047) (end 2.331 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.331 1.04) (end 2.331 3.047) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.371 -3.033) (end 2.371 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.371 1.04) (end 2.371 3.033) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.411 -3.018) (end 2.411 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.411 1.04) (end 2.411 3.018) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.451 -3.002) (end 2.451 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.451 1.04) (end 2.451 3.002) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.491 -2.986) (end 2.491 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.491 1.04) (end 2.491 2.986) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.531 -2.97) (end 2.531 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.531 1.04) (end 2.531 2.97) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.571 -2.952) (end 2.571 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.571 1.04) (end 2.571 2.952) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.611 -2.934) (end 2.611 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.611 1.04) (end 2.611 2.934) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.651 -2.916) (end 2.651 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.651 1.04) (end 2.651 2.916) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.691 -2.896) (end 2.691 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.691 1.04) (end 2.691 2.896) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.731 -2.876) (end 2.731 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.731 1.04) (end 2.731 2.876) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.771 -2.856) (end 2.771 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.771 1.04) (end 2.771 2.856) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.811 -2.834) (end 2.811 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.811 1.04) (end 2.811 2.834) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.851 -2.812) (end 2.851 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.851 1.04) (end 2.851 2.812) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.891 -2.79) (end 2.891 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.891 1.04) (end 2.891 2.79) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.931 -2.766) (end 2.931 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.931 1.04) (end 2.931 2.766) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.971 -2.742) (end 2.971 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.971 1.04) (end 2.971 2.742) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.011 -2.716) (end 3.011 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.011 1.04) (end 3.011 2.716) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.051 -2.69) (end 3.051 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.051 1.04) (end 3.051 2.69) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.091 -2.664) (end 3.091 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.091 1.04) (end 3.091 2.664) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.131 -2.636) (end 3.131 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.131 1.04) (end 3.131 2.636) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.171 -2.607) (end 3.171 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.171 1.04) (end 3.171 2.607) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.211 -2.578) (end 3.211 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.211 1.04) (end 3.211 2.578) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.251 -2.548) (end 3.251 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.251 1.04) (end 3.251 2.548) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.291 -2.516) (end 3.291 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.291 1.04) (end 3.291 2.516) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.331 -2.484) (end 3.331 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.331 1.04) (end 3.331 2.484) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.371 -2.45) (end 3.371 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.371 1.04) (end 3.371 2.45) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.411 -2.416) (end 3.411 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.411 1.04) (end 3.411 2.416) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.451 -2.38) (end 3.451 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.451 1.04) (end 3.451 2.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.491 -2.343) (end 3.491 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.491 1.04) (end 3.491 2.343) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.531 -2.305) (end 3.531 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.531 1.04) (end 3.531 2.305) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.571 -2.265) (end 3.571 2.265) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.611 -2.224) (end 3.611 2.224) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.651 -2.182) (end 3.651 2.182) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.691 -2.137) (end 3.691 2.137) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.731 -2.092) (end 3.731 2.092) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.771 -2.044) (end 3.771 2.044) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.811 -1.995) (end 3.811 1.995) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.851 -1.944) (end 3.851 1.944) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.891 -1.89) (end 3.891 1.89) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.931 -1.834) (end 3.931 1.834) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.971 -1.776) (end 3.971 1.776) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.011 -1.714) (end 4.011 1.714) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.051 -1.65) (end 4.051 1.65) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.091 -1.581) (end 4.091 1.581) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.131 -1.509) (end 4.131 1.509) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.171 -1.432) (end 4.171 1.432) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.211 -1.35) (end 4.211 1.35) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.251 -1.262) (end 4.251 1.262) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.291 -1.165) (end 4.291 1.165) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.331 -1.059) (end 4.331 1.059) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.371 -0.94) (end 4.371 0.94) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.411 -0.802) (end 4.411 0.802) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.451 -0.633) (end 4.451 0.633) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.491 -0.402) (end 4.491 0.402) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.250241 -1.839) (end -1.620241 -1.839) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.935241 -2.154) (end -1.935241 -1.524) (layer F.SilkS) (width 0.12)) - (fp_text user %R (at 1.25 0 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad 1 thru_hole rect (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) - (net 116 SHIELD)) - (pad 2 thru_hole circle (at 2.5 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D6.3mm_P2.50mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_1210_3225Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 61903D4B) - (at 265.45 50.32 180) - (descr "Resistor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /61B62C00/63467FF7) - (attr smd) - (fp_text reference R33 (at -0.07 2.26 180) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value "1M 1210" (at 0 2.28 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.6 1.25) (end -1.6 -1.25) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 -1.25) (end 1.6 -1.25) (layer F.Fab) (width 0.1)) - (fp_line (start 1.6 -1.25) (end 1.6 1.25) (layer F.Fab) (width 0.1)) - (fp_line (start 1.6 1.25) (end -1.6 1.25) (layer F.Fab) (width 0.1)) - (fp_line (start -0.602064 -1.36) (end 0.602064 -1.36) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.602064 1.36) (end 0.602064 1.36) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.28 1.58) (end -2.28 -1.58) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.28 -1.58) (end 2.28 -1.58) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.28 -1.58) (end 2.28 1.58) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.28 1.58) (end -2.28 1.58) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8 0.8) (thickness 0.12))) - ) - (pad 1 smd roundrect (at -1.4 0 180) (size 1.25 2.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 116 SHIELD)) - (pad 2 smd roundrect (at 1.4 0 180) (size 1.25 2.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 1 GND)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1210_3225Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (module For_SeeedStudio:DIN41612_C_3x32_Male_Horizontal_THT locked (layer F.Cu) (tedit 5EAFCB7F) (tstamp 619923C0) (at 200 100 180) (descr "DIN41612 connector, type C, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf") @@ -5301,78 +5216,78 @@ (fp_text reference J4 (at -5.08 1 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value "0850030567 (DIN 41612C 3x32 pins)" (at 39.37 7.62 180) (layer F.Fab) + (fp_text value "09031966921 (DIN 41612C 3x32 pins)" (at 39.37 7.62 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -4.38 -12.74) (end -4.38 -6.74) (layer F.Fab) (width 0.1)) - (fp_line (start -4.38 -6.74) (end -7.63 -6.74) (layer F.Fab) (width 0.1)) - (fp_line (start -7.63 -6.74) (end -7.63 0) (layer F.Fab) (width 0.1)) - (fp_line (start -7.63 0) (end -2.63 0) (layer F.Fab) (width 0.1)) - (fp_line (start -2.63 0) (end -2.63 -1) (layer F.Fab) (width 0.1)) - (fp_line (start -2.63 -1) (end 81.37 -1) (layer F.Fab) (width 0.1)) - (fp_line (start 81.37 -1) (end 81.37 0) (layer F.Fab) (width 0.1)) - (fp_line (start 81.37 0) (end 86.37 0) (layer F.Fab) (width 0.1)) - (fp_line (start 86.37 0) (end 86.37 -6.74) (layer F.Fab) (width 0.1)) - (fp_line (start 86.37 -6.74) (end 83.12 -6.74) (layer F.Fab) (width 0.1)) - (fp_line (start 83.12 -6.74) (end 83.12 -12.74) (layer F.Fab) (width 0.1)) - (fp_line (start 83.12 -12.74) (end -4.38 -12.74) (layer F.Fab) (width 0.1)) - (fp_line (start -7.89 -5.3) (end -7.89 0.26) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.89 0.26) (end -2.37 0.26) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.37 0.26) (end -2.37 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 86.63 -5.3) (end 86.63 0.26) (layer F.SilkS) (width 0.12)) - (fp_line (start 86.63 0.26) (end 81.11 0.26) (layer F.SilkS) (width 0.12)) - (fp_line (start 81.11 0.26) (end 81.11 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.371 -0.74) (end -1.095 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.095 -0.74) (end 1.671 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.41 -0.74) (end 4.211 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.95 -0.74) (end 6.751 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.49 -0.74) (end 9.291 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 11.03 -0.74) (end 11.831 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 13.57 -0.74) (end 14.371 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 16.11 -0.74) (end 16.911 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 18.65 -0.74) (end 19.451 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 21.19 -0.74) (end 21.991 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 23.73 -0.74) (end 24.531 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 26.27 -0.74) (end 27.071 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 28.81 -0.74) (end 29.611 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 31.35 -0.74) (end 32.151 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 33.89 -0.74) (end 34.691 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 36.43 -0.74) (end 37.231 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 38.97 -0.74) (end 39.771 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 41.51 -0.74) (end 42.311 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 44.05 -0.74) (end 44.851 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 46.59 -0.74) (end 47.391 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 49.13 -0.74) (end 49.931 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 51.67 -0.74) (end 52.471 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 54.21 -0.74) (end 55.011 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 56.75 -0.74) (end 57.551 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 59.29 -0.74) (end 60.091 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 61.83 -0.74) (end 62.631 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 64.37 -0.74) (end 65.171 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 66.91 -0.74) (end 67.711 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 69.45 -0.74) (end 70.251 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 71.99 -0.74) (end 72.791 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 74.53 -0.74) (end 75.331 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 77.07 -0.74) (end 77.871 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 79.61 -0.74) (end 81.11 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.095 0) (end -1.695 -0.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.695 -0.3) (end -1.695 0.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.695 0.3) (end -1.095 0) (layer F.SilkS) (width 0.12)) - (fp_line (start 0 -1.2) (end -0.5 -1.9) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -1.9) (end 0.5 -1.9) (layer F.Fab) (width 0.1)) - (fp_line (start 0.5 -1.9) (end 0 -1.2) (layer F.Fab) (width 0.1)) - (fp_line (start -8.13 -13.23) (end -8.13 0.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.13 0.5) (end -1.27 0.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.27 0.5) (end -1.27 6.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.27 6.36) (end 80.02 6.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start 80.02 6.36) (end 80.02 0.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 80.02 0.5) (end 86.87 0.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 86.87 0.5) (end 86.87 -13.23) (layer F.CrtYd) (width 0.05)) - (fp_line (start 86.87 -13.23) (end -8.13 -13.23) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.63 -5.3) (end 86.37 -5.3) (layer Dwgs.User) (width 0.08)) - (fp_line (start 39.17 -5.9) (end 39.37 -5.4) (layer Cmts.User) (width 0.1)) - (fp_line (start 39.37 -5.4) (end 39.57 -5.9) (layer Cmts.User) (width 0.1)) (fp_line (start 39.37 -5.4) (end 39.37 -6.7) (layer Cmts.User) (width 0.1)) + (fp_line (start 39.37 -5.4) (end 39.57 -5.9) (layer Cmts.User) (width 0.1)) + (fp_line (start 39.17 -5.9) (end 39.37 -5.4) (layer Cmts.User) (width 0.1)) + (fp_line (start -7.63 -5.3) (end 86.37 -5.3) (layer Dwgs.User) (width 0.08)) + (fp_line (start 86.87 -13.23) (end -8.13 -13.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 86.87 0.5) (end 86.87 -13.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 80.02 0.5) (end 86.87 0.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 80.02 6.36) (end 80.02 0.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.27 6.36) (end 80.02 6.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.27 0.5) (end -1.27 6.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.13 0.5) (end -1.27 0.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.13 -13.23) (end -8.13 0.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.5 -1.9) (end 0 -1.2) (layer F.Fab) (width 0.1)) + (fp_line (start -0.5 -1.9) (end 0.5 -1.9) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -1.2) (end -0.5 -1.9) (layer F.Fab) (width 0.1)) + (fp_line (start -1.695 0.3) (end -1.095 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.695 -0.3) (end -1.695 0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.095 0) (end -1.695 -0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start 79.61 -0.74) (end 81.11 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 77.07 -0.74) (end 77.871 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 74.53 -0.74) (end 75.331 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 71.99 -0.74) (end 72.791 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 69.45 -0.74) (end 70.251 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 66.91 -0.74) (end 67.711 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 64.37 -0.74) (end 65.171 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 61.83 -0.74) (end 62.631 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 59.29 -0.74) (end 60.091 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 56.75 -0.74) (end 57.551 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 54.21 -0.74) (end 55.011 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 51.67 -0.74) (end 52.471 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 49.13 -0.74) (end 49.931 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 46.59 -0.74) (end 47.391 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 44.05 -0.74) (end 44.851 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 41.51 -0.74) (end 42.311 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 38.97 -0.74) (end 39.771 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 36.43 -0.74) (end 37.231 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 33.89 -0.74) (end 34.691 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 31.35 -0.74) (end 32.151 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 28.81 -0.74) (end 29.611 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 26.27 -0.74) (end 27.071 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 23.73 -0.74) (end 24.531 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 21.19 -0.74) (end 21.991 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 18.65 -0.74) (end 19.451 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.11 -0.74) (end 16.911 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.57 -0.74) (end 14.371 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.03 -0.74) (end 11.831 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.49 -0.74) (end 9.291 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.95 -0.74) (end 6.751 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.41 -0.74) (end 4.211 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.095 -0.74) (end 1.671 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.371 -0.74) (end -1.095 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 81.11 0.26) (end 81.11 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 86.63 0.26) (end 81.11 0.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 86.63 -5.3) (end 86.63 0.26) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.37 0.26) (end -2.37 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.89 0.26) (end -2.37 0.26) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.89 -5.3) (end -7.89 0.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 83.12 -12.74) (end -4.38 -12.74) (layer F.Fab) (width 0.1)) + (fp_line (start 83.12 -6.74) (end 83.12 -12.74) (layer F.Fab) (width 0.1)) + (fp_line (start 86.37 -6.74) (end 83.12 -6.74) (layer F.Fab) (width 0.1)) + (fp_line (start 86.37 0) (end 86.37 -6.74) (layer F.Fab) (width 0.1)) + (fp_line (start 81.37 0) (end 86.37 0) (layer F.Fab) (width 0.1)) + (fp_line (start 81.37 -1) (end 81.37 0) (layer F.Fab) (width 0.1)) + (fp_line (start -2.63 -1) (end 81.37 -1) (layer F.Fab) (width 0.1)) + (fp_line (start -2.63 0) (end -2.63 -1) (layer F.Fab) (width 0.1)) + (fp_line (start -7.63 0) (end -2.63 0) (layer F.Fab) (width 0.1)) + (fp_line (start -7.63 -6.74) (end -7.63 0) (layer F.Fab) (width 0.1)) + (fp_line (start -4.38 -6.74) (end -7.63 -6.74) (layer F.Fab) (width 0.1)) + (fp_line (start -4.38 -12.74) (end -4.38 -6.74) (layer F.Fab) (width 0.1)) (fp_text user %R (at 39.37 -2.54 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -5592,16 +5507,16 @@ (fp_text value 100nF (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -5628,16 +5543,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -5652,131 +5567,6 @@ ) ) - (module For_SeeedStudio:PinHeader_1x06_P2.54mm_Horizontal_For_SeeedStudio (layer F.Cu) (tedit 59FED5CB) (tstamp 618E3CB2) - (at 121.9 33.5 180) - (descr "Through hole angled pin header, 1x06, 2.54mm pitch, 6mm pin length, single row") - (tags "Through hole angled pin header THT 1x06 2.54mm single row") - (path /618E8C75/5F69129B) - (fp_text reference J3 (at 4.385 -2.27 180) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value Conn_01x06_Male (at 4.385 14.97 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 10.55 14.5) (end 10.55 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 14.5) (end 10.55 14.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 -1.8) (end -1.8 14.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.27 -1.27) (end 0 -1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 0) (end -1.27 -1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 13.08) (end 1.44 13.08) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 12.32) (end 1.44 12.32) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 13.08) (end 4.1 13.08) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 12.32) (end 10.1 13.08) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 12.32) (end 10.1 12.32) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 11.43) (end 4.1 11.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 10.54) (end 1.44 10.54) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 9.78) (end 1.44 9.78) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 10.54) (end 4.1 10.54) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 9.78) (end 10.1 10.54) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 9.78) (end 10.1 9.78) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 8.89) (end 4.1 8.89) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 8) (end 1.44 8) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 7.24) (end 1.44 7.24) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 8) (end 4.1 8) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 7.24) (end 10.1 8) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 7.24) (end 10.1 7.24) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 6.35) (end 4.1 6.35) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 5.46) (end 1.44 5.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 4.7) (end 1.44 4.7) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 5.46) (end 4.1 5.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 4.7) (end 10.1 5.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 4.7) (end 10.1 4.7) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 3.81) (end 4.1 3.81) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 2.92) (end 1.44 2.92) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 2.16) (end 1.44 2.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 2.92) (end 4.1 2.92) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 2.16) (end 10.1 2.92) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 2.16) (end 10.1 2.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 1.27) (end 4.1 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.11 0.38) (end 1.44 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 0.28) (end 10.1 0.28) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 0.16) (end 10.1 0.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 0.04) (end 10.1 0.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.08) (end 10.1 -0.08) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.2) (end 10.1 -0.2) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.32) (end 10.1 -0.32) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 0.38) (end 4.1 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 -0.38) (end 10.1 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.38) (end 10.1 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -1.33) (end 1.44 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 14.03) (end 4.1 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 14.03) (end 4.1 14.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 -1.33) (end 1.44 14.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.04 13.02) (end 10.04 13.02) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 12.38) (end 10.04 13.02) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 12.38) (end 10.04 12.38) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 13.02) (end 1.5 13.02) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 12.38) (end -0.32 13.02) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 12.38) (end 1.5 12.38) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 10.48) (end 10.04 10.48) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 9.84) (end 10.04 10.48) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 9.84) (end 10.04 9.84) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 10.48) (end 1.5 10.48) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 9.84) (end -0.32 10.48) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 9.84) (end 1.5 9.84) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 7.94) (end 10.04 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 7.3) (end 10.04 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 7.3) (end 10.04 7.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 7.94) (end 1.5 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 7.3) (end -0.32 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 7.3) (end 1.5 7.3) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 5.4) (end 10.04 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 4.76) (end 10.04 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 4.76) (end 10.04 4.76) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 5.4) (end 1.5 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 4.76) (end -0.32 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 4.76) (end 1.5 4.76) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 2.86) (end 10.04 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 2.22) (end 10.04 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 2.22) (end 10.04 2.22) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 2.86) (end 1.5 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 2.22) (end -0.32 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 2.22) (end 1.5 2.22) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 0.32) (end 10.04 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 -0.32) (end 10.04 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 0.32) (end 1.5 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 -0.32) (end -0.32 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer F.Fab) (width 0.1)) - (fp_line (start 1.5 -0.635) (end 2.135 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start 1.5 13.97) (end 1.5 -0.635) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 13.97) (end 1.5 13.97) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 -1.27) (end 4.04 13.97) (layer F.Fab) (width 0.1)) - (fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 2.77 6.35 -90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 176 "Net-(J3-Pad6)")) - (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 129 LED0)) - (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 132 LED1)) - (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 177 "Net-(J3-Pad3)")) - (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 178 "Net-(J3-Pad2)")) - (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 1 GND)) - (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x06_P2.54mm_Horizontal.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (module For_SeeedStudio:PinHeader_2x32_P2.54mm_Vertical_For_SeeedStudio (layer F.Cu) (tedit 59FED5CC) (tstamp 618E3B6D) (at 130 61.75 90) (descr "Through hole straight pin header, 2x32, 2.54mm pitch, double rows") @@ -5788,23 +5578,23 @@ (fp_text value "77313-101-64LF (2x32 M 2.54mm)" (at 1.27 81.07 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.35 80.55) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 80.55) (end 4.35 80.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 -1.8) (end -1.8 80.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.87 -1.33) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end -1.33 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 80.07) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 80.01) (end -1.27 0) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 80.01) (end -1.27 80.01) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 -1.27) (end 3.81 80.01) (layer F.Fab) (width 0.1)) (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 -1.27) (end 3.81 80.01) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 80.01) (end -1.27 80.01) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 80.01) (end -1.27 0) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 80.07) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.87 -1.33) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 80.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 80.55) (end 4.35 80.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.35 80.55) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 1.27 39.37 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -5957,42 +5747,42 @@ (gr_text 1 (at 137.05 78.4) (layer F.SilkS) (tstamp 633A7D74) (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text Shield (at 252.28 47.03) (layer F.SilkS) + (gr_text Shield (at 264.25 91.07) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.2))) ) (gr_line (start 252 38.16) (end 252 17.84) (layer F.SilkS) (width 0.1)) - (gr_text Ext (at 253.2 20.265 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text Ext (at 254.75 20.28) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text Pmod (at 253.2 28.645 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text "Pmod (Ext)" (at 253.83 27.98 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_line (start 257 17.84) (end 252 17.84) (layer F.SilkS) (width 0.15) (tstamp 6337934F)) (gr_line (start 257 38.16) (end 252 38.16) (layer F.SilkS) (width 0.15) (tstamp 6337934F)) (gr_line (start 257 22.92) (end 252 22.92) (layer F.SilkS) (width 0.15)) - (gr_text "LED 0..1\nUser/Serial" (at 127.61 34.96 90) (layer F.SilkS) - (effects (font (size 1.2 1.2) (thickness 0.24) italic) (justify right)) + (gr_text "LED 0..1\nUser/Serial" (at 127.5 34.47 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2) italic) (justify right)) ) (gr_text +3V3 (at 253.35 38.47) (layer F.SilkS) (tstamp 63339CB5) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text GND (at 253.93 33.79) (layer F.SilkS) (tstamp 63339CB4) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text RQST (at 120.81 71.72) (layer F.SilkS) (tstamp 63327884) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text RQST (at 122.55 71.52) (layer F.SilkS) (tstamp 63327884) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text "ACK\nSTART" (at 133.85 18.24) (layer F.SilkS) (tstamp 63322667) - (effects (font (size 1.5 1.5) (thickness 0.3) italic) (justify right)) + (effects (font (size 1 1) (thickness 0.2) italic) (justify right)) ) - (gr_text TM1/0 (at 174.21 15.88) (layer F.SilkS) (tstamp 63322667) - (effects (font (size 1.5 1.5) (thickness 0.3) italic) (justify right)) + (gr_text TM1..0 (at 174.21 15.88) (layer F.SilkS) (tstamp 63322667) + (effects (font (size 1 1) (thickness 0.2) italic) (justify right)) ) (gr_text micro-sd (at 147.01 14.02 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text "3.3V\nPower ON" (at 120.25 13.64) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.25) italic)) + (gr_text "3.3V\nPower ON" (at 116.18 8.3) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2) italic)) ) (gr_text 1 (at 182.3 83.19) (layer F.SilkS) (tstamp 61FAEECE) (effects (font (size 1 1) (thickness 0.2))) @@ -6055,8 +5845,8 @@ ) (gr_circle (center 169.33 12.48) (end 170.93 12.48) (layer Edge.Cuts) (width 0.2) (tstamp 61D7CA31)) (gr_circle (center 199.33 12.48) (end 200.93 12.48) (layer Edge.Cuts) (width 0.2) (tstamp 61D7CA31)) - (gr_text "Slot ID" (at 113.2 48.5 270) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3) italic)) + (gr_text "Slot ID" (at 113.2 48.5 -90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2) italic)) ) (dimension 4.84 (width 0.3) (layer Dwgs.User) (gr_text "4.840 mm" (at 271.75 144.51) (layer Dwgs.User) @@ -6214,50 +6004,50 @@ (effects (font (size 1 1) (thickness 0.2))) ) (gr_text +5V (at 220.56 86.32 90) (layer F.SilkS) (tstamp 61BED83D) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text GND (at 226.12 91.26 90) (layer F.SilkS) (tstamp 61BED83D) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text GND (at 215.72 91.26 90) (layer F.SilkS) (tstamp 61BED83D) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text "Fan\n5V\n1W" (at 229.28 94.08) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text "Fan\n5V\n1W" (at 228.97 90.78) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_line (start 211.27 74.45) (end 211.27 23.65) (layer F.SilkS) (width 0.2)) (gr_text "NuBusFPGA\nV1.2" (at 232.1 42.3) (layer F.SilkS) (effects (font (size 3 3) (thickness 0.45))) ) - (gr_text USB (at 270.14 85.97) (layer F.SilkS) + (gr_text USB (at 269.68 73.62) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (gr_text HDMI (at 261.94 70.39) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (gr_text "ZTex 2.13 outline" (at 212.92 64.54 90) (layer F.SilkS) (effects (font (size 1.5 1.5) (thickness 0.3))) ) - (gr_text HDMI (at 260.6 70) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text "USB\npwr" (at 247.86 79.16) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text "ZTex 2.13 outline" (at 201.14 73.25) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) - ) - (gr_text "USB\npwr" (at 247.92 79.89) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) - ) - (gr_text "USB\nI/O" (at 256 75.7) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text "USB\nI/O" (at 259.99 73.89) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text "HDMI\nctrl" (at 250.5 66.85) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text TX (at 124.79 23.17 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text TX (at 126.03 23.41) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text RX (at 124.86 25.98 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text RX (at 126.1 25.93) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text GND (at 125.11 33.4 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text GND (at 126.54 32.81) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text JTAG (at 226.28 24.5) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text "No Connector above this" (at 288.99 14.01) (layer Cmts.User) (effects (font (size 1.5 1.5) (thickness 0.3))) @@ -6372,6 +6162,8 @@ (gr_line (start 127.47 23.65) (end 211.27 23.65) (layer F.SilkS) (width 0.2)) (gr_line (start 111.73 105.08) (end 273.33 105.08) (layer Edge.Cuts) (width 0.15)) + (via (at 265.875 51) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (via (at 222.74 36.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (via (at 234 34) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (segment (start 174.5 72.1125) (end 174.5 71) (width 0.25) (layer F.Cu) (net 1) (status 10)) (via (at 174.5 71) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) @@ -6498,7 +6290,6 @@ (segment (start 115.2 90.73) (end 115.2 89.43) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 163.175 97.46) (end 164.44 97.46) (width 1.5) (layer In1.Cu) (net 1)) (segment (start 163.175 97.46) (end 163.175 91.975) (width 0.5) (layer In1.Cu) (net 1)) - (segment (start 121.9 34.18) (end 121.9 33.5) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 168.82 42.18) (end 152.88 42.18) (width 0.5) (layer In1.Cu) (net 1)) (segment (start 132.95 42.18) (end 132.54 42.59) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 182.236371 46.662953) (end 182.886371 46.662953) (width 0.1524) (layer F.Cu) (net 1)) @@ -6547,7 +6338,6 @@ (via (at 135.25 70.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (segment (start 253.82 58.625) (end 255.5 58.625) (width 0.3) (layer F.Cu) (net 1) (status 10)) (segment (start 256.22 61.875) (end 256.22 59.345) (width 0.1524) (layer In1.Cu) (net 1)) - (segment (start 121.9 33.5) (end 132.44 33.5) (width 0.1524) (layer In1.Cu) (net 1) (status 10)) (segment (start 161.9 97.46) (end 163.175 97.46) (width 1.5) (layer In1.Cu) (net 1)) (segment (start 168.425 81.3) (end 168.425 79.8175) (width 0.25) (layer F.Cu) (net 1) (status 10)) (segment (start 168.425 79.8175) (end 168.3575 79.75) (width 0.1524) (layer F.Cu) (net 1)) @@ -6557,7 +6347,6 @@ (segment (start 123.8 97.46) (end 122.53 96.19) (width 0.5) (layer In1.Cu) (net 1) (status 10)) (segment (start 158.1 97.46) (end 158.1 92) (width 0.5) (layer In1.Cu) (net 1)) (segment (start 148.2 86.8) (end 139.95 86.8) (width 0.1524) (layer In1.Cu) (net 1)) - (segment (start 264.05 50.32) (end 264.05 44.58) (width 0.8) (layer F.Cu) (net 1)) (segment (start 156.82 97.46) (end 158.1 96.18) (width 0.5) (layer F.Cu) (net 1) (status 10)) (segment (start 132.54 30) (end 132.54 33.6) (width 1.5) (layer In1.Cu) (net 1) (status 10)) (segment (start 196.2 82.5925) (end 196.2 81.0925) (width 0.1524) (layer In1.Cu) (net 1)) @@ -6581,7 +6370,6 @@ (via (at 203.51 96.19) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (segment (start 118.53467 98.72) (end 118.53467 98.72) (width 0.5) (layer In1.Cu) (net 1)) (segment (start 116.9925 39.61) (end 116.9925 38.158334) (width 0.1524) (layer F.Cu) (net 1)) - (segment (start 264.35 44.28) (end 264.35 42.33) (width 1) (layer In1.Cu) (net 1)) (segment (start 132.54 42.59) (end 132.54 47.6) (width 1.5) (layer In1.Cu) (net 1)) (segment (start 254.395 52.2325) (end 253.82 52.2325) (width 0.25) (layer F.Cu) (net 1) (status 20)) (segment (start 146.66 94.92) (end 146.66 92) (width 1.5) (layer In1.Cu) (net 1) (status 10)) @@ -6757,10 +6545,8 @@ (segment (start 159.2275 18.1) (end 163.305 18.1) (width 0.1524) (layer In1.Cu) (net 1)) (via (at 168.3575 79.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (via (at 228.09 60.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 115.67 40.41) (end 121.9 34.18) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 168.25 97.46) (end 168.25 92.25) (width 0.5) (layer In1.Cu) (net 1)) (segment (start 166.98 97.46) (end 168.25 97.46) (width 1.5) (layer In1.Cu) (net 1)) - (segment (start 264.05 44.58) (end 264.35 44.28) (width 0.8) (layer F.Cu) (net 1)) (segment (start 149.555 18.1) (end 159.2275 18.1) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 244.9125 60.25) (end 246.0625 59.1) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 123.8 94.92) (end 123.8 93) (width 0.5) (layer B.Cu) (net 1) (status 10)) @@ -6856,9 +6642,6 @@ (segment (start 256.965 34.35) (end 256 35.315) (width 0.5) (layer F.Cu) (net 1)) (segment (start 259 34.35) (end 256.965 34.35) (width 0.5) (layer F.Cu) (net 1)) (segment (start 262.861 34.35) (end 259 34.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 256 35.315) (end 260.445 35.315) (width 0.1524) (layer In1.Cu) (net 1)) - (segment (start 264.35 39.22) (end 264.35 42.33) (width 0.1524) (layer In1.Cu) (net 1)) - (segment (start 260.445 35.315) (end 264.35 39.22) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 192.75 33.63) (end 194.88 35.76) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 176.48 33.63) (end 192.75 33.63) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 190.611371 40.028629) (end 194.88 35.76) (width 0.1524) (layer In1.Cu) (net 1)) @@ -6870,6 +6653,29 @@ (segment (start 234 34) (end 234 14) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 234 14) (end 256 14) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 256 14) (end 256 35.315) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 123.136 33.528) (end 125.722 33.528) (width 0.25) (layer F.Cu) (net 1)) + (via (at 125.722 33.528) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 118.84 40.41) (end 125.722 33.528) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 115.67 40.41) (end 118.84 40.41) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 132.468 33.528) (end 132.54 33.6) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 125.722 33.528) (end 132.468 33.528) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 208.74 50.8) (end 222.74 36.8) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 231.2 36.8) (end 234 34) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 222.74 36.8) (end 228.67 36.8) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 228.67 36.8) (end 231.2 36.8) (width 0.1524) (layer In1.Cu) (net 1) (tstamp 637321A2)) + (via (at 228.67 36.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 140.07 71.65) (end 143.04 68.68) (width 0.1524) (layer In1.Cu) (net 1)) + (via (at 143.04 68.68) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 269.9 51) (end 268.56 51) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 265.875 51) (end 265.875 49.425) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 268.56 51) (end 265.875 51) (width 0.8) (layer F.Cu) (net 1) (tstamp 6375B202)) + (via (at 268.56 51) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 265.875 49.425) (end 265.875 46.93) (width 0.8) (layer F.Cu) (net 1) (tstamp 6375B204)) + (via (at 265.875 49.425) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 259.4275 49.425) (end 255.04 53.8125) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 265.875 49.425) (end 259.4275 49.425) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 265.875 46.93) (end 265.875 44.295) (width 0.5) (layer F.Cu) (net 1)) + (via (at 265.875 44.295) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (segment (start 208.74 61.75) (end 228.23 42.26) (width 0.1524) (layer B.Cu) (net 2)) (via (at 232 34) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) (segment (start 232 34) (end 232 31.72) (width 0.1524) (layer F.Cu) (net 2)) @@ -7778,12 +7584,12 @@ (segment (start 266.84 55.04) (end 266.85 55.05) (width 0.1524) (layer In1.Cu) (net 116)) (segment (start 271.8975 70.2475) (end 271.8 70.15) (width 1) (layer In1.Cu) (net 116)) (segment (start 271.8975 75.57) (end 271.8975 70.2475) (width 1) (layer In1.Cu) (net 116)) - (segment (start 266.85 55.05) (end 266.85 50.32) (width 0.8) (layer F.Cu) (net 116)) - (segment (start 266.85 50.32) (end 266.85 44.28) (width 0.8) (layer F.Cu) (net 116)) - (segment (start 266.85 47.08) (end 266.85 44.28) (width 1) (layer In1.Cu) (net 116)) - (segment (start 266.85 55.05) (end 266.85 47.08) (width 1) (layer In1.Cu) (net 116)) - (segment (start 266.84 47.09) (end 257.35 47.09) (width 1) (layer In1.Cu) (net 116)) - (segment (start 266.85 47.08) (end 266.84 47.09) (width 0.1524) (layer In1.Cu) (net 116)) + (segment (start 271.8 54.45) (end 271.8 51) (width 0.6) (layer F.Cu) (net 116)) + (segment (start 271.8 46.93) (end 271.8 49.38) (width 0.6) (layer F.Cu) (net 116)) + (segment (start 271.8 49.38) (end 271.8 51) (width 0.6) (layer F.Cu) (net 116) (tstamp 6375AE73)) + (via (at 271.8 49.38) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 116)) + (segment (start 271.8 54.45) (end 271.8 49.38) (width 0.6) (layer In1.Cu) (net 116)) + (segment (start 269.1975 89.5) (end 269.1975 81.57) (width 1) (layer In1.Cu) (net 116)) (segment (start 208.715 55.375) (end 183.34 30) (width 0.1524) (layer F.Cu) (net 117) (status 20)) (segment (start 248.08 55.375) (end 208.715 55.375) (width 0.1524) (layer F.Cu) (net 117) (status 10)) (segment (start 206.65 55.85) (end 180.8 30) (width 0.1524) (layer F.Cu) (net 118) (status 20)) @@ -8987,27 +8793,26 @@ (segment (start 210.251772 37.663512) (end 210.317266 37.67846) (width 0.1524) (layer F.Cu) (net 128)) (segment (start 210.430315 37.749493) (end 210.472201 37.802016) (width 0.1524) (layer F.Cu) (net 128)) (segment (start 262.433128 57.8976) (end 264.177399 57.8976) (width 0.1524) (layer F.Cu) (net 128)) - (segment (start 134.9451 27.3251) (end 135.08 27.46) (width 0.1524) (layer F.Cu) (net 129) (status 30)) - (segment (start 130.96 23.34) (end 135.08 27.46) (width 0.1524) (layer F.Cu) (net 129) (status 20)) - (segment (start 121.9 23.34) (end 130.96 23.34) (width 0.1524) (layer F.Cu) (net 129) (status 10)) - (segment (start 121.53 39.6325) (end 122.3075 40.41) (width 0.1524) (layer F.Cu) (net 129)) - (segment (start 121.9 23.34) (end 120.14 25.1) (width 0.1524) (layer F.Cu) (net 129)) - (segment (start 121.53 36.69) (end 121.53 39.6325) (width 0.1524) (layer F.Cu) (net 129)) - (segment (start 120.14 25.1) (end 120.14 35.3) (width 0.1524) (layer F.Cu) (net 129)) - (segment (start 120.14 35.3) (end 121.53 36.69) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 130.988 23.368) (end 123.136 23.368) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 135.08 27.46) (end 130.988 23.368) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 122.3075 40.41) (end 121.55 39.6525) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 121.55 39.6525) (end 121.55 36.61) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 121.55 36.61) (end 120.3 35.36) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 120.3 35.36) (end 120.3 24.28) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 121.212 23.368) (end 123.136 23.368) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 120.3 24.28) (end 121.212 23.368) (width 0.1524) (layer F.Cu) (net 129)) (segment (start 120.195 38.158334) (end 118.8675 38.158334) (width 0.1524) (layer F.Cu) (net 130)) (segment (start 120.7325 38.158334) (end 120.195 38.158334) (width 0.1524) (layer F.Cu) (net 130)) (segment (start 114.8 14.5625) (end 114.8 12.7875) (width 0.1524) (layer F.Cu) (net 131) (status 30)) - (segment (start 134.945 29.865) (end 135.08 30) (width 0.1524) (layer F.Cu) (net 132) (status 30)) - (segment (start 134.0011 28.9211) (end 135.08 30) (width 0.1524) (layer F.Cu) (net 132) (status 20)) - (segment (start 126.02 28.9211) (end 134.0011 28.9211) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 122.9789 25.88) (end 126.02 28.9211) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 121.9 25.88) (end 122.9789 25.88) (width 0.1524) (layer F.Cu) (net 132) (status 10)) - (segment (start 122.3075 38.158334) (end 122.3075 36.6275) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 121.050001 26.729999) (end 121.9 25.88) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 122.3075 36.6275) (end 120.48 34.8) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 120.48 34.8) (end 120.48 27.3) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 120.48 27.3) (end 121.050001 26.729999) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 135.08 30) (end 133.78 28.7) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 133.78 28.7) (end 133.78 26.73) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 132.958 25.908) (end 123.136 25.908) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 133.78 26.73) (end 132.958 25.908) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 121.3936 25.908) (end 120.77 26.5316) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 123.136 25.908) (end 121.3936 25.908) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 120.77 26.5316) (end 120.77 35.24) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 122.3075 36.7775) (end 122.3075 38.158334) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 120.77 35.24) (end 122.3075 36.7775) (width 0.1524) (layer F.Cu) (net 132)) (segment (start 250.0275 84.11) (end 251.95 84.11) (width 0.1524) (layer F.Cu) (net 133) (status 30)) (segment (start 264.3 76.5625) (end 264.2975 76.56) (width 0.1524) (layer F.Cu) (net 134) (status 30)) (segment (start 264.3 78.405) (end 264.3 76.5625) (width 0.1524) (layer F.Cu) (net 134) (status 30)) @@ -9841,53 +9646,41 @@ (xy 261.550378 13.370593) (xy 261.558017 13.376912) (xy 261.663887 13.463257) (xy 261.717474 13.498859) (xy 261.770583 13.535224) (xy 261.779303 13.539939) (xy 261.899928 13.604076) (xy 261.959402 13.628589) (xy 262.018565 13.653947) (xy 262.028035 13.656878) (xy 262.15882 13.696365) (xy 262.221939 13.708863) (xy 262.284895 13.722245) (xy 262.294754 13.723281) (xy 262.430718 13.736612) - (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 53.031509) - (xy 272.574463 53.001082) (xy 272.276912 52.877832) (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) - (xy 271.025537 53.001082) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.251893 53.915) - (xy 268.027239 53.915) (xy 267.985 53.872761) (xy 267.985 45.620957) (xy 268.004494 45.610537) (xy 268.101185 45.531185) - (xy 268.180537 45.434494) (xy 268.239502 45.32418) (xy 268.275812 45.204482) (xy 268.288072 45.08) (xy 268.288072 43.48) - (xy 268.275812 43.355518) (xy 268.239502 43.23582) (xy 268.180537 43.125506) (xy 268.101185 43.028815) (xy 268.004494 42.949463) - (xy 267.89418 42.890498) (xy 267.774482 42.854188) (xy 267.65 42.841928) (xy 266.05 42.841928) (xy 265.925518 42.854188) - (xy 265.80582 42.890498) (xy 265.695506 42.949463) (xy 265.598815 43.028815) (xy 265.519463 43.125506) (xy 265.460498 43.23582) - (xy 265.424188 43.355518) (xy 265.411928 43.48) (xy 265.411928 43.487215) (xy 265.342702 43.466903) (xy 264.529605 44.28) - (xy 265.342702 45.093097) (xy 265.411928 45.072785) (xy 265.411928 45.08) (xy 265.424188 45.204482) (xy 265.460498 45.32418) - (xy 265.519463 45.434494) (xy 265.598815 45.531185) (xy 265.695506 45.610537) (xy 265.715001 45.620957) (xy 265.715 45.955) - (xy 259.948449 45.955) (xy 259.862344 45.747124) (xy 259.552088 45.282793) (xy 259.541997 45.272702) (xy 263.536903 45.272702) - (xy 263.608486 45.516671) (xy 263.863996 45.637571) (xy 264.138184 45.7063) (xy 264.420512 45.720217) (xy 264.70013 45.678787) - (xy 264.966292 45.583603) (xy 265.091514 45.516671) (xy 265.163097 45.272702) (xy 264.35 44.459605) (xy 263.536903 45.272702) - (xy 259.541997 45.272702) (xy 259.157207 44.887912) (xy 258.692876 44.577656) (xy 258.176939 44.363948) (xy 258.109392 44.350512) - (xy 262.909783 44.350512) (xy 262.951213 44.63013) (xy 263.046397 44.896292) (xy 263.113329 45.021514) (xy 263.357298 45.093097) - (xy 264.170395 44.28) (xy 263.357298 43.466903) (xy 263.113329 43.538486) (xy 262.992429 43.793996) (xy 262.9237 44.068184) - (xy 262.909783 44.350512) (xy 258.109392 44.350512) (xy 257.629223 44.255) (xy 257.070777 44.255) (xy 256.523061 44.363948) - (xy 256.007124 44.577656) (xy 255.542793 44.887912) (xy 255.147912 45.282793) (xy 254.837656 45.747124) (xy 254.623948 46.263061) - (xy 254.515 46.810777) (xy 254.515 47.369223) (xy 254.623948 47.916939) (xy 254.837656 48.432876) (xy 255.147912 48.897207) - (xy 255.542793 49.292088) (xy 256.007124 49.602344) (xy 256.523061 49.816052) (xy 257.070777 49.925) (xy 257.629223 49.925) - (xy 258.176939 49.816052) (xy 258.692876 49.602344) (xy 259.157207 49.292088) (xy 259.552088 48.897207) (xy 259.862344 48.432876) - (xy 259.948449 48.225) (xy 265.715001 48.225) (xy 265.715 53.872761) (xy 265.580013 54.007748) (xy 265.401082 54.275537) - (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) (xy 265.401082 55.824463) - (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) (xy 266.688967 56.685) - (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) (xy 268.027239 56.185) - (xy 270.665 56.185) (xy 270.665001 68.415) (xy 268.027239 68.415) (xy 267.892252 68.280013) (xy 267.624463 68.101082) - (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) (xy 266.373088 67.977832) (xy 266.075537 68.101082) - (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) (xy 265.277832 69.073088) (xy 265.215 69.388967) - (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) - (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) - (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.027239 70.685) (xy 270.251893 70.685) (xy 270.351082 70.924463) - (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 270.762501 71.423163) (xy 270.7625 74.435) (xy 270.253252 74.435) - (xy 270.1975 74.429509) (xy 269.975001 74.451423) (xy 269.761053 74.516324) (xy 269.563877 74.621716) (xy 269.434356 74.728011) - (xy 269.434354 74.728013) (xy 269.391051 74.763551) (xy 269.355513 74.806855) (xy 268.924761 75.237607) (xy 268.800803 75.262264) - (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) (xy 267.992284 75.925799) (xy 267.889764 76.173303) - (xy 267.8375 76.436052) (xy 267.8375 76.703948) (xy 267.889764 76.966697) (xy 267.992284 77.214201) (xy 268.141119 77.436949) - (xy 268.330551 77.626381) (xy 268.553299 77.775216) (xy 268.800803 77.877736) (xy 269.063552 77.93) (xy 269.331448 77.93) - (xy 269.594197 77.877736) (xy 269.841701 77.775216) (xy 270.064449 77.626381) (xy 270.253881 77.436949) (xy 270.402716 77.214201) - (xy 270.505236 76.966697) (xy 270.529893 76.842739) (xy 270.667632 76.705) (xy 270.762501 76.705) (xy 270.7625 81.435) - (xy 270.667632 81.435) (xy 270.529893 81.297261) (xy 270.505236 81.173303) (xy 270.402716 80.925799) (xy 270.253881 80.703051) - (xy 270.064449 80.513619) (xy 269.841701 80.364784) (xy 269.594197 80.262264) (xy 269.331448 80.21) (xy 269.063552 80.21) - (xy 268.800803 80.262264) (xy 268.553299 80.364784) (xy 268.330551 80.513619) (xy 268.141119 80.703051) (xy 267.992284 80.925799) - (xy 267.889764 81.173303) (xy 267.8375 81.436052) (xy 267.8375 81.703948) (xy 267.889764 81.966697) (xy 267.992284 82.214201) - (xy 268.141119 82.436949) (xy 268.330551 82.626381) (xy 268.553299 82.775216) (xy 268.800803 82.877736) (xy 268.924761 82.902393) - (xy 269.355513 83.333145) (xy 269.391051 83.376449) (xy 269.434354 83.411987) (xy 269.434356 83.411989) (xy 269.563877 83.518284) - (xy 269.761053 83.623676) (xy 269.975001 83.688577) (xy 270.1975 83.710491) (xy 270.253252 83.705) (xy 271.051063 83.705) + (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 48.744267) + (xy 272.603937 48.720226) (xy 272.459774 48.576063) (xy 272.290256 48.462795) (xy 272.101898 48.384774) (xy 271.901939 48.345) + (xy 271.698061 48.345) (xy 271.498102 48.384774) (xy 271.309744 48.462795) (xy 271.140226 48.576063) (xy 270.996063 48.720226) + (xy 270.882795 48.889744) (xy 270.804774 49.078102) (xy 270.765 49.278061) (xy 270.765 49.481939) (xy 270.804774 49.681898) + (xy 270.865001 49.827298) (xy 270.865 53.108349) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) + (xy 270.251893 53.915) (xy 268.027239 53.915) (xy 267.892252 53.780013) (xy 267.624463 53.601082) (xy 267.326912 53.477832) + (xy 267.011033 53.415) (xy 266.688967 53.415) (xy 266.373088 53.477832) (xy 266.075537 53.601082) (xy 265.807748 53.780013) + (xy 265.580013 54.007748) (xy 265.401082 54.275537) (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 265.215 55.211033) + (xy 265.277832 55.526912) (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) + (xy 266.373088 56.622168) (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) + (xy 267.892252 56.319987) (xy 268.027239 56.185) (xy 270.665 56.185) (xy 270.665001 68.415) (xy 268.027239 68.415) + (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) + (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) + (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) + (xy 265.580013 70.592252) (xy 265.807748 70.819987) (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) + (xy 267.011033 71.185) (xy 267.326912 71.122168) (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.027239 70.685) + (xy 270.251893 70.685) (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 270.762501 71.423163) + (xy 270.7625 74.435) (xy 270.253252 74.435) (xy 270.1975 74.429509) (xy 269.975001 74.451423) (xy 269.761053 74.516324) + (xy 269.563877 74.621716) (xy 269.434356 74.728011) (xy 269.434354 74.728013) (xy 269.391051 74.763551) (xy 269.355513 74.806855) + (xy 268.924761 75.237607) (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) + (xy 267.992284 75.925799) (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) (xy 267.889764 76.966697) + (xy 267.992284 77.214201) (xy 268.141119 77.436949) (xy 268.330551 77.626381) (xy 268.553299 77.775216) (xy 268.800803 77.877736) + (xy 269.063552 77.93) (xy 269.331448 77.93) (xy 269.594197 77.877736) (xy 269.841701 77.775216) (xy 270.064449 77.626381) + (xy 270.253881 77.436949) (xy 270.402716 77.214201) (xy 270.505236 76.966697) (xy 270.529893 76.842739) (xy 270.667632 76.705) + (xy 270.762501 76.705) (xy 270.7625 81.435) (xy 270.667632 81.435) (xy 270.529893 81.297261) (xy 270.505236 81.173303) + (xy 270.402716 80.925799) (xy 270.253881 80.703051) (xy 270.064449 80.513619) (xy 269.841701 80.364784) (xy 269.594197 80.262264) + (xy 269.331448 80.21) (xy 269.063552 80.21) (xy 268.800803 80.262264) (xy 268.553299 80.364784) (xy 268.330551 80.513619) + (xy 268.141119 80.703051) (xy 267.992284 80.925799) (xy 267.889764 81.173303) (xy 267.8375 81.436052) (xy 267.8375 81.703948) + (xy 267.889764 81.966697) (xy 267.992284 82.214201) (xy 268.062501 82.319288) (xy 268.0625 86.901551) (xy 267.854624 86.987656) + (xy 267.390293 87.297912) (xy 266.995412 87.692793) (xy 266.685156 88.157124) (xy 266.471448 88.673061) (xy 266.3625 89.220777) + (xy 266.3625 89.779223) (xy 266.471448 90.326939) (xy 266.685156 90.842876) (xy 266.995412 91.307207) (xy 267.390293 91.702088) + (xy 267.854624 92.012344) (xy 268.370561 92.226052) (xy 268.918277 92.335) (xy 269.476723 92.335) (xy 270.024439 92.226052) + (xy 270.540376 92.012344) (xy 271.004707 91.702088) (xy 271.399588 91.307207) (xy 271.709844 90.842876) (xy 271.923552 90.326939) + (xy 272.0325 89.779223) (xy 272.0325 89.220777) (xy 271.923552 88.673061) (xy 271.709844 88.157124) (xy 271.399588 87.692793) + (xy 271.004707 87.297912) (xy 270.540376 86.987656) (xy 270.3325 86.901551) (xy 270.3325 83.705) (xy 271.051063 83.705) (xy 271.072599 83.716511) (xy 271.305398 83.78713) (xy 271.486835 83.805) (xy 272.308165 83.805) (xy 272.489602 83.78713) (xy 272.62 83.747574) (xy 272.62 97.635909) (xy 272.085909 98.17) (xy 262.465123 98.17) (xy 262.430023 98.173457) (xy 262.420938 98.173457) (xy 262.411079 98.174493) (xy 262.275316 98.189722) (xy 262.21241 98.203094) (xy 262.149252 98.215599) @@ -10783,278 +10576,241 @@ (xy 136.782795 44.299385) (xy 136.704774 44.487743) (xy 136.665 44.687702) (xy 119.016547 44.687702) (xy 118.924908 44.596063) (xy 118.75539 44.482795) (xy 118.567032 44.404774) (xy 118.367073 44.365) (xy 118.163195 44.365) (xy 117.963236 44.404774) (xy 117.774878 44.482795) (xy 117.60536 44.596063) (xy 117.461197 44.740226) (xy 117.347929 44.909744) (xy 117.269908 45.098102) - (xy 117.230134 45.298061) (xy 112.44 45.298061) (xy 112.44 43.287298) (xy 263.536903 43.287298) (xy 264.35 44.100395) - (xy 265.163097 43.287298) (xy 265.091514 43.043329) (xy 264.836004 42.922429) (xy 264.561816 42.8537) (xy 264.279488 42.839783) - (xy 263.99987 42.881213) (xy 263.733708 42.976397) (xy 263.608486 43.043329) (xy 263.536903 43.287298) (xy 112.44 43.287298) - (xy 112.44 36.788061) (xy 254.965 36.788061) (xy 254.965 36.991939) (xy 255.004774 37.191898) (xy 255.082795 37.380256) - (xy 255.196063 37.549774) (xy 255.340226 37.693937) (xy 255.509744 37.807205) (xy 255.698102 37.885226) (xy 255.898061 37.925) - (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) (xy 256.659774 37.693937) (xy 256.803937 37.549774) - (xy 256.917205 37.380256) (xy 256.995226 37.191898) (xy 257.035 36.991939) (xy 257.035 36.788061) (xy 256.995226 36.588102) - (xy 256.917205 36.399744) (xy 256.803937 36.230226) (xy 256.659774 36.086063) (xy 256.490256 35.972795) (xy 256.301898 35.894774) - (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) (xy 255.509744 35.972795) (xy 255.340226 36.086063) - (xy 255.196063 36.230226) (xy 255.082795 36.399744) (xy 255.004774 36.588102) (xy 254.965 36.788061) (xy 112.44 36.788061) - (xy 112.44 34.35) (xy 120.411928 34.35) (xy 120.424188 34.474482) (xy 120.460498 34.59418) (xy 120.519463 34.704494) - (xy 120.598815 34.801185) (xy 120.695506 34.880537) (xy 120.80582 34.939502) (xy 120.925518 34.975812) (xy 121.05 34.988072) - (xy 121.61425 34.985) (xy 121.773 34.82625) (xy 121.773 33.627) (xy 122.027 33.627) (xy 122.027 34.82625) - (xy 122.18575 34.985) (xy 122.75 34.988072) (xy 122.874482 34.975812) (xy 122.99418 34.939502) (xy 123.104494 34.880537) - (xy 123.201185 34.801185) (xy 123.280537 34.704494) (xy 123.339502 34.59418) (xy 123.375812 34.474482) (xy 123.388072 34.35) - (xy 123.385612 33.898061) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) (xy 223.082795 34.490256) - (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) (xy 223.898061 35.035) - (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) (xy 224.803937 34.659774) - (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) (xy 225.082795 34.490256) - (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) (xy 225.898061 35.035) - (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) (xy 226.803937 34.659774) - (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) (xy 227.082795 34.490256) - (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) (xy 227.898061 35.035) - (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) (xy 228.803937 34.659774) - (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) (xy 229.082795 34.490256) - (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) (xy 229.898061 35.035) - (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) (xy 230.803937 34.659774) - (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) (xy 231.082795 34.490256) - (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) (xy 231.898061 35.035) - (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.659774 34.803937) (xy 232.803937 34.659774) - (xy 232.917205 34.490256) (xy 232.995226 34.301898) (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) - (xy 232.917205 33.509744) (xy 232.803937 33.340226) (xy 232.659774 33.196063) (xy 232.490256 33.082795) (xy 232.301898 33.004774) - (xy 232.101939 32.965) (xy 231.898061 32.965) (xy 231.698102 33.004774) (xy 231.509744 33.082795) (xy 231.340226 33.196063) - (xy 231.196063 33.340226) (xy 231.082795 33.509744) (xy 231.004774 33.698102) (xy 231 33.722103) (xy 230.995226 33.698102) - (xy 230.917205 33.509744) (xy 230.803937 33.340226) (xy 230.659774 33.196063) (xy 230.490256 33.082795) (xy 230.301898 33.004774) - (xy 230.101939 32.965) (xy 229.898061 32.965) (xy 229.698102 33.004774) (xy 229.509744 33.082795) (xy 229.340226 33.196063) - (xy 229.196063 33.340226) (xy 229.082795 33.509744) (xy 229.004774 33.698102) (xy 229 33.722103) (xy 228.995226 33.698102) - (xy 228.917205 33.509744) (xy 228.803937 33.340226) (xy 228.659774 33.196063) (xy 228.490256 33.082795) (xy 228.301898 33.004774) - (xy 228.101939 32.965) (xy 227.898061 32.965) (xy 227.698102 33.004774) (xy 227.509744 33.082795) (xy 227.340226 33.196063) - (xy 227.196063 33.340226) (xy 227.082795 33.509744) (xy 227.004774 33.698102) (xy 227 33.722103) (xy 226.995226 33.698102) - (xy 226.917205 33.509744) (xy 226.803937 33.340226) (xy 226.659774 33.196063) (xy 226.490256 33.082795) (xy 226.301898 33.004774) - (xy 226.101939 32.965) (xy 225.898061 32.965) (xy 225.698102 33.004774) (xy 225.509744 33.082795) (xy 225.340226 33.196063) - (xy 225.196063 33.340226) (xy 225.082795 33.509744) (xy 225.004774 33.698102) (xy 225 33.722103) (xy 224.995226 33.698102) - (xy 224.917205 33.509744) (xy 224.803937 33.340226) (xy 224.659774 33.196063) (xy 224.490256 33.082795) (xy 224.301898 33.004774) - (xy 224.101939 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) (xy 223.509744 33.082795) (xy 223.340226 33.196063) - (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) (xy 222.965 33.898061) (xy 123.385612 33.898061) - (xy 123.385 33.78575) (xy 123.22625 33.627) (xy 122.027 33.627) (xy 121.773 33.627) (xy 120.57375 33.627) - (xy 120.415 33.78575) (xy 120.411928 34.35) (xy 112.44 34.35) (xy 112.44 32.65) (xy 120.411928 32.65) - (xy 120.415 33.21425) (xy 120.57375 33.373) (xy 121.773 33.373) (xy 121.773 33.353) (xy 122.027 33.353) - (xy 122.027 33.373) (xy 123.22625 33.373) (xy 123.385 33.21425) (xy 123.388072 32.65) (xy 123.375812 32.525518) - (xy 123.339502 32.40582) (xy 123.280537 32.295506) (xy 123.201185 32.198815) (xy 123.104494 32.119463) (xy 122.99418 32.060498) - (xy 122.92162 32.038487) (xy 123.053475 31.906632) (xy 123.21599 31.663411) (xy 123.327932 31.393158) (xy 123.385 31.10626) - (xy 123.385 30.81374) (xy 123.327932 30.526842) (xy 123.21599 30.256589) (xy 123.053475 30.013368) (xy 122.846632 29.806525) - (xy 122.67224 29.69) (xy 122.846632 29.573475) (xy 123.053475 29.366632) (xy 123.198223 29.15) (xy 128.511928 29.15) - (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) - (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) - (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) - (xy 131.439502 31.09418) (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) - (xy 132.18311 31.441476) (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) - (xy 132.413 29.873) (xy 132.413 27.587) (xy 132.393 27.587) (xy 132.393 27.333) (xy 132.413 27.333) - (xy 132.413 26.139845) (xy 132.667 26.139845) (xy 132.667 27.333) (xy 132.687 27.333) (xy 132.687 27.587) - (xy 132.667 27.587) (xy 132.667 29.873) (xy 132.687 29.873) (xy 132.687 30.127) (xy 132.667 30.127) - (xy 132.667 31.320155) (xy 132.89689 31.441476) (xy 133.044099 31.396825) (xy 133.30692 31.271641) (xy 133.540269 31.097588) - (xy 133.735178 30.881355) (xy 133.804805 30.764466) (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) - (xy 134.646842 31.427932) (xy 134.93374 31.485) (xy 135.22626 31.485) (xy 135.513158 31.427932) (xy 135.783411 31.31599) - (xy 136.026632 31.153475) (xy 136.233475 30.946632) (xy 136.35 30.77224) (xy 136.466525 30.946632) (xy 136.673368 31.153475) - (xy 136.916589 31.31599) (xy 137.186842 31.427932) (xy 137.47374 31.485) (xy 137.76626 31.485) (xy 138.053158 31.427932) - (xy 138.323411 31.31599) (xy 138.566632 31.153475) (xy 138.773475 30.946632) (xy 138.89 30.77224) (xy 139.006525 30.946632) - (xy 139.213368 31.153475) (xy 139.456589 31.31599) (xy 139.726842 31.427932) (xy 140.01374 31.485) (xy 140.30626 31.485) - (xy 140.593158 31.427932) (xy 140.863411 31.31599) (xy 141.106632 31.153475) (xy 141.313475 30.946632) (xy 141.43 30.77224) - (xy 141.546525 30.946632) (xy 141.753368 31.153475) (xy 141.996589 31.31599) (xy 142.266842 31.427932) (xy 142.55374 31.485) - (xy 142.84626 31.485) (xy 143.133158 31.427932) (xy 143.403411 31.31599) (xy 143.646632 31.153475) (xy 143.853475 30.946632) - (xy 143.97 30.77224) (xy 144.086525 30.946632) (xy 144.293368 31.153475) (xy 144.536589 31.31599) (xy 144.806842 31.427932) - (xy 145.09374 31.485) (xy 145.38626 31.485) (xy 145.673158 31.427932) (xy 145.943411 31.31599) (xy 146.186632 31.153475) - (xy 146.393475 30.946632) (xy 146.51 30.77224) (xy 146.626525 30.946632) (xy 146.833368 31.153475) (xy 147.076589 31.31599) - (xy 147.346842 31.427932) (xy 147.63374 31.485) (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) - (xy 148.726632 31.153475) (xy 148.933475 30.946632) (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) - (xy 149.616589 31.31599) (xy 149.886842 31.427932) (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) - (xy 151.023411 31.31599) (xy 151.266632 31.153475) (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) - (xy 151.913368 31.153475) (xy 152.156589 31.31599) (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) - (xy 153.293158 31.427932) (xy 153.563411 31.31599) (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) - (xy 154.246525 30.946632) (xy 154.453368 31.153475) (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) - (xy 155.54626 31.485) (xy 155.833158 31.427932) (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) - (xy 156.67 30.77224) (xy 156.786525 30.946632) (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) - (xy 157.79374 31.485) (xy 158.08626 31.485) (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) - (xy 159.093475 30.946632) (xy 159.21 30.77224) (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) - (xy 160.046842 31.427932) (xy 160.33374 31.485) (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) - (xy 161.426632 31.153475) (xy 161.633475 30.946632) (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) - (xy 162.316589 31.31599) (xy 162.586842 31.427932) (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) - (xy 163.723411 31.31599) (xy 163.966632 31.153475) (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) - (xy 164.613368 31.153475) (xy 164.856589 31.31599) (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) - (xy 165.993158 31.427932) (xy 166.263411 31.31599) (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.83 30.77224) - (xy 166.946525 30.946632) (xy 167.153368 31.153475) (xy 167.396589 31.31599) (xy 167.666842 31.427932) (xy 167.95374 31.485) - (xy 168.24626 31.485) (xy 168.533158 31.427932) (xy 168.803411 31.31599) (xy 169.046632 31.153475) (xy 169.253475 30.946632) - (xy 169.375195 30.764466) (xy 169.444822 30.881355) (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) - (xy 170.28311 31.441476) (xy 170.513 31.320155) (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) - (xy 170.513 29.873) (xy 170.513 27.587) (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) - (xy 170.513 26.139845) (xy 170.767 26.139845) (xy 170.767 27.333) (xy 170.787 27.333) (xy 170.787 27.587) - (xy 170.767 27.587) (xy 170.767 29.873) (xy 170.787 29.873) (xy 170.787 30.127) (xy 170.767 30.127) - (xy 170.767 31.320155) (xy 170.99689 31.441476) (xy 171.144099 31.396825) (xy 171.40692 31.271641) (xy 171.640269 31.097588) - (xy 171.835178 30.881355) (xy 171.904805 30.764466) (xy 172.026525 30.946632) (xy 172.233368 31.153475) (xy 172.476589 31.31599) - (xy 172.746842 31.427932) (xy 173.03374 31.485) (xy 173.32626 31.485) (xy 173.613158 31.427932) (xy 173.883411 31.31599) - (xy 174.126632 31.153475) (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) (xy 174.773368 31.153475) - (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.153158 31.427932) - (xy 176.423411 31.31599) (xy 176.666632 31.153475) (xy 176.873475 30.946632) (xy 176.99 30.77224) (xy 177.106525 30.946632) - (xy 177.313368 31.153475) (xy 177.556589 31.31599) (xy 177.826842 31.427932) (xy 178.11374 31.485) (xy 178.40626 31.485) - (xy 178.693158 31.427932) (xy 178.963411 31.31599) (xy 179.206632 31.153475) (xy 179.413475 30.946632) (xy 179.53 30.77224) - (xy 179.646525 30.946632) (xy 179.853368 31.153475) (xy 180.096589 31.31599) (xy 180.366842 31.427932) (xy 180.65374 31.485) - (xy 180.94626 31.485) (xy 181.233158 31.427932) (xy 181.503411 31.31599) (xy 181.746632 31.153475) (xy 181.953475 30.946632) - (xy 182.07 30.77224) (xy 182.186525 30.946632) (xy 182.393368 31.153475) (xy 182.636589 31.31599) (xy 182.906842 31.427932) - (xy 183.19374 31.485) (xy 183.48626 31.485) (xy 183.773158 31.427932) (xy 184.043411 31.31599) (xy 184.286632 31.153475) - (xy 184.493475 30.946632) (xy 184.61 30.77224) (xy 184.726525 30.946632) (xy 184.933368 31.153475) (xy 185.176589 31.31599) - (xy 185.446842 31.427932) (xy 185.73374 31.485) (xy 186.02626 31.485) (xy 186.313158 31.427932) (xy 186.583411 31.31599) - (xy 186.826632 31.153475) (xy 187.033475 30.946632) (xy 187.15 30.77224) (xy 187.266525 30.946632) (xy 187.473368 31.153475) - (xy 187.716589 31.31599) (xy 187.986842 31.427932) (xy 188.27374 31.485) (xy 188.56626 31.485) (xy 188.853158 31.427932) - (xy 189.123411 31.31599) (xy 189.366632 31.153475) (xy 189.573475 30.946632) (xy 189.69 30.77224) (xy 189.806525 30.946632) - (xy 190.013368 31.153475) (xy 190.256589 31.31599) (xy 190.526842 31.427932) (xy 190.81374 31.485) (xy 191.10626 31.485) - (xy 191.393158 31.427932) (xy 191.663411 31.31599) (xy 191.906632 31.153475) (xy 192.113475 30.946632) (xy 192.23 30.77224) - (xy 192.346525 30.946632) (xy 192.553368 31.153475) (xy 192.796589 31.31599) (xy 193.066842 31.427932) (xy 193.35374 31.485) - (xy 193.64626 31.485) (xy 193.933158 31.427932) (xy 194.203411 31.31599) (xy 194.446632 31.153475) (xy 194.653475 30.946632) - (xy 194.77 30.77224) (xy 194.886525 30.946632) (xy 195.093368 31.153475) (xy 195.336589 31.31599) (xy 195.606842 31.427932) - (xy 195.89374 31.485) (xy 196.18626 31.485) (xy 196.473158 31.427932) (xy 196.743411 31.31599) (xy 196.986632 31.153475) - (xy 197.193475 30.946632) (xy 197.31 30.77224) (xy 197.426525 30.946632) (xy 197.633368 31.153475) (xy 197.876589 31.31599) - (xy 198.146842 31.427932) (xy 198.43374 31.485) (xy 198.72626 31.485) (xy 199.013158 31.427932) (xy 199.283411 31.31599) - (xy 199.526632 31.153475) (xy 199.733475 30.946632) (xy 199.85 30.77224) (xy 199.966525 30.946632) (xy 200.173368 31.153475) - (xy 200.416589 31.31599) (xy 200.686842 31.427932) (xy 200.97374 31.485) (xy 201.26626 31.485) (xy 201.553158 31.427932) - (xy 201.823411 31.31599) (xy 202.066632 31.153475) (xy 202.273475 30.946632) (xy 202.39 30.77224) (xy 202.506525 30.946632) - (xy 202.713368 31.153475) (xy 202.956589 31.31599) (xy 203.226842 31.427932) (xy 203.51374 31.485) (xy 203.80626 31.485) - (xy 204.093158 31.427932) (xy 204.363411 31.31599) (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) - (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) - (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) - (xy 207.475195 30.764466) (xy 207.544822 30.881355) (xy 207.739731 31.097588) (xy 207.97308 31.271641) (xy 208.235901 31.396825) - (xy 208.38311 31.441476) (xy 208.613 31.320155) (xy 208.613 30.127) (xy 208.867 30.127) (xy 208.867 31.320155) - (xy 209.09689 31.441476) (xy 209.244099 31.396825) (xy 209.50692 31.271641) (xy 209.740269 31.097588) (xy 209.935178 30.881355) - (xy 210.084157 30.631252) (xy 210.181481 30.356891) (xy 210.060814 30.127) (xy 208.867 30.127) (xy 208.613 30.127) - (xy 208.593 30.127) (xy 208.593 29.873) (xy 208.613 29.873) (xy 208.613 27.587) (xy 208.867 27.587) - (xy 208.867 29.873) (xy 210.060814 29.873) (xy 210.181481 29.643109) (xy 210.122114 29.47575) (xy 219.84 29.47575) - (xy 219.84 29.70425) (xy 219.884578 29.92836) (xy 219.972021 30.139466) (xy 220.098969 30.329457) (xy 220.260543 30.491031) - (xy 220.450534 30.617979) (xy 220.66164 30.705422) (xy 220.88575 30.75) (xy 221.11425 30.75) (xy 221.33836 30.705422) - (xy 221.549466 30.617979) (xy 221.739457 30.491031) (xy 221.901031 30.329457) (xy 222.027979 30.139466) (xy 222.115422 29.92836) - (xy 222.16 29.70425) (xy 222.16 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) - (xy 229.972021 30.139466) (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) - (xy 230.88575 30.75) (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) - (xy 231.901031 30.329457) (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) - (xy 232.115422 29.25164) (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) - (xy 231.33836 28.474578) (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) - (xy 230.260543 28.688969) (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) - (xy 222.16 29.47575) (xy 222.115422 29.25164) (xy 222.027979 29.040534) (xy 221.901031 28.850543) (xy 221.739457 28.688969) - (xy 221.549466 28.562021) (xy 221.33836 28.474578) (xy 221.11425 28.43) (xy 220.88575 28.43) (xy 220.66164 28.474578) - (xy 220.450534 28.562021) (xy 220.260543 28.688969) (xy 220.098969 28.850543) (xy 219.972021 29.040534) (xy 219.884578 29.25164) - (xy 219.84 29.47575) (xy 210.122114 29.47575) (xy 210.084157 29.368748) (xy 209.935178 29.118645) (xy 209.740269 28.902412) - (xy 209.50912 28.73) (xy 209.740269 28.557588) (xy 209.935178 28.341355) (xy 210.084157 28.091252) (xy 210.181481 27.816891) - (xy 210.060814 27.587) (xy 208.867 27.587) (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) - (xy 208.613 27.333) (xy 208.613 26.139845) (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) - (xy 210.181481 27.103109) (xy 210.084157 26.828748) (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) - (xy 209.244099 26.063175) (xy 209.09689 26.018524) (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) - (xy 208.235901 26.063175) (xy 207.97308 26.188359) (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) - (xy 207.353475 26.513368) (xy 207.146632 26.306525) (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) - (xy 206.05374 25.975) (xy 205.766842 26.032068) (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) - (xy 204.93 26.68776) (xy 204.813475 26.513368) (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) - (xy 203.80626 25.975) (xy 203.51374 25.975) (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) - (xy 202.506525 26.513368) (xy 202.39 26.68776) (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) - (xy 201.553158 26.032068) (xy 201.26626 25.975) (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) - (xy 200.173368 26.306525) (xy 199.966525 26.513368) (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) - (xy 199.283411 26.14401) (xy 199.013158 26.032068) (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) - (xy 197.876589 26.14401) (xy 197.633368 26.306525) (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) - (xy 196.986632 26.306525) (xy 196.743411 26.14401) (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) - (xy 195.606842 26.032068) (xy 195.336589 26.14401) (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) - (xy 194.653475 26.513368) (xy 194.446632 26.306525) (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) - (xy 193.35374 25.975) (xy 193.066842 26.032068) (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) - (xy 192.23 26.68776) (xy 192.113475 26.513368) (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) - (xy 191.10626 25.975) (xy 190.81374 25.975) (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) - (xy 189.806525 26.513368) (xy 189.69 26.68776) (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) - (xy 188.853158 26.032068) (xy 188.56626 25.975) (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) - (xy 187.473368 26.306525) (xy 187.266525 26.513368) (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) - (xy 186.583411 26.14401) (xy 186.313158 26.032068) (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) - (xy 185.176589 26.14401) (xy 184.933368 26.306525) (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) - (xy 184.286632 26.306525) (xy 184.043411 26.14401) (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) - (xy 182.906842 26.032068) (xy 182.636589 26.14401) (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) - (xy 181.953475 26.513368) (xy 181.746632 26.306525) (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) - (xy 180.65374 25.975) (xy 180.366842 26.032068) (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) - (xy 179.53 26.68776) (xy 179.413475 26.513368) (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) - (xy 178.40626 25.975) (xy 178.11374 25.975) (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) - (xy 177.106525 26.513368) (xy 176.99 26.68776) (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) - (xy 176.153158 26.032068) (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) - (xy 174.773368 26.306525) (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) - (xy 173.883411 26.14401) (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) - (xy 172.476589 26.14401) (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) - (xy 171.640269 26.362412) (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) - (xy 170.513 26.139845) (xy 170.28311 26.018524) (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) - (xy 169.444822 26.578645) (xy 169.375195 26.695534) (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) - (xy 168.533158 26.032068) (xy 168.24626 25.975) (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) - (xy 167.153368 26.306525) (xy 166.946525 26.513368) (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) - (xy 166.263411 26.14401) (xy 165.993158 26.032068) (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) - (xy 164.856589 26.14401) (xy 164.613368 26.306525) (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) - (xy 163.966632 26.306525) (xy 163.723411 26.14401) (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) - (xy 162.586842 26.032068) (xy 162.316589 26.14401) (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) - (xy 161.633475 26.513368) (xy 161.426632 26.306525) (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) - (xy 160.33374 25.975) (xy 160.046842 26.032068) (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) - (xy 159.21 26.68776) (xy 159.093475 26.513368) (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) - (xy 158.08626 25.975) (xy 157.79374 25.975) (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) - (xy 156.786525 26.513368) (xy 156.67 26.68776) (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) - (xy 155.833158 26.032068) (xy 155.54626 25.975) (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) - (xy 154.453368 26.306525) (xy 154.246525 26.513368) (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) - (xy 153.563411 26.14401) (xy 153.293158 26.032068) (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) - (xy 152.156589 26.14401) (xy 151.913368 26.306525) (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) - (xy 151.266632 26.306525) (xy 151.023411 26.14401) (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) - (xy 149.886842 26.032068) (xy 149.616589 26.14401) (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) - (xy 148.933475 26.513368) (xy 148.726632 26.306525) (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) - (xy 147.63374 25.975) (xy 147.346842 26.032068) (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) - (xy 146.51 26.68776) (xy 146.393475 26.513368) (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) - (xy 145.38626 25.975) (xy 145.09374 25.975) (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) - (xy 144.086525 26.513368) (xy 143.97 26.68776) (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) - (xy 143.133158 26.032068) (xy 142.84626 25.975) (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) - (xy 141.753368 26.306525) (xy 141.546525 26.513368) (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) - (xy 140.863411 26.14401) (xy 140.593158 26.032068) (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) - (xy 139.456589 26.14401) (xy 139.213368 26.306525) (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) - (xy 138.566632 26.306525) (xy 138.323411 26.14401) (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) - (xy 137.186842 26.032068) (xy 136.916589 26.14401) (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) - (xy 136.233475 26.513368) (xy 136.026632 26.306525) (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) - (xy 134.93374 25.975) (xy 134.646842 26.032068) (xy 134.376589 26.14401) (xy 134.133368 26.306525) (xy 133.926525 26.513368) - (xy 133.804805 26.695534) (xy 133.735178 26.578645) (xy 133.540269 26.362412) (xy 133.30692 26.188359) (xy 133.044099 26.063175) - (xy 132.89689 26.018524) (xy 132.667 26.139845) (xy 132.413 26.139845) (xy 132.18311 26.018524) (xy 132.035901 26.063175) - (xy 131.77308 26.188359) (xy 131.539731 26.362412) (xy 131.344822 26.578645) (xy 131.275195 26.695534) (xy 131.153475 26.513368) - (xy 130.946632 26.306525) (xy 130.703411 26.14401) (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) - (xy 129.566842 26.032068) (xy 129.296589 26.14401) (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) - (xy 128.572068 27.026842) (xy 128.515 27.31374) (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) - (xy 128.846525 28.406632) (xy 128.97838 28.538487) (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) - (xy 128.619463 28.795506) (xy 128.560498 28.90582) (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 123.198223 29.15) - (xy 123.21599 29.123411) (xy 123.327932 28.853158) (xy 123.385 28.56626) (xy 123.385 28.27374) (xy 123.327932 27.986842) - (xy 123.21599 27.716589) (xy 123.053475 27.473368) (xy 122.846632 27.266525) (xy 122.67224 27.15) (xy 122.846632 27.033475) - (xy 123.053475 26.826632) (xy 123.21599 26.583411) (xy 123.327932 26.313158) (xy 123.385 26.02626) (xy 123.385 25.73374) - (xy 123.327932 25.446842) (xy 123.21599 25.176589) (xy 123.053475 24.933368) (xy 122.846632 24.726525) (xy 122.67224 24.61) - (xy 122.846632 24.493475) (xy 123.042046 24.298061) (xy 133.845 24.298061) (xy 133.845 24.501939) (xy 133.884774 24.701898) - (xy 133.962795 24.890256) (xy 134.076063 25.059774) (xy 134.220226 25.203937) (xy 134.389744 25.317205) (xy 134.578102 25.395226) - (xy 134.778061 25.435) (xy 134.981939 25.435) (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) - (xy 135.683937 25.059774) (xy 135.797205 24.890256) (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) - (xy 136.375 24.298061) (xy 136.375 24.501939) (xy 136.414774 24.701898) (xy 136.492795 24.890256) (xy 136.606063 25.059774) - (xy 136.750226 25.203937) (xy 136.919744 25.317205) (xy 137.108102 25.395226) (xy 137.308061 25.435) (xy 137.511939 25.435) - (xy 137.711898 25.395226) (xy 137.900256 25.317205) (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) - (xy 138.405226 24.701898) (xy 138.445 24.501939) (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) - (xy 138.213937 23.740226) (xy 138.069774 23.596063) (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) - (xy 137.308061 23.365) (xy 137.108102 23.404774) (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) - (xy 136.492795 23.909744) (xy 136.414774 24.098102) (xy 136.375 24.298061) (xy 135.915 24.298061) (xy 135.875226 24.098102) - (xy 135.797205 23.909744) (xy 135.683937 23.740226) (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) - (xy 134.981939 23.365) (xy 134.778061 23.365) (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) - (xy 134.076063 23.740226) (xy 133.962795 23.909744) (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 123.042046 24.298061) - (xy 123.053475 24.286632) (xy 123.21599 24.043411) (xy 123.327932 23.773158) (xy 123.385 23.48626) (xy 123.385 23.19374) - (xy 123.327932 22.906842) (xy 123.21599 22.636589) (xy 123.053475 22.393368) (xy 122.846632 22.186525) (xy 122.67224 22.07) - (xy 122.846632 21.953475) (xy 123.053475 21.746632) (xy 123.167089 21.576596) (xy 175.850673 21.576596) (xy 175.850673 21.780474) - (xy 175.890447 21.980433) (xy 175.968468 22.168791) (xy 176.081736 22.338309) (xy 176.225899 22.482472) (xy 176.395417 22.59574) - (xy 176.583775 22.673761) (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) - (xy 177.545447 22.482472) (xy 177.68961 22.338309) (xy 177.802878 22.168791) (xy 177.880899 21.980433) (xy 177.920673 21.780474) - (xy 177.920673 21.576596) (xy 177.880899 21.376637) (xy 177.802878 21.188279) (xy 177.68961 21.018761) (xy 177.545447 20.874598) - (xy 177.375929 20.76133) (xy 177.187571 20.683309) (xy 176.987612 20.643535) (xy 176.783734 20.643535) (xy 176.583775 20.683309) - (xy 176.395417 20.76133) (xy 176.225899 20.874598) (xy 176.081736 21.018761) (xy 175.968468 21.188279) (xy 175.890447 21.376637) - (xy 175.850673 21.576596) (xy 123.167089 21.576596) (xy 123.21599 21.503411) (xy 123.327932 21.233158) (xy 123.385 20.94626) - (xy 123.385 20.65374) (xy 123.327932 20.366842) (xy 123.21599 20.096589) (xy 123.053475 19.853368) (xy 122.846632 19.646525) - (xy 122.603411 19.48401) (xy 122.333158 19.372068) (xy 122.04626 19.315) (xy 121.75374 19.315) (xy 121.466842 19.372068) - (xy 121.196589 19.48401) (xy 120.953368 19.646525) (xy 120.746525 19.853368) (xy 120.58401 20.096589) (xy 120.472068 20.366842) - (xy 120.415 20.65374) (xy 120.415 20.94626) (xy 120.472068 21.233158) (xy 120.58401 21.503411) (xy 120.746525 21.746632) - (xy 120.953368 21.953475) (xy 121.12776 22.07) (xy 120.953368 22.186525) (xy 120.746525 22.393368) (xy 120.58401 22.636589) - (xy 120.472068 22.906842) (xy 120.415 23.19374) (xy 120.415 23.48626) (xy 120.472068 23.773158) (xy 120.58401 24.043411) - (xy 120.746525 24.286632) (xy 120.953368 24.493475) (xy 121.12776 24.61) (xy 120.953368 24.726525) (xy 120.746525 24.933368) - (xy 120.58401 25.176589) (xy 120.472068 25.446842) (xy 120.415 25.73374) (xy 120.415 26.02626) (xy 120.472068 26.313158) - (xy 120.58401 26.583411) (xy 120.746525 26.826632) (xy 120.953368 27.033475) (xy 121.12776 27.15) (xy 120.953368 27.266525) - (xy 120.746525 27.473368) (xy 120.58401 27.716589) (xy 120.472068 27.986842) (xy 120.415 28.27374) (xy 120.415 28.56626) - (xy 120.472068 28.853158) (xy 120.58401 29.123411) (xy 120.746525 29.366632) (xy 120.953368 29.573475) (xy 121.12776 29.69) - (xy 120.953368 29.806525) (xy 120.746525 30.013368) (xy 120.58401 30.256589) (xy 120.472068 30.526842) (xy 120.415 30.81374) - (xy 120.415 31.10626) (xy 120.472068 31.393158) (xy 120.58401 31.663411) (xy 120.746525 31.906632) (xy 120.87838 32.038487) - (xy 120.80582 32.060498) (xy 120.695506 32.119463) (xy 120.598815 32.198815) (xy 120.519463 32.295506) (xy 120.460498 32.40582) - (xy 120.424188 32.525518) (xy 120.411928 32.65) (xy 112.44 32.65) (xy 112.44 19.098061) (xy 155.495 19.098061) + (xy 117.230134 45.298061) (xy 112.44 45.298061) (xy 112.44 36.788061) (xy 254.965 36.788061) (xy 254.965 36.991939) + (xy 255.004774 37.191898) (xy 255.082795 37.380256) (xy 255.196063 37.549774) (xy 255.340226 37.693937) (xy 255.509744 37.807205) + (xy 255.698102 37.885226) (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) + (xy 256.659774 37.693937) (xy 256.803937 37.549774) (xy 256.917205 37.380256) (xy 256.995226 37.191898) (xy 257.035 36.991939) + (xy 257.035 36.788061) (xy 256.995226 36.588102) (xy 256.917205 36.399744) (xy 256.803937 36.230226) (xy 256.659774 36.086063) + (xy 256.490256 35.972795) (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) + (xy 255.509744 35.972795) (xy 255.340226 36.086063) (xy 255.196063 36.230226) (xy 255.082795 36.399744) (xy 255.004774 36.588102) + (xy 254.965 36.788061) (xy 112.44 36.788061) (xy 112.44 33.898061) (xy 222.965 33.898061) (xy 222.965 34.101939) + (xy 223.004774 34.301898) (xy 223.082795 34.490256) (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) + (xy 223.698102 34.995226) (xy 223.898061 35.035) (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) + (xy 224.659774 34.803937) (xy 224.803937 34.659774) (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) + (xy 225.004774 34.301898) (xy 225.082795 34.490256) (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) + (xy 225.698102 34.995226) (xy 225.898061 35.035) (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) + (xy 226.659774 34.803937) (xy 226.803937 34.659774) (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) + (xy 227.004774 34.301898) (xy 227.082795 34.490256) (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) + (xy 227.698102 34.995226) (xy 227.898061 35.035) (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) + (xy 228.659774 34.803937) (xy 228.803937 34.659774) (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) + (xy 229.004774 34.301898) (xy 229.082795 34.490256) (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) + (xy 229.698102 34.995226) (xy 229.898061 35.035) (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) + (xy 230.659774 34.803937) (xy 230.803937 34.659774) (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) + (xy 231.004774 34.301898) (xy 231.082795 34.490256) (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) + (xy 231.698102 34.995226) (xy 231.898061 35.035) (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) + (xy 232.659774 34.803937) (xy 232.803937 34.659774) (xy 232.917205 34.490256) (xy 232.995226 34.301898) (xy 233.035 34.101939) + (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.917205 33.509744) (xy 232.803937 33.340226) (xy 232.659774 33.196063) + (xy 232.490256 33.082795) (xy 232.301898 33.004774) (xy 232.101939 32.965) (xy 231.898061 32.965) (xy 231.698102 33.004774) + (xy 231.509744 33.082795) (xy 231.340226 33.196063) (xy 231.196063 33.340226) (xy 231.082795 33.509744) (xy 231.004774 33.698102) + (xy 231 33.722103) (xy 230.995226 33.698102) (xy 230.917205 33.509744) (xy 230.803937 33.340226) (xy 230.659774 33.196063) + (xy 230.490256 33.082795) (xy 230.301898 33.004774) (xy 230.101939 32.965) (xy 229.898061 32.965) (xy 229.698102 33.004774) + (xy 229.509744 33.082795) (xy 229.340226 33.196063) (xy 229.196063 33.340226) (xy 229.082795 33.509744) (xy 229.004774 33.698102) + (xy 229 33.722103) (xy 228.995226 33.698102) (xy 228.917205 33.509744) (xy 228.803937 33.340226) (xy 228.659774 33.196063) + (xy 228.490256 33.082795) (xy 228.301898 33.004774) (xy 228.101939 32.965) (xy 227.898061 32.965) (xy 227.698102 33.004774) + (xy 227.509744 33.082795) (xy 227.340226 33.196063) (xy 227.196063 33.340226) (xy 227.082795 33.509744) (xy 227.004774 33.698102) + (xy 227 33.722103) (xy 226.995226 33.698102) (xy 226.917205 33.509744) (xy 226.803937 33.340226) (xy 226.659774 33.196063) + (xy 226.490256 33.082795) (xy 226.301898 33.004774) (xy 226.101939 32.965) (xy 225.898061 32.965) (xy 225.698102 33.004774) + (xy 225.509744 33.082795) (xy 225.340226 33.196063) (xy 225.196063 33.340226) (xy 225.082795 33.509744) (xy 225.004774 33.698102) + (xy 225 33.722103) (xy 224.995226 33.698102) (xy 224.917205 33.509744) (xy 224.803937 33.340226) (xy 224.659774 33.196063) + (xy 224.490256 33.082795) (xy 224.301898 33.004774) (xy 224.101939 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) + (xy 223.509744 33.082795) (xy 223.340226 33.196063) (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) + (xy 222.965 33.898061) (xy 112.44 33.898061) (xy 112.44 29.15) (xy 128.511928 29.15) (xy 128.511928 30.85) + (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) (xy 128.795506 31.380537) + (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) (xy 130.974482 31.475812) + (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) (xy 131.439502 31.09418) + (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) (xy 132.18311 31.441476) + (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) (xy 132.413 29.873) + (xy 132.413 27.587) (xy 132.393 27.587) (xy 132.393 27.333) (xy 132.413 27.333) (xy 132.413 26.139845) + (xy 132.667 26.139845) (xy 132.667 27.333) (xy 132.687 27.333) (xy 132.687 27.587) (xy 132.667 27.587) + (xy 132.667 29.873) (xy 132.687 29.873) (xy 132.687 30.127) (xy 132.667 30.127) (xy 132.667 31.320155) + (xy 132.89689 31.441476) (xy 133.044099 31.396825) (xy 133.30692 31.271641) (xy 133.540269 31.097588) (xy 133.735178 30.881355) + (xy 133.804805 30.764466) (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) (xy 134.646842 31.427932) + (xy 134.93374 31.485) (xy 135.22626 31.485) (xy 135.513158 31.427932) (xy 135.783411 31.31599) (xy 136.026632 31.153475) + (xy 136.233475 30.946632) (xy 136.35 30.77224) (xy 136.466525 30.946632) (xy 136.673368 31.153475) (xy 136.916589 31.31599) + (xy 137.186842 31.427932) (xy 137.47374 31.485) (xy 137.76626 31.485) (xy 138.053158 31.427932) (xy 138.323411 31.31599) + (xy 138.566632 31.153475) (xy 138.773475 30.946632) (xy 138.89 30.77224) (xy 139.006525 30.946632) (xy 139.213368 31.153475) + (xy 139.456589 31.31599) (xy 139.726842 31.427932) (xy 140.01374 31.485) (xy 140.30626 31.485) (xy 140.593158 31.427932) + (xy 140.863411 31.31599) (xy 141.106632 31.153475) (xy 141.313475 30.946632) (xy 141.43 30.77224) (xy 141.546525 30.946632) + (xy 141.753368 31.153475) (xy 141.996589 31.31599) (xy 142.266842 31.427932) (xy 142.55374 31.485) (xy 142.84626 31.485) + (xy 143.133158 31.427932) (xy 143.403411 31.31599) (xy 143.646632 31.153475) (xy 143.853475 30.946632) (xy 143.97 30.77224) + (xy 144.086525 30.946632) (xy 144.293368 31.153475) (xy 144.536589 31.31599) (xy 144.806842 31.427932) (xy 145.09374 31.485) + (xy 145.38626 31.485) (xy 145.673158 31.427932) (xy 145.943411 31.31599) (xy 146.186632 31.153475) (xy 146.393475 30.946632) + (xy 146.51 30.77224) (xy 146.626525 30.946632) (xy 146.833368 31.153475) (xy 147.076589 31.31599) (xy 147.346842 31.427932) + (xy 147.63374 31.485) (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) (xy 148.726632 31.153475) + (xy 148.933475 30.946632) (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) (xy 149.616589 31.31599) + (xy 149.886842 31.427932) (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) (xy 151.023411 31.31599) + (xy 151.266632 31.153475) (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) (xy 151.913368 31.153475) + (xy 152.156589 31.31599) (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) (xy 153.293158 31.427932) + (xy 153.563411 31.31599) (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) (xy 154.246525 30.946632) + (xy 154.453368 31.153475) (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) (xy 155.54626 31.485) + (xy 155.833158 31.427932) (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) (xy 156.67 30.77224) + (xy 156.786525 30.946632) (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) (xy 157.79374 31.485) + (xy 158.08626 31.485) (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) (xy 159.093475 30.946632) + (xy 159.21 30.77224) (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) (xy 160.046842 31.427932) + (xy 160.33374 31.485) (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) (xy 161.426632 31.153475) + (xy 161.633475 30.946632) (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) (xy 162.316589 31.31599) + (xy 162.586842 31.427932) (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) (xy 163.723411 31.31599) + (xy 163.966632 31.153475) (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) (xy 164.613368 31.153475) + (xy 164.856589 31.31599) (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) (xy 165.993158 31.427932) + (xy 166.263411 31.31599) (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.83 30.77224) (xy 166.946525 30.946632) + (xy 167.153368 31.153475) (xy 167.396589 31.31599) (xy 167.666842 31.427932) (xy 167.95374 31.485) (xy 168.24626 31.485) + (xy 168.533158 31.427932) (xy 168.803411 31.31599) (xy 169.046632 31.153475) (xy 169.253475 30.946632) (xy 169.375195 30.764466) + (xy 169.444822 30.881355) (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) (xy 170.28311 31.441476) + (xy 170.513 31.320155) (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) (xy 170.513 29.873) + (xy 170.513 27.587) (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) (xy 170.513 26.139845) + (xy 170.767 26.139845) (xy 170.767 27.333) (xy 170.787 27.333) (xy 170.787 27.587) (xy 170.767 27.587) + (xy 170.767 29.873) (xy 170.787 29.873) (xy 170.787 30.127) (xy 170.767 30.127) (xy 170.767 31.320155) + (xy 170.99689 31.441476) (xy 171.144099 31.396825) (xy 171.40692 31.271641) (xy 171.640269 31.097588) (xy 171.835178 30.881355) + (xy 171.904805 30.764466) (xy 172.026525 30.946632) (xy 172.233368 31.153475) (xy 172.476589 31.31599) (xy 172.746842 31.427932) + (xy 173.03374 31.485) (xy 173.32626 31.485) (xy 173.613158 31.427932) (xy 173.883411 31.31599) (xy 174.126632 31.153475) + (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) (xy 174.773368 31.153475) (xy 175.016589 31.31599) + (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.153158 31.427932) (xy 176.423411 31.31599) + (xy 176.666632 31.153475) (xy 176.873475 30.946632) (xy 176.99 30.77224) (xy 177.106525 30.946632) (xy 177.313368 31.153475) + (xy 177.556589 31.31599) (xy 177.826842 31.427932) (xy 178.11374 31.485) (xy 178.40626 31.485) (xy 178.693158 31.427932) + (xy 178.963411 31.31599) (xy 179.206632 31.153475) (xy 179.413475 30.946632) (xy 179.53 30.77224) (xy 179.646525 30.946632) + (xy 179.853368 31.153475) (xy 180.096589 31.31599) (xy 180.366842 31.427932) (xy 180.65374 31.485) (xy 180.94626 31.485) + (xy 181.233158 31.427932) (xy 181.503411 31.31599) (xy 181.746632 31.153475) (xy 181.953475 30.946632) (xy 182.07 30.77224) + (xy 182.186525 30.946632) (xy 182.393368 31.153475) (xy 182.636589 31.31599) (xy 182.906842 31.427932) (xy 183.19374 31.485) + (xy 183.48626 31.485) (xy 183.773158 31.427932) (xy 184.043411 31.31599) (xy 184.286632 31.153475) (xy 184.493475 30.946632) + (xy 184.61 30.77224) (xy 184.726525 30.946632) (xy 184.933368 31.153475) (xy 185.176589 31.31599) (xy 185.446842 31.427932) + (xy 185.73374 31.485) (xy 186.02626 31.485) (xy 186.313158 31.427932) (xy 186.583411 31.31599) (xy 186.826632 31.153475) + (xy 187.033475 30.946632) (xy 187.15 30.77224) (xy 187.266525 30.946632) (xy 187.473368 31.153475) (xy 187.716589 31.31599) + (xy 187.986842 31.427932) (xy 188.27374 31.485) (xy 188.56626 31.485) (xy 188.853158 31.427932) (xy 189.123411 31.31599) + (xy 189.366632 31.153475) (xy 189.573475 30.946632) (xy 189.69 30.77224) (xy 189.806525 30.946632) (xy 190.013368 31.153475) + (xy 190.256589 31.31599) (xy 190.526842 31.427932) (xy 190.81374 31.485) (xy 191.10626 31.485) (xy 191.393158 31.427932) + (xy 191.663411 31.31599) (xy 191.906632 31.153475) (xy 192.113475 30.946632) (xy 192.23 30.77224) (xy 192.346525 30.946632) + (xy 192.553368 31.153475) (xy 192.796589 31.31599) (xy 193.066842 31.427932) (xy 193.35374 31.485) (xy 193.64626 31.485) + (xy 193.933158 31.427932) (xy 194.203411 31.31599) (xy 194.446632 31.153475) (xy 194.653475 30.946632) (xy 194.77 30.77224) + (xy 194.886525 30.946632) (xy 195.093368 31.153475) (xy 195.336589 31.31599) (xy 195.606842 31.427932) (xy 195.89374 31.485) + (xy 196.18626 31.485) (xy 196.473158 31.427932) (xy 196.743411 31.31599) (xy 196.986632 31.153475) (xy 197.193475 30.946632) + (xy 197.31 30.77224) (xy 197.426525 30.946632) (xy 197.633368 31.153475) (xy 197.876589 31.31599) (xy 198.146842 31.427932) + (xy 198.43374 31.485) (xy 198.72626 31.485) (xy 199.013158 31.427932) (xy 199.283411 31.31599) (xy 199.526632 31.153475) + (xy 199.733475 30.946632) (xy 199.85 30.77224) (xy 199.966525 30.946632) (xy 200.173368 31.153475) (xy 200.416589 31.31599) + (xy 200.686842 31.427932) (xy 200.97374 31.485) (xy 201.26626 31.485) (xy 201.553158 31.427932) (xy 201.823411 31.31599) + (xy 202.066632 31.153475) (xy 202.273475 30.946632) (xy 202.39 30.77224) (xy 202.506525 30.946632) (xy 202.713368 31.153475) + (xy 202.956589 31.31599) (xy 203.226842 31.427932) (xy 203.51374 31.485) (xy 203.80626 31.485) (xy 204.093158 31.427932) + (xy 204.363411 31.31599) (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) + (xy 205.253368 31.153475) (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) + (xy 206.633158 31.427932) (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.475195 30.764466) + (xy 207.544822 30.881355) (xy 207.739731 31.097588) (xy 207.97308 31.271641) (xy 208.235901 31.396825) (xy 208.38311 31.441476) + (xy 208.613 31.320155) (xy 208.613 30.127) (xy 208.867 30.127) (xy 208.867 31.320155) (xy 209.09689 31.441476) + (xy 209.244099 31.396825) (xy 209.50692 31.271641) (xy 209.740269 31.097588) (xy 209.935178 30.881355) (xy 210.084157 30.631252) + (xy 210.181481 30.356891) (xy 210.060814 30.127) (xy 208.867 30.127) (xy 208.613 30.127) (xy 208.593 30.127) + (xy 208.593 29.873) (xy 208.613 29.873) (xy 208.613 27.587) (xy 208.867 27.587) (xy 208.867 29.873) + (xy 210.060814 29.873) (xy 210.181481 29.643109) (xy 210.122114 29.47575) (xy 219.84 29.47575) (xy 219.84 29.70425) + (xy 219.884578 29.92836) (xy 219.972021 30.139466) (xy 220.098969 30.329457) (xy 220.260543 30.491031) (xy 220.450534 30.617979) + (xy 220.66164 30.705422) (xy 220.88575 30.75) (xy 221.11425 30.75) (xy 221.33836 30.705422) (xy 221.549466 30.617979) + (xy 221.739457 30.491031) (xy 221.901031 30.329457) (xy 222.027979 30.139466) (xy 222.115422 29.92836) (xy 222.16 29.70425) + (xy 222.16 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) (xy 229.972021 30.139466) + (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) (xy 230.88575 30.75) + (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) (xy 231.901031 30.329457) + (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) (xy 232.115422 29.25164) + (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) (xy 231.33836 28.474578) + (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) (xy 230.260543 28.688969) + (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) (xy 222.16 29.47575) + (xy 222.115422 29.25164) (xy 222.027979 29.040534) (xy 221.901031 28.850543) (xy 221.739457 28.688969) (xy 221.549466 28.562021) + (xy 221.33836 28.474578) (xy 221.11425 28.43) (xy 220.88575 28.43) (xy 220.66164 28.474578) (xy 220.450534 28.562021) + (xy 220.260543 28.688969) (xy 220.098969 28.850543) (xy 219.972021 29.040534) (xy 219.884578 29.25164) (xy 219.84 29.47575) + (xy 210.122114 29.47575) (xy 210.084157 29.368748) (xy 209.935178 29.118645) (xy 209.740269 28.902412) (xy 209.50912 28.73) + (xy 209.740269 28.557588) (xy 209.935178 28.341355) (xy 210.084157 28.091252) (xy 210.181481 27.816891) (xy 210.060814 27.587) + (xy 208.867 27.587) (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) + (xy 208.613 26.139845) (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) + (xy 210.084157 26.828748) (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.244099 26.063175) + (xy 209.09689 26.018524) (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) + (xy 207.97308 26.188359) (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) + (xy 207.146632 26.306525) (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.05374 25.975) + (xy 205.766842 26.032068) (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) (xy 204.93 26.68776) + (xy 204.813475 26.513368) (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) (xy 203.80626 25.975) + (xy 203.51374 25.975) (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) (xy 202.506525 26.513368) + (xy 202.39 26.68776) (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) (xy 201.553158 26.032068) + (xy 201.26626 25.975) (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) (xy 200.173368 26.306525) + (xy 199.966525 26.513368) (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) (xy 199.283411 26.14401) + (xy 199.013158 26.032068) (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) (xy 197.876589 26.14401) + (xy 197.633368 26.306525) (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) (xy 196.986632 26.306525) + (xy 196.743411 26.14401) (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) (xy 195.606842 26.032068) + (xy 195.336589 26.14401) (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) (xy 194.653475 26.513368) + (xy 194.446632 26.306525) (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) (xy 193.35374 25.975) + (xy 193.066842 26.032068) (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) (xy 192.23 26.68776) + (xy 192.113475 26.513368) (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) (xy 191.10626 25.975) + (xy 190.81374 25.975) (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) (xy 189.806525 26.513368) + (xy 189.69 26.68776) (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) (xy 188.853158 26.032068) + (xy 188.56626 25.975) (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) (xy 187.473368 26.306525) + (xy 187.266525 26.513368) (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) (xy 186.583411 26.14401) + (xy 186.313158 26.032068) (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) (xy 185.176589 26.14401) + (xy 184.933368 26.306525) (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) (xy 184.286632 26.306525) + (xy 184.043411 26.14401) (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) (xy 182.906842 26.032068) + (xy 182.636589 26.14401) (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) (xy 181.953475 26.513368) + (xy 181.746632 26.306525) (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) (xy 180.65374 25.975) + (xy 180.366842 26.032068) (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) (xy 179.53 26.68776) + (xy 179.413475 26.513368) (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) (xy 178.40626 25.975) + (xy 178.11374 25.975) (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) (xy 177.106525 26.513368) + (xy 176.99 26.68776) (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) (xy 176.153158 26.032068) + (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) + (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) (xy 173.883411 26.14401) + (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) (xy 172.476589 26.14401) + (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) (xy 171.640269 26.362412) + (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) (xy 170.513 26.139845) + (xy 170.28311 26.018524) (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) (xy 169.444822 26.578645) + (xy 169.375195 26.695534) (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) (xy 168.533158 26.032068) + (xy 168.24626 25.975) (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) (xy 167.153368 26.306525) + (xy 166.946525 26.513368) (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.263411 26.14401) + (xy 165.993158 26.032068) (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) (xy 164.856589 26.14401) + (xy 164.613368 26.306525) (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) (xy 163.966632 26.306525) + (xy 163.723411 26.14401) (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) (xy 162.586842 26.032068) + (xy 162.316589 26.14401) (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) (xy 161.633475 26.513368) + (xy 161.426632 26.306525) (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) (xy 160.33374 25.975) + (xy 160.046842 26.032068) (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) (xy 159.21 26.68776) + (xy 159.093475 26.513368) (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) (xy 158.08626 25.975) + (xy 157.79374 25.975) (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) (xy 156.786525 26.513368) + (xy 156.67 26.68776) (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) (xy 155.833158 26.032068) + (xy 155.54626 25.975) (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) (xy 154.453368 26.306525) + (xy 154.246525 26.513368) (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) (xy 153.563411 26.14401) + (xy 153.293158 26.032068) (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) (xy 152.156589 26.14401) + (xy 151.913368 26.306525) (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) (xy 151.266632 26.306525) + (xy 151.023411 26.14401) (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) + (xy 149.616589 26.14401) (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) + (xy 148.726632 26.306525) (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) + (xy 147.346842 26.032068) (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) + (xy 146.393475 26.513368) (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) + (xy 145.09374 25.975) (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) + (xy 143.97 26.68776) (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) + (xy 142.84626 25.975) (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) + (xy 141.546525 26.513368) (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) + (xy 140.593158 26.032068) (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) + (xy 139.213368 26.306525) (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) + (xy 138.323411 26.14401) (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) + (xy 136.916589 26.14401) (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) (xy 136.233475 26.513368) + (xy 136.026632 26.306525) (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) (xy 134.93374 25.975) + (xy 134.646842 26.032068) (xy 134.376589 26.14401) (xy 134.133368 26.306525) (xy 133.926525 26.513368) (xy 133.804805 26.695534) + (xy 133.735178 26.578645) (xy 133.540269 26.362412) (xy 133.30692 26.188359) (xy 133.044099 26.063175) (xy 132.89689 26.018524) + (xy 132.667 26.139845) (xy 132.413 26.139845) (xy 132.18311 26.018524) (xy 132.035901 26.063175) (xy 131.77308 26.188359) + (xy 131.539731 26.362412) (xy 131.344822 26.578645) (xy 131.275195 26.695534) (xy 131.153475 26.513368) (xy 130.946632 26.306525) + (xy 130.703411 26.14401) (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) (xy 129.566842 26.032068) + (xy 129.296589 26.14401) (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) (xy 128.572068 27.026842) + (xy 128.515 27.31374) (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) + (xy 128.97838 28.538487) (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) + (xy 128.560498 28.90582) (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 112.44 29.15) (xy 112.44 24.298061) + (xy 133.845 24.298061) (xy 133.845 24.501939) (xy 133.884774 24.701898) (xy 133.962795 24.890256) (xy 134.076063 25.059774) + (xy 134.220226 25.203937) (xy 134.389744 25.317205) (xy 134.578102 25.395226) (xy 134.778061 25.435) (xy 134.981939 25.435) + (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) (xy 135.683937 25.059774) (xy 135.797205 24.890256) + (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) (xy 136.375 24.298061) (xy 136.375 24.501939) + (xy 136.414774 24.701898) (xy 136.492795 24.890256) (xy 136.606063 25.059774) (xy 136.750226 25.203937) (xy 136.919744 25.317205) + (xy 137.108102 25.395226) (xy 137.308061 25.435) (xy 137.511939 25.435) (xy 137.711898 25.395226) (xy 137.900256 25.317205) + (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) (xy 138.405226 24.701898) (xy 138.445 24.501939) + (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) (xy 138.213937 23.740226) (xy 138.069774 23.596063) + (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) (xy 137.308061 23.365) (xy 137.108102 23.404774) + (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) (xy 136.492795 23.909744) (xy 136.414774 24.098102) + (xy 136.375 24.298061) (xy 135.915 24.298061) (xy 135.875226 24.098102) (xy 135.797205 23.909744) (xy 135.683937 23.740226) + (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) (xy 134.981939 23.365) (xy 134.778061 23.365) + (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) (xy 134.076063 23.740226) (xy 133.962795 23.909744) + (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 112.44 24.298061) (xy 112.44 21.576596) (xy 175.850673 21.576596) + (xy 175.850673 21.780474) (xy 175.890447 21.980433) (xy 175.968468 22.168791) (xy 176.081736 22.338309) (xy 176.225899 22.482472) + (xy 176.395417 22.59574) (xy 176.583775 22.673761) (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) + (xy 177.375929 22.59574) (xy 177.545447 22.482472) (xy 177.68961 22.338309) (xy 177.802878 22.168791) (xy 177.880899 21.980433) + (xy 177.920673 21.780474) (xy 177.920673 21.576596) (xy 177.880899 21.376637) (xy 177.802878 21.188279) (xy 177.68961 21.018761) + (xy 177.545447 20.874598) (xy 177.375929 20.76133) (xy 177.187571 20.683309) (xy 176.987612 20.643535) (xy 176.783734 20.643535) + (xy 176.583775 20.683309) (xy 176.395417 20.76133) (xy 176.225899 20.874598) (xy 176.081736 21.018761) (xy 175.968468 21.188279) + (xy 175.890447 21.376637) (xy 175.850673 21.576596) (xy 112.44 21.576596) (xy 112.44 19.098061) (xy 155.495 19.098061) (xy 155.495 19.301939) (xy 155.534774 19.501898) (xy 155.612795 19.690256) (xy 155.726063 19.859774) (xy 155.870226 20.003937) (xy 156.039744 20.117205) (xy 156.228102 20.195226) (xy 156.428061 20.235) (xy 156.631939 20.235) (xy 156.831898 20.195226) (xy 157.020256 20.117205) (xy 157.189774 20.003937) (xy 157.333937 19.859774) (xy 157.447205 19.690256) (xy 157.525226 19.501898) @@ -11167,746 +10923,783 @@ (xy 261.550378 13.370593) (xy 261.558017 13.376912) (xy 261.663887 13.463257) (xy 261.717474 13.498859) (xy 261.770583 13.535224) (xy 261.779303 13.539939) (xy 261.899928 13.604076) (xy 261.959402 13.628589) (xy 262.018565 13.653947) (xy 262.028035 13.656878) (xy 262.15882 13.696365) (xy 262.221939 13.708863) (xy 262.284895 13.722245) (xy 262.294754 13.723281) (xy 262.430718 13.736612) - (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 53.031509) - (xy 272.574463 53.001082) (xy 272.276912 52.877832) (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) - (xy 271.025537 53.001082) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) - (xy 270.165 54.288967) (xy 270.165 54.611033) (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) - (xy 270.757748 55.719987) (xy 271.025537 55.898918) (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) - (xy 272.276912 56.022168) (xy 272.574463 55.898918) (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) - (xy 272.276912 68.577832) (xy 271.961033 68.515) (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) - (xy 270.757748 68.880013) (xy 270.530013 69.107748) (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) - (xy 270.165 70.311033) (xy 270.227832 70.626912) (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) - (xy 271.025537 71.598918) (xy 271.323088 71.722168) (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) - (xy 272.574463 71.598918) (xy 272.62 71.568491) (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) - (xy 271.486835 74.335) (xy 271.305398 74.35287) (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) - (xy 270.515667 74.880551) (xy 270.400989 75.095099) (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.33037 75.812102) - (xy 270.333383 75.822035) (xy 270.253881 75.703051) (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) - (xy 269.331448 75.21) (xy 269.063552 75.21) (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) - (xy 268.141119 75.703051) (xy 267.992284 75.925799) (xy 267.895432 76.159619) (xy 267.821939 76.145) (xy 267.618061 76.145) - (xy 267.418102 76.184774) (xy 267.229744 76.262795) (xy 267.060226 76.376063) (xy 266.916063 76.520226) (xy 266.802795 76.689744) - (xy 266.724774 76.878102) (xy 266.685 77.078061) (xy 266.685 77.281939) (xy 266.724774 77.481898) (xy 266.802795 77.670256) - (xy 266.916063 77.839774) (xy 267.060226 77.983937) (xy 267.229744 78.097205) (xy 267.418102 78.175226) (xy 267.618061 78.215) - (xy 267.821939 78.215) (xy 268.021898 78.175226) (xy 268.210256 78.097205) (xy 268.379774 77.983937) (xy 268.523937 77.839774) - (xy 268.564087 77.779685) (xy 268.800803 77.877736) (xy 269.063552 77.93) (xy 269.331448 77.93) (xy 269.594197 77.877736) - (xy 269.841701 77.775216) (xy 270.064449 77.626381) (xy 270.253881 77.436949) (xy 270.402716 77.214201) (xy 270.505236 76.966697) - (xy 270.5575 76.703948) (xy 270.5575 76.436052) (xy 270.524516 76.270232) (xy 270.669998 76.447502) (xy 270.858051 76.601833) - (xy 271.072599 76.716511) (xy 271.305398 76.78713) (xy 271.486835 76.805) (xy 272.308165 76.805) (xy 272.489602 76.78713) - (xy 272.62 76.747574) (xy 272.62 81.392426) (xy 272.489602 81.35287) (xy 272.308165 81.335) (xy 271.486835 81.335) - (xy 271.305398 81.35287) (xy 271.072599 81.423489) (xy 270.858051 81.538167) (xy 270.669998 81.692498) (xy 270.524516 81.869768) - (xy 270.5575 81.703948) (xy 270.5575 81.436052) (xy 270.505236 81.173303) (xy 270.402716 80.925799) (xy 270.253881 80.703051) - (xy 270.064449 80.513619) (xy 269.841701 80.364784) (xy 269.594197 80.262264) (xy 269.331448 80.21) (xy 269.063552 80.21) - (xy 268.800803 80.262264) (xy 268.553299 80.364784) (xy 268.330551 80.513619) (xy 268.141119 80.703051) (xy 267.992284 80.925799) - (xy 267.889764 81.173303) (xy 267.8375 81.436052) (xy 267.8375 81.703948) (xy 267.889764 81.966697) (xy 267.992284 82.214201) - (xy 268.141119 82.436949) (xy 268.330551 82.626381) (xy 268.553299 82.775216) (xy 268.800803 82.877736) (xy 269.063552 82.93) - (xy 269.331448 82.93) (xy 269.594197 82.877736) (xy 269.841701 82.775216) (xy 270.064449 82.626381) (xy 270.253881 82.436949) - (xy 270.333383 82.317965) (xy 270.33037 82.327898) (xy 270.306525 82.57) (xy 270.33037 82.812102) (xy 270.400989 83.044901) - (xy 270.515667 83.259449) (xy 270.669998 83.447502) (xy 270.858051 83.601833) (xy 271.072599 83.716511) (xy 271.305398 83.78713) - (xy 271.486835 83.805) (xy 272.308165 83.805) (xy 272.489602 83.78713) (xy 272.62 83.747574) (xy 272.62 93.473) - (xy 270.244313 93.473) (xy 270.235042 93.459125) (xy 269.880875 93.104958) (xy 269.464419 92.826691) (xy 269.001677 92.635017) - (xy 268.510434 92.537303) (xy 268.009566 92.537303) (xy 267.518323 92.635017) (xy 267.055581 92.826691) (xy 266.639125 93.104958) - (xy 266.284958 93.459125) (xy 266.275687 93.473) (xy 196.507272 93.473) (xy 196.690256 93.397205) (xy 196.859774 93.283937) - (xy 197.003937 93.139774) (xy 197.117205 92.970256) (xy 197.195226 92.781898) (xy 197.235 92.581939) (xy 197.235 92.378061) - (xy 197.195226 92.178102) (xy 197.117205 91.989744) (xy 197.003937 91.820226) (xy 196.859774 91.676063) (xy 196.690256 91.562795) - (xy 196.501898 91.484774) (xy 196.301939 91.445) (xy 196.098061 91.445) (xy 195.898102 91.484774) (xy 195.709744 91.562795) - (xy 195.540226 91.676063) (xy 195.396063 91.820226) (xy 195.282795 91.989744) (xy 195.204774 92.178102) (xy 195.165 92.378061) - (xy 195.165 92.581939) (xy 195.204774 92.781898) (xy 195.282795 92.970256) (xy 195.396063 93.139774) (xy 195.540226 93.283937) - (xy 195.709744 93.397205) (xy 195.892728 93.473) (xy 180.107272 93.473) (xy 180.290256 93.397205) (xy 180.459774 93.283937) - (xy 180.603937 93.139774) (xy 180.717205 92.970256) (xy 180.795226 92.781898) (xy 180.835 92.581939) (xy 180.835 92.378061) - (xy 180.795226 92.178102) (xy 180.717205 91.989744) (xy 180.603937 91.820226) (xy 180.459774 91.676063) (xy 180.290256 91.562795) - (xy 180.101898 91.484774) (xy 179.901939 91.445) (xy 179.698061 91.445) (xy 179.498102 91.484774) (xy 179.309744 91.562795) - (xy 179.140226 91.676063) (xy 178.996063 91.820226) (xy 178.882795 91.989744) (xy 178.804774 92.178102) (xy 178.765 92.378061) - (xy 178.765 92.581939) (xy 178.804774 92.781898) (xy 178.882795 92.970256) (xy 178.996063 93.139774) (xy 179.140226 93.283937) - (xy 179.309744 93.397205) (xy 179.492728 93.473) (xy 124.724353 93.473) (xy 124.795226 93.301898) (xy 124.835 93.101939) - (xy 124.835 92.898061) (xy 124.795226 92.698102) (xy 124.717205 92.509744) (xy 124.603937 92.340226) (xy 124.459774 92.196063) - (xy 124.290256 92.082795) (xy 124.101898 92.004774) (xy 123.901939 91.965) (xy 123.698061 91.965) (xy 123.498102 92.004774) - (xy 123.309744 92.082795) (xy 123.140226 92.196063) (xy 122.996063 92.340226) (xy 122.882795 92.509744) (xy 122.804774 92.698102) - (xy 122.765 92.898061) (xy 122.765 93.101939) (xy 122.804774 93.301898) (xy 122.875647 93.473) (xy 113.726856 93.473) - (xy 113.725069 91.898061) (xy 157.065 91.898061) (xy 157.065 92.101939) (xy 157.104774 92.301898) (xy 157.182795 92.490256) - (xy 157.296063 92.659774) (xy 157.440226 92.803937) (xy 157.609744 92.917205) (xy 157.798102 92.995226) (xy 157.998061 93.035) - (xy 158.201939 93.035) (xy 158.401898 92.995226) (xy 158.590256 92.917205) (xy 158.759774 92.803937) (xy 158.903937 92.659774) - (xy 159.017205 92.490256) (xy 159.095226 92.301898) (xy 159.135 92.101939) (xy 159.135 91.898061) (xy 159.095226 91.698102) - (xy 159.017205 91.509744) (xy 158.992695 91.473061) (xy 167.615 91.473061) (xy 167.615 91.676939) (xy 167.654774 91.876898) - (xy 167.732795 92.065256) (xy 167.846063 92.234774) (xy 167.990226 92.378937) (xy 168.159744 92.492205) (xy 168.348102 92.570226) - (xy 168.548061 92.61) (xy 168.751939 92.61) (xy 168.951898 92.570226) (xy 169.140256 92.492205) (xy 169.309774 92.378937) - (xy 169.453937 92.234774) (xy 169.567205 92.065256) (xy 169.645226 91.876898) (xy 169.685 91.676939) (xy 169.685 91.473061) - (xy 169.645226 91.273102) (xy 169.567205 91.084744) (xy 169.453937 90.915226) (xy 169.309774 90.771063) (xy 169.140256 90.657795) - (xy 168.951898 90.579774) (xy 168.751939 90.54) (xy 168.548061 90.54) (xy 168.348102 90.579774) (xy 168.159744 90.657795) - (xy 167.990226 90.771063) (xy 167.846063 90.915226) (xy 167.732795 91.084744) (xy 167.654774 91.273102) (xy 167.615 91.473061) - (xy 158.992695 91.473061) (xy 158.903937 91.340226) (xy 158.759774 91.196063) (xy 158.590256 91.082795) (xy 158.401898 91.004774) - (xy 158.201939 90.965) (xy 157.998061 90.965) (xy 157.798102 91.004774) (xy 157.609744 91.082795) (xy 157.440226 91.196063) - (xy 157.296063 91.340226) (xy 157.182795 91.509744) (xy 157.104774 91.698102) (xy 157.065 91.898061) (xy 113.725069 91.898061) - (xy 113.719938 87.378061) (xy 114.165 87.378061) (xy 114.165 87.581939) (xy 114.204774 87.781898) (xy 114.282795 87.970256) - (xy 114.396063 88.139774) (xy 114.540226 88.283937) (xy 114.709744 88.397205) (xy 114.849272 88.455) (xy 114.709744 88.512795) - (xy 114.540226 88.626063) (xy 114.396063 88.770226) (xy 114.282795 88.939744) (xy 114.204774 89.128102) (xy 114.165 89.328061) - (xy 114.165 89.531939) (xy 114.204774 89.731898) (xy 114.282795 89.920256) (xy 114.389532 90.08) (xy 114.282795 90.239744) - (xy 114.204774 90.428102) (xy 114.165 90.628061) (xy 114.165 90.831939) (xy 114.204774 91.031898) (xy 114.282795 91.220256) - (xy 114.396063 91.389774) (xy 114.540226 91.533937) (xy 114.709744 91.647205) (xy 114.898102 91.725226) (xy 115.098061 91.765) - (xy 115.301939 91.765) (xy 115.501898 91.725226) (xy 115.690256 91.647205) (xy 115.859774 91.533937) (xy 116.003937 91.389774) - (xy 116.117205 91.220256) (xy 116.195226 91.031898) (xy 116.235 90.831939) (xy 116.235 90.628061) (xy 116.195226 90.428102) - (xy 116.117205 90.239744) (xy 116.010468 90.08) (xy 116.117205 89.920256) (xy 116.195226 89.731898) (xy 116.235 89.531939) - (xy 116.235 89.328061) (xy 116.211628 89.210561) (xy 136.465 89.210561) (xy 136.465 89.414439) (xy 136.504774 89.614398) - (xy 136.582795 89.802756) (xy 136.696063 89.972274) (xy 136.840226 90.116437) (xy 137.009744 90.229705) (xy 137.198102 90.307726) - (xy 137.398061 90.3475) (xy 137.601939 90.3475) (xy 137.801898 90.307726) (xy 137.990256 90.229705) (xy 138.159774 90.116437) - (xy 138.303937 89.972274) (xy 138.320115 89.948061) (xy 144.265 89.948061) (xy 144.265 90.151939) (xy 144.304774 90.351898) - (xy 144.382795 90.540256) (xy 144.496063 90.709774) (xy 144.640226 90.853937) (xy 144.809744 90.967205) (xy 144.998102 91.045226) - (xy 145.198061 91.085) (xy 145.401939 91.085) (xy 145.601898 91.045226) (xy 145.790256 90.967205) (xy 145.959774 90.853937) - (xy 146.103937 90.709774) (xy 146.217205 90.540256) (xy 146.267017 90.419999) (xy 216.731928 90.419999) (xy 216.731928 92.120001) - (xy 216.748992 92.293255) (xy 216.799528 92.459851) (xy 216.881595 92.613387) (xy 216.992038 92.747962) (xy 217.126613 92.858405) - (xy 217.280149 92.940472) (xy 217.446745 92.991008) (xy 217.619999 93.008072) (xy 218.860001 93.008072) (xy 219.033255 92.991008) - (xy 219.199851 92.940472) (xy 219.353387 92.858405) (xy 219.487962 92.747962) (xy 219.598405 92.613387) (xy 219.656934 92.503886) - (xy 219.710655 92.569345) (xy 219.939822 92.757417) (xy 220.201276 92.897166) (xy 220.484969 92.983224) (xy 220.78 93.012282) - (xy 221.075032 92.983224) (xy 221.358725 92.897166) (xy 221.620179 92.757417) (xy 221.849345 92.569345) (xy 222.037417 92.340179) - (xy 222.05 92.316638) (xy 222.062583 92.340179) (xy 222.250655 92.569345) (xy 222.479822 92.757417) (xy 222.741276 92.897166) - (xy 223.024969 92.983224) (xy 223.32 93.012282) (xy 223.615032 92.983224) (xy 223.898725 92.897166) (xy 224.160179 92.757417) - (xy 224.389345 92.569345) (xy 224.577417 92.340179) (xy 224.717166 92.078724) (xy 224.803224 91.795031) (xy 224.825 91.573935) - (xy 224.825 90.966064) (xy 224.803224 90.744968) (xy 224.717166 90.461275) (xy 224.577417 90.199821) (xy 224.389345 89.970655) - (xy 224.160178 89.782583) (xy 223.898724 89.642834) (xy 223.615031 89.556776) (xy 223.32 89.527718) (xy 223.024968 89.556776) - (xy 222.741275 89.642834) (xy 222.479821 89.782583) (xy 222.250655 89.970655) (xy 222.062583 90.199822) (xy 222.05 90.223363) - (xy 222.037417 90.199821) (xy 221.849345 89.970655) (xy 221.620178 89.782583) (xy 221.358724 89.642834) (xy 221.075031 89.556776) - (xy 220.78 89.527718) (xy 220.484968 89.556776) (xy 220.201275 89.642834) (xy 219.939821 89.782583) (xy 219.710655 89.970655) - (xy 219.656935 90.036114) (xy 219.598405 89.926613) (xy 219.487962 89.792038) (xy 219.353387 89.681595) (xy 219.199851 89.599528) - (xy 219.033255 89.548992) (xy 218.860001 89.531928) (xy 217.619999 89.531928) (xy 217.446745 89.548992) (xy 217.280149 89.599528) - (xy 217.126613 89.681595) (xy 216.992038 89.792038) (xy 216.881595 89.926613) (xy 216.799528 90.080149) (xy 216.748992 90.246745) - (xy 216.731928 90.419999) (xy 146.267017 90.419999) (xy 146.295226 90.351898) (xy 146.335 90.151939) (xy 146.335 89.948061) - (xy 146.295226 89.748102) (xy 146.217205 89.559744) (xy 146.103937 89.390226) (xy 145.959774 89.246063) (xy 145.790256 89.132795) - (xy 145.700317 89.095541) (xy 145.701898 89.095226) (xy 145.890256 89.017205) (xy 146.059774 88.903937) (xy 146.203937 88.759774) - (xy 146.317205 88.590256) (xy 146.395226 88.401898) (xy 146.435 88.201939) (xy 146.435 87.998061) (xy 146.395226 87.798102) - (xy 146.317205 87.609744) (xy 146.203937 87.440226) (xy 146.059774 87.296063) (xy 145.890256 87.182795) (xy 145.701898 87.104774) - (xy 145.501939 87.065) (xy 145.298061 87.065) (xy 145.098102 87.104774) (xy 144.909744 87.182795) (xy 144.740226 87.296063) - (xy 144.596063 87.440226) (xy 144.482795 87.609744) (xy 144.404774 87.798102) (xy 144.365 87.998061) (xy 144.365 88.201939) - (xy 144.404774 88.401898) (xy 144.482795 88.590256) (xy 144.596063 88.759774) (xy 144.740226 88.903937) (xy 144.909744 89.017205) - (xy 144.999683 89.054459) (xy 144.998102 89.054774) (xy 144.809744 89.132795) (xy 144.640226 89.246063) (xy 144.496063 89.390226) - (xy 144.382795 89.559744) (xy 144.304774 89.748102) (xy 144.265 89.948061) (xy 138.320115 89.948061) (xy 138.417205 89.802756) - (xy 138.495226 89.614398) (xy 138.535 89.414439) (xy 138.535 89.210561) (xy 138.495226 89.010602) (xy 138.417205 88.822244) - (xy 138.303937 88.652726) (xy 138.159774 88.508563) (xy 137.990256 88.395295) (xy 137.801898 88.317274) (xy 137.601939 88.2775) - (xy 137.398061 88.2775) (xy 137.198102 88.317274) (xy 137.009744 88.395295) (xy 136.840226 88.508563) (xy 136.696063 88.652726) - (xy 136.582795 88.822244) (xy 136.504774 89.010602) (xy 136.465 89.210561) (xy 116.211628 89.210561) (xy 116.195226 89.128102) - (xy 116.117205 88.939744) (xy 116.003937 88.770226) (xy 115.859774 88.626063) (xy 115.690256 88.512795) (xy 115.550728 88.455) - (xy 115.690256 88.397205) (xy 115.859774 88.283937) (xy 116.003937 88.139774) (xy 116.117205 87.970256) (xy 116.147109 87.898061) - (xy 127.062842 87.898061) (xy 127.062842 88.101939) (xy 127.102616 88.301898) (xy 127.180637 88.490256) (xy 127.293905 88.659774) - (xy 127.438068 88.803937) (xy 127.607586 88.917205) (xy 127.795944 88.995226) (xy 127.995903 89.035) (xy 128.199781 89.035) - (xy 128.39974 88.995226) (xy 128.588098 88.917205) (xy 128.757616 88.803937) (xy 128.901779 88.659774) (xy 128.997842 88.516005) - (xy 129.093905 88.659774) (xy 129.238068 88.803937) (xy 129.407586 88.917205) (xy 129.595944 88.995226) (xy 129.795903 89.035) - (xy 129.999781 89.035) (xy 130.19974 88.995226) (xy 130.388098 88.917205) (xy 130.557616 88.803937) (xy 130.701779 88.659774) - (xy 130.797842 88.516005) (xy 130.893905 88.659774) (xy 131.038068 88.803937) (xy 131.207586 88.917205) (xy 131.395944 88.995226) - (xy 131.595903 89.035) (xy 131.799781 89.035) (xy 131.99974 88.995226) (xy 132.188098 88.917205) (xy 132.357616 88.803937) - (xy 132.501779 88.659774) (xy 132.554703 88.580567) (xy 132.617631 88.674746) (xy 132.761794 88.818909) (xy 132.931312 88.932177) - (xy 133.11967 89.010198) (xy 133.319629 89.049972) (xy 133.523507 89.049972) (xy 133.723466 89.010198) (xy 133.911824 88.932177) - (xy 134.081342 88.818909) (xy 134.225505 88.674746) (xy 134.338773 88.505228) (xy 134.416794 88.31687) (xy 134.456568 88.116911) - (xy 134.456568 87.913033) (xy 134.416794 87.713074) (xy 134.338773 87.524716) (xy 134.225505 87.355198) (xy 134.218368 87.348061) - (xy 138.265 87.348061) (xy 138.265 87.551939) (xy 138.304774 87.751898) (xy 138.382795 87.940256) (xy 138.496063 88.109774) - (xy 138.640226 88.253937) (xy 138.809744 88.367205) (xy 138.998102 88.445226) (xy 139.198061 88.485) (xy 139.401939 88.485) - (xy 139.601898 88.445226) (xy 139.790256 88.367205) (xy 139.959774 88.253937) (xy 140.103937 88.109774) (xy 140.217205 87.940256) - (xy 140.295226 87.751898) (xy 140.335 87.551939) (xy 140.335 87.348061) (xy 140.295226 87.148102) (xy 140.217205 86.959744) - (xy 140.103937 86.790226) (xy 140.011772 86.698061) (xy 147.165 86.698061) (xy 147.165 86.901939) (xy 147.204774 87.101898) - (xy 147.282795 87.290256) (xy 147.396063 87.459774) (xy 147.540226 87.603937) (xy 147.709744 87.717205) (xy 147.898102 87.795226) - (xy 148.098061 87.835) (xy 148.301939 87.835) (xy 148.501898 87.795226) (xy 148.690256 87.717205) (xy 148.859774 87.603937) - (xy 148.90565 87.558061) (xy 252.815 87.558061) (xy 252.815 87.761939) (xy 252.854774 87.961898) (xy 252.932795 88.150256) - (xy 253.046063 88.319774) (xy 253.190226 88.463937) (xy 253.359744 88.577205) (xy 253.548102 88.655226) (xy 253.748061 88.695) - (xy 253.951939 88.695) (xy 254.151898 88.655226) (xy 254.340256 88.577205) (xy 254.509774 88.463937) (xy 254.653937 88.319774) - (xy 254.767205 88.150256) (xy 254.845226 87.961898) (xy 254.873836 87.818061) (xy 254.975 87.818061) (xy 254.975 88.021939) - (xy 255.014774 88.221898) (xy 255.092795 88.410256) (xy 255.206063 88.579774) (xy 255.350226 88.723937) (xy 255.519744 88.837205) - (xy 255.708102 88.915226) (xy 255.908061 88.955) (xy 256.111939 88.955) (xy 256.311898 88.915226) (xy 256.500256 88.837205) - (xy 256.669774 88.723937) (xy 256.813937 88.579774) (xy 256.927205 88.410256) (xy 257.005226 88.221898) (xy 257.045 88.021939) - (xy 257.045 87.818061) (xy 257.005226 87.618102) (xy 256.927205 87.429744) (xy 256.813937 87.260226) (xy 256.669774 87.116063) - (xy 256.500256 87.002795) (xy 256.311898 86.924774) (xy 256.111939 86.885) (xy 255.908061 86.885) (xy 255.708102 86.924774) - (xy 255.519744 87.002795) (xy 255.350226 87.116063) (xy 255.206063 87.260226) (xy 255.092795 87.429744) (xy 255.014774 87.618102) - (xy 254.975 87.818061) (xy 254.873836 87.818061) (xy 254.885 87.761939) (xy 254.885 87.558061) (xy 254.845226 87.358102) - (xy 254.767205 87.169744) (xy 254.653937 87.000226) (xy 254.509774 86.856063) (xy 254.340256 86.742795) (xy 254.151898 86.664774) - (xy 253.951939 86.625) (xy 253.748061 86.625) (xy 253.548102 86.664774) (xy 253.359744 86.742795) (xy 253.190226 86.856063) - (xy 253.046063 87.000226) (xy 252.932795 87.169744) (xy 252.854774 87.358102) (xy 252.815 87.558061) (xy 148.90565 87.558061) - (xy 149.003937 87.459774) (xy 149.117205 87.290256) (xy 149.195226 87.101898) (xy 149.235 86.901939) (xy 149.235 86.698061) - (xy 149.195226 86.498102) (xy 149.117205 86.309744) (xy 149.003937 86.140226) (xy 148.859774 85.996063) (xy 148.690256 85.882795) - (xy 148.501898 85.804774) (xy 148.301939 85.765) (xy 148.098061 85.765) (xy 147.898102 85.804774) (xy 147.709744 85.882795) - (xy 147.540226 85.996063) (xy 147.396063 86.140226) (xy 147.282795 86.309744) (xy 147.204774 86.498102) (xy 147.165 86.698061) - (xy 140.011772 86.698061) (xy 139.959774 86.646063) (xy 139.790256 86.532795) (xy 139.601898 86.454774) (xy 139.401939 86.415) - (xy 139.198061 86.415) (xy 138.998102 86.454774) (xy 138.809744 86.532795) (xy 138.640226 86.646063) (xy 138.496063 86.790226) - (xy 138.382795 86.959744) (xy 138.304774 87.148102) (xy 138.265 87.348061) (xy 134.218368 87.348061) (xy 134.081342 87.211035) - (xy 133.911824 87.097767) (xy 133.723466 87.019746) (xy 133.523507 86.979972) (xy 133.319629 86.979972) (xy 133.11967 87.019746) - (xy 132.931312 87.097767) (xy 132.761794 87.211035) (xy 132.617631 87.355198) (xy 132.564707 87.434405) (xy 132.501779 87.340226) - (xy 132.357616 87.196063) (xy 132.188098 87.082795) (xy 131.99974 87.004774) (xy 131.799781 86.965) (xy 131.595903 86.965) - (xy 131.395944 87.004774) (xy 131.207586 87.082795) (xy 131.038068 87.196063) (xy 130.893905 87.340226) (xy 130.797842 87.483995) - (xy 130.701779 87.340226) (xy 130.557616 87.196063) (xy 130.388098 87.082795) (xy 130.19974 87.004774) (xy 129.999781 86.965) - (xy 129.795903 86.965) (xy 129.595944 87.004774) (xy 129.407586 87.082795) (xy 129.238068 87.196063) (xy 129.093905 87.340226) - (xy 128.997842 87.483995) (xy 128.901779 87.340226) (xy 128.757616 87.196063) (xy 128.588098 87.082795) (xy 128.39974 87.004774) - (xy 128.199781 86.965) (xy 127.995903 86.965) (xy 127.795944 87.004774) (xy 127.607586 87.082795) (xy 127.438068 87.196063) - (xy 127.293905 87.340226) (xy 127.180637 87.509744) (xy 127.102616 87.698102) (xy 127.062842 87.898061) (xy 116.147109 87.898061) - (xy 116.195226 87.781898) (xy 116.235 87.581939) (xy 116.235 87.378061) (xy 116.195226 87.178102) (xy 116.117205 86.989744) - (xy 116.003937 86.820226) (xy 115.859774 86.676063) (xy 115.690256 86.562795) (xy 115.501898 86.484774) (xy 115.301939 86.445) - (xy 115.098061 86.445) (xy 114.898102 86.484774) (xy 114.709744 86.562795) (xy 114.540226 86.676063) (xy 114.396063 86.820226) - (xy 114.282795 86.989744) (xy 114.204774 87.178102) (xy 114.165 87.378061) (xy 113.719938 87.378061) (xy 113.716874 84.678061) - (xy 116.165 84.678061) (xy 116.165 84.881939) (xy 116.204774 85.081898) (xy 116.282795 85.270256) (xy 116.396063 85.439774) - (xy 116.540226 85.583937) (xy 116.709744 85.697205) (xy 116.898102 85.775226) (xy 117.098061 85.815) (xy 117.301939 85.815) - (xy 117.501898 85.775226) (xy 117.690256 85.697205) (xy 117.859774 85.583937) (xy 118.003937 85.439774) (xy 118.117205 85.270256) - (xy 118.195226 85.081898) (xy 118.235 84.881939) (xy 118.235 84.678061) (xy 118.195226 84.478102) (xy 118.117205 84.289744) - (xy 118.003937 84.120226) (xy 117.859774 83.976063) (xy 117.690256 83.862795) (xy 117.655074 83.848222) (xy 181.165161 83.848222) - (xy 181.165161 84.0521) (xy 181.204935 84.252059) (xy 181.282956 84.440417) (xy 181.396224 84.609935) (xy 181.540387 84.754098) - (xy 181.709905 84.867366) (xy 181.898263 84.945387) (xy 182.098222 84.985161) (xy 182.3021 84.985161) (xy 182.502059 84.945387) - (xy 182.690417 84.867366) (xy 182.859935 84.754098) (xy 183.004098 84.609935) (xy 183.117366 84.440417) (xy 183.127657 84.415572) - (xy 183.154774 84.551898) (xy 183.232795 84.740256) (xy 183.346063 84.909774) (xy 183.490226 85.053937) (xy 183.659744 85.167205) - (xy 183.848102 85.245226) (xy 184.048061 85.285) (xy 184.251939 85.285) (xy 184.451898 85.245226) (xy 184.640256 85.167205) - (xy 184.809774 85.053937) (xy 184.953937 84.909774) (xy 185.067205 84.740256) (xy 185.106552 84.645263) (xy 185.140226 84.678937) - (xy 185.309744 84.792205) (xy 185.498102 84.870226) (xy 185.698061 84.91) (xy 185.901939 84.91) (xy 186.101898 84.870226) - (xy 186.290256 84.792205) (xy 186.459774 84.678937) (xy 186.603937 84.534774) (xy 186.717205 84.365256) (xy 186.795226 84.176898) - (xy 186.807157 84.116918) (xy 186.898061 84.135) (xy 187.101939 84.135) (xy 187.301898 84.095226) (xy 187.490256 84.017205) - (xy 187.659774 83.903937) (xy 187.803937 83.759774) (xy 187.917205 83.590256) (xy 187.995226 83.401898) (xy 188.035 83.201939) - (xy 188.035 82.998061) (xy 187.995226 82.798102) (xy 187.924793 82.628061) (xy 235.415 82.628061) (xy 235.415 82.831939) - (xy 235.454774 83.031898) (xy 235.532795 83.220256) (xy 235.646063 83.389774) (xy 235.790226 83.533937) (xy 235.959744 83.647205) - (xy 236.148102 83.725226) (xy 236.348061 83.765) (xy 236.551939 83.765) (xy 236.751898 83.725226) (xy 236.940256 83.647205) - (xy 237.109774 83.533937) (xy 237.253937 83.389774) (xy 237.367205 83.220256) (xy 237.445226 83.031898) (xy 237.485 82.831939) - (xy 237.485 82.628061) (xy 251.865 82.628061) (xy 251.865 82.831939) (xy 251.904774 83.031898) (xy 251.982795 83.220256) - (xy 252.096063 83.389774) (xy 252.240226 83.533937) (xy 252.409744 83.647205) (xy 252.598102 83.725226) (xy 252.798061 83.765) - (xy 253.001939 83.765) (xy 253.201898 83.725226) (xy 253.390256 83.647205) (xy 253.559774 83.533937) (xy 253.703937 83.389774) - (xy 253.817205 83.220256) (xy 253.895226 83.031898) (xy 253.935 82.831939) (xy 253.935 82.628061) (xy 253.895226 82.428102) - (xy 253.817205 82.239744) (xy 253.703937 82.070226) (xy 253.559774 81.926063) (xy 253.390256 81.812795) (xy 253.201898 81.734774) - (xy 253.001939 81.695) (xy 252.798061 81.695) (xy 252.598102 81.734774) (xy 252.409744 81.812795) (xy 252.240226 81.926063) - (xy 252.096063 82.070226) (xy 251.982795 82.239744) (xy 251.904774 82.428102) (xy 251.865 82.628061) (xy 237.485 82.628061) - (xy 237.445226 82.428102) (xy 237.367205 82.239744) (xy 237.253937 82.070226) (xy 237.109774 81.926063) (xy 236.940256 81.812795) - (xy 236.751898 81.734774) (xy 236.551939 81.695) (xy 236.348061 81.695) (xy 236.148102 81.734774) (xy 235.959744 81.812795) - (xy 235.790226 81.926063) (xy 235.646063 82.070226) (xy 235.532795 82.239744) (xy 235.454774 82.428102) (xy 235.415 82.628061) - (xy 187.924793 82.628061) (xy 187.917205 82.609744) (xy 187.803937 82.440226) (xy 187.659774 82.296063) (xy 187.490256 82.182795) - (xy 187.301898 82.104774) (xy 187.101939 82.065) (xy 186.898061 82.065) (xy 186.698102 82.104774) (xy 186.509744 82.182795) - (xy 186.340226 82.296063) (xy 186.196063 82.440226) (xy 186.082795 82.609744) (xy 186.004774 82.798102) (xy 185.992843 82.858082) - (xy 185.901939 82.84) (xy 185.698061 82.84) (xy 185.498102 82.879774) (xy 185.309744 82.957795) (xy 185.140226 83.071063) - (xy 184.996063 83.215226) (xy 184.882795 83.384744) (xy 184.843448 83.479737) (xy 184.809774 83.446063) (xy 184.640256 83.332795) - (xy 184.451898 83.254774) (xy 184.251939 83.215) (xy 184.189799 83.215) (xy 184.195226 83.201898) (xy 184.235 83.001939) - (xy 184.235 82.798061) (xy 184.195226 82.598102) (xy 184.117205 82.409744) (xy 184.003937 82.240226) (xy 183.859774 82.096063) - (xy 183.690256 81.982795) (xy 183.501898 81.904774) (xy 183.301939 81.865) (xy 183.098061 81.865) (xy 182.898102 81.904774) - (xy 182.709744 81.982795) (xy 182.540226 82.096063) (xy 182.396063 82.240226) (xy 182.282795 82.409744) (xy 182.204774 82.598102) - (xy 182.165 82.798061) (xy 182.165 82.915161) (xy 182.098222 82.915161) (xy 181.898263 82.954935) (xy 181.709905 83.032956) - (xy 181.540387 83.146224) (xy 181.396224 83.290387) (xy 181.282956 83.459905) (xy 181.204935 83.648263) (xy 181.165161 83.848222) - (xy 117.655074 83.848222) (xy 117.501898 83.784774) (xy 117.301939 83.745) (xy 117.098061 83.745) (xy 116.898102 83.784774) - (xy 116.709744 83.862795) (xy 116.540226 83.976063) (xy 116.396063 84.120226) (xy 116.282795 84.289744) (xy 116.204774 84.478102) - (xy 116.165 84.678061) (xy 113.716874 84.678061) (xy 113.713435 81.648061) (xy 123.6775 81.648061) (xy 123.6775 81.851939) - (xy 123.717274 82.051898) (xy 123.795295 82.240256) (xy 123.908563 82.409774) (xy 124.052726 82.553937) (xy 124.222244 82.667205) - (xy 124.410602 82.745226) (xy 124.610561 82.785) (xy 124.814439 82.785) (xy 125.014398 82.745226) (xy 125.202756 82.667205) - (xy 125.372274 82.553937) (xy 125.516437 82.409774) (xy 125.540967 82.373061) (xy 139.34 82.373061) (xy 139.34 82.576939) - (xy 139.379774 82.776898) (xy 139.457795 82.965256) (xy 139.571063 83.134774) (xy 139.715226 83.278937) (xy 139.884744 83.392205) - (xy 140.073102 83.470226) (xy 140.273061 83.51) (xy 140.476939 83.51) (xy 140.676898 83.470226) (xy 140.865256 83.392205) - (xy 141.034774 83.278937) (xy 141.178937 83.134774) (xy 141.292205 82.965256) (xy 141.370226 82.776898) (xy 141.41 82.576939) - (xy 141.41 82.373061) (xy 141.370226 82.173102) (xy 141.292205 81.984744) (xy 141.178937 81.815226) (xy 141.034774 81.671063) - (xy 140.865256 81.557795) (xy 140.676898 81.479774) (xy 140.476939 81.44) (xy 140.273061 81.44) (xy 140.073102 81.479774) - (xy 139.884744 81.557795) (xy 139.715226 81.671063) (xy 139.571063 81.815226) (xy 139.457795 81.984744) (xy 139.379774 82.173102) - (xy 139.34 82.373061) (xy 125.540967 82.373061) (xy 125.629705 82.240256) (xy 125.707726 82.051898) (xy 125.7475 81.851939) - (xy 125.7475 81.648061) (xy 125.707726 81.448102) (xy 125.629705 81.259744) (xy 125.516437 81.090226) (xy 125.372274 80.946063) - (xy 125.202756 80.832795) (xy 125.014398 80.754774) (xy 124.814439 80.715) (xy 124.610561 80.715) (xy 124.410602 80.754774) - (xy 124.222244 80.832795) (xy 124.052726 80.946063) (xy 123.908563 81.090226) (xy 123.795295 81.259744) (xy 123.717274 81.448102) - (xy 123.6775 81.648061) (xy 113.713435 81.648061) (xy 113.712216 80.573061) (xy 125.515 80.573061) (xy 125.515 80.776939) - (xy 125.554774 80.976898) (xy 125.632795 81.165256) (xy 125.746063 81.334774) (xy 125.890226 81.478937) (xy 126.059744 81.592205) - (xy 126.248102 81.670226) (xy 126.448061 81.71) (xy 126.651939 81.71) (xy 126.851898 81.670226) (xy 127.040256 81.592205) - (xy 127.209774 81.478937) (xy 127.353937 81.334774) (xy 127.467205 81.165256) (xy 127.545226 80.976898) (xy 127.585 80.776939) - (xy 127.585 80.573061) (xy 127.545226 80.373102) (xy 127.467205 80.184744) (xy 127.353937 80.015226) (xy 127.209774 79.871063) - (xy 127.040256 79.757795) (xy 126.851898 79.679774) (xy 126.651939 79.64) (xy 126.448061 79.64) (xy 126.248102 79.679774) - (xy 126.059744 79.757795) (xy 125.890226 79.871063) (xy 125.746063 80.015226) (xy 125.632795 80.184744) (xy 125.554774 80.373102) - (xy 125.515 80.573061) (xy 113.712216 80.573061) (xy 113.710144 78.748061) (xy 123.89 78.748061) (xy 123.89 78.951939) - (xy 123.929774 79.151898) (xy 124.007795 79.340256) (xy 124.121063 79.509774) (xy 124.265226 79.653937) (xy 124.434744 79.767205) - (xy 124.623102 79.845226) (xy 124.823061 79.885) (xy 125.026939 79.885) (xy 125.226898 79.845226) (xy 125.415256 79.767205) - (xy 125.584774 79.653937) (xy 125.70065 79.538061) (xy 131.765 79.538061) (xy 131.765 79.741939) (xy 131.804774 79.941898) - (xy 131.882795 80.130256) (xy 131.996063 80.299774) (xy 132.140226 80.443937) (xy 132.309744 80.557205) (xy 132.498102 80.635226) - (xy 132.698061 80.675) (xy 132.901939 80.675) (xy 133.101898 80.635226) (xy 133.290256 80.557205) (xy 133.459774 80.443937) - (xy 133.603937 80.299774) (xy 133.717205 80.130256) (xy 133.795226 79.941898) (xy 133.835 79.741939) (xy 133.835 79.648061) - (xy 154.3225 79.648061) (xy 154.3225 79.851939) (xy 154.362274 80.051898) (xy 154.440295 80.240256) (xy 154.553563 80.409774) - (xy 154.697726 80.553937) (xy 154.867244 80.667205) (xy 155.055602 80.745226) (xy 155.255561 80.785) (xy 155.459439 80.785) - (xy 155.659398 80.745226) (xy 155.847756 80.667205) (xy 156.017274 80.553937) (xy 156.161437 80.409774) (xy 156.274705 80.240256) - (xy 156.352726 80.051898) (xy 156.3925 79.851939) (xy 156.3925 79.648061) (xy 156.352726 79.448102) (xy 156.274705 79.259744) - (xy 156.161437 79.090226) (xy 156.017274 78.946063) (xy 155.847756 78.832795) (xy 155.659398 78.754774) (xy 155.459439 78.715) - (xy 155.255561 78.715) (xy 155.055602 78.754774) (xy 154.867244 78.832795) (xy 154.697726 78.946063) (xy 154.553563 79.090226) - (xy 154.440295 79.259744) (xy 154.362274 79.448102) (xy 154.3225 79.648061) (xy 133.835 79.648061) (xy 133.835 79.538061) - (xy 133.795226 79.338102) (xy 133.717205 79.149744) (xy 133.603937 78.980226) (xy 133.459774 78.836063) (xy 133.290256 78.722795) - (xy 133.101898 78.644774) (xy 132.901939 78.605) (xy 132.698061 78.605) (xy 132.498102 78.644774) (xy 132.309744 78.722795) - (xy 132.140226 78.836063) (xy 131.996063 78.980226) (xy 131.882795 79.149744) (xy 131.804774 79.338102) (xy 131.765 79.538061) - (xy 125.70065 79.538061) (xy 125.728937 79.509774) (xy 125.842205 79.340256) (xy 125.920226 79.151898) (xy 125.96 78.951939) - (xy 125.96 78.748061) (xy 125.920226 78.548102) (xy 125.842205 78.359744) (xy 125.728937 78.190226) (xy 125.584774 78.046063) - (xy 125.415256 77.932795) (xy 125.226898 77.854774) (xy 125.026939 77.815) (xy 124.823061 77.815) (xy 124.623102 77.854774) - (xy 124.434744 77.932795) (xy 124.265226 78.046063) (xy 124.121063 78.190226) (xy 124.007795 78.359744) (xy 123.929774 78.548102) - (xy 123.89 78.748061) (xy 113.710144 78.748061) (xy 113.706796 75.798061) (xy 124.03133 75.798061) (xy 124.03133 76.001939) - (xy 124.071104 76.201898) (xy 124.149125 76.390256) (xy 124.262393 76.559774) (xy 124.406556 76.703937) (xy 124.576074 76.817205) - (xy 124.764432 76.895226) (xy 124.964391 76.935) (xy 125.168269 76.935) (xy 125.368228 76.895226) (xy 125.556586 76.817205) - (xy 125.577754 76.803061) (xy 125.94 76.803061) (xy 125.94 77.006939) (xy 125.979774 77.206898) (xy 126.057795 77.395256) - (xy 126.171063 77.564774) (xy 126.315226 77.708937) (xy 126.484744 77.822205) (xy 126.673102 77.900226) (xy 126.873061 77.94) - (xy 127.076939 77.94) (xy 127.276898 77.900226) (xy 127.465256 77.822205) (xy 127.634774 77.708937) (xy 127.778937 77.564774) - (xy 127.892205 77.395256) (xy 127.970226 77.206898) (xy 127.988891 77.113061) (xy 130.065 77.113061) (xy 130.065 77.316939) - (xy 130.104774 77.516898) (xy 130.182795 77.705256) (xy 130.296063 77.874774) (xy 130.440226 78.018937) (xy 130.609744 78.132205) - (xy 130.798102 78.210226) (xy 130.998061 78.25) (xy 131.201939 78.25) (xy 131.401898 78.210226) (xy 131.590256 78.132205) - (xy 131.759774 78.018937) (xy 131.903937 77.874774) (xy 132.017205 77.705256) (xy 132.060804 77.6) (xy 132.101939 77.6) - (xy 132.301898 77.560226) (xy 132.490256 77.482205) (xy 132.659774 77.368937) (xy 132.67276 77.355951) (xy 132.704774 77.516898) - (xy 132.782795 77.705256) (xy 132.896063 77.874774) (xy 133.040226 78.018937) (xy 133.209744 78.132205) (xy 133.398102 78.210226) - (xy 133.598061 78.25) (xy 133.801939 78.25) (xy 134.001898 78.210226) (xy 134.190256 78.132205) (xy 134.271288 78.078061) - (xy 167.3225 78.078061) (xy 167.3225 78.281939) (xy 167.362274 78.481898) (xy 167.440295 78.670256) (xy 167.553563 78.839774) - (xy 167.678789 78.965) (xy 167.553563 79.090226) (xy 167.440295 79.259744) (xy 167.362274 79.448102) (xy 167.3225 79.648061) - (xy 167.3225 79.851939) (xy 167.362274 80.051898) (xy 167.440295 80.240256) (xy 167.553563 80.409774) (xy 167.697726 80.553937) - (xy 167.867244 80.667205) (xy 168.055602 80.745226) (xy 168.255561 80.785) (xy 168.459439 80.785) (xy 168.659398 80.745226) - (xy 168.847756 80.667205) (xy 169.017274 80.553937) (xy 169.161437 80.409774) (xy 169.274705 80.240256) (xy 169.352726 80.051898) - (xy 169.3925 79.851939) (xy 169.3925 79.648061) (xy 178.8225 79.648061) (xy 178.8225 79.851939) (xy 178.862274 80.051898) - (xy 178.940295 80.240256) (xy 179.053563 80.409774) (xy 179.197726 80.553937) (xy 179.367244 80.667205) (xy 179.555602 80.745226) - (xy 179.755561 80.785) (xy 179.959439 80.785) (xy 180.159398 80.745226) (xy 180.347756 80.667205) (xy 180.517274 80.553937) - (xy 180.661437 80.409774) (xy 180.774705 80.240256) (xy 180.852726 80.051898) (xy 180.8925 79.851939) (xy 180.8925 79.648061) - (xy 180.852726 79.448102) (xy 180.774705 79.259744) (xy 180.661437 79.090226) (xy 180.517274 78.946063) (xy 180.347756 78.832795) - (xy 180.159398 78.754774) (xy 179.959439 78.715) (xy 179.755561 78.715) (xy 179.555602 78.754774) (xy 179.367244 78.832795) - (xy 179.197726 78.946063) (xy 179.053563 79.090226) (xy 178.940295 79.259744) (xy 178.862274 79.448102) (xy 178.8225 79.648061) - (xy 169.3925 79.648061) (xy 169.352726 79.448102) (xy 169.274705 79.259744) (xy 169.161437 79.090226) (xy 169.036211 78.965) - (xy 169.161437 78.839774) (xy 169.256126 78.698061) (xy 182.565 78.698061) (xy 182.565 78.901939) (xy 182.604774 79.101898) - (xy 182.682795 79.290256) (xy 182.796063 79.459774) (xy 182.940226 79.603937) (xy 183.109744 79.717205) (xy 183.298102 79.795226) - (xy 183.491196 79.833634) (xy 183.504774 79.901898) (xy 183.582795 80.090256) (xy 183.696063 80.259774) (xy 183.840226 80.403937) - (xy 184.009744 80.517205) (xy 184.198102 80.595226) (xy 184.398061 80.635) (xy 184.601939 80.635) (xy 184.801898 80.595226) - (xy 184.972526 80.524549) (xy 184.996063 80.559774) (xy 185.140226 80.703937) (xy 185.309744 80.817205) (xy 185.498102 80.895226) - (xy 185.698061 80.935) (xy 185.901939 80.935) (xy 186.101898 80.895226) (xy 186.290256 80.817205) (xy 186.459774 80.703937) - (xy 186.603937 80.559774) (xy 186.717205 80.390256) (xy 186.795226 80.201898) (xy 186.835 80.001939) (xy 186.835 79.798061) - (xy 186.805164 79.648061) (xy 193.8225 79.648061) (xy 193.8225 79.851939) (xy 193.862274 80.051898) (xy 193.940295 80.240256) - (xy 194.053563 80.409774) (xy 194.197726 80.553937) (xy 194.367244 80.667205) (xy 194.555602 80.745226) (xy 194.755561 80.785) - (xy 194.959439 80.785) (xy 195.159398 80.745226) (xy 195.347756 80.667205) (xy 195.517274 80.553937) (xy 195.661437 80.409774) - (xy 195.774705 80.240256) (xy 195.852726 80.051898) (xy 195.8925 79.851939) (xy 195.8925 79.648061) (xy 195.852726 79.448102) - (xy 195.774705 79.259744) (xy 195.77024 79.253061) (xy 261.605 79.253061) (xy 261.605 79.456939) (xy 261.644774 79.656898) - (xy 261.722795 79.845256) (xy 261.836063 80.014774) (xy 261.980226 80.158937) (xy 262.149744 80.272205) (xy 262.338102 80.350226) - (xy 262.538061 80.39) (xy 262.741939 80.39) (xy 262.941898 80.350226) (xy 263.130256 80.272205) (xy 263.299774 80.158937) - (xy 263.443937 80.014774) (xy 263.557205 79.845256) (xy 263.635226 79.656898) (xy 263.675 79.456939) (xy 263.675 79.253061) - (xy 266.695 79.253061) (xy 266.695 79.456939) (xy 266.734774 79.656898) (xy 266.812795 79.845256) (xy 266.926063 80.014774) - (xy 267.070226 80.158937) (xy 267.239744 80.272205) (xy 267.428102 80.350226) (xy 267.628061 80.39) (xy 267.831939 80.39) - (xy 268.031898 80.350226) (xy 268.220256 80.272205) (xy 268.389774 80.158937) (xy 268.533937 80.014774) (xy 268.647205 79.845256) - (xy 268.725226 79.656898) (xy 268.765 79.456939) (xy 268.765 79.253061) (xy 268.725226 79.053102) (xy 268.647205 78.864744) - (xy 268.533937 78.695226) (xy 268.389774 78.551063) (xy 268.220256 78.437795) (xy 268.031898 78.359774) (xy 267.831939 78.32) - (xy 267.628061 78.32) (xy 267.428102 78.359774) (xy 267.239744 78.437795) (xy 267.070226 78.551063) (xy 266.926063 78.695226) - (xy 266.812795 78.864744) (xy 266.734774 79.053102) (xy 266.695 79.253061) (xy 263.675 79.253061) (xy 263.635226 79.053102) - (xy 263.557205 78.864744) (xy 263.443937 78.695226) (xy 263.299774 78.551063) (xy 263.130256 78.437795) (xy 262.941898 78.359774) - (xy 262.741939 78.32) (xy 262.538061 78.32) (xy 262.338102 78.359774) (xy 262.149744 78.437795) (xy 261.980226 78.551063) - (xy 261.836063 78.695226) (xy 261.722795 78.864744) (xy 261.644774 79.053102) (xy 261.605 79.253061) (xy 195.77024 79.253061) - (xy 195.661437 79.090226) (xy 195.517274 78.946063) (xy 195.347756 78.832795) (xy 195.159398 78.754774) (xy 194.959439 78.715) - (xy 194.755561 78.715) (xy 194.555602 78.754774) (xy 194.367244 78.832795) (xy 194.197726 78.946063) (xy 194.053563 79.090226) - (xy 193.940295 79.259744) (xy 193.862274 79.448102) (xy 193.8225 79.648061) (xy 186.805164 79.648061) (xy 186.795226 79.598102) - (xy 186.717205 79.409744) (xy 186.603937 79.240226) (xy 186.459774 79.096063) (xy 186.290256 78.982795) (xy 186.101898 78.904774) - (xy 185.901939 78.865) (xy 185.698061 78.865) (xy 185.498102 78.904774) (xy 185.327474 78.975451) (xy 185.303937 78.940226) - (xy 185.159774 78.796063) (xy 184.990256 78.682795) (xy 184.801898 78.604774) (xy 184.608804 78.566366) (xy 184.595226 78.498102) - (xy 184.517205 78.309744) (xy 184.403937 78.140226) (xy 184.259774 77.996063) (xy 184.090256 77.882795) (xy 183.901898 77.804774) - (xy 183.701939 77.765) (xy 183.498061 77.765) (xy 183.298102 77.804774) (xy 183.109744 77.882795) (xy 182.940226 77.996063) - (xy 182.796063 78.140226) (xy 182.682795 78.309744) (xy 182.604774 78.498102) (xy 182.565 78.698061) (xy 169.256126 78.698061) - (xy 169.274705 78.670256) (xy 169.352726 78.481898) (xy 169.3925 78.281939) (xy 169.3925 78.078061) (xy 169.352726 77.878102) - (xy 169.274705 77.689744) (xy 169.161437 77.520226) (xy 169.017274 77.376063) (xy 168.847756 77.262795) (xy 168.659398 77.184774) - (xy 168.459439 77.145) (xy 168.255561 77.145) (xy 168.055602 77.184774) (xy 167.867244 77.262795) (xy 167.697726 77.376063) - (xy 167.553563 77.520226) (xy 167.440295 77.689744) (xy 167.362274 77.878102) (xy 167.3225 78.078061) (xy 134.271288 78.078061) - (xy 134.359774 78.018937) (xy 134.503937 77.874774) (xy 134.617205 77.705256) (xy 134.695226 77.516898) (xy 134.735 77.316939) - (xy 134.735 77.113061) (xy 134.695226 76.913102) (xy 134.617205 76.724744) (xy 134.503937 76.555226) (xy 134.359774 76.411063) - (xy 134.190256 76.297795) (xy 134.001898 76.219774) (xy 133.801939 76.18) (xy 133.598061 76.18) (xy 133.398102 76.219774) - (xy 133.209744 76.297795) (xy 133.040226 76.411063) (xy 133.02724 76.424049) (xy 132.995226 76.263102) (xy 132.917205 76.074744) - (xy 132.803937 75.905226) (xy 132.659774 75.761063) (xy 132.490256 75.647795) (xy 132.370189 75.598061) (xy 136.93 75.598061) - (xy 136.93 75.801939) (xy 136.969774 76.001898) (xy 137.047795 76.190256) (xy 137.161063 76.359774) (xy 137.305226 76.503937) - (xy 137.474744 76.617205) (xy 137.663102 76.695226) (xy 137.863061 76.735) (xy 138.066939 76.735) (xy 138.266898 76.695226) - (xy 138.455256 76.617205) (xy 138.624774 76.503937) (xy 138.768937 76.359774) (xy 138.882205 76.190256) (xy 138.960226 76.001898) - (xy 139 75.801939) (xy 139 75.598061) (xy 138.960226 75.398102) (xy 138.882205 75.209744) (xy 138.768937 75.040226) - (xy 138.624774 74.896063) (xy 138.455256 74.782795) (xy 138.266898 74.704774) (xy 138.066939 74.665) (xy 137.863061 74.665) - (xy 137.663102 74.704774) (xy 137.474744 74.782795) (xy 137.305226 74.896063) (xy 137.161063 75.040226) (xy 137.047795 75.209744) - (xy 136.969774 75.398102) (xy 136.93 75.598061) (xy 132.370189 75.598061) (xy 132.301898 75.569774) (xy 132.101939 75.53) - (xy 131.898061 75.53) (xy 131.698102 75.569774) (xy 131.509744 75.647795) (xy 131.340226 75.761063) (xy 131.196063 75.905226) - (xy 131.082795 76.074744) (xy 131.039196 76.18) (xy 130.998061 76.18) (xy 130.798102 76.219774) (xy 130.609744 76.297795) - (xy 130.440226 76.411063) (xy 130.296063 76.555226) (xy 130.182795 76.724744) (xy 130.104774 76.913102) (xy 130.065 77.113061) - (xy 127.988891 77.113061) (xy 128.01 77.006939) (xy 128.01 76.803061) (xy 127.970226 76.603102) (xy 127.892205 76.414744) - (xy 127.778937 76.245226) (xy 127.634774 76.101063) (xy 127.465256 75.987795) (xy 127.276898 75.909774) (xy 127.076939 75.87) - (xy 126.873061 75.87) (xy 126.673102 75.909774) (xy 126.484744 75.987795) (xy 126.315226 76.101063) (xy 126.171063 76.245226) - (xy 126.057795 76.414744) (xy 125.979774 76.603102) (xy 125.94 76.803061) (xy 125.577754 76.803061) (xy 125.726104 76.703937) - (xy 125.870267 76.559774) (xy 125.983535 76.390256) (xy 126.061556 76.201898) (xy 126.10133 76.001939) (xy 126.10133 75.798061) - (xy 126.061556 75.598102) (xy 125.983535 75.409744) (xy 125.870267 75.240226) (xy 125.726104 75.096063) (xy 125.556586 74.982795) - (xy 125.368228 74.904774) (xy 125.168269 74.865) (xy 124.964391 74.865) (xy 124.764432 74.904774) (xy 124.576074 74.982795) - (xy 124.406556 75.096063) (xy 124.262393 75.240226) (xy 124.149125 75.409744) (xy 124.071104 75.598102) (xy 124.03133 75.798061) - (xy 113.706796 75.798061) (xy 113.70403 73.360561) (xy 182.815 73.360561) (xy 182.815 73.564439) (xy 182.854774 73.764398) - (xy 182.932795 73.952756) (xy 183.046063 74.122274) (xy 183.190226 74.266437) (xy 183.359744 74.379705) (xy 183.548102 74.457726) - (xy 183.748061 74.4975) (xy 183.951939 74.4975) (xy 184.151898 74.457726) (xy 184.340256 74.379705) (xy 184.509774 74.266437) - (xy 184.653937 74.122274) (xy 184.767205 73.952756) (xy 184.818855 73.828061) (xy 186.228411 73.828061) (xy 186.228411 74.031939) - (xy 186.268185 74.231898) (xy 186.346206 74.420256) (xy 186.459474 74.589774) (xy 186.603637 74.733937) (xy 186.773155 74.847205) - (xy 186.961513 74.925226) (xy 187.161472 74.965) (xy 187.36535 74.965) (xy 187.565309 74.925226) (xy 187.753667 74.847205) - (xy 187.923185 74.733937) (xy 188.067348 74.589774) (xy 188.180616 74.420256) (xy 188.258637 74.231898) (xy 188.298411 74.031939) - (xy 188.298411 73.828061) (xy 188.258637 73.628102) (xy 188.180616 73.439744) (xy 188.067348 73.270226) (xy 187.923185 73.126063) - (xy 187.753667 73.012795) (xy 187.565309 72.934774) (xy 187.36535 72.895) (xy 187.161472 72.895) (xy 186.961513 72.934774) - (xy 186.773155 73.012795) (xy 186.603637 73.126063) (xy 186.459474 73.270226) (xy 186.346206 73.439744) (xy 186.268185 73.628102) - (xy 186.228411 73.828061) (xy 184.818855 73.828061) (xy 184.845226 73.764398) (xy 184.885 73.564439) (xy 184.885 73.360561) - (xy 184.845226 73.160602) (xy 184.767205 72.972244) (xy 184.653937 72.802726) (xy 184.509774 72.658563) (xy 184.340256 72.545295) - (xy 184.151898 72.467274) (xy 183.951939 72.4275) (xy 183.748061 72.4275) (xy 183.548102 72.467274) (xy 183.359744 72.545295) - (xy 183.190226 72.658563) (xy 183.046063 72.802726) (xy 182.932795 72.972244) (xy 182.854774 73.160602) (xy 182.815 73.360561) - (xy 113.70403 73.360561) (xy 113.702386 71.913061) (xy 126.865 71.913061) (xy 126.865 72.116939) (xy 126.904774 72.316898) - (xy 126.982795 72.505256) (xy 127.096063 72.674774) (xy 127.240226 72.818937) (xy 127.409744 72.932205) (xy 127.598102 73.010226) - (xy 127.798061 73.05) (xy 128.001939 73.05) (xy 128.201898 73.010226) (xy 128.390256 72.932205) (xy 128.559774 72.818937) - (xy 128.703937 72.674774) (xy 128.817205 72.505256) (xy 128.895226 72.316898) (xy 128.935 72.116939) (xy 128.935 71.913061) - (xy 128.895226 71.713102) (xy 128.817205 71.524744) (xy 128.703937 71.355226) (xy 128.559774 71.211063) (xy 128.390256 71.097795) - (xy 128.201898 71.019774) (xy 128.001939 70.98) (xy 127.798061 70.98) (xy 127.598102 71.019774) (xy 127.409744 71.097795) - (xy 127.240226 71.211063) (xy 127.096063 71.355226) (xy 126.982795 71.524744) (xy 126.904774 71.713102) (xy 126.865 71.913061) - (xy 113.702386 71.913061) (xy 113.701007 70.698061) (xy 134.215 70.698061) (xy 134.215 70.901939) (xy 134.254774 71.101898) - (xy 134.332795 71.290256) (xy 134.446063 71.459774) (xy 134.590226 71.603937) (xy 134.759744 71.717205) (xy 134.948102 71.795226) - (xy 135.148061 71.835) (xy 135.351939 71.835) (xy 135.551898 71.795226) (xy 135.740256 71.717205) (xy 135.909774 71.603937) - (xy 135.96565 71.548061) (xy 139.035 71.548061) (xy 139.035 71.751939) (xy 139.074774 71.951898) (xy 139.152795 72.140256) - (xy 139.266063 72.309774) (xy 139.410226 72.453937) (xy 139.579744 72.567205) (xy 139.768102 72.645226) (xy 139.968061 72.685) - (xy 140.171939 72.685) (xy 140.371898 72.645226) (xy 140.560256 72.567205) (xy 140.729774 72.453937) (xy 140.873937 72.309774) - (xy 140.987205 72.140256) (xy 141.065226 71.951898) (xy 141.105 71.751939) (xy 141.105 71.548061) (xy 141.065226 71.348102) - (xy 140.987205 71.159744) (xy 140.873937 70.990226) (xy 140.781772 70.898061) (xy 148.865 70.898061) (xy 148.865 71.101939) - (xy 148.904774 71.301898) (xy 148.982795 71.490256) (xy 149.096063 71.659774) (xy 149.240226 71.803937) (xy 149.409744 71.917205) - (xy 149.598102 71.995226) (xy 149.798061 72.035) (xy 150.001939 72.035) (xy 150.201898 71.995226) (xy 150.390256 71.917205) - (xy 150.559774 71.803937) (xy 150.703937 71.659774) (xy 150.817205 71.490256) (xy 150.895226 71.301898) (xy 150.935 71.101939) - (xy 150.935 70.898061) (xy 161.965 70.898061) (xy 161.965 71.101939) (xy 162.004774 71.301898) (xy 162.082795 71.490256) - (xy 162.196063 71.659774) (xy 162.340226 71.803937) (xy 162.509744 71.917205) (xy 162.698102 71.995226) (xy 162.898061 72.035) - (xy 163.101939 72.035) (xy 163.301898 71.995226) (xy 163.490256 71.917205) (xy 163.659774 71.803937) (xy 163.803937 71.659774) - (xy 163.917205 71.490256) (xy 163.995226 71.301898) (xy 164.035 71.101939) (xy 164.035 70.898061) (xy 173.465 70.898061) - (xy 173.465 71.101939) (xy 173.504774 71.301898) (xy 173.582795 71.490256) (xy 173.696063 71.659774) (xy 173.840226 71.803937) - (xy 174.009744 71.917205) (xy 174.198102 71.995226) (xy 174.398061 72.035) (xy 174.601939 72.035) (xy 174.801898 71.995226) - (xy 174.990256 71.917205) (xy 175.159774 71.803937) (xy 175.303937 71.659774) (xy 175.417205 71.490256) (xy 175.495226 71.301898) - (xy 175.535 71.101939) (xy 175.535 70.898061) (xy 175.505164 70.748061) (xy 182.675 70.748061) (xy 182.675 70.951939) - (xy 182.714774 71.151898) (xy 182.792795 71.340256) (xy 182.906063 71.509774) (xy 183.050226 71.653937) (xy 183.219744 71.767205) - (xy 183.408102 71.845226) (xy 183.608061 71.885) (xy 183.811939 71.885) (xy 184.011898 71.845226) (xy 184.200256 71.767205) - (xy 184.369774 71.653937) (xy 184.513937 71.509774) (xy 184.547782 71.459122) (xy 184.619744 71.507205) (xy 184.808102 71.585226) - (xy 185.008061 71.625) (xy 185.211939 71.625) (xy 185.411898 71.585226) (xy 185.600256 71.507205) (xy 185.769774 71.393937) - (xy 185.913937 71.249774) (xy 186.027205 71.080256) (xy 186.102673 70.898061) (xy 188.465 70.898061) (xy 188.465 71.101939) - (xy 188.504774 71.301898) (xy 188.582795 71.490256) (xy 188.696063 71.659774) (xy 188.840226 71.803937) (xy 189.009744 71.917205) - (xy 189.198102 71.995226) (xy 189.398061 72.035) (xy 189.601939 72.035) (xy 189.801898 71.995226) (xy 189.990256 71.917205) - (xy 190.159774 71.803937) (xy 190.303937 71.659774) (xy 190.417205 71.490256) (xy 190.495226 71.301898) (xy 190.535 71.101939) - (xy 190.535 70.898061) (xy 206.465 70.898061) (xy 206.465 71.101939) (xy 206.504774 71.301898) (xy 206.582795 71.490256) - (xy 206.696063 71.659774) (xy 206.840226 71.803937) (xy 207.009744 71.917205) (xy 207.198102 71.995226) (xy 207.398061 72.035) - (xy 207.601939 72.035) (xy 207.801898 71.995226) (xy 207.990256 71.917205) (xy 208.159774 71.803937) (xy 208.303937 71.659774) - (xy 208.417205 71.490256) (xy 208.495226 71.301898) (xy 208.535 71.101939) (xy 208.535 70.898061) (xy 208.495226 70.698102) - (xy 208.417205 70.509744) (xy 208.303937 70.340226) (xy 208.159774 70.196063) (xy 207.990256 70.082795) (xy 207.801898 70.004774) - (xy 207.601939 69.965) (xy 207.398061 69.965) (xy 207.198102 70.004774) (xy 207.009744 70.082795) (xy 206.840226 70.196063) - (xy 206.696063 70.340226) (xy 206.582795 70.509744) (xy 206.504774 70.698102) (xy 206.465 70.898061) (xy 190.535 70.898061) - (xy 190.495226 70.698102) (xy 190.417205 70.509744) (xy 190.303937 70.340226) (xy 190.159774 70.196063) (xy 189.990256 70.082795) - (xy 189.801898 70.004774) (xy 189.601939 69.965) (xy 189.398061 69.965) (xy 189.198102 70.004774) (xy 189.009744 70.082795) - (xy 188.840226 70.196063) (xy 188.696063 70.340226) (xy 188.582795 70.509744) (xy 188.504774 70.698102) (xy 188.465 70.898061) - (xy 186.102673 70.898061) (xy 186.105226 70.891898) (xy 186.145 70.691939) (xy 186.145 70.488061) (xy 186.105226 70.288102) - (xy 186.027205 70.099744) (xy 185.913937 69.930226) (xy 185.769774 69.786063) (xy 185.600256 69.672795) (xy 185.411898 69.594774) - (xy 185.211939 69.555) (xy 185.008061 69.555) (xy 184.808102 69.594774) (xy 184.619744 69.672795) (xy 184.450226 69.786063) - (xy 184.306063 69.930226) (xy 184.272218 69.980878) (xy 184.200256 69.932795) (xy 184.011898 69.854774) (xy 183.811939 69.815) - (xy 183.608061 69.815) (xy 183.408102 69.854774) (xy 183.219744 69.932795) (xy 183.050226 70.046063) (xy 182.906063 70.190226) - (xy 182.792795 70.359744) (xy 182.714774 70.548102) (xy 182.675 70.748061) (xy 175.505164 70.748061) (xy 175.495226 70.698102) - (xy 175.417205 70.509744) (xy 175.303937 70.340226) (xy 175.159774 70.196063) (xy 174.990256 70.082795) (xy 174.801898 70.004774) - (xy 174.601939 69.965) (xy 174.398061 69.965) (xy 174.198102 70.004774) (xy 174.009744 70.082795) (xy 173.840226 70.196063) - (xy 173.696063 70.340226) (xy 173.582795 70.509744) (xy 173.504774 70.698102) (xy 173.465 70.898061) (xy 164.035 70.898061) - (xy 163.995226 70.698102) (xy 163.917205 70.509744) (xy 163.803937 70.340226) (xy 163.659774 70.196063) (xy 163.490256 70.082795) - (xy 163.301898 70.004774) (xy 163.101939 69.965) (xy 162.898061 69.965) (xy 162.698102 70.004774) (xy 162.509744 70.082795) - (xy 162.340226 70.196063) (xy 162.196063 70.340226) (xy 162.082795 70.509744) (xy 162.004774 70.698102) (xy 161.965 70.898061) - (xy 150.935 70.898061) (xy 150.895226 70.698102) (xy 150.817205 70.509744) (xy 150.703937 70.340226) (xy 150.559774 70.196063) - (xy 150.390256 70.082795) (xy 150.201898 70.004774) (xy 150.001939 69.965) (xy 149.798061 69.965) (xy 149.598102 70.004774) - (xy 149.409744 70.082795) (xy 149.240226 70.196063) (xy 149.096063 70.340226) (xy 148.982795 70.509744) (xy 148.904774 70.698102) - (xy 148.865 70.898061) (xy 140.781772 70.898061) (xy 140.729774 70.846063) (xy 140.560256 70.732795) (xy 140.371898 70.654774) - (xy 140.171939 70.615) (xy 139.968061 70.615) (xy 139.768102 70.654774) (xy 139.579744 70.732795) (xy 139.410226 70.846063) - (xy 139.266063 70.990226) (xy 139.152795 71.159744) (xy 139.074774 71.348102) (xy 139.035 71.548061) (xy 135.96565 71.548061) - (xy 136.053937 71.459774) (xy 136.167205 71.290256) (xy 136.245226 71.101898) (xy 136.285 70.901939) (xy 136.285 70.698061) - (xy 136.245226 70.498102) (xy 136.167205 70.309744) (xy 136.053937 70.140226) (xy 135.909774 69.996063) (xy 135.740256 69.882795) - (xy 135.551898 69.804774) (xy 135.351939 69.765) (xy 135.148061 69.765) (xy 134.948102 69.804774) (xy 134.759744 69.882795) - (xy 134.590226 69.996063) (xy 134.446063 70.140226) (xy 134.332795 70.309744) (xy 134.254774 70.498102) (xy 134.215 70.698061) - (xy 113.701007 70.698061) (xy 113.699522 69.388967) (xy 265.215 69.388967) (xy 265.215 69.711033) (xy 265.277832 70.026912) - (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) (xy 266.075537 70.998918) (xy 266.373088 71.122168) - (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) (xy 267.624463 70.998918) (xy 267.892252 70.819987) - (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) (xy 268.485 69.711033) (xy 268.485 69.388967) - (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) (xy 267.892252 68.280013) (xy 267.624463 68.101082) - (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) (xy 266.373088 67.977832) (xy 266.075537 68.101082) - (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) (xy 265.277832 69.073088) (xy 265.215 69.388967) - (xy 113.699522 69.388967) (xy 113.6971 67.255561) (xy 263.165 67.255561) (xy 263.165 67.459439) (xy 263.204774 67.659398) - (xy 263.282795 67.847756) (xy 263.396063 68.017274) (xy 263.540226 68.161437) (xy 263.709744 68.274705) (xy 263.898102 68.352726) - (xy 264.098061 68.3925) (xy 264.301939 68.3925) (xy 264.501898 68.352726) (xy 264.690256 68.274705) (xy 264.859774 68.161437) - (xy 265.003937 68.017274) (xy 265.117205 67.847756) (xy 265.195226 67.659398) (xy 265.235 67.459439) (xy 265.235 67.255561) - (xy 265.195226 67.055602) (xy 265.117205 66.867244) (xy 265.003937 66.697726) (xy 264.859774 66.553563) (xy 264.690256 66.440295) - (xy 264.501898 66.362274) (xy 264.301939 66.3225) (xy 264.098061 66.3225) (xy 263.898102 66.362274) (xy 263.709744 66.440295) - (xy 263.540226 66.553563) (xy 263.396063 66.697726) (xy 263.282795 66.867244) (xy 263.204774 67.055602) (xy 263.165 67.255561) - (xy 113.6971 67.255561) (xy 113.694969 65.378061) (xy 139.035 65.378061) (xy 139.035 65.581939) (xy 139.074774 65.781898) - (xy 139.152795 65.970256) (xy 139.266063 66.139774) (xy 139.410226 66.283937) (xy 139.579744 66.397205) (xy 139.768102 66.475226) - (xy 139.968061 66.515) (xy 140.171939 66.515) (xy 140.371898 66.475226) (xy 140.560256 66.397205) (xy 140.729774 66.283937) - (xy 140.873937 66.139774) (xy 140.987205 65.970256) (xy 141.065226 65.781898) (xy 141.081902 65.698061) (xy 141.265 65.698061) - (xy 141.265 65.901939) (xy 141.304774 66.101898) (xy 141.382795 66.290256) (xy 141.496063 66.459774) (xy 141.640226 66.603937) - (xy 141.809744 66.717205) (xy 141.998102 66.795226) (xy 142.198061 66.835) (xy 142.401939 66.835) (xy 142.601898 66.795226) - (xy 142.790256 66.717205) (xy 142.959774 66.603937) (xy 143.103937 66.459774) (xy 143.217205 66.290256) (xy 143.295226 66.101898) - (xy 143.335 65.901939) (xy 143.335 65.898061) (xy 144.3525 65.898061) (xy 144.3525 66.101939) (xy 144.392274 66.301898) - (xy 144.470295 66.490256) (xy 144.583563 66.659774) (xy 144.727726 66.803937) (xy 144.897244 66.917205) (xy 145.085602 66.995226) - (xy 145.285561 67.035) (xy 145.489439 67.035) (xy 145.689398 66.995226) (xy 145.877756 66.917205) (xy 146.047274 66.803937) - (xy 146.191437 66.659774) (xy 146.304705 66.490256) (xy 146.382726 66.301898) (xy 146.4225 66.101939) (xy 146.4225 65.898061) - (xy 146.382726 65.698102) (xy 146.375461 65.680561) (xy 260.725 65.680561) (xy 260.725 65.884439) (xy 260.764774 66.084398) - (xy 260.842795 66.272756) (xy 260.956063 66.442274) (xy 261.100226 66.586437) (xy 261.269744 66.699705) (xy 261.458102 66.777726) - (xy 261.658061 66.8175) (xy 261.861939 66.8175) (xy 262.061898 66.777726) (xy 262.250256 66.699705) (xy 262.419774 66.586437) - (xy 262.563937 66.442274) (xy 262.677205 66.272756) (xy 262.755226 66.084398) (xy 262.795 65.884439) (xy 262.795 65.680561) - (xy 262.755226 65.480602) (xy 262.741747 65.448061) (xy 266.965 65.448061) (xy 266.965 65.651939) (xy 267.004774 65.851898) - (xy 267.082795 66.040256) (xy 267.196063 66.209774) (xy 267.340226 66.353937) (xy 267.509744 66.467205) (xy 267.698102 66.545226) - (xy 267.898061 66.585) (xy 268.101939 66.585) (xy 268.301898 66.545226) (xy 268.490256 66.467205) (xy 268.659774 66.353937) - (xy 268.803937 66.209774) (xy 268.917205 66.040256) (xy 268.995226 65.851898) (xy 269.035 65.651939) (xy 269.035 65.448061) - (xy 268.995226 65.248102) (xy 268.917205 65.059744) (xy 268.803937 64.890226) (xy 268.659774 64.746063) (xy 268.490256 64.632795) - (xy 268.301898 64.554774) (xy 268.101939 64.515) (xy 267.898061 64.515) (xy 267.698102 64.554774) (xy 267.509744 64.632795) - (xy 267.340226 64.746063) (xy 267.196063 64.890226) (xy 267.082795 65.059744) (xy 267.004774 65.248102) (xy 266.965 65.448061) - (xy 262.741747 65.448061) (xy 262.677205 65.292244) (xy 262.563937 65.122726) (xy 262.419774 64.978563) (xy 262.250256 64.865295) - (xy 262.061898 64.787274) (xy 261.861939 64.7475) (xy 261.658061 64.7475) (xy 261.458102 64.787274) (xy 261.269744 64.865295) - (xy 261.100226 64.978563) (xy 260.956063 65.122726) (xy 260.842795 65.292244) (xy 260.764774 65.480602) (xy 260.725 65.680561) - (xy 146.375461 65.680561) (xy 146.304705 65.509744) (xy 146.191437 65.340226) (xy 146.047274 65.196063) (xy 145.877756 65.082795) - (xy 145.689398 65.004774) (xy 145.489439 64.965) (xy 145.285561 64.965) (xy 145.085602 65.004774) (xy 144.897244 65.082795) - (xy 144.727726 65.196063) (xy 144.583563 65.340226) (xy 144.470295 65.509744) (xy 144.392274 65.698102) (xy 144.3525 65.898061) - (xy 143.335 65.898061) (xy 143.335 65.698061) (xy 143.295226 65.498102) (xy 143.217205 65.309744) (xy 143.103937 65.140226) - (xy 142.959774 64.996063) (xy 142.790256 64.882795) (xy 142.601898 64.804774) (xy 142.401939 64.765) (xy 142.198061 64.765) - (xy 141.998102 64.804774) (xy 141.809744 64.882795) (xy 141.640226 64.996063) (xy 141.496063 65.140226) (xy 141.382795 65.309744) - (xy 141.304774 65.498102) (xy 141.265 65.698061) (xy 141.081902 65.698061) (xy 141.105 65.581939) (xy 141.105 65.378061) - (xy 141.065226 65.178102) (xy 140.987205 64.989744) (xy 140.873937 64.820226) (xy 140.729774 64.676063) (xy 140.560256 64.562795) - (xy 140.371898 64.484774) (xy 140.171939 64.445) (xy 139.968061 64.445) (xy 139.768102 64.484774) (xy 139.579744 64.562795) - (xy 139.410226 64.676063) (xy 139.266063 64.820226) (xy 139.152795 64.989744) (xy 139.074774 65.178102) (xy 139.035 65.378061) - (xy 113.694969 65.378061) (xy 113.689885 60.9) (xy 128.511928 60.9) (xy 128.511928 62.6) (xy 128.524188 62.724482) - (xy 128.560498 62.84418) (xy 128.619463 62.954494) (xy 128.698815 63.051185) (xy 128.795506 63.130537) (xy 128.90582 63.189502) - (xy 129.025518 63.225812) (xy 129.15 63.238072) (xy 130.85 63.238072) (xy 130.974482 63.225812) (xy 131.09418 63.189502) - (xy 131.204494 63.130537) (xy 131.301185 63.051185) (xy 131.380537 62.954494) (xy 131.439502 62.84418) (xy 131.461513 62.77162) - (xy 131.593368 62.903475) (xy 131.836589 63.06599) (xy 132.106842 63.177932) (xy 132.39374 63.235) (xy 132.68626 63.235) - (xy 132.973158 63.177932) (xy 133.243411 63.06599) (xy 133.486632 62.903475) (xy 133.693475 62.696632) (xy 133.81 62.52224) - (xy 133.926525 62.696632) (xy 134.133368 62.903475) (xy 134.376589 63.06599) (xy 134.646842 63.177932) (xy 134.93374 63.235) - (xy 135.22626 63.235) (xy 135.513158 63.177932) (xy 135.783411 63.06599) (xy 136.026632 62.903475) (xy 136.233475 62.696632) - (xy 136.35 62.52224) (xy 136.466525 62.696632) (xy 136.673368 62.903475) (xy 136.916589 63.06599) (xy 137.186842 63.177932) - (xy 137.47374 63.235) (xy 137.76626 63.235) (xy 138.053158 63.177932) (xy 138.323411 63.06599) (xy 138.566632 62.903475) - (xy 138.773475 62.696632) (xy 138.89 62.52224) (xy 139.006525 62.696632) (xy 139.213368 62.903475) (xy 139.456589 63.06599) - (xy 139.726842 63.177932) (xy 140.01374 63.235) (xy 140.30626 63.235) (xy 140.593158 63.177932) (xy 140.863411 63.06599) - (xy 141.106632 62.903475) (xy 141.313475 62.696632) (xy 141.43 62.52224) (xy 141.546525 62.696632) (xy 141.753368 62.903475) - (xy 141.996589 63.06599) (xy 142.266842 63.177932) (xy 142.55374 63.235) (xy 142.84626 63.235) (xy 143.133158 63.177932) - (xy 143.403411 63.06599) (xy 143.646632 62.903475) (xy 143.853475 62.696632) (xy 143.97 62.52224) (xy 144.086525 62.696632) - (xy 144.293368 62.903475) (xy 144.536589 63.06599) (xy 144.806842 63.177932) (xy 145.09374 63.235) (xy 145.38626 63.235) - (xy 145.673158 63.177932) (xy 145.943411 63.06599) (xy 146.186632 62.903475) (xy 146.393475 62.696632) (xy 146.51 62.52224) - (xy 146.626525 62.696632) (xy 146.833368 62.903475) (xy 147.076589 63.06599) (xy 147.346842 63.177932) (xy 147.63374 63.235) - (xy 147.92626 63.235) (xy 148.213158 63.177932) (xy 148.483411 63.06599) (xy 148.726632 62.903475) (xy 148.933475 62.696632) - (xy 149.05 62.52224) (xy 149.166525 62.696632) (xy 149.373368 62.903475) (xy 149.616589 63.06599) (xy 149.886842 63.177932) - (xy 150.17374 63.235) (xy 150.46626 63.235) (xy 150.753158 63.177932) (xy 151.023411 63.06599) (xy 151.266632 62.903475) - (xy 151.473475 62.696632) (xy 151.59 62.52224) (xy 151.706525 62.696632) (xy 151.913368 62.903475) (xy 152.156589 63.06599) - (xy 152.426842 63.177932) (xy 152.71374 63.235) (xy 153.00626 63.235) (xy 153.293158 63.177932) (xy 153.563411 63.06599) - (xy 153.806632 62.903475) (xy 154.013475 62.696632) (xy 154.13 62.52224) (xy 154.246525 62.696632) (xy 154.453368 62.903475) - (xy 154.696589 63.06599) (xy 154.966842 63.177932) (xy 155.25374 63.235) (xy 155.54626 63.235) (xy 155.833158 63.177932) - (xy 156.103411 63.06599) (xy 156.346632 62.903475) (xy 156.553475 62.696632) (xy 156.67 62.52224) (xy 156.786525 62.696632) - (xy 156.993368 62.903475) (xy 157.236589 63.06599) (xy 157.506842 63.177932) (xy 157.79374 63.235) (xy 158.08626 63.235) - (xy 158.373158 63.177932) (xy 158.643411 63.06599) (xy 158.886632 62.903475) (xy 159.093475 62.696632) (xy 159.21 62.52224) - (xy 159.326525 62.696632) (xy 159.533368 62.903475) (xy 159.776589 63.06599) (xy 160.046842 63.177932) (xy 160.33374 63.235) - (xy 160.62626 63.235) (xy 160.913158 63.177932) (xy 161.183411 63.06599) (xy 161.426632 62.903475) (xy 161.633475 62.696632) - (xy 161.75 62.52224) (xy 161.866525 62.696632) (xy 162.073368 62.903475) (xy 162.316589 63.06599) (xy 162.586842 63.177932) - (xy 162.87374 63.235) (xy 163.16626 63.235) (xy 163.453158 63.177932) (xy 163.723411 63.06599) (xy 163.966632 62.903475) - (xy 164.173475 62.696632) (xy 164.295195 62.514466) (xy 164.364822 62.631355) (xy 164.559731 62.847588) (xy 164.79308 63.021641) - (xy 165.055901 63.146825) (xy 165.20311 63.191476) (xy 165.433 63.070155) (xy 165.433 61.877) (xy 165.413 61.877) - (xy 165.413 61.623) (xy 165.433 61.623) (xy 165.433 59.337) (xy 165.413 59.337) (xy 165.413 59.083) - (xy 165.433 59.083) (xy 165.433 57.889845) (xy 165.687 57.889845) (xy 165.687 59.083) (xy 165.707 59.083) - (xy 165.707 59.337) (xy 165.687 59.337) (xy 165.687 61.623) (xy 165.707 61.623) (xy 165.707 61.877) - (xy 165.687 61.877) (xy 165.687 63.070155) (xy 165.91689 63.191476) (xy 166.064099 63.146825) (xy 166.32692 63.021641) - (xy 166.560269 62.847588) (xy 166.755178 62.631355) (xy 166.824805 62.514466) (xy 166.946525 62.696632) (xy 167.153368 62.903475) - (xy 167.396589 63.06599) (xy 167.666842 63.177932) (xy 167.95374 63.235) (xy 168.24626 63.235) (xy 168.533158 63.177932) - (xy 168.803411 63.06599) (xy 169.046632 62.903475) (xy 169.253475 62.696632) (xy 169.375195 62.514466) (xy 169.444822 62.631355) - (xy 169.639731 62.847588) (xy 169.87308 63.021641) (xy 170.135901 63.146825) (xy 170.28311 63.191476) (xy 170.513 63.070155) - (xy 170.513 61.877) (xy 170.493 61.877) (xy 170.493 61.623) (xy 170.513 61.623) (xy 170.513 59.337) - (xy 170.493 59.337) (xy 170.493 59.083) (xy 170.513 59.083) (xy 170.513 57.889845) (xy 170.767 57.889845) - (xy 170.767 59.083) (xy 170.787 59.083) (xy 170.787 59.337) (xy 170.767 59.337) (xy 170.767 61.623) - (xy 170.787 61.623) (xy 170.787 61.877) (xy 170.767 61.877) (xy 170.767 63.070155) (xy 170.99689 63.191476) - (xy 171.144099 63.146825) (xy 171.40692 63.021641) (xy 171.640269 62.847588) (xy 171.835178 62.631355) (xy 171.904805 62.514466) - (xy 172.026525 62.696632) (xy 172.233368 62.903475) (xy 172.476589 63.06599) (xy 172.746842 63.177932) (xy 173.03374 63.235) - (xy 173.32626 63.235) (xy 173.613158 63.177932) (xy 173.883411 63.06599) (xy 174.126632 62.903475) (xy 174.333475 62.696632) - (xy 174.45 62.52224) (xy 174.566525 62.696632) (xy 174.773368 62.903475) (xy 175.016589 63.06599) (xy 175.286842 63.177932) - (xy 175.57374 63.235) (xy 175.86626 63.235) (xy 176.153158 63.177932) (xy 176.423411 63.06599) (xy 176.666632 62.903475) - (xy 176.873475 62.696632) (xy 176.99 62.52224) (xy 177.106525 62.696632) (xy 177.313368 62.903475) (xy 177.556589 63.06599) - (xy 177.826842 63.177932) (xy 178.11374 63.235) (xy 178.40626 63.235) (xy 178.693158 63.177932) (xy 178.963411 63.06599) - (xy 179.206632 62.903475) (xy 179.413475 62.696632) (xy 179.53 62.52224) (xy 179.646525 62.696632) (xy 179.853368 62.903475) - (xy 180.096589 63.06599) (xy 180.366842 63.177932) (xy 180.65374 63.235) (xy 180.94626 63.235) (xy 181.233158 63.177932) - (xy 181.503411 63.06599) (xy 181.746632 62.903475) (xy 181.953475 62.696632) (xy 182.07 62.52224) (xy 182.186525 62.696632) - (xy 182.393368 62.903475) (xy 182.636589 63.06599) (xy 182.906842 63.177932) (xy 183.19374 63.235) (xy 183.48626 63.235) - (xy 183.773158 63.177932) (xy 184.043411 63.06599) (xy 184.286632 62.903475) (xy 184.493475 62.696632) (xy 184.61 62.52224) - (xy 184.726525 62.696632) (xy 184.933368 62.903475) (xy 185.176589 63.06599) (xy 185.446842 63.177932) (xy 185.73374 63.235) - (xy 186.02626 63.235) (xy 186.313158 63.177932) (xy 186.583411 63.06599) (xy 186.826632 62.903475) (xy 187.033475 62.696632) - (xy 187.15 62.52224) (xy 187.266525 62.696632) (xy 187.473368 62.903475) (xy 187.716589 63.06599) (xy 187.986842 63.177932) - (xy 188.27374 63.235) (xy 188.56626 63.235) (xy 188.853158 63.177932) (xy 189.123411 63.06599) (xy 189.366632 62.903475) - (xy 189.573475 62.696632) (xy 189.69 62.52224) (xy 189.806525 62.696632) (xy 190.013368 62.903475) (xy 190.256589 63.06599) - (xy 190.526842 63.177932) (xy 190.81374 63.235) (xy 191.10626 63.235) (xy 191.393158 63.177932) (xy 191.663411 63.06599) - (xy 191.906632 62.903475) (xy 192.113475 62.696632) (xy 192.23 62.52224) (xy 192.346525 62.696632) (xy 192.553368 62.903475) - (xy 192.796589 63.06599) (xy 193.066842 63.177932) (xy 193.35374 63.235) (xy 193.64626 63.235) (xy 193.933158 63.177932) - (xy 194.203411 63.06599) (xy 194.446632 62.903475) (xy 194.653475 62.696632) (xy 194.77 62.52224) (xy 194.886525 62.696632) - (xy 195.093368 62.903475) (xy 195.336589 63.06599) (xy 195.606842 63.177932) (xy 195.89374 63.235) (xy 196.18626 63.235) - (xy 196.473158 63.177932) (xy 196.743411 63.06599) (xy 196.986632 62.903475) (xy 197.193475 62.696632) (xy 197.31 62.52224) - (xy 197.426525 62.696632) (xy 197.633368 62.903475) (xy 197.876589 63.06599) (xy 198.146842 63.177932) (xy 198.43374 63.235) - (xy 198.72626 63.235) (xy 199.013158 63.177932) (xy 199.283411 63.06599) (xy 199.526632 62.903475) (xy 199.733475 62.696632) - (xy 199.85 62.52224) (xy 199.966525 62.696632) (xy 200.173368 62.903475) (xy 200.416589 63.06599) (xy 200.686842 63.177932) - (xy 200.97374 63.235) (xy 201.26626 63.235) (xy 201.553158 63.177932) (xy 201.823411 63.06599) (xy 202.066632 62.903475) - (xy 202.273475 62.696632) (xy 202.39 62.52224) (xy 202.506525 62.696632) (xy 202.713368 62.903475) (xy 202.956589 63.06599) - (xy 203.226842 63.177932) (xy 203.51374 63.235) (xy 203.80626 63.235) (xy 204.093158 63.177932) (xy 204.363411 63.06599) - (xy 204.606632 62.903475) (xy 204.813475 62.696632) (xy 204.93 62.52224) (xy 205.046525 62.696632) (xy 205.253368 62.903475) - (xy 205.496589 63.06599) (xy 205.766842 63.177932) (xy 206.05374 63.235) (xy 206.34626 63.235) (xy 206.633158 63.177932) - (xy 206.903411 63.06599) (xy 207.146632 62.903475) (xy 207.353475 62.696632) (xy 207.47 62.52224) (xy 207.586525 62.696632) - (xy 207.793368 62.903475) (xy 208.036589 63.06599) (xy 208.306842 63.177932) (xy 208.59374 63.235) (xy 208.88626 63.235) - (xy 208.971417 63.218061) (xy 254.885 63.218061) (xy 254.885 63.421939) (xy 254.924774 63.621898) (xy 255.002795 63.810256) - (xy 255.116063 63.979774) (xy 255.260226 64.123937) (xy 255.429744 64.237205) (xy 255.618102 64.315226) (xy 255.818061 64.355) - (xy 256.021939 64.355) (xy 256.221898 64.315226) (xy 256.410256 64.237205) (xy 256.579774 64.123937) (xy 256.723937 63.979774) - (xy 256.837205 63.810256) (xy 256.915226 63.621898) (xy 256.955 63.421939) (xy 256.955 63.218061) (xy 256.915226 63.018102) - (xy 256.837205 62.829744) (xy 256.780681 62.745149) (xy 256.879774 62.678937) (xy 257.023937 62.534774) (xy 257.137205 62.365256) - (xy 257.215226 62.176898) (xy 257.255 61.976939) (xy 257.255 61.773061) (xy 257.215226 61.573102) (xy 257.137205 61.384744) - (xy 257.023937 61.215226) (xy 256.879774 61.071063) (xy 256.710256 60.957795) (xy 256.686757 60.948061) (xy 266.965 60.948061) - (xy 266.965 61.151939) (xy 267.004774 61.351898) (xy 267.082795 61.540256) (xy 267.196063 61.709774) (xy 267.340226 61.853937) - (xy 267.509744 61.967205) (xy 267.698102 62.045226) (xy 267.898061 62.085) (xy 268.101939 62.085) (xy 268.301898 62.045226) - (xy 268.490256 61.967205) (xy 268.659774 61.853937) (xy 268.803937 61.709774) (xy 268.917205 61.540256) (xy 268.995226 61.351898) - (xy 269.035 61.151939) (xy 269.035 60.948061) (xy 268.995226 60.748102) (xy 268.917205 60.559744) (xy 268.803937 60.390226) - (xy 268.659774 60.246063) (xy 268.490256 60.132795) (xy 268.301898 60.054774) (xy 268.101939 60.015) (xy 267.898061 60.015) - (xy 267.698102 60.054774) (xy 267.509744 60.132795) (xy 267.340226 60.246063) (xy 267.196063 60.390226) (xy 267.082795 60.559744) - (xy 267.004774 60.748102) (xy 266.965 60.948061) (xy 256.686757 60.948061) (xy 256.521898 60.879774) (xy 256.321939 60.84) - (xy 256.118061 60.84) (xy 255.918102 60.879774) (xy 255.729744 60.957795) (xy 255.560226 61.071063) (xy 255.416063 61.215226) - (xy 255.302795 61.384744) (xy 255.224774 61.573102) (xy 255.185 61.773061) (xy 255.185 61.976939) (xy 255.224774 62.176898) - (xy 255.302795 62.365256) (xy 255.359319 62.449851) (xy 255.260226 62.516063) (xy 255.116063 62.660226) (xy 255.002795 62.829744) - (xy 254.924774 63.018102) (xy 254.885 63.218061) (xy 208.971417 63.218061) (xy 209.173158 63.177932) (xy 209.443411 63.06599) - (xy 209.686632 62.903475) (xy 209.893475 62.696632) (xy 210.05599 62.453411) (xy 210.167932 62.183158) (xy 210.225 61.89626) - (xy 210.225 61.770561) (xy 245.195 61.770561) (xy 245.195 61.974439) (xy 245.234774 62.174398) (xy 245.312795 62.362756) - (xy 245.426063 62.532274) (xy 245.570226 62.676437) (xy 245.739744 62.789705) (xy 245.928102 62.867726) (xy 246.128061 62.9075) - (xy 246.331939 62.9075) (xy 246.531898 62.867726) (xy 246.720256 62.789705) (xy 246.889774 62.676437) (xy 247.033937 62.532274) - (xy 247.147205 62.362756) (xy 247.225226 62.174398) (xy 247.265 61.974439) (xy 247.265 61.770561) (xy 247.225226 61.570602) - (xy 247.147205 61.382244) (xy 247.033937 61.212726) (xy 246.889774 61.068563) (xy 246.720256 60.955295) (xy 246.531898 60.877274) - (xy 246.331939 60.8375) (xy 246.128061 60.8375) (xy 245.928102 60.877274) (xy 245.739744 60.955295) (xy 245.570226 61.068563) - (xy 245.426063 61.212726) (xy 245.312795 61.382244) (xy 245.234774 61.570602) (xy 245.195 61.770561) (xy 210.225 61.770561) - (xy 210.225 61.60374) (xy 210.167932 61.316842) (xy 210.05599 61.046589) (xy 209.893475 60.803368) (xy 209.686632 60.596525) - (xy 209.51224 60.48) (xy 209.686632 60.363475) (xy 209.893475 60.156632) (xy 209.899201 60.148061) (xy 227.055 60.148061) - (xy 227.055 60.351939) (xy 227.094774 60.551898) (xy 227.172795 60.740256) (xy 227.286063 60.909774) (xy 227.430226 61.053937) - (xy 227.599744 61.167205) (xy 227.788102 61.245226) (xy 227.988061 61.285) (xy 228.191939 61.285) (xy 228.391898 61.245226) - (xy 228.580256 61.167205) (xy 228.749774 61.053937) (xy 228.893937 60.909774) (xy 229.007205 60.740256) (xy 229.085226 60.551898) - (xy 229.125 60.351939) (xy 229.125 60.148061) (xy 229.085226 59.948102) (xy 229.007205 59.759744) (xy 228.893937 59.590226) - (xy 228.749774 59.446063) (xy 228.580256 59.332795) (xy 228.391898 59.254774) (xy 228.191939 59.215) (xy 227.988061 59.215) - (xy 227.788102 59.254774) (xy 227.599744 59.332795) (xy 227.430226 59.446063) (xy 227.286063 59.590226) (xy 227.172795 59.759744) - (xy 227.094774 59.948102) (xy 227.055 60.148061) (xy 209.899201 60.148061) (xy 210.05599 59.913411) (xy 210.167932 59.643158) - (xy 210.225 59.35626) (xy 210.225 59.06374) (xy 210.211936 58.998061) (xy 245.0275 58.998061) (xy 245.0275 59.201939) - (xy 245.067274 59.401898) (xy 245.145295 59.590256) (xy 245.258563 59.759774) (xy 245.402726 59.903937) (xy 245.572244 60.017205) - (xy 245.760602 60.095226) (xy 245.960561 60.135) (xy 246.164439 60.135) (xy 246.364398 60.095226) (xy 246.552756 60.017205) - (xy 246.722274 59.903937) (xy 246.866437 59.759774) (xy 246.979705 59.590256) (xy 247.057726 59.401898) (xy 247.0975 59.201939) - (xy 247.0975 58.998061) (xy 247.057726 58.798102) (xy 246.979705 58.609744) (xy 246.921786 58.523061) (xy 254.465 58.523061) - (xy 254.465 58.726939) (xy 254.504774 58.926898) (xy 254.582795 59.115256) (xy 254.696063 59.284774) (xy 254.840226 59.428937) - (xy 255.009744 59.542205) (xy 255.198102 59.620226) (xy 255.398061 59.66) (xy 255.601939 59.66) (xy 255.801898 59.620226) - (xy 255.990256 59.542205) (xy 256.159774 59.428937) (xy 256.303937 59.284774) (xy 256.417205 59.115256) (xy 256.495226 58.926898) - (xy 256.535 58.726939) (xy 256.535 58.523061) (xy 256.495226 58.323102) (xy 256.417205 58.134744) (xy 256.303937 57.965226) - (xy 256.286772 57.948061) (xy 266.965 57.948061) (xy 266.965 58.151939) (xy 267.004774 58.351898) (xy 267.082795 58.540256) - (xy 267.196063 58.709774) (xy 267.340226 58.853937) (xy 267.509744 58.967205) (xy 267.698102 59.045226) (xy 267.898061 59.085) - (xy 268.101939 59.085) (xy 268.301898 59.045226) (xy 268.490256 58.967205) (xy 268.659774 58.853937) (xy 268.803937 58.709774) - (xy 268.917205 58.540256) (xy 268.995226 58.351898) (xy 269.035 58.151939) (xy 269.035 57.948061) (xy 268.995226 57.748102) - (xy 268.917205 57.559744) (xy 268.803937 57.390226) (xy 268.659774 57.246063) (xy 268.490256 57.132795) (xy 268.301898 57.054774) - (xy 268.101939 57.015) (xy 267.898061 57.015) (xy 267.698102 57.054774) (xy 267.509744 57.132795) (xy 267.340226 57.246063) - (xy 267.196063 57.390226) (xy 267.082795 57.559744) (xy 267.004774 57.748102) (xy 266.965 57.948061) (xy 256.286772 57.948061) - (xy 256.159774 57.821063) (xy 255.990256 57.707795) (xy 255.801898 57.629774) (xy 255.601939 57.59) (xy 255.398061 57.59) - (xy 255.198102 57.629774) (xy 255.009744 57.707795) (xy 254.840226 57.821063) (xy 254.696063 57.965226) (xy 254.582795 58.134744) - (xy 254.504774 58.323102) (xy 254.465 58.523061) (xy 246.921786 58.523061) (xy 246.866437 58.440226) (xy 246.722274 58.296063) - (xy 246.552756 58.182795) (xy 246.364398 58.104774) (xy 246.164439 58.065) (xy 245.960561 58.065) (xy 245.760602 58.104774) - (xy 245.572244 58.182795) (xy 245.402726 58.296063) (xy 245.258563 58.440226) (xy 245.145295 58.609744) (xy 245.067274 58.798102) - (xy 245.0275 58.998061) (xy 210.211936 58.998061) (xy 210.167932 58.776842) (xy 210.05599 58.506589) (xy 209.893475 58.263368) - (xy 209.686632 58.056525) (xy 209.443411 57.89401) (xy 209.173158 57.782068) (xy 208.88626 57.725) (xy 208.59374 57.725) - (xy 208.306842 57.782068) (xy 208.036589 57.89401) (xy 207.793368 58.056525) (xy 207.586525 58.263368) (xy 207.47 58.43776) - (xy 207.353475 58.263368) (xy 207.146632 58.056525) (xy 206.903411 57.89401) (xy 206.633158 57.782068) (xy 206.34626 57.725) - (xy 206.05374 57.725) (xy 205.766842 57.782068) (xy 205.496589 57.89401) (xy 205.253368 58.056525) (xy 205.046525 58.263368) - (xy 204.93 58.43776) (xy 204.813475 58.263368) (xy 204.606632 58.056525) (xy 204.363411 57.89401) (xy 204.093158 57.782068) - (xy 203.80626 57.725) (xy 203.51374 57.725) (xy 203.226842 57.782068) (xy 202.956589 57.89401) (xy 202.713368 58.056525) - (xy 202.506525 58.263368) (xy 202.39 58.43776) (xy 202.273475 58.263368) (xy 202.066632 58.056525) (xy 201.823411 57.89401) - (xy 201.553158 57.782068) (xy 201.26626 57.725) (xy 200.97374 57.725) (xy 200.686842 57.782068) (xy 200.416589 57.89401) - (xy 200.173368 58.056525) (xy 199.966525 58.263368) (xy 199.85 58.43776) (xy 199.733475 58.263368) (xy 199.526632 58.056525) - (xy 199.283411 57.89401) (xy 199.013158 57.782068) (xy 198.72626 57.725) (xy 198.43374 57.725) (xy 198.146842 57.782068) - (xy 197.876589 57.89401) (xy 197.633368 58.056525) (xy 197.426525 58.263368) (xy 197.31 58.43776) (xy 197.193475 58.263368) - (xy 196.986632 58.056525) (xy 196.743411 57.89401) (xy 196.473158 57.782068) (xy 196.18626 57.725) (xy 195.89374 57.725) - (xy 195.606842 57.782068) (xy 195.336589 57.89401) (xy 195.093368 58.056525) (xy 194.886525 58.263368) (xy 194.77 58.43776) - (xy 194.653475 58.263368) (xy 194.446632 58.056525) (xy 194.203411 57.89401) (xy 193.933158 57.782068) (xy 193.64626 57.725) - (xy 193.35374 57.725) (xy 193.066842 57.782068) (xy 192.796589 57.89401) (xy 192.553368 58.056525) (xy 192.346525 58.263368) - (xy 192.23 58.43776) (xy 192.113475 58.263368) (xy 191.906632 58.056525) (xy 191.663411 57.89401) (xy 191.393158 57.782068) - (xy 191.10626 57.725) (xy 190.81374 57.725) (xy 190.526842 57.782068) (xy 190.256589 57.89401) (xy 190.013368 58.056525) - (xy 189.806525 58.263368) (xy 189.69 58.43776) (xy 189.573475 58.263368) (xy 189.366632 58.056525) (xy 189.123411 57.89401) - (xy 188.853158 57.782068) (xy 188.56626 57.725) (xy 188.27374 57.725) (xy 187.986842 57.782068) (xy 187.716589 57.89401) - (xy 187.473368 58.056525) (xy 187.266525 58.263368) (xy 187.15 58.43776) (xy 187.033475 58.263368) (xy 186.826632 58.056525) - (xy 186.583411 57.89401) (xy 186.313158 57.782068) (xy 186.02626 57.725) (xy 185.73374 57.725) (xy 185.446842 57.782068) - (xy 185.176589 57.89401) (xy 184.933368 58.056525) (xy 184.726525 58.263368) (xy 184.61 58.43776) (xy 184.493475 58.263368) - (xy 184.286632 58.056525) (xy 184.043411 57.89401) (xy 183.773158 57.782068) (xy 183.48626 57.725) (xy 183.19374 57.725) - (xy 182.906842 57.782068) (xy 182.636589 57.89401) (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) - (xy 181.953475 58.263368) (xy 181.746632 58.056525) (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) - (xy 180.65374 57.725) (xy 180.366842 57.782068) (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) - (xy 179.53 58.43776) (xy 179.413475 58.263368) (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) - (xy 178.40626 57.725) (xy 178.11374 57.725) (xy 177.826842 57.782068) (xy 177.556589 57.89401) (xy 177.313368 58.056525) - (xy 177.106525 58.263368) (xy 176.99 58.43776) (xy 176.873475 58.263368) (xy 176.666632 58.056525) (xy 176.423411 57.89401) - (xy 176.153158 57.782068) (xy 175.86626 57.725) (xy 175.57374 57.725) (xy 175.286842 57.782068) (xy 175.016589 57.89401) - (xy 174.773368 58.056525) (xy 174.566525 58.263368) (xy 174.45 58.43776) (xy 174.333475 58.263368) (xy 174.126632 58.056525) - (xy 173.883411 57.89401) (xy 173.613158 57.782068) (xy 173.32626 57.725) (xy 173.03374 57.725) (xy 172.746842 57.782068) - (xy 172.476589 57.89401) (xy 172.233368 58.056525) (xy 172.026525 58.263368) (xy 171.904805 58.445534) (xy 171.835178 58.328645) - (xy 171.640269 58.112412) (xy 171.40692 57.938359) (xy 171.144099 57.813175) (xy 170.99689 57.768524) (xy 170.767 57.889845) - (xy 170.513 57.889845) (xy 170.28311 57.768524) (xy 170.135901 57.813175) (xy 169.87308 57.938359) (xy 169.639731 58.112412) - (xy 169.444822 58.328645) (xy 169.375195 58.445534) (xy 169.253475 58.263368) (xy 169.046632 58.056525) (xy 168.803411 57.89401) - (xy 168.533158 57.782068) (xy 168.24626 57.725) (xy 167.95374 57.725) (xy 167.666842 57.782068) (xy 167.396589 57.89401) - (xy 167.153368 58.056525) (xy 166.946525 58.263368) (xy 166.824805 58.445534) (xy 166.755178 58.328645) (xy 166.560269 58.112412) - (xy 166.32692 57.938359) (xy 166.064099 57.813175) (xy 165.91689 57.768524) (xy 165.687 57.889845) (xy 165.433 57.889845) - (xy 165.20311 57.768524) (xy 165.055901 57.813175) (xy 164.79308 57.938359) (xy 164.559731 58.112412) (xy 164.364822 58.328645) - (xy 164.295195 58.445534) (xy 164.173475 58.263368) (xy 163.966632 58.056525) (xy 163.723411 57.89401) (xy 163.453158 57.782068) - (xy 163.16626 57.725) (xy 162.87374 57.725) (xy 162.586842 57.782068) (xy 162.316589 57.89401) (xy 162.073368 58.056525) - (xy 161.866525 58.263368) (xy 161.75 58.43776) (xy 161.633475 58.263368) (xy 161.426632 58.056525) (xy 161.183411 57.89401) - (xy 160.913158 57.782068) (xy 160.62626 57.725) (xy 160.33374 57.725) (xy 160.046842 57.782068) (xy 159.776589 57.89401) - (xy 159.533368 58.056525) (xy 159.326525 58.263368) (xy 159.21 58.43776) (xy 159.093475 58.263368) (xy 158.886632 58.056525) - (xy 158.643411 57.89401) (xy 158.373158 57.782068) (xy 158.08626 57.725) (xy 157.79374 57.725) (xy 157.506842 57.782068) - (xy 157.236589 57.89401) (xy 156.993368 58.056525) (xy 156.786525 58.263368) (xy 156.67 58.43776) (xy 156.553475 58.263368) - (xy 156.346632 58.056525) (xy 156.103411 57.89401) (xy 155.833158 57.782068) (xy 155.54626 57.725) (xy 155.25374 57.725) - (xy 154.966842 57.782068) (xy 154.696589 57.89401) (xy 154.453368 58.056525) (xy 154.246525 58.263368) (xy 154.13 58.43776) - (xy 154.013475 58.263368) (xy 153.806632 58.056525) (xy 153.563411 57.89401) (xy 153.293158 57.782068) (xy 153.00626 57.725) - (xy 152.71374 57.725) (xy 152.426842 57.782068) (xy 152.156589 57.89401) (xy 151.913368 58.056525) (xy 151.706525 58.263368) - (xy 151.59 58.43776) (xy 151.473475 58.263368) (xy 151.266632 58.056525) (xy 151.023411 57.89401) (xy 150.753158 57.782068) - (xy 150.46626 57.725) (xy 150.17374 57.725) (xy 149.886842 57.782068) (xy 149.616589 57.89401) (xy 149.373368 58.056525) - (xy 149.166525 58.263368) (xy 149.05 58.43776) (xy 148.933475 58.263368) (xy 148.726632 58.056525) (xy 148.483411 57.89401) - (xy 148.213158 57.782068) (xy 147.92626 57.725) (xy 147.63374 57.725) (xy 147.346842 57.782068) (xy 147.076589 57.89401) - (xy 146.833368 58.056525) (xy 146.626525 58.263368) (xy 146.51 58.43776) (xy 146.393475 58.263368) (xy 146.186632 58.056525) - (xy 145.943411 57.89401) (xy 145.673158 57.782068) (xy 145.38626 57.725) (xy 145.09374 57.725) (xy 144.806842 57.782068) - (xy 144.536589 57.89401) (xy 144.293368 58.056525) (xy 144.086525 58.263368) (xy 143.97 58.43776) (xy 143.853475 58.263368) - (xy 143.646632 58.056525) (xy 143.403411 57.89401) (xy 143.133158 57.782068) (xy 142.84626 57.725) (xy 142.55374 57.725) - (xy 142.266842 57.782068) (xy 141.996589 57.89401) (xy 141.753368 58.056525) (xy 141.546525 58.263368) (xy 141.43 58.43776) - (xy 141.313475 58.263368) (xy 141.106632 58.056525) (xy 140.863411 57.89401) (xy 140.593158 57.782068) (xy 140.30626 57.725) - (xy 140.01374 57.725) (xy 139.726842 57.782068) (xy 139.456589 57.89401) (xy 139.213368 58.056525) (xy 139.006525 58.263368) - (xy 138.89 58.43776) (xy 138.773475 58.263368) (xy 138.566632 58.056525) (xy 138.323411 57.89401) (xy 138.053158 57.782068) - (xy 137.76626 57.725) (xy 137.47374 57.725) (xy 137.186842 57.782068) (xy 136.916589 57.89401) (xy 136.673368 58.056525) - (xy 136.466525 58.263368) (xy 136.35 58.43776) (xy 136.233475 58.263368) (xy 136.026632 58.056525) (xy 135.783411 57.89401) - (xy 135.513158 57.782068) (xy 135.22626 57.725) (xy 134.93374 57.725) (xy 134.646842 57.782068) (xy 134.376589 57.89401) - (xy 134.133368 58.056525) (xy 133.926525 58.263368) (xy 133.81 58.43776) (xy 133.693475 58.263368) (xy 133.486632 58.056525) - (xy 133.243411 57.89401) (xy 132.973158 57.782068) (xy 132.68626 57.725) (xy 132.39374 57.725) (xy 132.106842 57.782068) - (xy 131.836589 57.89401) (xy 131.593368 58.056525) (xy 131.386525 58.263368) (xy 131.27 58.43776) (xy 131.153475 58.263368) - (xy 130.946632 58.056525) (xy 130.703411 57.89401) (xy 130.433158 57.782068) (xy 130.14626 57.725) (xy 129.85374 57.725) - (xy 129.566842 57.782068) (xy 129.296589 57.89401) (xy 129.053368 58.056525) (xy 128.846525 58.263368) (xy 128.68401 58.506589) - (xy 128.572068 58.776842) (xy 128.515 59.06374) (xy 128.515 59.35626) (xy 128.572068 59.643158) (xy 128.68401 59.913411) - (xy 128.846525 60.156632) (xy 128.97838 60.288487) (xy 128.90582 60.310498) (xy 128.795506 60.369463) (xy 128.698815 60.448815) - (xy 128.619463 60.545506) (xy 128.560498 60.65582) (xy 128.524188 60.775518) (xy 128.511928 60.9) (xy 113.689885 60.9) - (xy 113.681896 53.861014) (xy 180.551371 53.861014) (xy 180.551371 54.064892) (xy 180.591145 54.264851) (xy 180.669166 54.453209) - (xy 180.782434 54.622727) (xy 180.926597 54.76689) (xy 181.096115 54.880158) (xy 181.284473 54.958179) (xy 181.484432 54.997953) - (xy 181.68831 54.997953) (xy 181.888269 54.958179) (xy 182.076627 54.880158) (xy 182.246145 54.76689) (xy 182.314974 54.698061) - (xy 183.165 54.698061) (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) - (xy 183.540226 55.603937) (xy 183.709744 55.717205) (xy 183.898102 55.795226) (xy 184.098061 55.835) (xy 184.301939 55.835) - (xy 184.501898 55.795226) (xy 184.690256 55.717205) (xy 184.8 55.643877) (xy 184.909744 55.717205) (xy 185.098102 55.795226) - (xy 185.298061 55.835) (xy 185.501939 55.835) (xy 185.701898 55.795226) (xy 185.890256 55.717205) (xy 186 55.643877) - (xy 186.109744 55.717205) (xy 186.298102 55.795226) (xy 186.498061 55.835) (xy 186.701939 55.835) (xy 186.901898 55.795226) - (xy 187.090256 55.717205) (xy 187.2 55.643877) (xy 187.309744 55.717205) (xy 187.498102 55.795226) (xy 187.698061 55.835) - (xy 187.901939 55.835) (xy 188.101898 55.795226) (xy 188.290256 55.717205) (xy 188.459774 55.603937) (xy 188.603937 55.459774) - (xy 188.717205 55.290256) (xy 188.795226 55.101898) (xy 188.835 54.901939) (xy 188.835 54.888967) (xy 265.215 54.888967) - (xy 265.215 55.211033) (xy 265.277832 55.526912) (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) - (xy 266.075537 56.498918) (xy 266.373088 56.622168) (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) - (xy 267.624463 56.498918) (xy 267.892252 56.319987) (xy 268.119987 56.092252) (xy 268.298918 55.824463) (xy 268.422168 55.526912) - (xy 268.485 55.211033) (xy 268.485 54.888967) (xy 268.422168 54.573088) (xy 268.298918 54.275537) (xy 268.119987 54.007748) - (xy 267.892252 53.780013) (xy 267.624463 53.601082) (xy 267.326912 53.477832) (xy 267.011033 53.415) (xy 266.688967 53.415) - (xy 266.373088 53.477832) (xy 266.075537 53.601082) (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) - (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 188.835 54.888967) (xy 188.835 54.698061) (xy 188.795226 54.498102) - (xy 188.717205 54.309744) (xy 188.603937 54.140226) (xy 188.459774 53.996063) (xy 188.290256 53.882795) (xy 188.101898 53.804774) - (xy 187.901939 53.765) (xy 187.698061 53.765) (xy 187.498102 53.804774) (xy 187.309744 53.882795) (xy 187.2 53.956123) - (xy 187.090256 53.882795) (xy 186.901898 53.804774) (xy 186.701939 53.765) (xy 186.498061 53.765) (xy 186.298102 53.804774) - (xy 186.109744 53.882795) (xy 186 53.956123) (xy 185.890256 53.882795) (xy 185.701898 53.804774) (xy 185.501939 53.765) - (xy 185.298061 53.765) (xy 185.098102 53.804774) (xy 184.909744 53.882795) (xy 184.8 53.956123) (xy 184.690256 53.882795) - (xy 184.501898 53.804774) (xy 184.301939 53.765) (xy 184.098061 53.765) (xy 183.898102 53.804774) (xy 183.709744 53.882795) - (xy 183.540226 53.996063) (xy 183.396063 54.140226) (xy 183.282795 54.309744) (xy 183.204774 54.498102) (xy 183.165 54.698061) - (xy 182.314974 54.698061) (xy 182.390308 54.622727) (xy 182.503576 54.453209) (xy 182.581597 54.264851) (xy 182.621371 54.064892) - (xy 182.621371 53.861014) (xy 182.591445 53.710561) (xy 254.005 53.710561) (xy 254.005 53.914439) (xy 254.044774 54.114398) - (xy 254.122795 54.302756) (xy 254.236063 54.472274) (xy 254.380226 54.616437) (xy 254.549744 54.729705) (xy 254.738102 54.807726) - (xy 254.938061 54.8475) (xy 255.141939 54.8475) (xy 255.341898 54.807726) (xy 255.530256 54.729705) (xy 255.699774 54.616437) - (xy 255.843937 54.472274) (xy 255.957205 54.302756) (xy 256.035226 54.114398) (xy 256.075 53.914439) (xy 256.075 53.710561) - (xy 256.035226 53.510602) (xy 255.957205 53.322244) (xy 255.843937 53.152726) (xy 255.699774 53.008563) (xy 255.530256 52.895295) - (xy 255.341898 52.817274) (xy 255.141939 52.7775) (xy 254.938061 52.7775) (xy 254.738102 52.817274) (xy 254.549744 52.895295) - (xy 254.380226 53.008563) (xy 254.236063 53.152726) (xy 254.122795 53.322244) (xy 254.044774 53.510602) (xy 254.005 53.710561) - (xy 182.591445 53.710561) (xy 182.581597 53.661055) (xy 182.503576 53.472697) (xy 182.390308 53.303179) (xy 182.246145 53.159016) - (xy 182.076627 53.045748) (xy 181.888269 52.967727) (xy 181.68831 52.927953) (xy 181.484432 52.927953) (xy 181.284473 52.967727) - (xy 181.096115 53.045748) (xy 180.926597 53.159016) (xy 180.782434 53.303179) (xy 180.669166 53.472697) (xy 180.591145 53.661055) - (xy 180.551371 53.861014) (xy 113.681896 53.861014) (xy 113.677624 50.098061) (xy 144.09 50.098061) (xy 144.09 50.301939) - (xy 144.129774 50.501898) (xy 144.207795 50.690256) (xy 144.321063 50.859774) (xy 144.465226 51.003937) (xy 144.634744 51.117205) - (xy 144.823102 51.195226) (xy 145.023061 51.235) (xy 145.226939 51.235) (xy 145.426898 51.195226) (xy 145.615256 51.117205) - (xy 145.784774 51.003937) (xy 145.928937 50.859774) (xy 146.042205 50.690256) (xy 146.054317 50.661014) (xy 185.751371 50.661014) - (xy 185.751371 50.864892) (xy 185.791145 51.064851) (xy 185.869166 51.253209) (xy 185.982434 51.422727) (xy 186.126597 51.56689) - (xy 186.296115 51.680158) (xy 186.484473 51.758179) (xy 186.684432 51.797953) (xy 186.88831 51.797953) (xy 187.088269 51.758179) - (xy 187.276627 51.680158) (xy 187.446145 51.56689) (xy 187.590308 51.422727) (xy 187.703576 51.253209) (xy 187.781597 51.064851) - (xy 187.821371 50.864892) (xy 187.821371 50.661014) (xy 187.803967 50.573514) (xy 189.576371 50.573514) (xy 189.576371 50.777392) - (xy 189.616145 50.977351) (xy 189.694166 51.165709) (xy 189.807434 51.335227) (xy 189.951597 51.47939) (xy 190.121115 51.592658) - (xy 190.309473 51.670679) (xy 190.509432 51.710453) (xy 190.71331 51.710453) (xy 190.913269 51.670679) (xy 191.101627 51.592658) - (xy 191.271145 51.47939) (xy 191.415308 51.335227) (xy 191.528576 51.165709) (xy 191.606597 50.977351) (xy 191.646371 50.777392) - (xy 191.646371 50.698061) (xy 207.705 50.698061) (xy 207.705 50.901939) (xy 207.744774 51.101898) (xy 207.822795 51.290256) - (xy 207.936063 51.459774) (xy 208.080226 51.603937) (xy 208.249744 51.717205) (xy 208.438102 51.795226) (xy 208.638061 51.835) - (xy 208.841939 51.835) (xy 209.041898 51.795226) (xy 209.230256 51.717205) (xy 209.399774 51.603937) (xy 209.543937 51.459774) - (xy 209.657205 51.290256) (xy 209.735226 51.101898) (xy 209.775 50.901939) (xy 209.775 50.698061) (xy 209.735226 50.498102) - (xy 209.657205 50.309744) (xy 209.543937 50.140226) (xy 209.399774 49.996063) (xy 209.230256 49.882795) (xy 209.041898 49.804774) - (xy 208.841939 49.765) (xy 208.638061 49.765) (xy 208.438102 49.804774) (xy 208.249744 49.882795) (xy 208.080226 49.996063) - (xy 207.936063 50.140226) (xy 207.822795 50.309744) (xy 207.744774 50.498102) (xy 207.705 50.698061) (xy 191.646371 50.698061) - (xy 191.646371 50.573514) (xy 191.606597 50.373555) (xy 191.528576 50.185197) (xy 191.415308 50.015679) (xy 191.271145 49.871516) - (xy 191.101627 49.758248) (xy 190.913269 49.680227) (xy 190.71331 49.640453) (xy 190.509432 49.640453) (xy 190.309473 49.680227) - (xy 190.121115 49.758248) (xy 189.951597 49.871516) (xy 189.807434 50.015679) (xy 189.694166 50.185197) (xy 189.616145 50.373555) - (xy 189.576371 50.573514) (xy 187.803967 50.573514) (xy 187.781597 50.461055) (xy 187.703576 50.272697) (xy 187.590308 50.103179) - (xy 187.446145 49.959016) (xy 187.276627 49.845748) (xy 187.088269 49.767727) (xy 186.88831 49.727953) (xy 186.684432 49.727953) - (xy 186.484473 49.767727) (xy 186.296115 49.845748) (xy 186.126597 49.959016) (xy 185.982434 50.103179) (xy 185.869166 50.272697) - (xy 185.791145 50.461055) (xy 185.751371 50.661014) (xy 146.054317 50.661014) (xy 146.120226 50.501898) (xy 146.16 50.301939) - (xy 146.16 50.098061) (xy 146.120226 49.898102) (xy 146.042205 49.709744) (xy 145.928937 49.540226) (xy 145.784774 49.396063) + (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 48.744267) + (xy 272.603937 48.720226) (xy 272.459774 48.576063) (xy 272.290256 48.462795) (xy 272.101898 48.384774) (xy 271.901939 48.345) + (xy 271.698061 48.345) (xy 271.498102 48.384774) (xy 271.309744 48.462795) (xy 271.140226 48.576063) (xy 270.996063 48.720226) + (xy 270.882795 48.889744) (xy 270.804774 49.078102) (xy 270.765 49.278061) (xy 270.765 49.481939) (xy 270.804774 49.681898) + (xy 270.882795 49.870256) (xy 270.996063 50.039774) (xy 271.140226 50.183937) (xy 271.309744 50.297205) (xy 271.498102 50.375226) + (xy 271.698061 50.415) (xy 271.901939 50.415) (xy 272.101898 50.375226) (xy 272.290256 50.297205) (xy 272.459774 50.183937) + (xy 272.603937 50.039774) (xy 272.620001 50.015733) (xy 272.620001 53.031509) (xy 272.574463 53.001082) (xy 272.276912 52.877832) + (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) (xy 271.025537 53.001082) (xy 270.757748 53.180013) + (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) (xy 270.165 54.288967) (xy 270.165 54.611033) + (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) (xy 270.757748 55.719987) (xy 271.025537 55.898918) + (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) (xy 272.276912 56.022168) (xy 272.574463 55.898918) + (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) (xy 272.276912 68.577832) (xy 271.961033 68.515) + (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) (xy 270.757748 68.880013) (xy 270.530013 69.107748) + (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) (xy 270.165 70.311033) (xy 270.227832 70.626912) + (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 271.025537 71.598918) (xy 271.323088 71.722168) + (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) (xy 272.574463 71.598918) (xy 272.62 71.568491) + (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) (xy 271.486835 74.335) (xy 271.305398 74.35287) + (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) (xy 270.515667 74.880551) (xy 270.400989 75.095099) + (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.33037 75.812102) (xy 270.333383 75.822035) (xy 270.253881 75.703051) + (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) (xy 269.331448 75.21) (xy 269.063552 75.21) + (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) (xy 267.992284 75.925799) + (xy 267.895432 76.159619) (xy 267.821939 76.145) (xy 267.618061 76.145) (xy 267.418102 76.184774) (xy 267.229744 76.262795) + (xy 267.060226 76.376063) (xy 266.916063 76.520226) (xy 266.802795 76.689744) (xy 266.724774 76.878102) (xy 266.685 77.078061) + (xy 266.685 77.281939) (xy 266.724774 77.481898) (xy 266.802795 77.670256) (xy 266.916063 77.839774) (xy 267.060226 77.983937) + (xy 267.229744 78.097205) (xy 267.418102 78.175226) (xy 267.618061 78.215) (xy 267.821939 78.215) (xy 268.021898 78.175226) + (xy 268.210256 78.097205) (xy 268.379774 77.983937) (xy 268.523937 77.839774) (xy 268.564087 77.779685) (xy 268.800803 77.877736) + (xy 269.063552 77.93) (xy 269.331448 77.93) (xy 269.594197 77.877736) (xy 269.841701 77.775216) (xy 270.064449 77.626381) + (xy 270.253881 77.436949) (xy 270.402716 77.214201) (xy 270.505236 76.966697) (xy 270.5575 76.703948) (xy 270.5575 76.436052) + (xy 270.524516 76.270232) (xy 270.669998 76.447502) (xy 270.858051 76.601833) (xy 271.072599 76.716511) (xy 271.305398 76.78713) + (xy 271.486835 76.805) (xy 272.308165 76.805) (xy 272.489602 76.78713) (xy 272.62 76.747574) (xy 272.62 81.392426) + (xy 272.489602 81.35287) (xy 272.308165 81.335) (xy 271.486835 81.335) (xy 271.305398 81.35287) (xy 271.072599 81.423489) + (xy 270.858051 81.538167) (xy 270.669998 81.692498) (xy 270.524516 81.869768) (xy 270.5575 81.703948) (xy 270.5575 81.436052) + (xy 270.505236 81.173303) (xy 270.402716 80.925799) (xy 270.253881 80.703051) (xy 270.064449 80.513619) (xy 269.841701 80.364784) + (xy 269.594197 80.262264) (xy 269.331448 80.21) (xy 269.063552 80.21) (xy 268.800803 80.262264) (xy 268.553299 80.364784) + (xy 268.330551 80.513619) (xy 268.141119 80.703051) (xy 267.992284 80.925799) (xy 267.889764 81.173303) (xy 267.8375 81.436052) + (xy 267.8375 81.703948) (xy 267.889764 81.966697) (xy 267.992284 82.214201) (xy 268.141119 82.436949) (xy 268.330551 82.626381) + (xy 268.553299 82.775216) (xy 268.800803 82.877736) (xy 269.063552 82.93) (xy 269.331448 82.93) (xy 269.594197 82.877736) + (xy 269.841701 82.775216) (xy 270.064449 82.626381) (xy 270.253881 82.436949) (xy 270.333383 82.317965) (xy 270.33037 82.327898) + (xy 270.306525 82.57) (xy 270.33037 82.812102) (xy 270.400989 83.044901) (xy 270.515667 83.259449) (xy 270.669998 83.447502) + (xy 270.858051 83.601833) (xy 271.072599 83.716511) (xy 271.305398 83.78713) (xy 271.486835 83.805) (xy 272.308165 83.805) + (xy 272.489602 83.78713) (xy 272.62 83.747574) (xy 272.62 93.473) (xy 270.244313 93.473) (xy 270.235042 93.459125) + (xy 269.880875 93.104958) (xy 269.464419 92.826691) (xy 269.001677 92.635017) (xy 268.510434 92.537303) (xy 268.009566 92.537303) + (xy 267.518323 92.635017) (xy 267.055581 92.826691) (xy 266.639125 93.104958) (xy 266.284958 93.459125) (xy 266.275687 93.473) + (xy 196.507272 93.473) (xy 196.690256 93.397205) (xy 196.859774 93.283937) (xy 197.003937 93.139774) (xy 197.117205 92.970256) + (xy 197.195226 92.781898) (xy 197.235 92.581939) (xy 197.235 92.378061) (xy 197.195226 92.178102) (xy 197.117205 91.989744) + (xy 197.003937 91.820226) (xy 196.859774 91.676063) (xy 196.690256 91.562795) (xy 196.501898 91.484774) (xy 196.301939 91.445) + (xy 196.098061 91.445) (xy 195.898102 91.484774) (xy 195.709744 91.562795) (xy 195.540226 91.676063) (xy 195.396063 91.820226) + (xy 195.282795 91.989744) (xy 195.204774 92.178102) (xy 195.165 92.378061) (xy 195.165 92.581939) (xy 195.204774 92.781898) + (xy 195.282795 92.970256) (xy 195.396063 93.139774) (xy 195.540226 93.283937) (xy 195.709744 93.397205) (xy 195.892728 93.473) + (xy 180.107272 93.473) (xy 180.290256 93.397205) (xy 180.459774 93.283937) (xy 180.603937 93.139774) (xy 180.717205 92.970256) + (xy 180.795226 92.781898) (xy 180.835 92.581939) (xy 180.835 92.378061) (xy 180.795226 92.178102) (xy 180.717205 91.989744) + (xy 180.603937 91.820226) (xy 180.459774 91.676063) (xy 180.290256 91.562795) (xy 180.101898 91.484774) (xy 179.901939 91.445) + (xy 179.698061 91.445) (xy 179.498102 91.484774) (xy 179.309744 91.562795) (xy 179.140226 91.676063) (xy 178.996063 91.820226) + (xy 178.882795 91.989744) (xy 178.804774 92.178102) (xy 178.765 92.378061) (xy 178.765 92.581939) (xy 178.804774 92.781898) + (xy 178.882795 92.970256) (xy 178.996063 93.139774) (xy 179.140226 93.283937) (xy 179.309744 93.397205) (xy 179.492728 93.473) + (xy 124.724353 93.473) (xy 124.795226 93.301898) (xy 124.835 93.101939) (xy 124.835 92.898061) (xy 124.795226 92.698102) + (xy 124.717205 92.509744) (xy 124.603937 92.340226) (xy 124.459774 92.196063) (xy 124.290256 92.082795) (xy 124.101898 92.004774) + (xy 123.901939 91.965) (xy 123.698061 91.965) (xy 123.498102 92.004774) (xy 123.309744 92.082795) (xy 123.140226 92.196063) + (xy 122.996063 92.340226) (xy 122.882795 92.509744) (xy 122.804774 92.698102) (xy 122.765 92.898061) (xy 122.765 93.101939) + (xy 122.804774 93.301898) (xy 122.875647 93.473) (xy 113.726856 93.473) (xy 113.725069 91.898061) (xy 157.065 91.898061) + (xy 157.065 92.101939) (xy 157.104774 92.301898) (xy 157.182795 92.490256) (xy 157.296063 92.659774) (xy 157.440226 92.803937) + (xy 157.609744 92.917205) (xy 157.798102 92.995226) (xy 157.998061 93.035) (xy 158.201939 93.035) (xy 158.401898 92.995226) + (xy 158.590256 92.917205) (xy 158.759774 92.803937) (xy 158.903937 92.659774) (xy 159.017205 92.490256) (xy 159.095226 92.301898) + (xy 159.135 92.101939) (xy 159.135 91.898061) (xy 159.095226 91.698102) (xy 159.017205 91.509744) (xy 158.992695 91.473061) + (xy 167.615 91.473061) (xy 167.615 91.676939) (xy 167.654774 91.876898) (xy 167.732795 92.065256) (xy 167.846063 92.234774) + (xy 167.990226 92.378937) (xy 168.159744 92.492205) (xy 168.348102 92.570226) (xy 168.548061 92.61) (xy 168.751939 92.61) + (xy 168.951898 92.570226) (xy 169.140256 92.492205) (xy 169.309774 92.378937) (xy 169.453937 92.234774) (xy 169.567205 92.065256) + (xy 169.645226 91.876898) (xy 169.685 91.676939) (xy 169.685 91.473061) (xy 169.645226 91.273102) (xy 169.567205 91.084744) + (xy 169.453937 90.915226) (xy 169.309774 90.771063) (xy 169.140256 90.657795) (xy 168.951898 90.579774) (xy 168.751939 90.54) + (xy 168.548061 90.54) (xy 168.348102 90.579774) (xy 168.159744 90.657795) (xy 167.990226 90.771063) (xy 167.846063 90.915226) + (xy 167.732795 91.084744) (xy 167.654774 91.273102) (xy 167.615 91.473061) (xy 158.992695 91.473061) (xy 158.903937 91.340226) + (xy 158.759774 91.196063) (xy 158.590256 91.082795) (xy 158.401898 91.004774) (xy 158.201939 90.965) (xy 157.998061 90.965) + (xy 157.798102 91.004774) (xy 157.609744 91.082795) (xy 157.440226 91.196063) (xy 157.296063 91.340226) (xy 157.182795 91.509744) + (xy 157.104774 91.698102) (xy 157.065 91.898061) (xy 113.725069 91.898061) (xy 113.719938 87.378061) (xy 114.165 87.378061) + (xy 114.165 87.581939) (xy 114.204774 87.781898) (xy 114.282795 87.970256) (xy 114.396063 88.139774) (xy 114.540226 88.283937) + (xy 114.709744 88.397205) (xy 114.849272 88.455) (xy 114.709744 88.512795) (xy 114.540226 88.626063) (xy 114.396063 88.770226) + (xy 114.282795 88.939744) (xy 114.204774 89.128102) (xy 114.165 89.328061) (xy 114.165 89.531939) (xy 114.204774 89.731898) + (xy 114.282795 89.920256) (xy 114.389532 90.08) (xy 114.282795 90.239744) (xy 114.204774 90.428102) (xy 114.165 90.628061) + (xy 114.165 90.831939) (xy 114.204774 91.031898) (xy 114.282795 91.220256) (xy 114.396063 91.389774) (xy 114.540226 91.533937) + (xy 114.709744 91.647205) (xy 114.898102 91.725226) (xy 115.098061 91.765) (xy 115.301939 91.765) (xy 115.501898 91.725226) + (xy 115.690256 91.647205) (xy 115.859774 91.533937) (xy 116.003937 91.389774) (xy 116.117205 91.220256) (xy 116.195226 91.031898) + (xy 116.235 90.831939) (xy 116.235 90.628061) (xy 116.195226 90.428102) (xy 116.117205 90.239744) (xy 116.010468 90.08) + (xy 116.117205 89.920256) (xy 116.195226 89.731898) (xy 116.235 89.531939) (xy 116.235 89.328061) (xy 116.211628 89.210561) + (xy 136.465 89.210561) (xy 136.465 89.414439) (xy 136.504774 89.614398) (xy 136.582795 89.802756) (xy 136.696063 89.972274) + (xy 136.840226 90.116437) (xy 137.009744 90.229705) (xy 137.198102 90.307726) (xy 137.398061 90.3475) (xy 137.601939 90.3475) + (xy 137.801898 90.307726) (xy 137.990256 90.229705) (xy 138.159774 90.116437) (xy 138.303937 89.972274) (xy 138.320115 89.948061) + (xy 144.265 89.948061) (xy 144.265 90.151939) (xy 144.304774 90.351898) (xy 144.382795 90.540256) (xy 144.496063 90.709774) + (xy 144.640226 90.853937) (xy 144.809744 90.967205) (xy 144.998102 91.045226) (xy 145.198061 91.085) (xy 145.401939 91.085) + (xy 145.601898 91.045226) (xy 145.790256 90.967205) (xy 145.959774 90.853937) (xy 146.103937 90.709774) (xy 146.217205 90.540256) + (xy 146.267017 90.419999) (xy 216.731928 90.419999) (xy 216.731928 92.120001) (xy 216.748992 92.293255) (xy 216.799528 92.459851) + (xy 216.881595 92.613387) (xy 216.992038 92.747962) (xy 217.126613 92.858405) (xy 217.280149 92.940472) (xy 217.446745 92.991008) + (xy 217.619999 93.008072) (xy 218.860001 93.008072) (xy 219.033255 92.991008) (xy 219.199851 92.940472) (xy 219.353387 92.858405) + (xy 219.487962 92.747962) (xy 219.598405 92.613387) (xy 219.656934 92.503886) (xy 219.710655 92.569345) (xy 219.939822 92.757417) + (xy 220.201276 92.897166) (xy 220.484969 92.983224) (xy 220.78 93.012282) (xy 221.075032 92.983224) (xy 221.358725 92.897166) + (xy 221.620179 92.757417) (xy 221.849345 92.569345) (xy 222.037417 92.340179) (xy 222.05 92.316638) (xy 222.062583 92.340179) + (xy 222.250655 92.569345) (xy 222.479822 92.757417) (xy 222.741276 92.897166) (xy 223.024969 92.983224) (xy 223.32 93.012282) + (xy 223.615032 92.983224) (xy 223.898725 92.897166) (xy 224.160179 92.757417) (xy 224.389345 92.569345) (xy 224.577417 92.340179) + (xy 224.717166 92.078724) (xy 224.803224 91.795031) (xy 224.825 91.573935) (xy 224.825 90.966064) (xy 224.803224 90.744968) + (xy 224.717166 90.461275) (xy 224.577417 90.199821) (xy 224.389345 89.970655) (xy 224.160178 89.782583) (xy 223.898724 89.642834) + (xy 223.615031 89.556776) (xy 223.32 89.527718) (xy 223.024968 89.556776) (xy 222.741275 89.642834) (xy 222.479821 89.782583) + (xy 222.250655 89.970655) (xy 222.062583 90.199822) (xy 222.05 90.223363) (xy 222.037417 90.199821) (xy 221.849345 89.970655) + (xy 221.620178 89.782583) (xy 221.358724 89.642834) (xy 221.075031 89.556776) (xy 220.78 89.527718) (xy 220.484968 89.556776) + (xy 220.201275 89.642834) (xy 219.939821 89.782583) (xy 219.710655 89.970655) (xy 219.656935 90.036114) (xy 219.598405 89.926613) + (xy 219.487962 89.792038) (xy 219.353387 89.681595) (xy 219.199851 89.599528) (xy 219.033255 89.548992) (xy 218.860001 89.531928) + (xy 217.619999 89.531928) (xy 217.446745 89.548992) (xy 217.280149 89.599528) (xy 217.126613 89.681595) (xy 216.992038 89.792038) + (xy 216.881595 89.926613) (xy 216.799528 90.080149) (xy 216.748992 90.246745) (xy 216.731928 90.419999) (xy 146.267017 90.419999) + (xy 146.295226 90.351898) (xy 146.335 90.151939) (xy 146.335 89.948061) (xy 146.295226 89.748102) (xy 146.217205 89.559744) + (xy 146.103937 89.390226) (xy 145.959774 89.246063) (xy 145.921931 89.220777) (xy 266.3625 89.220777) (xy 266.3625 89.779223) + (xy 266.471448 90.326939) (xy 266.685156 90.842876) (xy 266.995412 91.307207) (xy 267.390293 91.702088) (xy 267.854624 92.012344) + (xy 268.370561 92.226052) (xy 268.918277 92.335) (xy 269.476723 92.335) (xy 270.024439 92.226052) (xy 270.540376 92.012344) + (xy 271.004707 91.702088) (xy 271.399588 91.307207) (xy 271.709844 90.842876) (xy 271.923552 90.326939) (xy 272.0325 89.779223) + (xy 272.0325 89.220777) (xy 271.923552 88.673061) (xy 271.709844 88.157124) (xy 271.399588 87.692793) (xy 271.004707 87.297912) + (xy 270.540376 86.987656) (xy 270.024439 86.773948) (xy 269.476723 86.665) (xy 268.918277 86.665) (xy 268.370561 86.773948) + (xy 267.854624 86.987656) (xy 267.390293 87.297912) (xy 266.995412 87.692793) (xy 266.685156 88.157124) (xy 266.471448 88.673061) + (xy 266.3625 89.220777) (xy 145.921931 89.220777) (xy 145.790256 89.132795) (xy 145.700317 89.095541) (xy 145.701898 89.095226) + (xy 145.890256 89.017205) (xy 146.059774 88.903937) (xy 146.203937 88.759774) (xy 146.317205 88.590256) (xy 146.395226 88.401898) + (xy 146.435 88.201939) (xy 146.435 87.998061) (xy 146.395226 87.798102) (xy 146.317205 87.609744) (xy 146.203937 87.440226) + (xy 146.059774 87.296063) (xy 145.890256 87.182795) (xy 145.701898 87.104774) (xy 145.501939 87.065) (xy 145.298061 87.065) + (xy 145.098102 87.104774) (xy 144.909744 87.182795) (xy 144.740226 87.296063) (xy 144.596063 87.440226) (xy 144.482795 87.609744) + (xy 144.404774 87.798102) (xy 144.365 87.998061) (xy 144.365 88.201939) (xy 144.404774 88.401898) (xy 144.482795 88.590256) + (xy 144.596063 88.759774) (xy 144.740226 88.903937) (xy 144.909744 89.017205) (xy 144.999683 89.054459) (xy 144.998102 89.054774) + (xy 144.809744 89.132795) (xy 144.640226 89.246063) (xy 144.496063 89.390226) (xy 144.382795 89.559744) (xy 144.304774 89.748102) + (xy 144.265 89.948061) (xy 138.320115 89.948061) (xy 138.417205 89.802756) (xy 138.495226 89.614398) (xy 138.535 89.414439) + (xy 138.535 89.210561) (xy 138.495226 89.010602) (xy 138.417205 88.822244) (xy 138.303937 88.652726) (xy 138.159774 88.508563) + (xy 137.990256 88.395295) (xy 137.801898 88.317274) (xy 137.601939 88.2775) (xy 137.398061 88.2775) (xy 137.198102 88.317274) + (xy 137.009744 88.395295) (xy 136.840226 88.508563) (xy 136.696063 88.652726) (xy 136.582795 88.822244) (xy 136.504774 89.010602) + (xy 136.465 89.210561) (xy 116.211628 89.210561) (xy 116.195226 89.128102) (xy 116.117205 88.939744) (xy 116.003937 88.770226) + (xy 115.859774 88.626063) (xy 115.690256 88.512795) (xy 115.550728 88.455) (xy 115.690256 88.397205) (xy 115.859774 88.283937) + (xy 116.003937 88.139774) (xy 116.117205 87.970256) (xy 116.147109 87.898061) (xy 127.062842 87.898061) (xy 127.062842 88.101939) + (xy 127.102616 88.301898) (xy 127.180637 88.490256) (xy 127.293905 88.659774) (xy 127.438068 88.803937) (xy 127.607586 88.917205) + (xy 127.795944 88.995226) (xy 127.995903 89.035) (xy 128.199781 89.035) (xy 128.39974 88.995226) (xy 128.588098 88.917205) + (xy 128.757616 88.803937) (xy 128.901779 88.659774) (xy 128.997842 88.516005) (xy 129.093905 88.659774) (xy 129.238068 88.803937) + (xy 129.407586 88.917205) (xy 129.595944 88.995226) (xy 129.795903 89.035) (xy 129.999781 89.035) (xy 130.19974 88.995226) + (xy 130.388098 88.917205) (xy 130.557616 88.803937) (xy 130.701779 88.659774) (xy 130.797842 88.516005) (xy 130.893905 88.659774) + (xy 131.038068 88.803937) (xy 131.207586 88.917205) (xy 131.395944 88.995226) (xy 131.595903 89.035) (xy 131.799781 89.035) + (xy 131.99974 88.995226) (xy 132.188098 88.917205) (xy 132.357616 88.803937) (xy 132.501779 88.659774) (xy 132.554703 88.580567) + (xy 132.617631 88.674746) (xy 132.761794 88.818909) (xy 132.931312 88.932177) (xy 133.11967 89.010198) (xy 133.319629 89.049972) + (xy 133.523507 89.049972) (xy 133.723466 89.010198) (xy 133.911824 88.932177) (xy 134.081342 88.818909) (xy 134.225505 88.674746) + (xy 134.338773 88.505228) (xy 134.416794 88.31687) (xy 134.456568 88.116911) (xy 134.456568 87.913033) (xy 134.416794 87.713074) + (xy 134.338773 87.524716) (xy 134.225505 87.355198) (xy 134.218368 87.348061) (xy 138.265 87.348061) (xy 138.265 87.551939) + (xy 138.304774 87.751898) (xy 138.382795 87.940256) (xy 138.496063 88.109774) (xy 138.640226 88.253937) (xy 138.809744 88.367205) + (xy 138.998102 88.445226) (xy 139.198061 88.485) (xy 139.401939 88.485) (xy 139.601898 88.445226) (xy 139.790256 88.367205) + (xy 139.959774 88.253937) (xy 140.103937 88.109774) (xy 140.217205 87.940256) (xy 140.295226 87.751898) (xy 140.335 87.551939) + (xy 140.335 87.348061) (xy 140.295226 87.148102) (xy 140.217205 86.959744) (xy 140.103937 86.790226) (xy 140.011772 86.698061) + (xy 147.165 86.698061) (xy 147.165 86.901939) (xy 147.204774 87.101898) (xy 147.282795 87.290256) (xy 147.396063 87.459774) + (xy 147.540226 87.603937) (xy 147.709744 87.717205) (xy 147.898102 87.795226) (xy 148.098061 87.835) (xy 148.301939 87.835) + (xy 148.501898 87.795226) (xy 148.690256 87.717205) (xy 148.859774 87.603937) (xy 148.90565 87.558061) (xy 252.815 87.558061) + (xy 252.815 87.761939) (xy 252.854774 87.961898) (xy 252.932795 88.150256) (xy 253.046063 88.319774) (xy 253.190226 88.463937) + (xy 253.359744 88.577205) (xy 253.548102 88.655226) (xy 253.748061 88.695) (xy 253.951939 88.695) (xy 254.151898 88.655226) + (xy 254.340256 88.577205) (xy 254.509774 88.463937) (xy 254.653937 88.319774) (xy 254.767205 88.150256) (xy 254.845226 87.961898) + (xy 254.873836 87.818061) (xy 254.975 87.818061) (xy 254.975 88.021939) (xy 255.014774 88.221898) (xy 255.092795 88.410256) + (xy 255.206063 88.579774) (xy 255.350226 88.723937) (xy 255.519744 88.837205) (xy 255.708102 88.915226) (xy 255.908061 88.955) + (xy 256.111939 88.955) (xy 256.311898 88.915226) (xy 256.500256 88.837205) (xy 256.669774 88.723937) (xy 256.813937 88.579774) + (xy 256.927205 88.410256) (xy 257.005226 88.221898) (xy 257.045 88.021939) (xy 257.045 87.818061) (xy 257.005226 87.618102) + (xy 256.927205 87.429744) (xy 256.813937 87.260226) (xy 256.669774 87.116063) (xy 256.500256 87.002795) (xy 256.311898 86.924774) + (xy 256.111939 86.885) (xy 255.908061 86.885) (xy 255.708102 86.924774) (xy 255.519744 87.002795) (xy 255.350226 87.116063) + (xy 255.206063 87.260226) (xy 255.092795 87.429744) (xy 255.014774 87.618102) (xy 254.975 87.818061) (xy 254.873836 87.818061) + (xy 254.885 87.761939) (xy 254.885 87.558061) (xy 254.845226 87.358102) (xy 254.767205 87.169744) (xy 254.653937 87.000226) + (xy 254.509774 86.856063) (xy 254.340256 86.742795) (xy 254.151898 86.664774) (xy 253.951939 86.625) (xy 253.748061 86.625) + (xy 253.548102 86.664774) (xy 253.359744 86.742795) (xy 253.190226 86.856063) (xy 253.046063 87.000226) (xy 252.932795 87.169744) + (xy 252.854774 87.358102) (xy 252.815 87.558061) (xy 148.90565 87.558061) (xy 149.003937 87.459774) (xy 149.117205 87.290256) + (xy 149.195226 87.101898) (xy 149.235 86.901939) (xy 149.235 86.698061) (xy 149.195226 86.498102) (xy 149.117205 86.309744) + (xy 149.003937 86.140226) (xy 148.859774 85.996063) (xy 148.690256 85.882795) (xy 148.501898 85.804774) (xy 148.301939 85.765) + (xy 148.098061 85.765) (xy 147.898102 85.804774) (xy 147.709744 85.882795) (xy 147.540226 85.996063) (xy 147.396063 86.140226) + (xy 147.282795 86.309744) (xy 147.204774 86.498102) (xy 147.165 86.698061) (xy 140.011772 86.698061) (xy 139.959774 86.646063) + (xy 139.790256 86.532795) (xy 139.601898 86.454774) (xy 139.401939 86.415) (xy 139.198061 86.415) (xy 138.998102 86.454774) + (xy 138.809744 86.532795) (xy 138.640226 86.646063) (xy 138.496063 86.790226) (xy 138.382795 86.959744) (xy 138.304774 87.148102) + (xy 138.265 87.348061) (xy 134.218368 87.348061) (xy 134.081342 87.211035) (xy 133.911824 87.097767) (xy 133.723466 87.019746) + (xy 133.523507 86.979972) (xy 133.319629 86.979972) (xy 133.11967 87.019746) (xy 132.931312 87.097767) (xy 132.761794 87.211035) + (xy 132.617631 87.355198) (xy 132.564707 87.434405) (xy 132.501779 87.340226) (xy 132.357616 87.196063) (xy 132.188098 87.082795) + (xy 131.99974 87.004774) (xy 131.799781 86.965) (xy 131.595903 86.965) (xy 131.395944 87.004774) (xy 131.207586 87.082795) + (xy 131.038068 87.196063) (xy 130.893905 87.340226) (xy 130.797842 87.483995) (xy 130.701779 87.340226) (xy 130.557616 87.196063) + (xy 130.388098 87.082795) (xy 130.19974 87.004774) (xy 129.999781 86.965) (xy 129.795903 86.965) (xy 129.595944 87.004774) + (xy 129.407586 87.082795) (xy 129.238068 87.196063) (xy 129.093905 87.340226) (xy 128.997842 87.483995) (xy 128.901779 87.340226) + (xy 128.757616 87.196063) (xy 128.588098 87.082795) (xy 128.39974 87.004774) (xy 128.199781 86.965) (xy 127.995903 86.965) + (xy 127.795944 87.004774) (xy 127.607586 87.082795) (xy 127.438068 87.196063) (xy 127.293905 87.340226) (xy 127.180637 87.509744) + (xy 127.102616 87.698102) (xy 127.062842 87.898061) (xy 116.147109 87.898061) (xy 116.195226 87.781898) (xy 116.235 87.581939) + (xy 116.235 87.378061) (xy 116.195226 87.178102) (xy 116.117205 86.989744) (xy 116.003937 86.820226) (xy 115.859774 86.676063) + (xy 115.690256 86.562795) (xy 115.501898 86.484774) (xy 115.301939 86.445) (xy 115.098061 86.445) (xy 114.898102 86.484774) + (xy 114.709744 86.562795) (xy 114.540226 86.676063) (xy 114.396063 86.820226) (xy 114.282795 86.989744) (xy 114.204774 87.178102) + (xy 114.165 87.378061) (xy 113.719938 87.378061) (xy 113.716874 84.678061) (xy 116.165 84.678061) (xy 116.165 84.881939) + (xy 116.204774 85.081898) (xy 116.282795 85.270256) (xy 116.396063 85.439774) (xy 116.540226 85.583937) (xy 116.709744 85.697205) + (xy 116.898102 85.775226) (xy 117.098061 85.815) (xy 117.301939 85.815) (xy 117.501898 85.775226) (xy 117.690256 85.697205) + (xy 117.859774 85.583937) (xy 118.003937 85.439774) (xy 118.117205 85.270256) (xy 118.195226 85.081898) (xy 118.235 84.881939) + (xy 118.235 84.678061) (xy 118.195226 84.478102) (xy 118.117205 84.289744) (xy 118.003937 84.120226) (xy 117.859774 83.976063) + (xy 117.690256 83.862795) (xy 117.655074 83.848222) (xy 181.165161 83.848222) (xy 181.165161 84.0521) (xy 181.204935 84.252059) + (xy 181.282956 84.440417) (xy 181.396224 84.609935) (xy 181.540387 84.754098) (xy 181.709905 84.867366) (xy 181.898263 84.945387) + (xy 182.098222 84.985161) (xy 182.3021 84.985161) (xy 182.502059 84.945387) (xy 182.690417 84.867366) (xy 182.859935 84.754098) + (xy 183.004098 84.609935) (xy 183.117366 84.440417) (xy 183.127657 84.415572) (xy 183.154774 84.551898) (xy 183.232795 84.740256) + (xy 183.346063 84.909774) (xy 183.490226 85.053937) (xy 183.659744 85.167205) (xy 183.848102 85.245226) (xy 184.048061 85.285) + (xy 184.251939 85.285) (xy 184.451898 85.245226) (xy 184.640256 85.167205) (xy 184.809774 85.053937) (xy 184.953937 84.909774) + (xy 185.067205 84.740256) (xy 185.106552 84.645263) (xy 185.140226 84.678937) (xy 185.309744 84.792205) (xy 185.498102 84.870226) + (xy 185.698061 84.91) (xy 185.901939 84.91) (xy 186.101898 84.870226) (xy 186.290256 84.792205) (xy 186.459774 84.678937) + (xy 186.603937 84.534774) (xy 186.717205 84.365256) (xy 186.795226 84.176898) (xy 186.807157 84.116918) (xy 186.898061 84.135) + (xy 187.101939 84.135) (xy 187.301898 84.095226) (xy 187.490256 84.017205) (xy 187.659774 83.903937) (xy 187.803937 83.759774) + (xy 187.917205 83.590256) (xy 187.995226 83.401898) (xy 188.035 83.201939) (xy 188.035 82.998061) (xy 187.995226 82.798102) + (xy 187.924793 82.628061) (xy 235.415 82.628061) (xy 235.415 82.831939) (xy 235.454774 83.031898) (xy 235.532795 83.220256) + (xy 235.646063 83.389774) (xy 235.790226 83.533937) (xy 235.959744 83.647205) (xy 236.148102 83.725226) (xy 236.348061 83.765) + (xy 236.551939 83.765) (xy 236.751898 83.725226) (xy 236.940256 83.647205) (xy 237.109774 83.533937) (xy 237.253937 83.389774) + (xy 237.367205 83.220256) (xy 237.445226 83.031898) (xy 237.485 82.831939) (xy 237.485 82.628061) (xy 251.865 82.628061) + (xy 251.865 82.831939) (xy 251.904774 83.031898) (xy 251.982795 83.220256) (xy 252.096063 83.389774) (xy 252.240226 83.533937) + (xy 252.409744 83.647205) (xy 252.598102 83.725226) (xy 252.798061 83.765) (xy 253.001939 83.765) (xy 253.201898 83.725226) + (xy 253.390256 83.647205) (xy 253.559774 83.533937) (xy 253.703937 83.389774) (xy 253.817205 83.220256) (xy 253.895226 83.031898) + (xy 253.935 82.831939) (xy 253.935 82.628061) (xy 253.895226 82.428102) (xy 253.817205 82.239744) (xy 253.703937 82.070226) + (xy 253.559774 81.926063) (xy 253.390256 81.812795) (xy 253.201898 81.734774) (xy 253.001939 81.695) (xy 252.798061 81.695) + (xy 252.598102 81.734774) (xy 252.409744 81.812795) (xy 252.240226 81.926063) (xy 252.096063 82.070226) (xy 251.982795 82.239744) + (xy 251.904774 82.428102) (xy 251.865 82.628061) (xy 237.485 82.628061) (xy 237.445226 82.428102) (xy 237.367205 82.239744) + (xy 237.253937 82.070226) (xy 237.109774 81.926063) (xy 236.940256 81.812795) (xy 236.751898 81.734774) (xy 236.551939 81.695) + (xy 236.348061 81.695) (xy 236.148102 81.734774) (xy 235.959744 81.812795) (xy 235.790226 81.926063) (xy 235.646063 82.070226) + (xy 235.532795 82.239744) (xy 235.454774 82.428102) (xy 235.415 82.628061) (xy 187.924793 82.628061) (xy 187.917205 82.609744) + (xy 187.803937 82.440226) (xy 187.659774 82.296063) (xy 187.490256 82.182795) (xy 187.301898 82.104774) (xy 187.101939 82.065) + (xy 186.898061 82.065) (xy 186.698102 82.104774) (xy 186.509744 82.182795) (xy 186.340226 82.296063) (xy 186.196063 82.440226) + (xy 186.082795 82.609744) (xy 186.004774 82.798102) (xy 185.992843 82.858082) (xy 185.901939 82.84) (xy 185.698061 82.84) + (xy 185.498102 82.879774) (xy 185.309744 82.957795) (xy 185.140226 83.071063) (xy 184.996063 83.215226) (xy 184.882795 83.384744) + (xy 184.843448 83.479737) (xy 184.809774 83.446063) (xy 184.640256 83.332795) (xy 184.451898 83.254774) (xy 184.251939 83.215) + (xy 184.189799 83.215) (xy 184.195226 83.201898) (xy 184.235 83.001939) (xy 184.235 82.798061) (xy 184.195226 82.598102) + (xy 184.117205 82.409744) (xy 184.003937 82.240226) (xy 183.859774 82.096063) (xy 183.690256 81.982795) (xy 183.501898 81.904774) + (xy 183.301939 81.865) (xy 183.098061 81.865) (xy 182.898102 81.904774) (xy 182.709744 81.982795) (xy 182.540226 82.096063) + (xy 182.396063 82.240226) (xy 182.282795 82.409744) (xy 182.204774 82.598102) (xy 182.165 82.798061) (xy 182.165 82.915161) + (xy 182.098222 82.915161) (xy 181.898263 82.954935) (xy 181.709905 83.032956) (xy 181.540387 83.146224) (xy 181.396224 83.290387) + (xy 181.282956 83.459905) (xy 181.204935 83.648263) (xy 181.165161 83.848222) (xy 117.655074 83.848222) (xy 117.501898 83.784774) + (xy 117.301939 83.745) (xy 117.098061 83.745) (xy 116.898102 83.784774) (xy 116.709744 83.862795) (xy 116.540226 83.976063) + (xy 116.396063 84.120226) (xy 116.282795 84.289744) (xy 116.204774 84.478102) (xy 116.165 84.678061) (xy 113.716874 84.678061) + (xy 113.713435 81.648061) (xy 123.6775 81.648061) (xy 123.6775 81.851939) (xy 123.717274 82.051898) (xy 123.795295 82.240256) + (xy 123.908563 82.409774) (xy 124.052726 82.553937) (xy 124.222244 82.667205) (xy 124.410602 82.745226) (xy 124.610561 82.785) + (xy 124.814439 82.785) (xy 125.014398 82.745226) (xy 125.202756 82.667205) (xy 125.372274 82.553937) (xy 125.516437 82.409774) + (xy 125.540967 82.373061) (xy 139.34 82.373061) (xy 139.34 82.576939) (xy 139.379774 82.776898) (xy 139.457795 82.965256) + (xy 139.571063 83.134774) (xy 139.715226 83.278937) (xy 139.884744 83.392205) (xy 140.073102 83.470226) (xy 140.273061 83.51) + (xy 140.476939 83.51) (xy 140.676898 83.470226) (xy 140.865256 83.392205) (xy 141.034774 83.278937) (xy 141.178937 83.134774) + (xy 141.292205 82.965256) (xy 141.370226 82.776898) (xy 141.41 82.576939) (xy 141.41 82.373061) (xy 141.370226 82.173102) + (xy 141.292205 81.984744) (xy 141.178937 81.815226) (xy 141.034774 81.671063) (xy 140.865256 81.557795) (xy 140.676898 81.479774) + (xy 140.476939 81.44) (xy 140.273061 81.44) (xy 140.073102 81.479774) (xy 139.884744 81.557795) (xy 139.715226 81.671063) + (xy 139.571063 81.815226) (xy 139.457795 81.984744) (xy 139.379774 82.173102) (xy 139.34 82.373061) (xy 125.540967 82.373061) + (xy 125.629705 82.240256) (xy 125.707726 82.051898) (xy 125.7475 81.851939) (xy 125.7475 81.648061) (xy 125.707726 81.448102) + (xy 125.629705 81.259744) (xy 125.516437 81.090226) (xy 125.372274 80.946063) (xy 125.202756 80.832795) (xy 125.014398 80.754774) + (xy 124.814439 80.715) (xy 124.610561 80.715) (xy 124.410602 80.754774) (xy 124.222244 80.832795) (xy 124.052726 80.946063) + (xy 123.908563 81.090226) (xy 123.795295 81.259744) (xy 123.717274 81.448102) (xy 123.6775 81.648061) (xy 113.713435 81.648061) + (xy 113.712216 80.573061) (xy 125.515 80.573061) (xy 125.515 80.776939) (xy 125.554774 80.976898) (xy 125.632795 81.165256) + (xy 125.746063 81.334774) (xy 125.890226 81.478937) (xy 126.059744 81.592205) (xy 126.248102 81.670226) (xy 126.448061 81.71) + (xy 126.651939 81.71) (xy 126.851898 81.670226) (xy 127.040256 81.592205) (xy 127.209774 81.478937) (xy 127.353937 81.334774) + (xy 127.467205 81.165256) (xy 127.545226 80.976898) (xy 127.585 80.776939) (xy 127.585 80.573061) (xy 127.545226 80.373102) + (xy 127.467205 80.184744) (xy 127.353937 80.015226) (xy 127.209774 79.871063) (xy 127.040256 79.757795) (xy 126.851898 79.679774) + (xy 126.651939 79.64) (xy 126.448061 79.64) (xy 126.248102 79.679774) (xy 126.059744 79.757795) (xy 125.890226 79.871063) + (xy 125.746063 80.015226) (xy 125.632795 80.184744) (xy 125.554774 80.373102) (xy 125.515 80.573061) (xy 113.712216 80.573061) + (xy 113.710144 78.748061) (xy 123.89 78.748061) (xy 123.89 78.951939) (xy 123.929774 79.151898) (xy 124.007795 79.340256) + (xy 124.121063 79.509774) (xy 124.265226 79.653937) (xy 124.434744 79.767205) (xy 124.623102 79.845226) (xy 124.823061 79.885) + (xy 125.026939 79.885) (xy 125.226898 79.845226) (xy 125.415256 79.767205) (xy 125.584774 79.653937) (xy 125.70065 79.538061) + (xy 131.765 79.538061) (xy 131.765 79.741939) (xy 131.804774 79.941898) (xy 131.882795 80.130256) (xy 131.996063 80.299774) + (xy 132.140226 80.443937) (xy 132.309744 80.557205) (xy 132.498102 80.635226) (xy 132.698061 80.675) (xy 132.901939 80.675) + (xy 133.101898 80.635226) (xy 133.290256 80.557205) (xy 133.459774 80.443937) (xy 133.603937 80.299774) (xy 133.717205 80.130256) + (xy 133.795226 79.941898) (xy 133.835 79.741939) (xy 133.835 79.648061) (xy 154.3225 79.648061) (xy 154.3225 79.851939) + (xy 154.362274 80.051898) (xy 154.440295 80.240256) (xy 154.553563 80.409774) (xy 154.697726 80.553937) (xy 154.867244 80.667205) + (xy 155.055602 80.745226) (xy 155.255561 80.785) (xy 155.459439 80.785) (xy 155.659398 80.745226) (xy 155.847756 80.667205) + (xy 156.017274 80.553937) (xy 156.161437 80.409774) (xy 156.274705 80.240256) (xy 156.352726 80.051898) (xy 156.3925 79.851939) + (xy 156.3925 79.648061) (xy 156.352726 79.448102) (xy 156.274705 79.259744) (xy 156.161437 79.090226) (xy 156.017274 78.946063) + (xy 155.847756 78.832795) (xy 155.659398 78.754774) (xy 155.459439 78.715) (xy 155.255561 78.715) (xy 155.055602 78.754774) + (xy 154.867244 78.832795) (xy 154.697726 78.946063) (xy 154.553563 79.090226) (xy 154.440295 79.259744) (xy 154.362274 79.448102) + (xy 154.3225 79.648061) (xy 133.835 79.648061) (xy 133.835 79.538061) (xy 133.795226 79.338102) (xy 133.717205 79.149744) + (xy 133.603937 78.980226) (xy 133.459774 78.836063) (xy 133.290256 78.722795) (xy 133.101898 78.644774) (xy 132.901939 78.605) + (xy 132.698061 78.605) (xy 132.498102 78.644774) (xy 132.309744 78.722795) (xy 132.140226 78.836063) (xy 131.996063 78.980226) + (xy 131.882795 79.149744) (xy 131.804774 79.338102) (xy 131.765 79.538061) (xy 125.70065 79.538061) (xy 125.728937 79.509774) + (xy 125.842205 79.340256) (xy 125.920226 79.151898) (xy 125.96 78.951939) (xy 125.96 78.748061) (xy 125.920226 78.548102) + (xy 125.842205 78.359744) (xy 125.728937 78.190226) (xy 125.584774 78.046063) (xy 125.415256 77.932795) (xy 125.226898 77.854774) + (xy 125.026939 77.815) (xy 124.823061 77.815) (xy 124.623102 77.854774) (xy 124.434744 77.932795) (xy 124.265226 78.046063) + (xy 124.121063 78.190226) (xy 124.007795 78.359744) (xy 123.929774 78.548102) (xy 123.89 78.748061) (xy 113.710144 78.748061) + (xy 113.706796 75.798061) (xy 124.03133 75.798061) (xy 124.03133 76.001939) (xy 124.071104 76.201898) (xy 124.149125 76.390256) + (xy 124.262393 76.559774) (xy 124.406556 76.703937) (xy 124.576074 76.817205) (xy 124.764432 76.895226) (xy 124.964391 76.935) + (xy 125.168269 76.935) (xy 125.368228 76.895226) (xy 125.556586 76.817205) (xy 125.577754 76.803061) (xy 125.94 76.803061) + (xy 125.94 77.006939) (xy 125.979774 77.206898) (xy 126.057795 77.395256) (xy 126.171063 77.564774) (xy 126.315226 77.708937) + (xy 126.484744 77.822205) (xy 126.673102 77.900226) (xy 126.873061 77.94) (xy 127.076939 77.94) (xy 127.276898 77.900226) + (xy 127.465256 77.822205) (xy 127.634774 77.708937) (xy 127.778937 77.564774) (xy 127.892205 77.395256) (xy 127.970226 77.206898) + (xy 127.988891 77.113061) (xy 130.065 77.113061) (xy 130.065 77.316939) (xy 130.104774 77.516898) (xy 130.182795 77.705256) + (xy 130.296063 77.874774) (xy 130.440226 78.018937) (xy 130.609744 78.132205) (xy 130.798102 78.210226) (xy 130.998061 78.25) + (xy 131.201939 78.25) (xy 131.401898 78.210226) (xy 131.590256 78.132205) (xy 131.759774 78.018937) (xy 131.903937 77.874774) + (xy 132.017205 77.705256) (xy 132.060804 77.6) (xy 132.101939 77.6) (xy 132.301898 77.560226) (xy 132.490256 77.482205) + (xy 132.659774 77.368937) (xy 132.67276 77.355951) (xy 132.704774 77.516898) (xy 132.782795 77.705256) (xy 132.896063 77.874774) + (xy 133.040226 78.018937) (xy 133.209744 78.132205) (xy 133.398102 78.210226) (xy 133.598061 78.25) (xy 133.801939 78.25) + (xy 134.001898 78.210226) (xy 134.190256 78.132205) (xy 134.271288 78.078061) (xy 167.3225 78.078061) (xy 167.3225 78.281939) + (xy 167.362274 78.481898) (xy 167.440295 78.670256) (xy 167.553563 78.839774) (xy 167.678789 78.965) (xy 167.553563 79.090226) + (xy 167.440295 79.259744) (xy 167.362274 79.448102) (xy 167.3225 79.648061) (xy 167.3225 79.851939) (xy 167.362274 80.051898) + (xy 167.440295 80.240256) (xy 167.553563 80.409774) (xy 167.697726 80.553937) (xy 167.867244 80.667205) (xy 168.055602 80.745226) + (xy 168.255561 80.785) (xy 168.459439 80.785) (xy 168.659398 80.745226) (xy 168.847756 80.667205) (xy 169.017274 80.553937) + (xy 169.161437 80.409774) (xy 169.274705 80.240256) (xy 169.352726 80.051898) (xy 169.3925 79.851939) (xy 169.3925 79.648061) + (xy 178.8225 79.648061) (xy 178.8225 79.851939) (xy 178.862274 80.051898) (xy 178.940295 80.240256) (xy 179.053563 80.409774) + (xy 179.197726 80.553937) (xy 179.367244 80.667205) (xy 179.555602 80.745226) (xy 179.755561 80.785) (xy 179.959439 80.785) + (xy 180.159398 80.745226) (xy 180.347756 80.667205) (xy 180.517274 80.553937) (xy 180.661437 80.409774) (xy 180.774705 80.240256) + (xy 180.852726 80.051898) (xy 180.8925 79.851939) (xy 180.8925 79.648061) (xy 180.852726 79.448102) (xy 180.774705 79.259744) + (xy 180.661437 79.090226) (xy 180.517274 78.946063) (xy 180.347756 78.832795) (xy 180.159398 78.754774) (xy 179.959439 78.715) + (xy 179.755561 78.715) (xy 179.555602 78.754774) (xy 179.367244 78.832795) (xy 179.197726 78.946063) (xy 179.053563 79.090226) + (xy 178.940295 79.259744) (xy 178.862274 79.448102) (xy 178.8225 79.648061) (xy 169.3925 79.648061) (xy 169.352726 79.448102) + (xy 169.274705 79.259744) (xy 169.161437 79.090226) (xy 169.036211 78.965) (xy 169.161437 78.839774) (xy 169.256126 78.698061) + (xy 182.565 78.698061) (xy 182.565 78.901939) (xy 182.604774 79.101898) (xy 182.682795 79.290256) (xy 182.796063 79.459774) + (xy 182.940226 79.603937) (xy 183.109744 79.717205) (xy 183.298102 79.795226) (xy 183.491196 79.833634) (xy 183.504774 79.901898) + (xy 183.582795 80.090256) (xy 183.696063 80.259774) (xy 183.840226 80.403937) (xy 184.009744 80.517205) (xy 184.198102 80.595226) + (xy 184.398061 80.635) (xy 184.601939 80.635) (xy 184.801898 80.595226) (xy 184.972526 80.524549) (xy 184.996063 80.559774) + (xy 185.140226 80.703937) (xy 185.309744 80.817205) (xy 185.498102 80.895226) (xy 185.698061 80.935) (xy 185.901939 80.935) + (xy 186.101898 80.895226) (xy 186.290256 80.817205) (xy 186.459774 80.703937) (xy 186.603937 80.559774) (xy 186.717205 80.390256) + (xy 186.795226 80.201898) (xy 186.835 80.001939) (xy 186.835 79.798061) (xy 186.805164 79.648061) (xy 193.8225 79.648061) + (xy 193.8225 79.851939) (xy 193.862274 80.051898) (xy 193.940295 80.240256) (xy 194.053563 80.409774) (xy 194.197726 80.553937) + (xy 194.367244 80.667205) (xy 194.555602 80.745226) (xy 194.755561 80.785) (xy 194.959439 80.785) (xy 195.159398 80.745226) + (xy 195.347756 80.667205) (xy 195.517274 80.553937) (xy 195.661437 80.409774) (xy 195.774705 80.240256) (xy 195.852726 80.051898) + (xy 195.8925 79.851939) (xy 195.8925 79.648061) (xy 195.852726 79.448102) (xy 195.774705 79.259744) (xy 195.77024 79.253061) + (xy 261.605 79.253061) (xy 261.605 79.456939) (xy 261.644774 79.656898) (xy 261.722795 79.845256) (xy 261.836063 80.014774) + (xy 261.980226 80.158937) (xy 262.149744 80.272205) (xy 262.338102 80.350226) (xy 262.538061 80.39) (xy 262.741939 80.39) + (xy 262.941898 80.350226) (xy 263.130256 80.272205) (xy 263.299774 80.158937) (xy 263.443937 80.014774) (xy 263.557205 79.845256) + (xy 263.635226 79.656898) (xy 263.675 79.456939) (xy 263.675 79.253061) (xy 266.695 79.253061) (xy 266.695 79.456939) + (xy 266.734774 79.656898) (xy 266.812795 79.845256) (xy 266.926063 80.014774) (xy 267.070226 80.158937) (xy 267.239744 80.272205) + (xy 267.428102 80.350226) (xy 267.628061 80.39) (xy 267.831939 80.39) (xy 268.031898 80.350226) (xy 268.220256 80.272205) + (xy 268.389774 80.158937) (xy 268.533937 80.014774) (xy 268.647205 79.845256) (xy 268.725226 79.656898) (xy 268.765 79.456939) + (xy 268.765 79.253061) (xy 268.725226 79.053102) (xy 268.647205 78.864744) (xy 268.533937 78.695226) (xy 268.389774 78.551063) + (xy 268.220256 78.437795) (xy 268.031898 78.359774) (xy 267.831939 78.32) (xy 267.628061 78.32) (xy 267.428102 78.359774) + (xy 267.239744 78.437795) (xy 267.070226 78.551063) (xy 266.926063 78.695226) (xy 266.812795 78.864744) (xy 266.734774 79.053102) + (xy 266.695 79.253061) (xy 263.675 79.253061) (xy 263.635226 79.053102) (xy 263.557205 78.864744) (xy 263.443937 78.695226) + (xy 263.299774 78.551063) (xy 263.130256 78.437795) (xy 262.941898 78.359774) (xy 262.741939 78.32) (xy 262.538061 78.32) + (xy 262.338102 78.359774) (xy 262.149744 78.437795) (xy 261.980226 78.551063) (xy 261.836063 78.695226) (xy 261.722795 78.864744) + (xy 261.644774 79.053102) (xy 261.605 79.253061) (xy 195.77024 79.253061) (xy 195.661437 79.090226) (xy 195.517274 78.946063) + (xy 195.347756 78.832795) (xy 195.159398 78.754774) (xy 194.959439 78.715) (xy 194.755561 78.715) (xy 194.555602 78.754774) + (xy 194.367244 78.832795) (xy 194.197726 78.946063) (xy 194.053563 79.090226) (xy 193.940295 79.259744) (xy 193.862274 79.448102) + (xy 193.8225 79.648061) (xy 186.805164 79.648061) (xy 186.795226 79.598102) (xy 186.717205 79.409744) (xy 186.603937 79.240226) + (xy 186.459774 79.096063) (xy 186.290256 78.982795) (xy 186.101898 78.904774) (xy 185.901939 78.865) (xy 185.698061 78.865) + (xy 185.498102 78.904774) (xy 185.327474 78.975451) (xy 185.303937 78.940226) (xy 185.159774 78.796063) (xy 184.990256 78.682795) + (xy 184.801898 78.604774) (xy 184.608804 78.566366) (xy 184.595226 78.498102) (xy 184.517205 78.309744) (xy 184.403937 78.140226) + (xy 184.259774 77.996063) (xy 184.090256 77.882795) (xy 183.901898 77.804774) (xy 183.701939 77.765) (xy 183.498061 77.765) + (xy 183.298102 77.804774) (xy 183.109744 77.882795) (xy 182.940226 77.996063) (xy 182.796063 78.140226) (xy 182.682795 78.309744) + (xy 182.604774 78.498102) (xy 182.565 78.698061) (xy 169.256126 78.698061) (xy 169.274705 78.670256) (xy 169.352726 78.481898) + (xy 169.3925 78.281939) (xy 169.3925 78.078061) (xy 169.352726 77.878102) (xy 169.274705 77.689744) (xy 169.161437 77.520226) + (xy 169.017274 77.376063) (xy 168.847756 77.262795) (xy 168.659398 77.184774) (xy 168.459439 77.145) (xy 168.255561 77.145) + (xy 168.055602 77.184774) (xy 167.867244 77.262795) (xy 167.697726 77.376063) (xy 167.553563 77.520226) (xy 167.440295 77.689744) + (xy 167.362274 77.878102) (xy 167.3225 78.078061) (xy 134.271288 78.078061) (xy 134.359774 78.018937) (xy 134.503937 77.874774) + (xy 134.617205 77.705256) (xy 134.695226 77.516898) (xy 134.735 77.316939) (xy 134.735 77.113061) (xy 134.695226 76.913102) + (xy 134.617205 76.724744) (xy 134.503937 76.555226) (xy 134.359774 76.411063) (xy 134.190256 76.297795) (xy 134.001898 76.219774) + (xy 133.801939 76.18) (xy 133.598061 76.18) (xy 133.398102 76.219774) (xy 133.209744 76.297795) (xy 133.040226 76.411063) + (xy 133.02724 76.424049) (xy 132.995226 76.263102) (xy 132.917205 76.074744) (xy 132.803937 75.905226) (xy 132.659774 75.761063) + (xy 132.490256 75.647795) (xy 132.370189 75.598061) (xy 136.93 75.598061) (xy 136.93 75.801939) (xy 136.969774 76.001898) + (xy 137.047795 76.190256) (xy 137.161063 76.359774) (xy 137.305226 76.503937) (xy 137.474744 76.617205) (xy 137.663102 76.695226) + (xy 137.863061 76.735) (xy 138.066939 76.735) (xy 138.266898 76.695226) (xy 138.455256 76.617205) (xy 138.624774 76.503937) + (xy 138.768937 76.359774) (xy 138.882205 76.190256) (xy 138.960226 76.001898) (xy 139 75.801939) (xy 139 75.598061) + (xy 138.960226 75.398102) (xy 138.882205 75.209744) (xy 138.768937 75.040226) (xy 138.624774 74.896063) (xy 138.455256 74.782795) + (xy 138.266898 74.704774) (xy 138.066939 74.665) (xy 137.863061 74.665) (xy 137.663102 74.704774) (xy 137.474744 74.782795) + (xy 137.305226 74.896063) (xy 137.161063 75.040226) (xy 137.047795 75.209744) (xy 136.969774 75.398102) (xy 136.93 75.598061) + (xy 132.370189 75.598061) (xy 132.301898 75.569774) (xy 132.101939 75.53) (xy 131.898061 75.53) (xy 131.698102 75.569774) + (xy 131.509744 75.647795) (xy 131.340226 75.761063) (xy 131.196063 75.905226) (xy 131.082795 76.074744) (xy 131.039196 76.18) + (xy 130.998061 76.18) (xy 130.798102 76.219774) (xy 130.609744 76.297795) (xy 130.440226 76.411063) (xy 130.296063 76.555226) + (xy 130.182795 76.724744) (xy 130.104774 76.913102) (xy 130.065 77.113061) (xy 127.988891 77.113061) (xy 128.01 77.006939) + (xy 128.01 76.803061) (xy 127.970226 76.603102) (xy 127.892205 76.414744) (xy 127.778937 76.245226) (xy 127.634774 76.101063) + (xy 127.465256 75.987795) (xy 127.276898 75.909774) (xy 127.076939 75.87) (xy 126.873061 75.87) (xy 126.673102 75.909774) + (xy 126.484744 75.987795) (xy 126.315226 76.101063) (xy 126.171063 76.245226) (xy 126.057795 76.414744) (xy 125.979774 76.603102) + (xy 125.94 76.803061) (xy 125.577754 76.803061) (xy 125.726104 76.703937) (xy 125.870267 76.559774) (xy 125.983535 76.390256) + (xy 126.061556 76.201898) (xy 126.10133 76.001939) (xy 126.10133 75.798061) (xy 126.061556 75.598102) (xy 125.983535 75.409744) + (xy 125.870267 75.240226) (xy 125.726104 75.096063) (xy 125.556586 74.982795) (xy 125.368228 74.904774) (xy 125.168269 74.865) + (xy 124.964391 74.865) (xy 124.764432 74.904774) (xy 124.576074 74.982795) (xy 124.406556 75.096063) (xy 124.262393 75.240226) + (xy 124.149125 75.409744) (xy 124.071104 75.598102) (xy 124.03133 75.798061) (xy 113.706796 75.798061) (xy 113.70403 73.360561) + (xy 182.815 73.360561) (xy 182.815 73.564439) (xy 182.854774 73.764398) (xy 182.932795 73.952756) (xy 183.046063 74.122274) + (xy 183.190226 74.266437) (xy 183.359744 74.379705) (xy 183.548102 74.457726) (xy 183.748061 74.4975) (xy 183.951939 74.4975) + (xy 184.151898 74.457726) (xy 184.340256 74.379705) (xy 184.509774 74.266437) (xy 184.653937 74.122274) (xy 184.767205 73.952756) + (xy 184.818855 73.828061) (xy 186.228411 73.828061) (xy 186.228411 74.031939) (xy 186.268185 74.231898) (xy 186.346206 74.420256) + (xy 186.459474 74.589774) (xy 186.603637 74.733937) (xy 186.773155 74.847205) (xy 186.961513 74.925226) (xy 187.161472 74.965) + (xy 187.36535 74.965) (xy 187.565309 74.925226) (xy 187.753667 74.847205) (xy 187.923185 74.733937) (xy 188.067348 74.589774) + (xy 188.180616 74.420256) (xy 188.258637 74.231898) (xy 188.298411 74.031939) (xy 188.298411 73.828061) (xy 188.258637 73.628102) + (xy 188.180616 73.439744) (xy 188.067348 73.270226) (xy 187.923185 73.126063) (xy 187.753667 73.012795) (xy 187.565309 72.934774) + (xy 187.36535 72.895) (xy 187.161472 72.895) (xy 186.961513 72.934774) (xy 186.773155 73.012795) (xy 186.603637 73.126063) + (xy 186.459474 73.270226) (xy 186.346206 73.439744) (xy 186.268185 73.628102) (xy 186.228411 73.828061) (xy 184.818855 73.828061) + (xy 184.845226 73.764398) (xy 184.885 73.564439) (xy 184.885 73.360561) (xy 184.845226 73.160602) (xy 184.767205 72.972244) + (xy 184.653937 72.802726) (xy 184.509774 72.658563) (xy 184.340256 72.545295) (xy 184.151898 72.467274) (xy 183.951939 72.4275) + (xy 183.748061 72.4275) (xy 183.548102 72.467274) (xy 183.359744 72.545295) (xy 183.190226 72.658563) (xy 183.046063 72.802726) + (xy 182.932795 72.972244) (xy 182.854774 73.160602) (xy 182.815 73.360561) (xy 113.70403 73.360561) (xy 113.702386 71.913061) + (xy 126.865 71.913061) (xy 126.865 72.116939) (xy 126.904774 72.316898) (xy 126.982795 72.505256) (xy 127.096063 72.674774) + (xy 127.240226 72.818937) (xy 127.409744 72.932205) (xy 127.598102 73.010226) (xy 127.798061 73.05) (xy 128.001939 73.05) + (xy 128.201898 73.010226) (xy 128.390256 72.932205) (xy 128.559774 72.818937) (xy 128.703937 72.674774) (xy 128.817205 72.505256) + (xy 128.895226 72.316898) (xy 128.935 72.116939) (xy 128.935 71.913061) (xy 128.895226 71.713102) (xy 128.817205 71.524744) + (xy 128.703937 71.355226) (xy 128.559774 71.211063) (xy 128.390256 71.097795) (xy 128.201898 71.019774) (xy 128.001939 70.98) + (xy 127.798061 70.98) (xy 127.598102 71.019774) (xy 127.409744 71.097795) (xy 127.240226 71.211063) (xy 127.096063 71.355226) + (xy 126.982795 71.524744) (xy 126.904774 71.713102) (xy 126.865 71.913061) (xy 113.702386 71.913061) (xy 113.701007 70.698061) + (xy 134.215 70.698061) (xy 134.215 70.901939) (xy 134.254774 71.101898) (xy 134.332795 71.290256) (xy 134.446063 71.459774) + (xy 134.590226 71.603937) (xy 134.759744 71.717205) (xy 134.948102 71.795226) (xy 135.148061 71.835) (xy 135.351939 71.835) + (xy 135.551898 71.795226) (xy 135.740256 71.717205) (xy 135.909774 71.603937) (xy 135.96565 71.548061) (xy 139.035 71.548061) + (xy 139.035 71.751939) (xy 139.074774 71.951898) (xy 139.152795 72.140256) (xy 139.266063 72.309774) (xy 139.410226 72.453937) + (xy 139.579744 72.567205) (xy 139.768102 72.645226) (xy 139.968061 72.685) (xy 140.171939 72.685) (xy 140.371898 72.645226) + (xy 140.560256 72.567205) (xy 140.729774 72.453937) (xy 140.873937 72.309774) (xy 140.987205 72.140256) (xy 141.065226 71.951898) + (xy 141.105 71.751939) (xy 141.105 71.548061) (xy 141.065226 71.348102) (xy 140.987205 71.159744) (xy 140.873937 70.990226) + (xy 140.781772 70.898061) (xy 148.865 70.898061) (xy 148.865 71.101939) (xy 148.904774 71.301898) (xy 148.982795 71.490256) + (xy 149.096063 71.659774) (xy 149.240226 71.803937) (xy 149.409744 71.917205) (xy 149.598102 71.995226) (xy 149.798061 72.035) + (xy 150.001939 72.035) (xy 150.201898 71.995226) (xy 150.390256 71.917205) (xy 150.559774 71.803937) (xy 150.703937 71.659774) + (xy 150.817205 71.490256) (xy 150.895226 71.301898) (xy 150.935 71.101939) (xy 150.935 70.898061) (xy 161.965 70.898061) + (xy 161.965 71.101939) (xy 162.004774 71.301898) (xy 162.082795 71.490256) (xy 162.196063 71.659774) (xy 162.340226 71.803937) + (xy 162.509744 71.917205) (xy 162.698102 71.995226) (xy 162.898061 72.035) (xy 163.101939 72.035) (xy 163.301898 71.995226) + (xy 163.490256 71.917205) (xy 163.659774 71.803937) (xy 163.803937 71.659774) (xy 163.917205 71.490256) (xy 163.995226 71.301898) + (xy 164.035 71.101939) (xy 164.035 70.898061) (xy 173.465 70.898061) (xy 173.465 71.101939) (xy 173.504774 71.301898) + (xy 173.582795 71.490256) (xy 173.696063 71.659774) (xy 173.840226 71.803937) (xy 174.009744 71.917205) (xy 174.198102 71.995226) + (xy 174.398061 72.035) (xy 174.601939 72.035) (xy 174.801898 71.995226) (xy 174.990256 71.917205) (xy 175.159774 71.803937) + (xy 175.303937 71.659774) (xy 175.417205 71.490256) (xy 175.495226 71.301898) (xy 175.535 71.101939) (xy 175.535 70.898061) + (xy 175.505164 70.748061) (xy 182.675 70.748061) (xy 182.675 70.951939) (xy 182.714774 71.151898) (xy 182.792795 71.340256) + (xy 182.906063 71.509774) (xy 183.050226 71.653937) (xy 183.219744 71.767205) (xy 183.408102 71.845226) (xy 183.608061 71.885) + (xy 183.811939 71.885) (xy 184.011898 71.845226) (xy 184.200256 71.767205) (xy 184.369774 71.653937) (xy 184.513937 71.509774) + (xy 184.547782 71.459122) (xy 184.619744 71.507205) (xy 184.808102 71.585226) (xy 185.008061 71.625) (xy 185.211939 71.625) + (xy 185.411898 71.585226) (xy 185.600256 71.507205) (xy 185.769774 71.393937) (xy 185.913937 71.249774) (xy 186.027205 71.080256) + (xy 186.102673 70.898061) (xy 188.465 70.898061) (xy 188.465 71.101939) (xy 188.504774 71.301898) (xy 188.582795 71.490256) + (xy 188.696063 71.659774) (xy 188.840226 71.803937) (xy 189.009744 71.917205) (xy 189.198102 71.995226) (xy 189.398061 72.035) + (xy 189.601939 72.035) (xy 189.801898 71.995226) (xy 189.990256 71.917205) (xy 190.159774 71.803937) (xy 190.303937 71.659774) + (xy 190.417205 71.490256) (xy 190.495226 71.301898) (xy 190.535 71.101939) (xy 190.535 70.898061) (xy 206.465 70.898061) + (xy 206.465 71.101939) (xy 206.504774 71.301898) (xy 206.582795 71.490256) (xy 206.696063 71.659774) (xy 206.840226 71.803937) + (xy 207.009744 71.917205) (xy 207.198102 71.995226) (xy 207.398061 72.035) (xy 207.601939 72.035) (xy 207.801898 71.995226) + (xy 207.990256 71.917205) (xy 208.159774 71.803937) (xy 208.303937 71.659774) (xy 208.417205 71.490256) (xy 208.495226 71.301898) + (xy 208.535 71.101939) (xy 208.535 70.898061) (xy 208.495226 70.698102) (xy 208.417205 70.509744) (xy 208.303937 70.340226) + (xy 208.159774 70.196063) (xy 207.990256 70.082795) (xy 207.801898 70.004774) (xy 207.601939 69.965) (xy 207.398061 69.965) + (xy 207.198102 70.004774) (xy 207.009744 70.082795) (xy 206.840226 70.196063) (xy 206.696063 70.340226) (xy 206.582795 70.509744) + (xy 206.504774 70.698102) (xy 206.465 70.898061) (xy 190.535 70.898061) (xy 190.495226 70.698102) (xy 190.417205 70.509744) + (xy 190.303937 70.340226) (xy 190.159774 70.196063) (xy 189.990256 70.082795) (xy 189.801898 70.004774) (xy 189.601939 69.965) + (xy 189.398061 69.965) (xy 189.198102 70.004774) (xy 189.009744 70.082795) (xy 188.840226 70.196063) (xy 188.696063 70.340226) + (xy 188.582795 70.509744) (xy 188.504774 70.698102) (xy 188.465 70.898061) (xy 186.102673 70.898061) (xy 186.105226 70.891898) + (xy 186.145 70.691939) (xy 186.145 70.488061) (xy 186.105226 70.288102) (xy 186.027205 70.099744) (xy 185.913937 69.930226) + (xy 185.769774 69.786063) (xy 185.600256 69.672795) (xy 185.411898 69.594774) (xy 185.211939 69.555) (xy 185.008061 69.555) + (xy 184.808102 69.594774) (xy 184.619744 69.672795) (xy 184.450226 69.786063) (xy 184.306063 69.930226) (xy 184.272218 69.980878) + (xy 184.200256 69.932795) (xy 184.011898 69.854774) (xy 183.811939 69.815) (xy 183.608061 69.815) (xy 183.408102 69.854774) + (xy 183.219744 69.932795) (xy 183.050226 70.046063) (xy 182.906063 70.190226) (xy 182.792795 70.359744) (xy 182.714774 70.548102) + (xy 182.675 70.748061) (xy 175.505164 70.748061) (xy 175.495226 70.698102) (xy 175.417205 70.509744) (xy 175.303937 70.340226) + (xy 175.159774 70.196063) (xy 174.990256 70.082795) (xy 174.801898 70.004774) (xy 174.601939 69.965) (xy 174.398061 69.965) + (xy 174.198102 70.004774) (xy 174.009744 70.082795) (xy 173.840226 70.196063) (xy 173.696063 70.340226) (xy 173.582795 70.509744) + (xy 173.504774 70.698102) (xy 173.465 70.898061) (xy 164.035 70.898061) (xy 163.995226 70.698102) (xy 163.917205 70.509744) + (xy 163.803937 70.340226) (xy 163.659774 70.196063) (xy 163.490256 70.082795) (xy 163.301898 70.004774) (xy 163.101939 69.965) + (xy 162.898061 69.965) (xy 162.698102 70.004774) (xy 162.509744 70.082795) (xy 162.340226 70.196063) (xy 162.196063 70.340226) + (xy 162.082795 70.509744) (xy 162.004774 70.698102) (xy 161.965 70.898061) (xy 150.935 70.898061) (xy 150.895226 70.698102) + (xy 150.817205 70.509744) (xy 150.703937 70.340226) (xy 150.559774 70.196063) (xy 150.390256 70.082795) (xy 150.201898 70.004774) + (xy 150.001939 69.965) (xy 149.798061 69.965) (xy 149.598102 70.004774) (xy 149.409744 70.082795) (xy 149.240226 70.196063) + (xy 149.096063 70.340226) (xy 148.982795 70.509744) (xy 148.904774 70.698102) (xy 148.865 70.898061) (xy 140.781772 70.898061) + (xy 140.729774 70.846063) (xy 140.560256 70.732795) (xy 140.371898 70.654774) (xy 140.171939 70.615) (xy 139.968061 70.615) + (xy 139.768102 70.654774) (xy 139.579744 70.732795) (xy 139.410226 70.846063) (xy 139.266063 70.990226) (xy 139.152795 71.159744) + (xy 139.074774 71.348102) (xy 139.035 71.548061) (xy 135.96565 71.548061) (xy 136.053937 71.459774) (xy 136.167205 71.290256) + (xy 136.245226 71.101898) (xy 136.285 70.901939) (xy 136.285 70.698061) (xy 136.245226 70.498102) (xy 136.167205 70.309744) + (xy 136.053937 70.140226) (xy 135.909774 69.996063) (xy 135.740256 69.882795) (xy 135.551898 69.804774) (xy 135.351939 69.765) + (xy 135.148061 69.765) (xy 134.948102 69.804774) (xy 134.759744 69.882795) (xy 134.590226 69.996063) (xy 134.446063 70.140226) + (xy 134.332795 70.309744) (xy 134.254774 70.498102) (xy 134.215 70.698061) (xy 113.701007 70.698061) (xy 113.698601 68.578061) + (xy 142.005 68.578061) (xy 142.005 68.781939) (xy 142.044774 68.981898) (xy 142.122795 69.170256) (xy 142.236063 69.339774) + (xy 142.380226 69.483937) (xy 142.549744 69.597205) (xy 142.738102 69.675226) (xy 142.938061 69.715) (xy 143.141939 69.715) + (xy 143.341898 69.675226) (xy 143.530256 69.597205) (xy 143.699774 69.483937) (xy 143.794744 69.388967) (xy 265.215 69.388967) + (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) + (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) + (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) + (xy 268.485 69.711033) (xy 268.485 69.388967) (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) + (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) + (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) + (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 143.794744 69.388967) (xy 143.843937 69.339774) (xy 143.957205 69.170256) + (xy 144.035226 68.981898) (xy 144.075 68.781939) (xy 144.075 68.578061) (xy 144.035226 68.378102) (xy 143.957205 68.189744) + (xy 143.843937 68.020226) (xy 143.699774 67.876063) (xy 143.530256 67.762795) (xy 143.341898 67.684774) (xy 143.141939 67.645) + (xy 142.938061 67.645) (xy 142.738102 67.684774) (xy 142.549744 67.762795) (xy 142.380226 67.876063) (xy 142.236063 68.020226) + (xy 142.122795 68.189744) (xy 142.044774 68.378102) (xy 142.005 68.578061) (xy 113.698601 68.578061) (xy 113.6971 67.255561) + (xy 263.165 67.255561) (xy 263.165 67.459439) (xy 263.204774 67.659398) (xy 263.282795 67.847756) (xy 263.396063 68.017274) + (xy 263.540226 68.161437) (xy 263.709744 68.274705) (xy 263.898102 68.352726) (xy 264.098061 68.3925) (xy 264.301939 68.3925) + (xy 264.501898 68.352726) (xy 264.690256 68.274705) (xy 264.859774 68.161437) (xy 265.003937 68.017274) (xy 265.117205 67.847756) + (xy 265.195226 67.659398) (xy 265.235 67.459439) (xy 265.235 67.255561) (xy 265.195226 67.055602) (xy 265.117205 66.867244) + (xy 265.003937 66.697726) (xy 264.859774 66.553563) (xy 264.690256 66.440295) (xy 264.501898 66.362274) (xy 264.301939 66.3225) + (xy 264.098061 66.3225) (xy 263.898102 66.362274) (xy 263.709744 66.440295) (xy 263.540226 66.553563) (xy 263.396063 66.697726) + (xy 263.282795 66.867244) (xy 263.204774 67.055602) (xy 263.165 67.255561) (xy 113.6971 67.255561) (xy 113.694969 65.378061) + (xy 139.035 65.378061) (xy 139.035 65.581939) (xy 139.074774 65.781898) (xy 139.152795 65.970256) (xy 139.266063 66.139774) + (xy 139.410226 66.283937) (xy 139.579744 66.397205) (xy 139.768102 66.475226) (xy 139.968061 66.515) (xy 140.171939 66.515) + (xy 140.371898 66.475226) (xy 140.560256 66.397205) (xy 140.729774 66.283937) (xy 140.873937 66.139774) (xy 140.987205 65.970256) + (xy 141.065226 65.781898) (xy 141.081902 65.698061) (xy 141.265 65.698061) (xy 141.265 65.901939) (xy 141.304774 66.101898) + (xy 141.382795 66.290256) (xy 141.496063 66.459774) (xy 141.640226 66.603937) (xy 141.809744 66.717205) (xy 141.998102 66.795226) + (xy 142.198061 66.835) (xy 142.401939 66.835) (xy 142.601898 66.795226) (xy 142.790256 66.717205) (xy 142.959774 66.603937) + (xy 143.103937 66.459774) (xy 143.217205 66.290256) (xy 143.295226 66.101898) (xy 143.335 65.901939) (xy 143.335 65.898061) + (xy 144.3525 65.898061) (xy 144.3525 66.101939) (xy 144.392274 66.301898) (xy 144.470295 66.490256) (xy 144.583563 66.659774) + (xy 144.727726 66.803937) (xy 144.897244 66.917205) (xy 145.085602 66.995226) (xy 145.285561 67.035) (xy 145.489439 67.035) + (xy 145.689398 66.995226) (xy 145.877756 66.917205) (xy 146.047274 66.803937) (xy 146.191437 66.659774) (xy 146.304705 66.490256) + (xy 146.382726 66.301898) (xy 146.4225 66.101939) (xy 146.4225 65.898061) (xy 146.382726 65.698102) (xy 146.375461 65.680561) + (xy 260.725 65.680561) (xy 260.725 65.884439) (xy 260.764774 66.084398) (xy 260.842795 66.272756) (xy 260.956063 66.442274) + (xy 261.100226 66.586437) (xy 261.269744 66.699705) (xy 261.458102 66.777726) (xy 261.658061 66.8175) (xy 261.861939 66.8175) + (xy 262.061898 66.777726) (xy 262.250256 66.699705) (xy 262.419774 66.586437) (xy 262.563937 66.442274) (xy 262.677205 66.272756) + (xy 262.755226 66.084398) (xy 262.795 65.884439) (xy 262.795 65.680561) (xy 262.755226 65.480602) (xy 262.741747 65.448061) + (xy 266.965 65.448061) (xy 266.965 65.651939) (xy 267.004774 65.851898) (xy 267.082795 66.040256) (xy 267.196063 66.209774) + (xy 267.340226 66.353937) (xy 267.509744 66.467205) (xy 267.698102 66.545226) (xy 267.898061 66.585) (xy 268.101939 66.585) + (xy 268.301898 66.545226) (xy 268.490256 66.467205) (xy 268.659774 66.353937) (xy 268.803937 66.209774) (xy 268.917205 66.040256) + (xy 268.995226 65.851898) (xy 269.035 65.651939) (xy 269.035 65.448061) (xy 268.995226 65.248102) (xy 268.917205 65.059744) + (xy 268.803937 64.890226) (xy 268.659774 64.746063) (xy 268.490256 64.632795) (xy 268.301898 64.554774) (xy 268.101939 64.515) + (xy 267.898061 64.515) (xy 267.698102 64.554774) (xy 267.509744 64.632795) (xy 267.340226 64.746063) (xy 267.196063 64.890226) + (xy 267.082795 65.059744) (xy 267.004774 65.248102) (xy 266.965 65.448061) (xy 262.741747 65.448061) (xy 262.677205 65.292244) + (xy 262.563937 65.122726) (xy 262.419774 64.978563) (xy 262.250256 64.865295) (xy 262.061898 64.787274) (xy 261.861939 64.7475) + (xy 261.658061 64.7475) (xy 261.458102 64.787274) (xy 261.269744 64.865295) (xy 261.100226 64.978563) (xy 260.956063 65.122726) + (xy 260.842795 65.292244) (xy 260.764774 65.480602) (xy 260.725 65.680561) (xy 146.375461 65.680561) (xy 146.304705 65.509744) + (xy 146.191437 65.340226) (xy 146.047274 65.196063) (xy 145.877756 65.082795) (xy 145.689398 65.004774) (xy 145.489439 64.965) + (xy 145.285561 64.965) (xy 145.085602 65.004774) (xy 144.897244 65.082795) (xy 144.727726 65.196063) (xy 144.583563 65.340226) + (xy 144.470295 65.509744) (xy 144.392274 65.698102) (xy 144.3525 65.898061) (xy 143.335 65.898061) (xy 143.335 65.698061) + (xy 143.295226 65.498102) (xy 143.217205 65.309744) (xy 143.103937 65.140226) (xy 142.959774 64.996063) (xy 142.790256 64.882795) + (xy 142.601898 64.804774) (xy 142.401939 64.765) (xy 142.198061 64.765) (xy 141.998102 64.804774) (xy 141.809744 64.882795) + (xy 141.640226 64.996063) (xy 141.496063 65.140226) (xy 141.382795 65.309744) (xy 141.304774 65.498102) (xy 141.265 65.698061) + (xy 141.081902 65.698061) (xy 141.105 65.581939) (xy 141.105 65.378061) (xy 141.065226 65.178102) (xy 140.987205 64.989744) + (xy 140.873937 64.820226) (xy 140.729774 64.676063) (xy 140.560256 64.562795) (xy 140.371898 64.484774) (xy 140.171939 64.445) + (xy 139.968061 64.445) (xy 139.768102 64.484774) (xy 139.579744 64.562795) (xy 139.410226 64.676063) (xy 139.266063 64.820226) + (xy 139.152795 64.989744) (xy 139.074774 65.178102) (xy 139.035 65.378061) (xy 113.694969 65.378061) (xy 113.689885 60.9) + (xy 128.511928 60.9) (xy 128.511928 62.6) (xy 128.524188 62.724482) (xy 128.560498 62.84418) (xy 128.619463 62.954494) + (xy 128.698815 63.051185) (xy 128.795506 63.130537) (xy 128.90582 63.189502) (xy 129.025518 63.225812) (xy 129.15 63.238072) + (xy 130.85 63.238072) (xy 130.974482 63.225812) (xy 131.09418 63.189502) (xy 131.204494 63.130537) (xy 131.301185 63.051185) + (xy 131.380537 62.954494) (xy 131.439502 62.84418) (xy 131.461513 62.77162) (xy 131.593368 62.903475) (xy 131.836589 63.06599) + (xy 132.106842 63.177932) (xy 132.39374 63.235) (xy 132.68626 63.235) (xy 132.973158 63.177932) (xy 133.243411 63.06599) + (xy 133.486632 62.903475) (xy 133.693475 62.696632) (xy 133.81 62.52224) (xy 133.926525 62.696632) (xy 134.133368 62.903475) + (xy 134.376589 63.06599) (xy 134.646842 63.177932) (xy 134.93374 63.235) (xy 135.22626 63.235) (xy 135.513158 63.177932) + (xy 135.783411 63.06599) (xy 136.026632 62.903475) (xy 136.233475 62.696632) (xy 136.35 62.52224) (xy 136.466525 62.696632) + (xy 136.673368 62.903475) (xy 136.916589 63.06599) (xy 137.186842 63.177932) (xy 137.47374 63.235) (xy 137.76626 63.235) + (xy 138.053158 63.177932) (xy 138.323411 63.06599) (xy 138.566632 62.903475) (xy 138.773475 62.696632) (xy 138.89 62.52224) + (xy 139.006525 62.696632) (xy 139.213368 62.903475) (xy 139.456589 63.06599) (xy 139.726842 63.177932) (xy 140.01374 63.235) + (xy 140.30626 63.235) (xy 140.593158 63.177932) (xy 140.863411 63.06599) (xy 141.106632 62.903475) (xy 141.313475 62.696632) + (xy 141.43 62.52224) (xy 141.546525 62.696632) (xy 141.753368 62.903475) (xy 141.996589 63.06599) (xy 142.266842 63.177932) + (xy 142.55374 63.235) (xy 142.84626 63.235) (xy 143.133158 63.177932) (xy 143.403411 63.06599) (xy 143.646632 62.903475) + (xy 143.853475 62.696632) (xy 143.97 62.52224) (xy 144.086525 62.696632) (xy 144.293368 62.903475) (xy 144.536589 63.06599) + (xy 144.806842 63.177932) (xy 145.09374 63.235) (xy 145.38626 63.235) (xy 145.673158 63.177932) (xy 145.943411 63.06599) + (xy 146.186632 62.903475) (xy 146.393475 62.696632) (xy 146.51 62.52224) (xy 146.626525 62.696632) (xy 146.833368 62.903475) + (xy 147.076589 63.06599) (xy 147.346842 63.177932) (xy 147.63374 63.235) (xy 147.92626 63.235) (xy 148.213158 63.177932) + (xy 148.483411 63.06599) (xy 148.726632 62.903475) (xy 148.933475 62.696632) (xy 149.05 62.52224) (xy 149.166525 62.696632) + (xy 149.373368 62.903475) (xy 149.616589 63.06599) (xy 149.886842 63.177932) (xy 150.17374 63.235) (xy 150.46626 63.235) + (xy 150.753158 63.177932) (xy 151.023411 63.06599) (xy 151.266632 62.903475) (xy 151.473475 62.696632) (xy 151.59 62.52224) + (xy 151.706525 62.696632) (xy 151.913368 62.903475) (xy 152.156589 63.06599) (xy 152.426842 63.177932) (xy 152.71374 63.235) + (xy 153.00626 63.235) (xy 153.293158 63.177932) (xy 153.563411 63.06599) (xy 153.806632 62.903475) (xy 154.013475 62.696632) + (xy 154.13 62.52224) (xy 154.246525 62.696632) (xy 154.453368 62.903475) (xy 154.696589 63.06599) (xy 154.966842 63.177932) + (xy 155.25374 63.235) (xy 155.54626 63.235) (xy 155.833158 63.177932) (xy 156.103411 63.06599) (xy 156.346632 62.903475) + (xy 156.553475 62.696632) (xy 156.67 62.52224) (xy 156.786525 62.696632) (xy 156.993368 62.903475) (xy 157.236589 63.06599) + (xy 157.506842 63.177932) (xy 157.79374 63.235) (xy 158.08626 63.235) (xy 158.373158 63.177932) (xy 158.643411 63.06599) + (xy 158.886632 62.903475) (xy 159.093475 62.696632) (xy 159.21 62.52224) (xy 159.326525 62.696632) (xy 159.533368 62.903475) + (xy 159.776589 63.06599) (xy 160.046842 63.177932) (xy 160.33374 63.235) (xy 160.62626 63.235) (xy 160.913158 63.177932) + (xy 161.183411 63.06599) (xy 161.426632 62.903475) (xy 161.633475 62.696632) (xy 161.75 62.52224) (xy 161.866525 62.696632) + (xy 162.073368 62.903475) (xy 162.316589 63.06599) (xy 162.586842 63.177932) (xy 162.87374 63.235) (xy 163.16626 63.235) + (xy 163.453158 63.177932) (xy 163.723411 63.06599) (xy 163.966632 62.903475) (xy 164.173475 62.696632) (xy 164.295195 62.514466) + (xy 164.364822 62.631355) (xy 164.559731 62.847588) (xy 164.79308 63.021641) (xy 165.055901 63.146825) (xy 165.20311 63.191476) + (xy 165.433 63.070155) (xy 165.433 61.877) (xy 165.413 61.877) (xy 165.413 61.623) (xy 165.433 61.623) + (xy 165.433 59.337) (xy 165.413 59.337) (xy 165.413 59.083) (xy 165.433 59.083) (xy 165.433 57.889845) + (xy 165.687 57.889845) (xy 165.687 59.083) (xy 165.707 59.083) (xy 165.707 59.337) (xy 165.687 59.337) + (xy 165.687 61.623) (xy 165.707 61.623) (xy 165.707 61.877) (xy 165.687 61.877) (xy 165.687 63.070155) + (xy 165.91689 63.191476) (xy 166.064099 63.146825) (xy 166.32692 63.021641) (xy 166.560269 62.847588) (xy 166.755178 62.631355) + (xy 166.824805 62.514466) (xy 166.946525 62.696632) (xy 167.153368 62.903475) (xy 167.396589 63.06599) (xy 167.666842 63.177932) + (xy 167.95374 63.235) (xy 168.24626 63.235) (xy 168.533158 63.177932) (xy 168.803411 63.06599) (xy 169.046632 62.903475) + (xy 169.253475 62.696632) (xy 169.375195 62.514466) (xy 169.444822 62.631355) (xy 169.639731 62.847588) (xy 169.87308 63.021641) + (xy 170.135901 63.146825) (xy 170.28311 63.191476) (xy 170.513 63.070155) (xy 170.513 61.877) (xy 170.493 61.877) + (xy 170.493 61.623) (xy 170.513 61.623) (xy 170.513 59.337) (xy 170.493 59.337) (xy 170.493 59.083) + (xy 170.513 59.083) (xy 170.513 57.889845) (xy 170.767 57.889845) (xy 170.767 59.083) (xy 170.787 59.083) + (xy 170.787 59.337) (xy 170.767 59.337) (xy 170.767 61.623) (xy 170.787 61.623) (xy 170.787 61.877) + (xy 170.767 61.877) (xy 170.767 63.070155) (xy 170.99689 63.191476) (xy 171.144099 63.146825) (xy 171.40692 63.021641) + (xy 171.640269 62.847588) (xy 171.835178 62.631355) (xy 171.904805 62.514466) (xy 172.026525 62.696632) (xy 172.233368 62.903475) + (xy 172.476589 63.06599) (xy 172.746842 63.177932) (xy 173.03374 63.235) (xy 173.32626 63.235) (xy 173.613158 63.177932) + (xy 173.883411 63.06599) (xy 174.126632 62.903475) (xy 174.333475 62.696632) (xy 174.45 62.52224) (xy 174.566525 62.696632) + (xy 174.773368 62.903475) (xy 175.016589 63.06599) (xy 175.286842 63.177932) (xy 175.57374 63.235) (xy 175.86626 63.235) + (xy 176.153158 63.177932) (xy 176.423411 63.06599) (xy 176.666632 62.903475) (xy 176.873475 62.696632) (xy 176.99 62.52224) + (xy 177.106525 62.696632) (xy 177.313368 62.903475) (xy 177.556589 63.06599) (xy 177.826842 63.177932) (xy 178.11374 63.235) + (xy 178.40626 63.235) (xy 178.693158 63.177932) (xy 178.963411 63.06599) (xy 179.206632 62.903475) (xy 179.413475 62.696632) + (xy 179.53 62.52224) (xy 179.646525 62.696632) (xy 179.853368 62.903475) (xy 180.096589 63.06599) (xy 180.366842 63.177932) + (xy 180.65374 63.235) (xy 180.94626 63.235) (xy 181.233158 63.177932) (xy 181.503411 63.06599) (xy 181.746632 62.903475) + (xy 181.953475 62.696632) (xy 182.07 62.52224) (xy 182.186525 62.696632) (xy 182.393368 62.903475) (xy 182.636589 63.06599) + (xy 182.906842 63.177932) (xy 183.19374 63.235) (xy 183.48626 63.235) (xy 183.773158 63.177932) (xy 184.043411 63.06599) + (xy 184.286632 62.903475) (xy 184.493475 62.696632) (xy 184.61 62.52224) (xy 184.726525 62.696632) (xy 184.933368 62.903475) + (xy 185.176589 63.06599) (xy 185.446842 63.177932) (xy 185.73374 63.235) (xy 186.02626 63.235) (xy 186.313158 63.177932) + (xy 186.583411 63.06599) (xy 186.826632 62.903475) (xy 187.033475 62.696632) (xy 187.15 62.52224) (xy 187.266525 62.696632) + (xy 187.473368 62.903475) (xy 187.716589 63.06599) (xy 187.986842 63.177932) (xy 188.27374 63.235) (xy 188.56626 63.235) + (xy 188.853158 63.177932) (xy 189.123411 63.06599) (xy 189.366632 62.903475) (xy 189.573475 62.696632) (xy 189.69 62.52224) + (xy 189.806525 62.696632) (xy 190.013368 62.903475) (xy 190.256589 63.06599) (xy 190.526842 63.177932) (xy 190.81374 63.235) + (xy 191.10626 63.235) (xy 191.393158 63.177932) (xy 191.663411 63.06599) (xy 191.906632 62.903475) (xy 192.113475 62.696632) + (xy 192.23 62.52224) (xy 192.346525 62.696632) (xy 192.553368 62.903475) (xy 192.796589 63.06599) (xy 193.066842 63.177932) + (xy 193.35374 63.235) (xy 193.64626 63.235) (xy 193.933158 63.177932) (xy 194.203411 63.06599) (xy 194.446632 62.903475) + (xy 194.653475 62.696632) (xy 194.77 62.52224) (xy 194.886525 62.696632) (xy 195.093368 62.903475) (xy 195.336589 63.06599) + (xy 195.606842 63.177932) (xy 195.89374 63.235) (xy 196.18626 63.235) (xy 196.473158 63.177932) (xy 196.743411 63.06599) + (xy 196.986632 62.903475) (xy 197.193475 62.696632) (xy 197.31 62.52224) (xy 197.426525 62.696632) (xy 197.633368 62.903475) + (xy 197.876589 63.06599) (xy 198.146842 63.177932) (xy 198.43374 63.235) (xy 198.72626 63.235) (xy 199.013158 63.177932) + (xy 199.283411 63.06599) (xy 199.526632 62.903475) (xy 199.733475 62.696632) (xy 199.85 62.52224) (xy 199.966525 62.696632) + (xy 200.173368 62.903475) (xy 200.416589 63.06599) (xy 200.686842 63.177932) (xy 200.97374 63.235) (xy 201.26626 63.235) + (xy 201.553158 63.177932) (xy 201.823411 63.06599) (xy 202.066632 62.903475) (xy 202.273475 62.696632) (xy 202.39 62.52224) + (xy 202.506525 62.696632) (xy 202.713368 62.903475) (xy 202.956589 63.06599) (xy 203.226842 63.177932) (xy 203.51374 63.235) + (xy 203.80626 63.235) (xy 204.093158 63.177932) (xy 204.363411 63.06599) (xy 204.606632 62.903475) (xy 204.813475 62.696632) + (xy 204.93 62.52224) (xy 205.046525 62.696632) (xy 205.253368 62.903475) (xy 205.496589 63.06599) (xy 205.766842 63.177932) + (xy 206.05374 63.235) (xy 206.34626 63.235) (xy 206.633158 63.177932) (xy 206.903411 63.06599) (xy 207.146632 62.903475) + (xy 207.353475 62.696632) (xy 207.47 62.52224) (xy 207.586525 62.696632) (xy 207.793368 62.903475) (xy 208.036589 63.06599) + (xy 208.306842 63.177932) (xy 208.59374 63.235) (xy 208.88626 63.235) (xy 208.971417 63.218061) (xy 254.885 63.218061) + (xy 254.885 63.421939) (xy 254.924774 63.621898) (xy 255.002795 63.810256) (xy 255.116063 63.979774) (xy 255.260226 64.123937) + (xy 255.429744 64.237205) (xy 255.618102 64.315226) (xy 255.818061 64.355) (xy 256.021939 64.355) (xy 256.221898 64.315226) + (xy 256.410256 64.237205) (xy 256.579774 64.123937) (xy 256.723937 63.979774) (xy 256.837205 63.810256) (xy 256.915226 63.621898) + (xy 256.955 63.421939) (xy 256.955 63.218061) (xy 256.915226 63.018102) (xy 256.837205 62.829744) (xy 256.780681 62.745149) + (xy 256.879774 62.678937) (xy 257.023937 62.534774) (xy 257.137205 62.365256) (xy 257.215226 62.176898) (xy 257.255 61.976939) + (xy 257.255 61.773061) (xy 257.215226 61.573102) (xy 257.137205 61.384744) (xy 257.023937 61.215226) (xy 256.879774 61.071063) + (xy 256.710256 60.957795) (xy 256.686757 60.948061) (xy 266.965 60.948061) (xy 266.965 61.151939) (xy 267.004774 61.351898) + (xy 267.082795 61.540256) (xy 267.196063 61.709774) (xy 267.340226 61.853937) (xy 267.509744 61.967205) (xy 267.698102 62.045226) + (xy 267.898061 62.085) (xy 268.101939 62.085) (xy 268.301898 62.045226) (xy 268.490256 61.967205) (xy 268.659774 61.853937) + (xy 268.803937 61.709774) (xy 268.917205 61.540256) (xy 268.995226 61.351898) (xy 269.035 61.151939) (xy 269.035 60.948061) + (xy 268.995226 60.748102) (xy 268.917205 60.559744) (xy 268.803937 60.390226) (xy 268.659774 60.246063) (xy 268.490256 60.132795) + (xy 268.301898 60.054774) (xy 268.101939 60.015) (xy 267.898061 60.015) (xy 267.698102 60.054774) (xy 267.509744 60.132795) + (xy 267.340226 60.246063) (xy 267.196063 60.390226) (xy 267.082795 60.559744) (xy 267.004774 60.748102) (xy 266.965 60.948061) + (xy 256.686757 60.948061) (xy 256.521898 60.879774) (xy 256.321939 60.84) (xy 256.118061 60.84) (xy 255.918102 60.879774) + (xy 255.729744 60.957795) (xy 255.560226 61.071063) (xy 255.416063 61.215226) (xy 255.302795 61.384744) (xy 255.224774 61.573102) + (xy 255.185 61.773061) (xy 255.185 61.976939) (xy 255.224774 62.176898) (xy 255.302795 62.365256) (xy 255.359319 62.449851) + (xy 255.260226 62.516063) (xy 255.116063 62.660226) (xy 255.002795 62.829744) (xy 254.924774 63.018102) (xy 254.885 63.218061) + (xy 208.971417 63.218061) (xy 209.173158 63.177932) (xy 209.443411 63.06599) (xy 209.686632 62.903475) (xy 209.893475 62.696632) + (xy 210.05599 62.453411) (xy 210.167932 62.183158) (xy 210.225 61.89626) (xy 210.225 61.770561) (xy 245.195 61.770561) + (xy 245.195 61.974439) (xy 245.234774 62.174398) (xy 245.312795 62.362756) (xy 245.426063 62.532274) (xy 245.570226 62.676437) + (xy 245.739744 62.789705) (xy 245.928102 62.867726) (xy 246.128061 62.9075) (xy 246.331939 62.9075) (xy 246.531898 62.867726) + (xy 246.720256 62.789705) (xy 246.889774 62.676437) (xy 247.033937 62.532274) (xy 247.147205 62.362756) (xy 247.225226 62.174398) + (xy 247.265 61.974439) (xy 247.265 61.770561) (xy 247.225226 61.570602) (xy 247.147205 61.382244) (xy 247.033937 61.212726) + (xy 246.889774 61.068563) (xy 246.720256 60.955295) (xy 246.531898 60.877274) (xy 246.331939 60.8375) (xy 246.128061 60.8375) + (xy 245.928102 60.877274) (xy 245.739744 60.955295) (xy 245.570226 61.068563) (xy 245.426063 61.212726) (xy 245.312795 61.382244) + (xy 245.234774 61.570602) (xy 245.195 61.770561) (xy 210.225 61.770561) (xy 210.225 61.60374) (xy 210.167932 61.316842) + (xy 210.05599 61.046589) (xy 209.893475 60.803368) (xy 209.686632 60.596525) (xy 209.51224 60.48) (xy 209.686632 60.363475) + (xy 209.893475 60.156632) (xy 209.899201 60.148061) (xy 227.055 60.148061) (xy 227.055 60.351939) (xy 227.094774 60.551898) + (xy 227.172795 60.740256) (xy 227.286063 60.909774) (xy 227.430226 61.053937) (xy 227.599744 61.167205) (xy 227.788102 61.245226) + (xy 227.988061 61.285) (xy 228.191939 61.285) (xy 228.391898 61.245226) (xy 228.580256 61.167205) (xy 228.749774 61.053937) + (xy 228.893937 60.909774) (xy 229.007205 60.740256) (xy 229.085226 60.551898) (xy 229.125 60.351939) (xy 229.125 60.148061) + (xy 229.085226 59.948102) (xy 229.007205 59.759744) (xy 228.893937 59.590226) (xy 228.749774 59.446063) (xy 228.580256 59.332795) + (xy 228.391898 59.254774) (xy 228.191939 59.215) (xy 227.988061 59.215) (xy 227.788102 59.254774) (xy 227.599744 59.332795) + (xy 227.430226 59.446063) (xy 227.286063 59.590226) (xy 227.172795 59.759744) (xy 227.094774 59.948102) (xy 227.055 60.148061) + (xy 209.899201 60.148061) (xy 210.05599 59.913411) (xy 210.167932 59.643158) (xy 210.225 59.35626) (xy 210.225 59.06374) + (xy 210.211936 58.998061) (xy 245.0275 58.998061) (xy 245.0275 59.201939) (xy 245.067274 59.401898) (xy 245.145295 59.590256) + (xy 245.258563 59.759774) (xy 245.402726 59.903937) (xy 245.572244 60.017205) (xy 245.760602 60.095226) (xy 245.960561 60.135) + (xy 246.164439 60.135) (xy 246.364398 60.095226) (xy 246.552756 60.017205) (xy 246.722274 59.903937) (xy 246.866437 59.759774) + (xy 246.979705 59.590256) (xy 247.057726 59.401898) (xy 247.0975 59.201939) (xy 247.0975 58.998061) (xy 247.057726 58.798102) + (xy 246.979705 58.609744) (xy 246.921786 58.523061) (xy 254.465 58.523061) (xy 254.465 58.726939) (xy 254.504774 58.926898) + (xy 254.582795 59.115256) (xy 254.696063 59.284774) (xy 254.840226 59.428937) (xy 255.009744 59.542205) (xy 255.198102 59.620226) + (xy 255.398061 59.66) (xy 255.601939 59.66) (xy 255.801898 59.620226) (xy 255.990256 59.542205) (xy 256.159774 59.428937) + (xy 256.303937 59.284774) (xy 256.417205 59.115256) (xy 256.495226 58.926898) (xy 256.535 58.726939) (xy 256.535 58.523061) + (xy 256.495226 58.323102) (xy 256.417205 58.134744) (xy 256.303937 57.965226) (xy 256.286772 57.948061) (xy 266.965 57.948061) + (xy 266.965 58.151939) (xy 267.004774 58.351898) (xy 267.082795 58.540256) (xy 267.196063 58.709774) (xy 267.340226 58.853937) + (xy 267.509744 58.967205) (xy 267.698102 59.045226) (xy 267.898061 59.085) (xy 268.101939 59.085) (xy 268.301898 59.045226) + (xy 268.490256 58.967205) (xy 268.659774 58.853937) (xy 268.803937 58.709774) (xy 268.917205 58.540256) (xy 268.995226 58.351898) + (xy 269.035 58.151939) (xy 269.035 57.948061) (xy 268.995226 57.748102) (xy 268.917205 57.559744) (xy 268.803937 57.390226) + (xy 268.659774 57.246063) (xy 268.490256 57.132795) (xy 268.301898 57.054774) (xy 268.101939 57.015) (xy 267.898061 57.015) + (xy 267.698102 57.054774) (xy 267.509744 57.132795) (xy 267.340226 57.246063) (xy 267.196063 57.390226) (xy 267.082795 57.559744) + (xy 267.004774 57.748102) (xy 266.965 57.948061) (xy 256.286772 57.948061) (xy 256.159774 57.821063) (xy 255.990256 57.707795) + (xy 255.801898 57.629774) (xy 255.601939 57.59) (xy 255.398061 57.59) (xy 255.198102 57.629774) (xy 255.009744 57.707795) + (xy 254.840226 57.821063) (xy 254.696063 57.965226) (xy 254.582795 58.134744) (xy 254.504774 58.323102) (xy 254.465 58.523061) + (xy 246.921786 58.523061) (xy 246.866437 58.440226) (xy 246.722274 58.296063) (xy 246.552756 58.182795) (xy 246.364398 58.104774) + (xy 246.164439 58.065) (xy 245.960561 58.065) (xy 245.760602 58.104774) (xy 245.572244 58.182795) (xy 245.402726 58.296063) + (xy 245.258563 58.440226) (xy 245.145295 58.609744) (xy 245.067274 58.798102) (xy 245.0275 58.998061) (xy 210.211936 58.998061) + (xy 210.167932 58.776842) (xy 210.05599 58.506589) (xy 209.893475 58.263368) (xy 209.686632 58.056525) (xy 209.443411 57.89401) + (xy 209.173158 57.782068) (xy 208.88626 57.725) (xy 208.59374 57.725) (xy 208.306842 57.782068) (xy 208.036589 57.89401) + (xy 207.793368 58.056525) (xy 207.586525 58.263368) (xy 207.47 58.43776) (xy 207.353475 58.263368) (xy 207.146632 58.056525) + (xy 206.903411 57.89401) (xy 206.633158 57.782068) (xy 206.34626 57.725) (xy 206.05374 57.725) (xy 205.766842 57.782068) + (xy 205.496589 57.89401) (xy 205.253368 58.056525) (xy 205.046525 58.263368) (xy 204.93 58.43776) (xy 204.813475 58.263368) + (xy 204.606632 58.056525) (xy 204.363411 57.89401) (xy 204.093158 57.782068) (xy 203.80626 57.725) (xy 203.51374 57.725) + (xy 203.226842 57.782068) (xy 202.956589 57.89401) (xy 202.713368 58.056525) (xy 202.506525 58.263368) (xy 202.39 58.43776) + (xy 202.273475 58.263368) (xy 202.066632 58.056525) (xy 201.823411 57.89401) (xy 201.553158 57.782068) (xy 201.26626 57.725) + (xy 200.97374 57.725) (xy 200.686842 57.782068) (xy 200.416589 57.89401) (xy 200.173368 58.056525) (xy 199.966525 58.263368) + (xy 199.85 58.43776) (xy 199.733475 58.263368) (xy 199.526632 58.056525) (xy 199.283411 57.89401) (xy 199.013158 57.782068) + (xy 198.72626 57.725) (xy 198.43374 57.725) (xy 198.146842 57.782068) (xy 197.876589 57.89401) (xy 197.633368 58.056525) + (xy 197.426525 58.263368) (xy 197.31 58.43776) (xy 197.193475 58.263368) (xy 196.986632 58.056525) (xy 196.743411 57.89401) + (xy 196.473158 57.782068) (xy 196.18626 57.725) (xy 195.89374 57.725) (xy 195.606842 57.782068) (xy 195.336589 57.89401) + (xy 195.093368 58.056525) (xy 194.886525 58.263368) (xy 194.77 58.43776) (xy 194.653475 58.263368) (xy 194.446632 58.056525) + (xy 194.203411 57.89401) (xy 193.933158 57.782068) (xy 193.64626 57.725) (xy 193.35374 57.725) (xy 193.066842 57.782068) + (xy 192.796589 57.89401) (xy 192.553368 58.056525) (xy 192.346525 58.263368) (xy 192.23 58.43776) (xy 192.113475 58.263368) + (xy 191.906632 58.056525) (xy 191.663411 57.89401) (xy 191.393158 57.782068) (xy 191.10626 57.725) (xy 190.81374 57.725) + (xy 190.526842 57.782068) (xy 190.256589 57.89401) (xy 190.013368 58.056525) (xy 189.806525 58.263368) (xy 189.69 58.43776) + (xy 189.573475 58.263368) (xy 189.366632 58.056525) (xy 189.123411 57.89401) (xy 188.853158 57.782068) (xy 188.56626 57.725) + (xy 188.27374 57.725) (xy 187.986842 57.782068) (xy 187.716589 57.89401) (xy 187.473368 58.056525) (xy 187.266525 58.263368) + (xy 187.15 58.43776) (xy 187.033475 58.263368) (xy 186.826632 58.056525) (xy 186.583411 57.89401) (xy 186.313158 57.782068) + (xy 186.02626 57.725) (xy 185.73374 57.725) (xy 185.446842 57.782068) (xy 185.176589 57.89401) (xy 184.933368 58.056525) + (xy 184.726525 58.263368) (xy 184.61 58.43776) (xy 184.493475 58.263368) (xy 184.286632 58.056525) (xy 184.043411 57.89401) + (xy 183.773158 57.782068) (xy 183.48626 57.725) (xy 183.19374 57.725) (xy 182.906842 57.782068) (xy 182.636589 57.89401) + (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) (xy 181.953475 58.263368) (xy 181.746632 58.056525) + (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) (xy 180.65374 57.725) (xy 180.366842 57.782068) + (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) (xy 179.53 58.43776) (xy 179.413475 58.263368) + (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) (xy 178.40626 57.725) (xy 178.11374 57.725) + (xy 177.826842 57.782068) (xy 177.556589 57.89401) (xy 177.313368 58.056525) (xy 177.106525 58.263368) (xy 176.99 58.43776) + (xy 176.873475 58.263368) (xy 176.666632 58.056525) (xy 176.423411 57.89401) (xy 176.153158 57.782068) (xy 175.86626 57.725) + (xy 175.57374 57.725) (xy 175.286842 57.782068) (xy 175.016589 57.89401) (xy 174.773368 58.056525) (xy 174.566525 58.263368) + (xy 174.45 58.43776) (xy 174.333475 58.263368) (xy 174.126632 58.056525) (xy 173.883411 57.89401) (xy 173.613158 57.782068) + (xy 173.32626 57.725) (xy 173.03374 57.725) (xy 172.746842 57.782068) (xy 172.476589 57.89401) (xy 172.233368 58.056525) + (xy 172.026525 58.263368) (xy 171.904805 58.445534) (xy 171.835178 58.328645) (xy 171.640269 58.112412) (xy 171.40692 57.938359) + (xy 171.144099 57.813175) (xy 170.99689 57.768524) (xy 170.767 57.889845) (xy 170.513 57.889845) (xy 170.28311 57.768524) + (xy 170.135901 57.813175) (xy 169.87308 57.938359) (xy 169.639731 58.112412) (xy 169.444822 58.328645) (xy 169.375195 58.445534) + (xy 169.253475 58.263368) (xy 169.046632 58.056525) (xy 168.803411 57.89401) (xy 168.533158 57.782068) (xy 168.24626 57.725) + (xy 167.95374 57.725) (xy 167.666842 57.782068) (xy 167.396589 57.89401) (xy 167.153368 58.056525) (xy 166.946525 58.263368) + (xy 166.824805 58.445534) (xy 166.755178 58.328645) (xy 166.560269 58.112412) (xy 166.32692 57.938359) (xy 166.064099 57.813175) + (xy 165.91689 57.768524) (xy 165.687 57.889845) (xy 165.433 57.889845) (xy 165.20311 57.768524) (xy 165.055901 57.813175) + (xy 164.79308 57.938359) (xy 164.559731 58.112412) (xy 164.364822 58.328645) (xy 164.295195 58.445534) (xy 164.173475 58.263368) + (xy 163.966632 58.056525) (xy 163.723411 57.89401) (xy 163.453158 57.782068) (xy 163.16626 57.725) (xy 162.87374 57.725) + (xy 162.586842 57.782068) (xy 162.316589 57.89401) (xy 162.073368 58.056525) (xy 161.866525 58.263368) (xy 161.75 58.43776) + (xy 161.633475 58.263368) (xy 161.426632 58.056525) (xy 161.183411 57.89401) (xy 160.913158 57.782068) (xy 160.62626 57.725) + (xy 160.33374 57.725) (xy 160.046842 57.782068) (xy 159.776589 57.89401) (xy 159.533368 58.056525) (xy 159.326525 58.263368) + (xy 159.21 58.43776) (xy 159.093475 58.263368) (xy 158.886632 58.056525) (xy 158.643411 57.89401) (xy 158.373158 57.782068) + (xy 158.08626 57.725) (xy 157.79374 57.725) (xy 157.506842 57.782068) (xy 157.236589 57.89401) (xy 156.993368 58.056525) + (xy 156.786525 58.263368) (xy 156.67 58.43776) (xy 156.553475 58.263368) (xy 156.346632 58.056525) (xy 156.103411 57.89401) + (xy 155.833158 57.782068) (xy 155.54626 57.725) (xy 155.25374 57.725) (xy 154.966842 57.782068) (xy 154.696589 57.89401) + (xy 154.453368 58.056525) (xy 154.246525 58.263368) (xy 154.13 58.43776) (xy 154.013475 58.263368) (xy 153.806632 58.056525) + (xy 153.563411 57.89401) (xy 153.293158 57.782068) (xy 153.00626 57.725) (xy 152.71374 57.725) (xy 152.426842 57.782068) + (xy 152.156589 57.89401) (xy 151.913368 58.056525) (xy 151.706525 58.263368) (xy 151.59 58.43776) (xy 151.473475 58.263368) + (xy 151.266632 58.056525) (xy 151.023411 57.89401) (xy 150.753158 57.782068) (xy 150.46626 57.725) (xy 150.17374 57.725) + (xy 149.886842 57.782068) (xy 149.616589 57.89401) (xy 149.373368 58.056525) (xy 149.166525 58.263368) (xy 149.05 58.43776) + (xy 148.933475 58.263368) (xy 148.726632 58.056525) (xy 148.483411 57.89401) (xy 148.213158 57.782068) (xy 147.92626 57.725) + (xy 147.63374 57.725) (xy 147.346842 57.782068) (xy 147.076589 57.89401) (xy 146.833368 58.056525) (xy 146.626525 58.263368) + (xy 146.51 58.43776) (xy 146.393475 58.263368) (xy 146.186632 58.056525) (xy 145.943411 57.89401) (xy 145.673158 57.782068) + (xy 145.38626 57.725) (xy 145.09374 57.725) (xy 144.806842 57.782068) (xy 144.536589 57.89401) (xy 144.293368 58.056525) + (xy 144.086525 58.263368) (xy 143.97 58.43776) (xy 143.853475 58.263368) (xy 143.646632 58.056525) (xy 143.403411 57.89401) + (xy 143.133158 57.782068) (xy 142.84626 57.725) (xy 142.55374 57.725) (xy 142.266842 57.782068) (xy 141.996589 57.89401) + (xy 141.753368 58.056525) (xy 141.546525 58.263368) (xy 141.43 58.43776) (xy 141.313475 58.263368) (xy 141.106632 58.056525) + (xy 140.863411 57.89401) (xy 140.593158 57.782068) (xy 140.30626 57.725) (xy 140.01374 57.725) (xy 139.726842 57.782068) + (xy 139.456589 57.89401) (xy 139.213368 58.056525) (xy 139.006525 58.263368) (xy 138.89 58.43776) (xy 138.773475 58.263368) + (xy 138.566632 58.056525) (xy 138.323411 57.89401) (xy 138.053158 57.782068) (xy 137.76626 57.725) (xy 137.47374 57.725) + (xy 137.186842 57.782068) (xy 136.916589 57.89401) (xy 136.673368 58.056525) (xy 136.466525 58.263368) (xy 136.35 58.43776) + (xy 136.233475 58.263368) (xy 136.026632 58.056525) (xy 135.783411 57.89401) (xy 135.513158 57.782068) (xy 135.22626 57.725) + (xy 134.93374 57.725) (xy 134.646842 57.782068) (xy 134.376589 57.89401) (xy 134.133368 58.056525) (xy 133.926525 58.263368) + (xy 133.81 58.43776) (xy 133.693475 58.263368) (xy 133.486632 58.056525) (xy 133.243411 57.89401) (xy 132.973158 57.782068) + (xy 132.68626 57.725) (xy 132.39374 57.725) (xy 132.106842 57.782068) (xy 131.836589 57.89401) (xy 131.593368 58.056525) + (xy 131.386525 58.263368) (xy 131.27 58.43776) (xy 131.153475 58.263368) (xy 130.946632 58.056525) (xy 130.703411 57.89401) + (xy 130.433158 57.782068) (xy 130.14626 57.725) (xy 129.85374 57.725) (xy 129.566842 57.782068) (xy 129.296589 57.89401) + (xy 129.053368 58.056525) (xy 128.846525 58.263368) (xy 128.68401 58.506589) (xy 128.572068 58.776842) (xy 128.515 59.06374) + (xy 128.515 59.35626) (xy 128.572068 59.643158) (xy 128.68401 59.913411) (xy 128.846525 60.156632) (xy 128.97838 60.288487) + (xy 128.90582 60.310498) (xy 128.795506 60.369463) (xy 128.698815 60.448815) (xy 128.619463 60.545506) (xy 128.560498 60.65582) + (xy 128.524188 60.775518) (xy 128.511928 60.9) (xy 113.689885 60.9) (xy 113.681896 53.861014) (xy 180.551371 53.861014) + (xy 180.551371 54.064892) (xy 180.591145 54.264851) (xy 180.669166 54.453209) (xy 180.782434 54.622727) (xy 180.926597 54.76689) + (xy 181.096115 54.880158) (xy 181.284473 54.958179) (xy 181.484432 54.997953) (xy 181.68831 54.997953) (xy 181.888269 54.958179) + (xy 182.076627 54.880158) (xy 182.246145 54.76689) (xy 182.314974 54.698061) (xy 183.165 54.698061) (xy 183.165 54.901939) + (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) (xy 183.540226 55.603937) (xy 183.709744 55.717205) + (xy 183.898102 55.795226) (xy 184.098061 55.835) (xy 184.301939 55.835) (xy 184.501898 55.795226) (xy 184.690256 55.717205) + (xy 184.8 55.643877) (xy 184.909744 55.717205) (xy 185.098102 55.795226) (xy 185.298061 55.835) (xy 185.501939 55.835) + (xy 185.701898 55.795226) (xy 185.890256 55.717205) (xy 186 55.643877) (xy 186.109744 55.717205) (xy 186.298102 55.795226) + (xy 186.498061 55.835) (xy 186.701939 55.835) (xy 186.901898 55.795226) (xy 187.090256 55.717205) (xy 187.2 55.643877) + (xy 187.309744 55.717205) (xy 187.498102 55.795226) (xy 187.698061 55.835) (xy 187.901939 55.835) (xy 188.101898 55.795226) + (xy 188.290256 55.717205) (xy 188.459774 55.603937) (xy 188.603937 55.459774) (xy 188.717205 55.290256) (xy 188.795226 55.101898) + (xy 188.835 54.901939) (xy 188.835 54.888967) (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) + (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) + (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) + (xy 268.119987 56.092252) (xy 268.298918 55.824463) (xy 268.422168 55.526912) (xy 268.485 55.211033) (xy 268.485 54.888967) + (xy 268.422168 54.573088) (xy 268.298918 54.275537) (xy 268.119987 54.007748) (xy 267.892252 53.780013) (xy 267.624463 53.601082) + (xy 267.326912 53.477832) (xy 267.011033 53.415) (xy 266.688967 53.415) (xy 266.373088 53.477832) (xy 266.075537 53.601082) + (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) (xy 265.277832 54.573088) (xy 265.215 54.888967) + (xy 188.835 54.888967) (xy 188.835 54.698061) (xy 188.795226 54.498102) (xy 188.717205 54.309744) (xy 188.603937 54.140226) + (xy 188.459774 53.996063) (xy 188.290256 53.882795) (xy 188.101898 53.804774) (xy 187.901939 53.765) (xy 187.698061 53.765) + (xy 187.498102 53.804774) (xy 187.309744 53.882795) (xy 187.2 53.956123) (xy 187.090256 53.882795) (xy 186.901898 53.804774) + (xy 186.701939 53.765) (xy 186.498061 53.765) (xy 186.298102 53.804774) (xy 186.109744 53.882795) (xy 186 53.956123) + (xy 185.890256 53.882795) (xy 185.701898 53.804774) (xy 185.501939 53.765) (xy 185.298061 53.765) (xy 185.098102 53.804774) + (xy 184.909744 53.882795) (xy 184.8 53.956123) (xy 184.690256 53.882795) (xy 184.501898 53.804774) (xy 184.301939 53.765) + (xy 184.098061 53.765) (xy 183.898102 53.804774) (xy 183.709744 53.882795) (xy 183.540226 53.996063) (xy 183.396063 54.140226) + (xy 183.282795 54.309744) (xy 183.204774 54.498102) (xy 183.165 54.698061) (xy 182.314974 54.698061) (xy 182.390308 54.622727) + (xy 182.503576 54.453209) (xy 182.581597 54.264851) (xy 182.621371 54.064892) (xy 182.621371 53.861014) (xy 182.591445 53.710561) + (xy 254.005 53.710561) (xy 254.005 53.914439) (xy 254.044774 54.114398) (xy 254.122795 54.302756) (xy 254.236063 54.472274) + (xy 254.380226 54.616437) (xy 254.549744 54.729705) (xy 254.738102 54.807726) (xy 254.938061 54.8475) (xy 255.141939 54.8475) + (xy 255.341898 54.807726) (xy 255.530256 54.729705) (xy 255.699774 54.616437) (xy 255.843937 54.472274) (xy 255.957205 54.302756) + (xy 256.035226 54.114398) (xy 256.075 53.914439) (xy 256.075 53.710561) (xy 256.035226 53.510602) (xy 255.957205 53.322244) + (xy 255.843937 53.152726) (xy 255.699774 53.008563) (xy 255.530256 52.895295) (xy 255.341898 52.817274) (xy 255.141939 52.7775) + (xy 254.938061 52.7775) (xy 254.738102 52.817274) (xy 254.549744 52.895295) (xy 254.380226 53.008563) (xy 254.236063 53.152726) + (xy 254.122795 53.322244) (xy 254.044774 53.510602) (xy 254.005 53.710561) (xy 182.591445 53.710561) (xy 182.581597 53.661055) + (xy 182.503576 53.472697) (xy 182.390308 53.303179) (xy 182.246145 53.159016) (xy 182.076627 53.045748) (xy 181.888269 52.967727) + (xy 181.68831 52.927953) (xy 181.484432 52.927953) (xy 181.284473 52.967727) (xy 181.096115 53.045748) (xy 180.926597 53.159016) + (xy 180.782434 53.303179) (xy 180.669166 53.472697) (xy 180.591145 53.661055) (xy 180.551371 53.861014) (xy 113.681896 53.861014) + (xy 113.677624 50.098061) (xy 144.09 50.098061) (xy 144.09 50.301939) (xy 144.129774 50.501898) (xy 144.207795 50.690256) + (xy 144.321063 50.859774) (xy 144.465226 51.003937) (xy 144.634744 51.117205) (xy 144.823102 51.195226) (xy 145.023061 51.235) + (xy 145.226939 51.235) (xy 145.426898 51.195226) (xy 145.615256 51.117205) (xy 145.784774 51.003937) (xy 145.928937 50.859774) + (xy 146.042205 50.690256) (xy 146.054317 50.661014) (xy 185.751371 50.661014) (xy 185.751371 50.864892) (xy 185.791145 51.064851) + (xy 185.869166 51.253209) (xy 185.982434 51.422727) (xy 186.126597 51.56689) (xy 186.296115 51.680158) (xy 186.484473 51.758179) + (xy 186.684432 51.797953) (xy 186.88831 51.797953) (xy 187.088269 51.758179) (xy 187.276627 51.680158) (xy 187.446145 51.56689) + (xy 187.590308 51.422727) (xy 187.703576 51.253209) (xy 187.781597 51.064851) (xy 187.821371 50.864892) (xy 187.821371 50.661014) + (xy 187.803967 50.573514) (xy 189.576371 50.573514) (xy 189.576371 50.777392) (xy 189.616145 50.977351) (xy 189.694166 51.165709) + (xy 189.807434 51.335227) (xy 189.951597 51.47939) (xy 190.121115 51.592658) (xy 190.309473 51.670679) (xy 190.509432 51.710453) + (xy 190.71331 51.710453) (xy 190.913269 51.670679) (xy 191.101627 51.592658) (xy 191.271145 51.47939) (xy 191.415308 51.335227) + (xy 191.528576 51.165709) (xy 191.606597 50.977351) (xy 191.646371 50.777392) (xy 191.646371 50.698061) (xy 207.705 50.698061) + (xy 207.705 50.901939) (xy 207.744774 51.101898) (xy 207.822795 51.290256) (xy 207.936063 51.459774) (xy 208.080226 51.603937) + (xy 208.249744 51.717205) (xy 208.438102 51.795226) (xy 208.638061 51.835) (xy 208.841939 51.835) (xy 209.041898 51.795226) + (xy 209.230256 51.717205) (xy 209.399774 51.603937) (xy 209.543937 51.459774) (xy 209.657205 51.290256) (xy 209.735226 51.101898) + (xy 209.775 50.901939) (xy 209.775 50.698061) (xy 209.735226 50.498102) (xy 209.657205 50.309744) (xy 209.543937 50.140226) + (xy 209.399774 49.996063) (xy 209.230256 49.882795) (xy 209.041898 49.804774) (xy 208.841939 49.765) (xy 208.638061 49.765) + (xy 208.438102 49.804774) (xy 208.249744 49.882795) (xy 208.080226 49.996063) (xy 207.936063 50.140226) (xy 207.822795 50.309744) + (xy 207.744774 50.498102) (xy 207.705 50.698061) (xy 191.646371 50.698061) (xy 191.646371 50.573514) (xy 191.606597 50.373555) + (xy 191.528576 50.185197) (xy 191.415308 50.015679) (xy 191.271145 49.871516) (xy 191.101627 49.758248) (xy 190.913269 49.680227) + (xy 190.71331 49.640453) (xy 190.509432 49.640453) (xy 190.309473 49.680227) (xy 190.121115 49.758248) (xy 189.951597 49.871516) + (xy 189.807434 50.015679) (xy 189.694166 50.185197) (xy 189.616145 50.373555) (xy 189.576371 50.573514) (xy 187.803967 50.573514) + (xy 187.781597 50.461055) (xy 187.703576 50.272697) (xy 187.590308 50.103179) (xy 187.446145 49.959016) (xy 187.276627 49.845748) + (xy 187.088269 49.767727) (xy 186.88831 49.727953) (xy 186.684432 49.727953) (xy 186.484473 49.767727) (xy 186.296115 49.845748) + (xy 186.126597 49.959016) (xy 185.982434 50.103179) (xy 185.869166 50.272697) (xy 185.791145 50.461055) (xy 185.751371 50.661014) + (xy 146.054317 50.661014) (xy 146.120226 50.501898) (xy 146.16 50.301939) (xy 146.16 50.098061) (xy 146.120226 49.898102) + (xy 146.042205 49.709744) (xy 145.928937 49.540226) (xy 145.784774 49.396063) (xy 145.675519 49.323061) (xy 264.84 49.323061) + (xy 264.84 49.526939) (xy 264.879774 49.726898) (xy 264.957795 49.915256) (xy 265.071063 50.084774) (xy 265.198789 50.2125) + (xy 265.071063 50.340226) (xy 264.957795 50.509744) (xy 264.879774 50.698102) (xy 264.84 50.898061) (xy 264.84 51.101939) + (xy 264.879774 51.301898) (xy 264.957795 51.490256) (xy 265.071063 51.659774) (xy 265.215226 51.803937) (xy 265.384744 51.917205) + (xy 265.573102 51.995226) (xy 265.773061 52.035) (xy 265.976939 52.035) (xy 266.176898 51.995226) (xy 266.365256 51.917205) + (xy 266.534774 51.803937) (xy 266.678937 51.659774) (xy 266.792205 51.490256) (xy 266.870226 51.301898) (xy 266.91 51.101939) + (xy 266.91 50.898061) (xy 267.525 50.898061) (xy 267.525 51.101939) (xy 267.564774 51.301898) (xy 267.642795 51.490256) + (xy 267.756063 51.659774) (xy 267.900226 51.803937) (xy 268.069744 51.917205) (xy 268.258102 51.995226) (xy 268.458061 52.035) + (xy 268.661939 52.035) (xy 268.861898 51.995226) (xy 269.050256 51.917205) (xy 269.219774 51.803937) (xy 269.363937 51.659774) + (xy 269.477205 51.490256) (xy 269.555226 51.301898) (xy 269.595 51.101939) (xy 269.595 50.898061) (xy 269.555226 50.698102) + (xy 269.477205 50.509744) (xy 269.363937 50.340226) (xy 269.219774 50.196063) (xy 269.050256 50.082795) (xy 268.861898 50.004774) + (xy 268.661939 49.965) (xy 268.458061 49.965) (xy 268.258102 50.004774) (xy 268.069744 50.082795) (xy 267.900226 50.196063) + (xy 267.756063 50.340226) (xy 267.642795 50.509744) (xy 267.564774 50.698102) (xy 267.525 50.898061) (xy 266.91 50.898061) + (xy 266.870226 50.698102) (xy 266.792205 50.509744) (xy 266.678937 50.340226) (xy 266.551211 50.2125) (xy 266.678937 50.084774) + (xy 266.792205 49.915256) (xy 266.870226 49.726898) (xy 266.91 49.526939) (xy 266.91 49.323061) (xy 266.870226 49.123102) + (xy 266.792205 48.934744) (xy 266.678937 48.765226) (xy 266.534774 48.621063) (xy 266.365256 48.507795) (xy 266.176898 48.429774) + (xy 265.976939 48.39) (xy 265.773061 48.39) (xy 265.573102 48.429774) (xy 265.384744 48.507795) (xy 265.215226 48.621063) + (xy 265.071063 48.765226) (xy 264.957795 48.934744) (xy 264.879774 49.123102) (xy 264.84 49.323061) (xy 145.675519 49.323061) (xy 145.615256 49.282795) (xy 145.426898 49.204774) (xy 145.226939 49.165) (xy 145.023061 49.165) (xy 144.823102 49.204774) (xy 144.634744 49.282795) (xy 144.465226 49.396063) (xy 144.321063 49.540226) (xy 144.207795 49.709744) (xy 144.129774 49.898102) (xy 144.09 50.098061) (xy 113.677624 50.098061) (xy 113.674672 47.498061) (xy 138.2174 47.498061) (xy 138.2174 47.701939) @@ -11920,14 +11713,7 @@ (xy 182.246145 47.359016) (xy 182.076627 47.245748) (xy 181.888269 47.167727) (xy 181.68831 47.127953) (xy 181.484432 47.127953) (xy 181.284473 47.167727) (xy 181.096115 47.245748) (xy 180.926597 47.359016) (xy 180.782434 47.503179) (xy 180.669166 47.672697) (xy 180.591145 47.861055) (xy 180.551371 48.061014) (xy 140.181717 48.061014) (xy 140.247626 47.901898) (xy 140.2874 47.701939) - (xy 140.2874 47.498061) (xy 140.247626 47.298102) (xy 140.169605 47.109744) (xy 140.056337 46.940226) (xy 139.926888 46.810777) - (xy 254.515 46.810777) (xy 254.515 47.369223) (xy 254.623948 47.916939) (xy 254.837656 48.432876) (xy 255.147912 48.897207) - (xy 255.542793 49.292088) (xy 256.007124 49.602344) (xy 256.523061 49.816052) (xy 257.070777 49.925) (xy 257.629223 49.925) - (xy 258.176939 49.816052) (xy 258.692876 49.602344) (xy 259.157207 49.292088) (xy 259.552088 48.897207) (xy 259.862344 48.432876) - (xy 260.076052 47.916939) (xy 260.185 47.369223) (xy 260.185 46.810777) (xy 260.076052 46.263061) (xy 259.862344 45.747124) - (xy 259.552088 45.282793) (xy 259.157207 44.887912) (xy 258.692876 44.577656) (xy 258.176939 44.363948) (xy 257.629223 44.255) - (xy 257.070777 44.255) (xy 256.523061 44.363948) (xy 256.007124 44.577656) (xy 255.542793 44.887912) (xy 255.147912 45.282793) - (xy 254.837656 45.747124) (xy 254.623948 46.263061) (xy 254.515 46.810777) (xy 139.926888 46.810777) (xy 139.912174 46.796063) + (xy 140.2874 47.498061) (xy 140.247626 47.298102) (xy 140.169605 47.109744) (xy 140.056337 46.940226) (xy 139.912174 46.796063) (xy 139.742656 46.682795) (xy 139.554298 46.604774) (xy 139.354339 46.565) (xy 139.150461 46.565) (xy 138.950502 46.604774) (xy 138.762144 46.682795) (xy 138.592626 46.796063) (xy 138.448463 46.940226) (xy 138.335195 47.109744) (xy 138.257174 47.298102) (xy 138.2174 47.498061) (xy 113.674672 47.498061) (xy 113.672584 45.658061) (xy 167.785 45.658061) (xy 167.785 45.861939) @@ -11937,396 +11723,382 @@ (xy 169.855 45.658061) (xy 169.815226 45.458102) (xy 169.737205 45.269744) (xy 169.623937 45.100226) (xy 169.479774 44.956063) (xy 169.310256 44.842795) (xy 169.121898 44.764774) (xy 168.921939 44.725) (xy 168.718061 44.725) (xy 168.518102 44.764774) (xy 168.329744 44.842795) (xy 168.160226 44.956063) (xy 168.016063 45.100226) (xy 167.902795 45.269744) (xy 167.824774 45.458102) - (xy 167.785 45.658061) (xy 113.672584 45.658061) (xy 113.67086 44.138665) (xy 262.915 44.138665) (xy 262.915 44.421335) - (xy 262.970147 44.698574) (xy 263.07832 44.959727) (xy 263.235363 45.194759) (xy 263.435241 45.394637) (xy 263.670273 45.55168) - (xy 263.931426 45.659853) (xy 264.208665 45.715) (xy 264.491335 45.715) (xy 264.768574 45.659853) (xy 265.029727 45.55168) - (xy 265.264759 45.394637) (xy 265.431339 45.228057) (xy 265.460498 45.32418) (xy 265.519463 45.434494) (xy 265.598815 45.531185) - (xy 265.695506 45.610537) (xy 265.80582 45.669502) (xy 265.925518 45.705812) (xy 266.05 45.718072) (xy 267.65 45.718072) - (xy 267.774482 45.705812) (xy 267.89418 45.669502) (xy 268.004494 45.610537) (xy 268.101185 45.531185) (xy 268.180537 45.434494) - (xy 268.239502 45.32418) (xy 268.275812 45.204482) (xy 268.288072 45.08) (xy 268.288072 43.48) (xy 268.275812 43.355518) - (xy 268.239502 43.23582) (xy 268.180537 43.125506) (xy 268.101185 43.028815) (xy 268.004494 42.949463) (xy 267.89418 42.890498) - (xy 267.774482 42.854188) (xy 267.65 42.841928) (xy 266.05 42.841928) (xy 265.925518 42.854188) (xy 265.80582 42.890498) - (xy 265.695506 42.949463) (xy 265.598815 43.028815) (xy 265.519463 43.125506) (xy 265.460498 43.23582) (xy 265.431339 43.331943) - (xy 265.264759 43.165363) (xy 265.029727 43.00832) (xy 264.768574 42.900147) (xy 264.491335 42.845) (xy 264.208665 42.845) - (xy 263.931426 42.900147) (xy 263.670273 43.00832) (xy 263.435241 43.165363) (xy 263.235363 43.365241) (xy 263.07832 43.600273) - (xy 262.970147 43.861426) (xy 262.915 44.138665) (xy 113.67086 44.138665) (xy 113.66852 42.078061) (xy 151.845 42.078061) - (xy 151.845 42.281939) (xy 151.884774 42.481898) (xy 151.962795 42.670256) (xy 152.076063 42.839774) (xy 152.220226 42.983937) - (xy 152.389744 43.097205) (xy 152.578102 43.175226) (xy 152.778061 43.215) (xy 152.981939 43.215) (xy 153.181898 43.175226) - (xy 153.370256 43.097205) (xy 153.539774 42.983937) (xy 153.683937 42.839774) (xy 153.797205 42.670256) (xy 153.875226 42.481898) - (xy 153.915 42.281939) (xy 153.915 42.078061) (xy 153.875226 41.878102) (xy 153.797205 41.689744) (xy 153.683937 41.520226) - (xy 153.539774 41.376063) (xy 153.370256 41.262795) (xy 153.181898 41.184774) (xy 152.981939 41.145) (xy 152.778061 41.145) - (xy 152.578102 41.184774) (xy 152.389744 41.262795) (xy 152.220226 41.376063) (xy 152.076063 41.520226) (xy 151.962795 41.689744) - (xy 151.884774 41.878102) (xy 151.845 42.078061) (xy 113.66852 42.078061) (xy 113.66651 40.308061) (xy 114.635 40.308061) - (xy 114.635 40.511939) (xy 114.674774 40.711898) (xy 114.752795 40.900256) (xy 114.866063 41.069774) (xy 115.010226 41.213937) - (xy 115.179744 41.327205) (xy 115.368102 41.405226) (xy 115.568061 41.445) (xy 115.771939 41.445) (xy 115.971898 41.405226) - (xy 116.160256 41.327205) (xy 116.329774 41.213937) (xy 116.473937 41.069774) (xy 116.587205 40.900256) (xy 116.665226 40.711898) - (xy 116.705 40.511939) (xy 116.705 40.308061) (xy 116.665226 40.108102) (xy 116.587205 39.919744) (xy 116.473937 39.750226) - (xy 116.329774 39.606063) (xy 116.160256 39.492795) (xy 115.971898 39.414774) (xy 115.771939 39.375) (xy 115.568061 39.375) - (xy 115.368102 39.414774) (xy 115.179744 39.492795) (xy 115.010226 39.606063) (xy 114.866063 39.750226) (xy 114.752795 39.919744) - (xy 114.674774 40.108102) (xy 114.635 40.308061) (xy 113.66651 40.308061) (xy 113.661233 35.658061) (xy 193.845 35.658061) - (xy 193.845 35.861939) (xy 193.884774 36.061898) (xy 193.962795 36.250256) (xy 194.076063 36.419774) (xy 194.220226 36.563937) - (xy 194.389744 36.677205) (xy 194.578102 36.755226) (xy 194.778061 36.795) (xy 194.981939 36.795) (xy 195.181898 36.755226) - (xy 195.370256 36.677205) (xy 195.539774 36.563937) (xy 195.683937 36.419774) (xy 195.797205 36.250256) (xy 195.875226 36.061898) - (xy 195.915 35.861939) (xy 195.915 35.658061) (xy 195.875226 35.458102) (xy 195.797205 35.269744) (xy 195.759331 35.213061) - (xy 254.965 35.213061) (xy 254.965 35.416939) (xy 255.004774 35.616898) (xy 255.082795 35.805256) (xy 255.196063 35.974774) - (xy 255.340226 36.118937) (xy 255.509744 36.232205) (xy 255.698102 36.310226) (xy 255.898061 36.35) (xy 256.101939 36.35) - (xy 256.301898 36.310226) (xy 256.490256 36.232205) (xy 256.659774 36.118937) (xy 256.803937 35.974774) (xy 256.917205 35.805256) - (xy 256.995226 35.616898) (xy 257.035 35.416939) (xy 257.035 35.213061) (xy 256.995226 35.013102) (xy 256.917205 34.824744) - (xy 256.803937 34.655226) (xy 256.659774 34.511063) (xy 256.490256 34.397795) (xy 256.301898 34.319774) (xy 256.101939 34.28) - (xy 255.898061 34.28) (xy 255.698102 34.319774) (xy 255.509744 34.397795) (xy 255.340226 34.511063) (xy 255.196063 34.655226) - (xy 255.082795 34.824744) (xy 255.004774 35.013102) (xy 254.965 35.213061) (xy 195.759331 35.213061) (xy 195.683937 35.100226) - (xy 195.539774 34.956063) (xy 195.370256 34.842795) (xy 195.181898 34.764774) (xy 194.981939 34.725) (xy 194.778061 34.725) - (xy 194.578102 34.764774) (xy 194.389744 34.842795) (xy 194.220226 34.956063) (xy 194.076063 35.100226) (xy 193.962795 35.269744) - (xy 193.884774 35.458102) (xy 193.845 35.658061) (xy 113.661233 35.658061) (xy 113.657818 32.65) (xy 120.411928 32.65) - (xy 120.411928 34.35) (xy 120.424188 34.474482) (xy 120.460498 34.59418) (xy 120.519463 34.704494) (xy 120.598815 34.801185) - (xy 120.695506 34.880537) (xy 120.80582 34.939502) (xy 120.925518 34.975812) (xy 121.05 34.988072) (xy 122.75 34.988072) - (xy 122.874482 34.975812) (xy 122.99418 34.939502) (xy 123.104494 34.880537) (xy 123.201185 34.801185) (xy 123.280537 34.704494) - (xy 123.339502 34.59418) (xy 123.375812 34.474482) (xy 123.388072 34.35) (xy 123.388072 33.528061) (xy 175.445 33.528061) - (xy 175.445 33.731939) (xy 175.484774 33.931898) (xy 175.562795 34.120256) (xy 175.676063 34.289774) (xy 175.820226 34.433937) - (xy 175.989744 34.547205) (xy 176.178102 34.625226) (xy 176.378061 34.665) (xy 176.581939 34.665) (xy 176.781898 34.625226) - (xy 176.970256 34.547205) (xy 177.139774 34.433937) (xy 177.283937 34.289774) (xy 177.397205 34.120256) (xy 177.475226 33.931898) - (xy 177.481956 33.898061) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) (xy 223.082795 34.490256) - (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) (xy 223.898061 35.035) - (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) (xy 224.803937 34.659774) - (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) (xy 225.082795 34.490256) - (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) (xy 225.898061 35.035) - (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) (xy 226.803937 34.659774) - (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) (xy 227.082795 34.490256) - (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) (xy 227.898061 35.035) - (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) (xy 228.803937 34.659774) - (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) (xy 229.082795 34.490256) - (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) (xy 229.898061 35.035) - (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) (xy 230.803937 34.659774) - (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) (xy 231.082795 34.490256) - (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) (xy 231.898061 35.035) - (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.659774 34.803937) (xy 232.803937 34.659774) - (xy 232.917205 34.490256) (xy 232.995226 34.301898) (xy 233 34.277897) (xy 233.004774 34.301898) (xy 233.082795 34.490256) - (xy 233.196063 34.659774) (xy 233.340226 34.803937) (xy 233.509744 34.917205) (xy 233.698102 34.995226) (xy 233.898061 35.035) - (xy 234.101939 35.035) (xy 234.301898 34.995226) (xy 234.490256 34.917205) (xy 234.659774 34.803937) (xy 234.803937 34.659774) - (xy 234.917205 34.490256) (xy 234.995226 34.301898) (xy 235.035 34.101939) (xy 235.035 33.898061) (xy 234.995226 33.698102) - (xy 234.917205 33.509744) (xy 234.803937 33.340226) (xy 234.659774 33.196063) (xy 234.490256 33.082795) (xy 234.301898 33.004774) - (xy 234.101939 32.965) (xy 233.898061 32.965) (xy 233.698102 33.004774) (xy 233.509744 33.082795) (xy 233.340226 33.196063) - (xy 233.196063 33.340226) (xy 233.082795 33.509744) (xy 233.004774 33.698102) (xy 233 33.722103) (xy 232.995226 33.698102) - (xy 232.917205 33.509744) (xy 232.803937 33.340226) (xy 232.659774 33.196063) (xy 232.490256 33.082795) (xy 232.301898 33.004774) - (xy 232.101939 32.965) (xy 231.898061 32.965) (xy 231.698102 33.004774) (xy 231.509744 33.082795) (xy 231.340226 33.196063) - (xy 231.196063 33.340226) (xy 231.082795 33.509744) (xy 231.004774 33.698102) (xy 231 33.722103) (xy 230.995226 33.698102) - (xy 230.917205 33.509744) (xy 230.803937 33.340226) (xy 230.659774 33.196063) (xy 230.490256 33.082795) (xy 230.301898 33.004774) - (xy 230.101939 32.965) (xy 229.898061 32.965) (xy 229.698102 33.004774) (xy 229.509744 33.082795) (xy 229.340226 33.196063) - (xy 229.196063 33.340226) (xy 229.082795 33.509744) (xy 229.004774 33.698102) (xy 229 33.722103) (xy 228.995226 33.698102) - (xy 228.917205 33.509744) (xy 228.803937 33.340226) (xy 228.659774 33.196063) (xy 228.490256 33.082795) (xy 228.301898 33.004774) - (xy 228.101939 32.965) (xy 227.898061 32.965) (xy 227.698102 33.004774) (xy 227.509744 33.082795) (xy 227.340226 33.196063) - (xy 227.196063 33.340226) (xy 227.082795 33.509744) (xy 227.004774 33.698102) (xy 227 33.722103) (xy 226.995226 33.698102) - (xy 226.917205 33.509744) (xy 226.803937 33.340226) (xy 226.659774 33.196063) (xy 226.490256 33.082795) (xy 226.301898 33.004774) - (xy 226.101939 32.965) (xy 225.898061 32.965) (xy 225.698102 33.004774) (xy 225.509744 33.082795) (xy 225.340226 33.196063) - (xy 225.196063 33.340226) (xy 225.082795 33.509744) (xy 225.004774 33.698102) (xy 225 33.722103) (xy 224.995226 33.698102) - (xy 224.917205 33.509744) (xy 224.803937 33.340226) (xy 224.659774 33.196063) (xy 224.490256 33.082795) (xy 224.301898 33.004774) - (xy 224.101939 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) (xy 223.509744 33.082795) (xy 223.340226 33.196063) - (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) (xy 222.965 33.898061) (xy 177.481956 33.898061) - (xy 177.515 33.731939) (xy 177.515 33.528061) (xy 177.475226 33.328102) (xy 177.397205 33.139744) (xy 177.283937 32.970226) - (xy 177.139774 32.826063) (xy 176.970256 32.712795) (xy 176.781898 32.634774) (xy 176.581939 32.595) (xy 176.378061 32.595) - (xy 176.178102 32.634774) (xy 175.989744 32.712795) (xy 175.820226 32.826063) (xy 175.676063 32.970226) (xy 175.562795 33.139744) - (xy 175.484774 33.328102) (xy 175.445 33.528061) (xy 123.388072 33.528061) (xy 123.388072 32.65) (xy 123.375812 32.525518) - (xy 123.339502 32.40582) (xy 123.280537 32.295506) (xy 123.201185 32.198815) (xy 123.104494 32.119463) (xy 122.99418 32.060498) - (xy 122.92162 32.038487) (xy 123.053475 31.906632) (xy 123.21599 31.663411) (xy 123.327932 31.393158) (xy 123.385 31.10626) - (xy 123.385 30.81374) (xy 123.327932 30.526842) (xy 123.21599 30.256589) (xy 123.053475 30.013368) (xy 122.846632 29.806525) - (xy 122.67224 29.69) (xy 122.846632 29.573475) (xy 123.053475 29.366632) (xy 123.198223 29.15) (xy 128.511928 29.15) - (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) - (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) - (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) - (xy 131.439502 31.09418) (xy 131.461513 31.02162) (xy 131.593368 31.153475) (xy 131.836589 31.31599) (xy 132.106842 31.427932) - (xy 132.39374 31.485) (xy 132.68626 31.485) (xy 132.973158 31.427932) (xy 133.243411 31.31599) (xy 133.486632 31.153475) - (xy 133.693475 30.946632) (xy 133.81 30.77224) (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) - (xy 134.646842 31.427932) (xy 134.93374 31.485) (xy 135.22626 31.485) (xy 135.513158 31.427932) (xy 135.783411 31.31599) - (xy 136.026632 31.153475) (xy 136.233475 30.946632) (xy 136.35 30.77224) (xy 136.466525 30.946632) (xy 136.673368 31.153475) - (xy 136.916589 31.31599) (xy 137.186842 31.427932) (xy 137.47374 31.485) (xy 137.76626 31.485) (xy 138.053158 31.427932) - (xy 138.323411 31.31599) (xy 138.566632 31.153475) (xy 138.773475 30.946632) (xy 138.89 30.77224) (xy 139.006525 30.946632) - (xy 139.213368 31.153475) (xy 139.456589 31.31599) (xy 139.726842 31.427932) (xy 140.01374 31.485) (xy 140.30626 31.485) - (xy 140.593158 31.427932) (xy 140.863411 31.31599) (xy 141.106632 31.153475) (xy 141.313475 30.946632) (xy 141.43 30.77224) - (xy 141.546525 30.946632) (xy 141.753368 31.153475) (xy 141.996589 31.31599) (xy 142.266842 31.427932) (xy 142.55374 31.485) - (xy 142.84626 31.485) (xy 143.133158 31.427932) (xy 143.403411 31.31599) (xy 143.646632 31.153475) (xy 143.853475 30.946632) - (xy 143.97 30.77224) (xy 144.086525 30.946632) (xy 144.293368 31.153475) (xy 144.536589 31.31599) (xy 144.806842 31.427932) - (xy 145.09374 31.485) (xy 145.38626 31.485) (xy 145.673158 31.427932) (xy 145.943411 31.31599) (xy 146.186632 31.153475) - (xy 146.393475 30.946632) (xy 146.51 30.77224) (xy 146.626525 30.946632) (xy 146.833368 31.153475) (xy 147.076589 31.31599) - (xy 147.346842 31.427932) (xy 147.63374 31.485) (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) - (xy 148.726632 31.153475) (xy 148.933475 30.946632) (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) - (xy 149.616589 31.31599) (xy 149.886842 31.427932) (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) - (xy 151.023411 31.31599) (xy 151.266632 31.153475) (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) - (xy 151.913368 31.153475) (xy 152.156589 31.31599) (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) - (xy 153.293158 31.427932) (xy 153.563411 31.31599) (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) - (xy 154.246525 30.946632) (xy 154.453368 31.153475) (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) - (xy 155.54626 31.485) (xy 155.833158 31.427932) (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) - (xy 156.67 30.77224) (xy 156.786525 30.946632) (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) - (xy 157.79374 31.485) (xy 158.08626 31.485) (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) - (xy 159.093475 30.946632) (xy 159.21 30.77224) (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) - (xy 160.046842 31.427932) (xy 160.33374 31.485) (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) - (xy 161.426632 31.153475) (xy 161.633475 30.946632) (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) - (xy 162.316589 31.31599) (xy 162.586842 31.427932) (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) - (xy 163.723411 31.31599) (xy 163.966632 31.153475) (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) - (xy 164.613368 31.153475) (xy 164.856589 31.31599) (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) - (xy 165.993158 31.427932) (xy 166.263411 31.31599) (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.835195 30.764466) - (xy 166.904822 30.881355) (xy 167.099731 31.097588) (xy 167.33308 31.271641) (xy 167.595901 31.396825) (xy 167.74311 31.441476) - (xy 167.973 31.320155) (xy 167.973 30.127) (xy 167.953 30.127) (xy 167.953 29.873) (xy 167.973 29.873) - (xy 167.973 27.587) (xy 167.953 27.587) (xy 167.953 27.333) (xy 167.973 27.333) (xy 167.973 26.139845) - (xy 168.227 26.139845) (xy 168.227 27.333) (xy 168.247 27.333) (xy 168.247 27.587) (xy 168.227 27.587) - (xy 168.227 29.873) (xy 168.247 29.873) (xy 168.247 30.127) (xy 168.227 30.127) (xy 168.227 31.320155) - (xy 168.45689 31.441476) (xy 168.604099 31.396825) (xy 168.86692 31.271641) (xy 169.100269 31.097588) (xy 169.295178 30.881355) - (xy 169.364805 30.764466) (xy 169.486525 30.946632) (xy 169.693368 31.153475) (xy 169.936589 31.31599) (xy 170.206842 31.427932) - (xy 170.49374 31.485) (xy 170.78626 31.485) (xy 171.073158 31.427932) (xy 171.343411 31.31599) (xy 171.586632 31.153475) - (xy 171.793475 30.946632) (xy 171.915195 30.764466) (xy 171.984822 30.881355) (xy 172.179731 31.097588) (xy 172.41308 31.271641) - (xy 172.675901 31.396825) (xy 172.82311 31.441476) (xy 173.053 31.320155) (xy 173.053 30.127) (xy 173.033 30.127) - (xy 173.033 29.873) (xy 173.053 29.873) (xy 173.053 27.587) (xy 173.033 27.587) (xy 173.033 27.333) - (xy 173.053 27.333) (xy 173.053 26.139845) (xy 173.307 26.139845) (xy 173.307 27.333) (xy 173.327 27.333) - (xy 173.327 27.587) (xy 173.307 27.587) (xy 173.307 29.873) (xy 173.327 29.873) (xy 173.327 30.127) - (xy 173.307 30.127) (xy 173.307 31.320155) (xy 173.53689 31.441476) (xy 173.684099 31.396825) (xy 173.94692 31.271641) - (xy 174.180269 31.097588) (xy 174.375178 30.881355) (xy 174.444805 30.764466) (xy 174.566525 30.946632) (xy 174.773368 31.153475) - (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.153158 31.427932) - (xy 176.423411 31.31599) (xy 176.666632 31.153475) (xy 176.873475 30.946632) (xy 176.99 30.77224) (xy 177.106525 30.946632) - (xy 177.313368 31.153475) (xy 177.556589 31.31599) (xy 177.826842 31.427932) (xy 178.11374 31.485) (xy 178.40626 31.485) - (xy 178.693158 31.427932) (xy 178.963411 31.31599) (xy 179.206632 31.153475) (xy 179.413475 30.946632) (xy 179.53 30.77224) - (xy 179.646525 30.946632) (xy 179.853368 31.153475) (xy 180.096589 31.31599) (xy 180.366842 31.427932) (xy 180.65374 31.485) - (xy 180.94626 31.485) (xy 181.233158 31.427932) (xy 181.503411 31.31599) (xy 181.746632 31.153475) (xy 181.953475 30.946632) - (xy 182.07 30.77224) (xy 182.186525 30.946632) (xy 182.393368 31.153475) (xy 182.636589 31.31599) (xy 182.906842 31.427932) - (xy 183.19374 31.485) (xy 183.48626 31.485) (xy 183.773158 31.427932) (xy 184.043411 31.31599) (xy 184.286632 31.153475) - (xy 184.493475 30.946632) (xy 184.61 30.77224) (xy 184.726525 30.946632) (xy 184.933368 31.153475) (xy 185.176589 31.31599) - (xy 185.446842 31.427932) (xy 185.73374 31.485) (xy 186.02626 31.485) (xy 186.313158 31.427932) (xy 186.583411 31.31599) - (xy 186.826632 31.153475) (xy 187.033475 30.946632) (xy 187.15 30.77224) (xy 187.266525 30.946632) (xy 187.473368 31.153475) - (xy 187.716589 31.31599) (xy 187.986842 31.427932) (xy 188.27374 31.485) (xy 188.56626 31.485) (xy 188.853158 31.427932) - (xy 189.123411 31.31599) (xy 189.366632 31.153475) (xy 189.573475 30.946632) (xy 189.69 30.77224) (xy 189.806525 30.946632) - (xy 190.013368 31.153475) (xy 190.256589 31.31599) (xy 190.526842 31.427932) (xy 190.81374 31.485) (xy 191.10626 31.485) - (xy 191.393158 31.427932) (xy 191.663411 31.31599) (xy 191.906632 31.153475) (xy 192.113475 30.946632) (xy 192.23 30.77224) - (xy 192.346525 30.946632) (xy 192.553368 31.153475) (xy 192.796589 31.31599) (xy 193.066842 31.427932) (xy 193.35374 31.485) - (xy 193.64626 31.485) (xy 193.933158 31.427932) (xy 194.203411 31.31599) (xy 194.446632 31.153475) (xy 194.653475 30.946632) - (xy 194.77 30.77224) (xy 194.886525 30.946632) (xy 195.093368 31.153475) (xy 195.336589 31.31599) (xy 195.606842 31.427932) - (xy 195.89374 31.485) (xy 196.18626 31.485) (xy 196.473158 31.427932) (xy 196.743411 31.31599) (xy 196.986632 31.153475) - (xy 197.193475 30.946632) (xy 197.31 30.77224) (xy 197.426525 30.946632) (xy 197.633368 31.153475) (xy 197.876589 31.31599) - (xy 198.146842 31.427932) (xy 198.43374 31.485) (xy 198.72626 31.485) (xy 199.013158 31.427932) (xy 199.283411 31.31599) - (xy 199.526632 31.153475) (xy 199.733475 30.946632) (xy 199.85 30.77224) (xy 199.966525 30.946632) (xy 200.173368 31.153475) - (xy 200.416589 31.31599) (xy 200.686842 31.427932) (xy 200.97374 31.485) (xy 201.26626 31.485) (xy 201.553158 31.427932) - (xy 201.823411 31.31599) (xy 202.066632 31.153475) (xy 202.273475 30.946632) (xy 202.39 30.77224) (xy 202.506525 30.946632) - (xy 202.713368 31.153475) (xy 202.956589 31.31599) (xy 203.226842 31.427932) (xy 203.51374 31.485) (xy 203.80626 31.485) - (xy 204.093158 31.427932) (xy 204.363411 31.31599) (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) - (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) - (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) - (xy 207.47 30.77224) (xy 207.586525 30.946632) (xy 207.793368 31.153475) (xy 208.036589 31.31599) (xy 208.306842 31.427932) - (xy 208.59374 31.485) (xy 208.88626 31.485) (xy 209.173158 31.427932) (xy 209.443411 31.31599) (xy 209.686632 31.153475) - (xy 209.893475 30.946632) (xy 210.05599 30.703411) (xy 210.167932 30.433158) (xy 210.225 30.14626) (xy 210.225 29.85374) - (xy 210.167932 29.566842) (xy 210.130201 29.47575) (xy 219.84 29.47575) (xy 219.84 29.70425) (xy 219.884578 29.92836) - (xy 219.972021 30.139466) (xy 220.098969 30.329457) (xy 220.260543 30.491031) (xy 220.450534 30.617979) (xy 220.66164 30.705422) - (xy 220.88575 30.75) (xy 221.11425 30.75) (xy 221.33836 30.705422) (xy 221.549466 30.617979) (xy 221.739457 30.491031) - (xy 221.901031 30.329457) (xy 222.027979 30.139466) (xy 222.115422 29.92836) (xy 222.16 29.70425) (xy 222.16 29.47575) - (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) (xy 229.972021 30.139466) (xy 230.098969 30.329457) - (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) (xy 230.88575 30.75) (xy 231.11425 30.75) - (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) (xy 231.901031 30.329457) (xy 232.027979 30.139466) - (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) (xy 232.115422 29.25164) (xy 232.027979 29.040534) - (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) (xy 231.33836 28.474578) (xy 231.11425 28.43) - (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) (xy 230.260543 28.688969) (xy 230.098969 28.850543) - (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) (xy 222.16 29.47575) (xy 222.115422 29.25164) - (xy 222.027979 29.040534) (xy 221.901031 28.850543) (xy 221.739457 28.688969) (xy 221.549466 28.562021) (xy 221.33836 28.474578) - (xy 221.11425 28.43) (xy 220.88575 28.43) (xy 220.66164 28.474578) (xy 220.450534 28.562021) (xy 220.260543 28.688969) - (xy 220.098969 28.850543) (xy 219.972021 29.040534) (xy 219.884578 29.25164) (xy 219.84 29.47575) (xy 210.130201 29.47575) - (xy 210.05599 29.296589) (xy 209.893475 29.053368) (xy 209.686632 28.846525) (xy 209.51224 28.73) (xy 209.686632 28.613475) - (xy 209.893475 28.406632) (xy 210.05599 28.163411) (xy 210.167932 27.893158) (xy 210.225 27.60626) (xy 210.225 27.358061) - (xy 214.505 27.358061) (xy 214.505 27.561939) (xy 214.544774 27.761898) (xy 214.622795 27.950256) (xy 214.736063 28.119774) - (xy 214.880226 28.263937) (xy 215.049744 28.377205) (xy 215.238102 28.455226) (xy 215.438061 28.495) (xy 215.641939 28.495) - (xy 215.841898 28.455226) (xy 216.030256 28.377205) (xy 216.199774 28.263937) (xy 216.343937 28.119774) (xy 216.457205 27.950256) - (xy 216.535226 27.761898) (xy 216.575 27.561939) (xy 216.575 27.358061) (xy 216.535226 27.158102) (xy 216.457205 26.969744) - (xy 216.343937 26.800226) (xy 216.199774 26.656063) (xy 216.030256 26.542795) (xy 215.841898 26.464774) (xy 215.641939 26.425) - (xy 215.438061 26.425) (xy 215.238102 26.464774) (xy 215.049744 26.542795) (xy 214.880226 26.656063) (xy 214.736063 26.800226) - (xy 214.622795 26.969744) (xy 214.544774 27.158102) (xy 214.505 27.358061) (xy 210.225 27.358061) (xy 210.225 27.31374) - (xy 210.167932 27.026842) (xy 210.05599 26.756589) (xy 209.893475 26.513368) (xy 209.686632 26.306525) (xy 209.443411 26.14401) - (xy 209.173158 26.032068) (xy 208.88626 25.975) (xy 208.59374 25.975) (xy 208.306842 26.032068) (xy 208.036589 26.14401) - (xy 207.793368 26.306525) (xy 207.586525 26.513368) (xy 207.47 26.68776) (xy 207.353475 26.513368) (xy 207.146632 26.306525) - (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.05374 25.975) (xy 205.766842 26.032068) - (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) (xy 204.93 26.68776) (xy 204.813475 26.513368) - (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) (xy 203.80626 25.975) (xy 203.51374 25.975) - (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) (xy 202.506525 26.513368) (xy 202.39 26.68776) - (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) (xy 201.553158 26.032068) (xy 201.26626 25.975) - (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) (xy 200.173368 26.306525) (xy 199.966525 26.513368) - (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) (xy 199.283411 26.14401) (xy 199.013158 26.032068) - (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) (xy 197.876589 26.14401) (xy 197.633368 26.306525) - (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) (xy 196.986632 26.306525) (xy 196.743411 26.14401) - (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) (xy 195.606842 26.032068) (xy 195.336589 26.14401) - (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) (xy 194.653475 26.513368) (xy 194.446632 26.306525) - (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) (xy 193.35374 25.975) (xy 193.066842 26.032068) - (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) (xy 192.23 26.68776) (xy 192.113475 26.513368) - (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) (xy 191.10626 25.975) (xy 190.81374 25.975) - (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) (xy 189.806525 26.513368) (xy 189.69 26.68776) - (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) (xy 188.853158 26.032068) (xy 188.56626 25.975) - (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) (xy 187.473368 26.306525) (xy 187.266525 26.513368) - (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) (xy 186.583411 26.14401) (xy 186.313158 26.032068) - (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) (xy 185.176589 26.14401) (xy 184.933368 26.306525) - (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) (xy 184.286632 26.306525) (xy 184.043411 26.14401) - (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) (xy 182.906842 26.032068) (xy 182.636589 26.14401) - (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) (xy 181.953475 26.513368) (xy 181.746632 26.306525) - (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) (xy 180.65374 25.975) (xy 180.366842 26.032068) - (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) (xy 179.53 26.68776) (xy 179.413475 26.513368) - (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) (xy 178.40626 25.975) (xy 178.11374 25.975) - (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) (xy 177.106525 26.513368) (xy 176.99 26.68776) - (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) (xy 176.153158 26.032068) (xy 175.86626 25.975) - (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) (xy 174.566525 26.513368) - (xy 174.444805 26.695534) (xy 174.375178 26.578645) (xy 174.180269 26.362412) (xy 173.94692 26.188359) (xy 173.684099 26.063175) - (xy 173.53689 26.018524) (xy 173.307 26.139845) (xy 173.053 26.139845) (xy 172.82311 26.018524) (xy 172.675901 26.063175) - (xy 172.41308 26.188359) (xy 172.179731 26.362412) (xy 171.984822 26.578645) (xy 171.915195 26.695534) (xy 171.793475 26.513368) - (xy 171.586632 26.306525) (xy 171.343411 26.14401) (xy 171.073158 26.032068) (xy 170.78626 25.975) (xy 170.49374 25.975) - (xy 170.206842 26.032068) (xy 169.936589 26.14401) (xy 169.693368 26.306525) (xy 169.486525 26.513368) (xy 169.364805 26.695534) - (xy 169.295178 26.578645) (xy 169.100269 26.362412) (xy 168.86692 26.188359) (xy 168.604099 26.063175) (xy 168.45689 26.018524) - (xy 168.227 26.139845) (xy 167.973 26.139845) (xy 167.74311 26.018524) (xy 167.595901 26.063175) (xy 167.33308 26.188359) - (xy 167.099731 26.362412) (xy 166.904822 26.578645) (xy 166.835195 26.695534) (xy 166.713475 26.513368) (xy 166.506632 26.306525) - (xy 166.263411 26.14401) (xy 165.993158 26.032068) (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) - (xy 164.856589 26.14401) (xy 164.613368 26.306525) (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) - (xy 163.966632 26.306525) (xy 163.723411 26.14401) (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) - (xy 162.586842 26.032068) (xy 162.316589 26.14401) (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) - (xy 161.633475 26.513368) (xy 161.426632 26.306525) (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) - (xy 160.33374 25.975) (xy 160.046842 26.032068) (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) - (xy 159.21 26.68776) (xy 159.093475 26.513368) (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) - (xy 158.08626 25.975) (xy 157.79374 25.975) (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) - (xy 156.786525 26.513368) (xy 156.67 26.68776) (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) - (xy 155.833158 26.032068) (xy 155.54626 25.975) (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) - (xy 154.453368 26.306525) (xy 154.246525 26.513368) (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) - (xy 153.563411 26.14401) (xy 153.293158 26.032068) (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) - (xy 152.156589 26.14401) (xy 151.913368 26.306525) (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) - (xy 151.266632 26.306525) (xy 151.023411 26.14401) (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) - (xy 149.886842 26.032068) (xy 149.616589 26.14401) (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) - (xy 148.933475 26.513368) (xy 148.726632 26.306525) (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) - (xy 147.63374 25.975) (xy 147.346842 26.032068) (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) - (xy 146.51 26.68776) (xy 146.393475 26.513368) (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) - (xy 145.38626 25.975) (xy 145.09374 25.975) (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) - (xy 144.086525 26.513368) (xy 143.97 26.68776) (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) - (xy 143.133158 26.032068) (xy 142.84626 25.975) (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) - (xy 141.753368 26.306525) (xy 141.546525 26.513368) (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) - (xy 140.863411 26.14401) (xy 140.593158 26.032068) (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) - (xy 139.456589 26.14401) (xy 139.213368 26.306525) (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) - (xy 138.566632 26.306525) (xy 138.323411 26.14401) (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) - (xy 137.186842 26.032068) (xy 136.916589 26.14401) (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) - (xy 136.233475 26.513368) (xy 136.026632 26.306525) (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) - (xy 134.93374 25.975) (xy 134.646842 26.032068) (xy 134.376589 26.14401) (xy 134.133368 26.306525) (xy 133.926525 26.513368) - (xy 133.81 26.68776) (xy 133.693475 26.513368) (xy 133.486632 26.306525) (xy 133.243411 26.14401) (xy 132.973158 26.032068) - (xy 132.68626 25.975) (xy 132.39374 25.975) (xy 132.106842 26.032068) (xy 131.836589 26.14401) (xy 131.593368 26.306525) - (xy 131.386525 26.513368) (xy 131.27 26.68776) (xy 131.153475 26.513368) (xy 130.946632 26.306525) (xy 130.703411 26.14401) - (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) (xy 129.566842 26.032068) (xy 129.296589 26.14401) - (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) - (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) - (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) - (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 123.198223 29.15) (xy 123.21599 29.123411) (xy 123.327932 28.853158) - (xy 123.385 28.56626) (xy 123.385 28.27374) (xy 123.327932 27.986842) (xy 123.21599 27.716589) (xy 123.053475 27.473368) - (xy 122.846632 27.266525) (xy 122.67224 27.15) (xy 122.846632 27.033475) (xy 123.053475 26.826632) (xy 123.21599 26.583411) - (xy 123.327932 26.313158) (xy 123.385 26.02626) (xy 123.385 25.73374) (xy 123.327932 25.446842) (xy 123.21599 25.176589) - (xy 123.053475 24.933368) (xy 122.846632 24.726525) (xy 122.67224 24.61) (xy 122.846632 24.493475) (xy 123.042046 24.298061) - (xy 133.845 24.298061) (xy 133.845 24.501939) (xy 133.884774 24.701898) (xy 133.962795 24.890256) (xy 134.076063 25.059774) - (xy 134.220226 25.203937) (xy 134.389744 25.317205) (xy 134.578102 25.395226) (xy 134.778061 25.435) (xy 134.981939 25.435) - (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) (xy 135.683937 25.059774) (xy 135.797205 24.890256) - (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) (xy 136.375 24.298061) (xy 136.375 24.501939) - (xy 136.414774 24.701898) (xy 136.492795 24.890256) (xy 136.606063 25.059774) (xy 136.750226 25.203937) (xy 136.919744 25.317205) - (xy 137.108102 25.395226) (xy 137.308061 25.435) (xy 137.511939 25.435) (xy 137.711898 25.395226) (xy 137.900256 25.317205) - (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) (xy 138.405226 24.701898) (xy 138.445 24.501939) - (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) (xy 138.213937 23.740226) (xy 138.069774 23.596063) - (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) (xy 137.308061 23.365) (xy 137.108102 23.404774) - (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) (xy 136.492795 23.909744) (xy 136.414774 24.098102) - (xy 136.375 24.298061) (xy 135.915 24.298061) (xy 135.875226 24.098102) (xy 135.797205 23.909744) (xy 135.683937 23.740226) - (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) (xy 134.981939 23.365) (xy 134.778061 23.365) - (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) (xy 134.076063 23.740226) (xy 133.962795 23.909744) - (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 123.042046 24.298061) (xy 123.053475 24.286632) (xy 123.21599 24.043411) - (xy 123.327932 23.773158) (xy 123.385 23.48626) (xy 123.385 23.19374) (xy 123.327932 22.906842) (xy 123.21599 22.636589) - (xy 123.053475 22.393368) (xy 122.846632 22.186525) (xy 122.67224 22.07) (xy 122.846632 21.953475) (xy 123.053475 21.746632) - (xy 123.21599 21.503411) (xy 123.327932 21.233158) (xy 123.385 20.94626) (xy 123.385 20.65374) (xy 123.327932 20.366842) - (xy 123.21599 20.096589) (xy 123.053475 19.853368) (xy 122.846632 19.646525) (xy 122.603411 19.48401) (xy 122.333158 19.372068) - (xy 122.04626 19.315) (xy 121.75374 19.315) (xy 121.466842 19.372068) (xy 121.196589 19.48401) (xy 120.953368 19.646525) - (xy 120.746525 19.853368) (xy 120.58401 20.096589) (xy 120.472068 20.366842) (xy 120.415 20.65374) (xy 120.415 20.94626) - (xy 120.472068 21.233158) (xy 120.58401 21.503411) (xy 120.746525 21.746632) (xy 120.953368 21.953475) (xy 121.12776 22.07) - (xy 120.953368 22.186525) (xy 120.746525 22.393368) (xy 120.58401 22.636589) (xy 120.472068 22.906842) (xy 120.415 23.19374) - (xy 120.415 23.48626) (xy 120.472068 23.773158) (xy 120.58401 24.043411) (xy 120.746525 24.286632) (xy 120.953368 24.493475) - (xy 121.12776 24.61) (xy 120.953368 24.726525) (xy 120.746525 24.933368) (xy 120.58401 25.176589) (xy 120.472068 25.446842) - (xy 120.415 25.73374) (xy 120.415 26.02626) (xy 120.472068 26.313158) (xy 120.58401 26.583411) (xy 120.746525 26.826632) - (xy 120.953368 27.033475) (xy 121.12776 27.15) (xy 120.953368 27.266525) (xy 120.746525 27.473368) (xy 120.58401 27.716589) - (xy 120.472068 27.986842) (xy 120.415 28.27374) (xy 120.415 28.56626) (xy 120.472068 28.853158) (xy 120.58401 29.123411) - (xy 120.746525 29.366632) (xy 120.953368 29.573475) (xy 121.12776 29.69) (xy 120.953368 29.806525) (xy 120.746525 30.013368) - (xy 120.58401 30.256589) (xy 120.472068 30.526842) (xy 120.415 30.81374) (xy 120.415 31.10626) (xy 120.472068 31.393158) - (xy 120.58401 31.663411) (xy 120.746525 31.906632) (xy 120.87838 32.038487) (xy 120.80582 32.060498) (xy 120.695506 32.119463) - (xy 120.598815 32.198815) (xy 120.519463 32.295506) (xy 120.460498 32.40582) (xy 120.424188 32.525518) (xy 120.411928 32.65) - (xy 113.657818 32.65) (xy 113.641188 17.998061) (xy 148.52 17.998061) (xy 148.52 18.201939) (xy 148.559774 18.401898) - (xy 148.637795 18.590256) (xy 148.751063 18.759774) (xy 148.895226 18.903937) (xy 149.064744 19.017205) (xy 149.253102 19.095226) - (xy 149.453061 19.135) (xy 149.656939 19.135) (xy 149.842645 19.098061) (xy 157.0925 19.098061) (xy 157.0925 19.301939) - (xy 157.132274 19.501898) (xy 157.210295 19.690256) (xy 157.323563 19.859774) (xy 157.467726 20.003937) (xy 157.637244 20.117205) - (xy 157.825602 20.195226) (xy 158.025561 20.235) (xy 158.229439 20.235) (xy 158.429398 20.195226) (xy 158.617756 20.117205) - (xy 158.787274 20.003937) (xy 158.931437 19.859774) (xy 159.044705 19.690256) (xy 159.122726 19.501898) (xy 159.1625 19.301939) - (xy 159.1625 19.098061) (xy 159.122726 18.898102) (xy 159.044705 18.709744) (xy 158.931437 18.540226) (xy 158.787274 18.396063) - (xy 158.617756 18.282795) (xy 158.429398 18.204774) (xy 158.229439 18.165) (xy 158.025561 18.165) (xy 157.825602 18.204774) - (xy 157.637244 18.282795) (xy 157.467726 18.396063) (xy 157.323563 18.540226) (xy 157.210295 18.709744) (xy 157.132274 18.898102) - (xy 157.0925 19.098061) (xy 149.842645 19.098061) (xy 149.856898 19.095226) (xy 150.045256 19.017205) (xy 150.214774 18.903937) - (xy 150.358937 18.759774) (xy 150.472205 18.590256) (xy 150.550226 18.401898) (xy 150.59 18.201939) (xy 150.59 17.998061) - (xy 162.27 17.998061) (xy 162.27 18.201939) (xy 162.309774 18.401898) (xy 162.387795 18.590256) (xy 162.501063 18.759774) - (xy 162.645226 18.903937) (xy 162.814744 19.017205) (xy 163.003102 19.095226) (xy 163.203061 19.135) (xy 163.406939 19.135) - (xy 163.606898 19.095226) (xy 163.795256 19.017205) (xy 163.964774 18.903937) (xy 164.108937 18.759774) (xy 164.222205 18.590256) - (xy 164.300226 18.401898) (xy 164.34 18.201939) (xy 164.34 17.998061) (xy 164.300226 17.798102) (xy 164.222205 17.609744) - (xy 164.108937 17.440226) (xy 163.964774 17.296063) (xy 163.795256 17.182795) (xy 163.606898 17.104774) (xy 163.406939 17.065) - (xy 163.203061 17.065) (xy 163.003102 17.104774) (xy 162.814744 17.182795) (xy 162.645226 17.296063) (xy 162.501063 17.440226) - (xy 162.387795 17.609744) (xy 162.309774 17.798102) (xy 162.27 17.998061) (xy 150.59 17.998061) (xy 150.550226 17.798102) - (xy 150.472205 17.609744) (xy 150.358937 17.440226) (xy 150.214774 17.296063) (xy 150.045256 17.182795) (xy 149.856898 17.104774) - (xy 149.656939 17.065) (xy 149.453061 17.065) (xy 149.253102 17.104774) (xy 149.064744 17.182795) (xy 148.895226 17.296063) - (xy 148.751063 17.440226) (xy 148.637795 17.609744) (xy 148.559774 17.798102) (xy 148.52 17.998061) (xy 113.641188 17.998061) - (xy 113.6393 16.335561) (xy 115.265 16.335561) (xy 115.265 16.539439) (xy 115.304774 16.739398) (xy 115.382795 16.927756) - (xy 115.496063 17.097274) (xy 115.640226 17.241437) (xy 115.809744 17.354705) (xy 115.998102 17.432726) (xy 116.198061 17.4725) - (xy 116.401939 17.4725) (xy 116.601898 17.432726) (xy 116.790256 17.354705) (xy 116.959774 17.241437) (xy 117.103937 17.097274) - (xy 117.217205 16.927756) (xy 117.295226 16.739398) (xy 117.335 16.539439) (xy 117.335 16.335561) (xy 117.295226 16.135602) - (xy 117.217205 15.947244) (xy 117.103937 15.777726) (xy 116.959774 15.633563) (xy 116.790256 15.520295) (xy 116.601898 15.442274) - (xy 116.401939 15.4025) (xy 116.198061 15.4025) (xy 115.998102 15.442274) (xy 115.809744 15.520295) (xy 115.640226 15.633563) - (xy 115.496063 15.777726) (xy 115.382795 15.947244) (xy 115.304774 16.135602) (xy 115.265 16.335561) (xy 113.6393 16.335561) - (xy 113.634662 12.24917) (xy 166.98634 12.24917) (xy 166.98634 12.71083) (xy 167.076406 13.16362) (xy 167.253075 13.590139) - (xy 167.50956 13.973996) (xy 167.836004 14.30044) (xy 168.219861 14.556925) (xy 168.64638 14.733594) (xy 169.09917 14.82366) - (xy 169.56083 14.82366) (xy 170.01362 14.733594) (xy 170.440139 14.556925) (xy 170.823996 14.30044) (xy 171.15044 13.973996) - (xy 171.406925 13.590139) (xy 171.583594 13.16362) (xy 171.67366 12.71083) (xy 171.67366 12.24917) (xy 196.98634 12.24917) - (xy 196.98634 12.71083) (xy 197.076406 13.16362) (xy 197.253075 13.590139) (xy 197.50956 13.973996) (xy 197.836004 14.30044) - (xy 198.219861 14.556925) (xy 198.64638 14.733594) (xy 199.09917 14.82366) (xy 199.56083 14.82366) (xy 200.01362 14.733594) - (xy 200.440139 14.556925) (xy 200.823996 14.30044) (xy 201.15044 13.973996) (xy 201.406925 13.590139) (xy 201.583594 13.16362) - (xy 201.67366 12.71083) (xy 201.67366 12.24917) (xy 226.98634 12.24917) (xy 226.98634 12.71083) (xy 227.076406 13.16362) - (xy 227.253075 13.590139) (xy 227.50956 13.973996) (xy 227.836004 14.30044) (xy 228.219861 14.556925) (xy 228.64638 14.733594) - (xy 229.09917 14.82366) (xy 229.56083 14.82366) (xy 230.01362 14.733594) (xy 230.440139 14.556925) (xy 230.823996 14.30044) - (xy 231.15044 13.973996) (xy 231.201178 13.898061) (xy 232.965 13.898061) (xy 232.965 14.101939) (xy 233.004774 14.301898) - (xy 233.082795 14.490256) (xy 233.196063 14.659774) (xy 233.340226 14.803937) (xy 233.509744 14.917205) (xy 233.698102 14.995226) - (xy 233.898061 15.035) (xy 234.101939 15.035) (xy 234.301898 14.995226) (xy 234.490256 14.917205) (xy 234.659774 14.803937) - (xy 234.803937 14.659774) (xy 234.917205 14.490256) (xy 234.995226 14.301898) (xy 235.035 14.101939) (xy 235.035 13.898061) - (xy 254.965 13.898061) (xy 254.965 14.101939) (xy 255.004774 14.301898) (xy 255.082795 14.490256) (xy 255.196063 14.659774) - (xy 255.340226 14.803937) (xy 255.509744 14.917205) (xy 255.698102 14.995226) (xy 255.898061 15.035) (xy 256.101939 15.035) - (xy 256.301898 14.995226) (xy 256.490256 14.917205) (xy 256.659774 14.803937) (xy 256.803937 14.659774) (xy 256.917205 14.490256) - (xy 256.995226 14.301898) (xy 257.035 14.101939) (xy 257.035 13.898061) (xy 256.995226 13.698102) (xy 256.917205 13.509744) - (xy 256.803937 13.340226) (xy 256.659774 13.196063) (xy 256.490256 13.082795) (xy 256.301898 13.004774) (xy 256.101939 12.965) - (xy 255.898061 12.965) (xy 255.698102 13.004774) (xy 255.509744 13.082795) (xy 255.340226 13.196063) (xy 255.196063 13.340226) - (xy 255.082795 13.509744) (xy 255.004774 13.698102) (xy 254.965 13.898061) (xy 235.035 13.898061) (xy 234.995226 13.698102) - (xy 234.917205 13.509744) (xy 234.803937 13.340226) (xy 234.659774 13.196063) (xy 234.490256 13.082795) (xy 234.301898 13.004774) - (xy 234.101939 12.965) (xy 233.898061 12.965) (xy 233.698102 13.004774) (xy 233.509744 13.082795) (xy 233.340226 13.196063) - (xy 233.196063 13.340226) (xy 233.082795 13.509744) (xy 233.004774 13.698102) (xy 232.965 13.898061) (xy 231.201178 13.898061) - (xy 231.406925 13.590139) (xy 231.583594 13.16362) (xy 231.67366 12.71083) (xy 231.67366 12.24917) (xy 231.583594 11.79638) - (xy 231.406925 11.369861) (xy 231.15044 10.986004) (xy 230.823996 10.65956) (xy 230.440139 10.403075) (xy 230.01362 10.226406) - (xy 229.56083 10.13634) (xy 229.09917 10.13634) (xy 228.64638 10.226406) (xy 228.219861 10.403075) (xy 227.836004 10.65956) - (xy 227.50956 10.986004) (xy 227.253075 11.369861) (xy 227.076406 11.79638) (xy 226.98634 12.24917) (xy 201.67366 12.24917) - (xy 201.583594 11.79638) (xy 201.406925 11.369861) (xy 201.15044 10.986004) (xy 200.823996 10.65956) (xy 200.440139 10.403075) - (xy 200.01362 10.226406) (xy 199.56083 10.13634) (xy 199.09917 10.13634) (xy 198.64638 10.226406) (xy 198.219861 10.403075) - (xy 197.836004 10.65956) (xy 197.50956 10.986004) (xy 197.253075 11.369861) (xy 197.076406 11.79638) (xy 196.98634 12.24917) - (xy 171.67366 12.24917) (xy 171.583594 11.79638) (xy 171.406925 11.369861) (xy 171.15044 10.986004) (xy 170.823996 10.65956) - (xy 170.440139 10.403075) (xy 170.01362 10.226406) (xy 169.56083 10.13634) (xy 169.09917 10.13634) (xy 168.64638 10.226406) - (xy 168.219861 10.403075) (xy 167.836004 10.65956) (xy 167.50956 10.986004) (xy 167.253075 11.369861) (xy 167.076406 11.79638) - (xy 166.98634 12.24917) (xy 113.634662 12.24917) (xy 113.629814 7.978061) (xy 146.865 7.978061) (xy 146.865 8.181939) - (xy 146.904774 8.381898) (xy 146.982795 8.570256) (xy 147.096063 8.739774) (xy 147.240226 8.883937) (xy 147.409744 8.997205) - (xy 147.598102 9.075226) (xy 147.798061 9.115) (xy 148.001939 9.115) (xy 148.087097 9.098061) (xy 157.695 9.098061) - (xy 157.695 9.301939) (xy 157.734774 9.501898) (xy 157.812795 9.690256) (xy 157.926063 9.859774) (xy 158.070226 10.003937) - (xy 158.239744 10.117205) (xy 158.428102 10.195226) (xy 158.628061 10.235) (xy 158.831939 10.235) (xy 159.031898 10.195226) - (xy 159.220256 10.117205) (xy 159.389774 10.003937) (xy 159.533937 9.859774) (xy 159.647205 9.690256) (xy 159.725226 9.501898) - (xy 159.765 9.301939) (xy 159.765 9.098061) (xy 159.725226 8.898102) (xy 159.647205 8.709744) (xy 159.533937 8.540226) - (xy 159.389774 8.396063) (xy 159.220256 8.282795) (xy 159.031898 8.204774) (xy 158.831939 8.165) (xy 158.628061 8.165) - (xy 158.428102 8.204774) (xy 158.239744 8.282795) (xy 158.070226 8.396063) (xy 157.926063 8.540226) (xy 157.812795 8.709744) - (xy 157.734774 8.898102) (xy 157.695 9.098061) (xy 148.087097 9.098061) (xy 148.201898 9.075226) (xy 148.390256 8.997205) - (xy 148.559774 8.883937) (xy 148.703937 8.739774) (xy 148.817205 8.570256) (xy 148.895226 8.381898) (xy 148.935 8.181939) - (xy 148.935 7.978061) (xy 163.965 7.978061) (xy 163.965 8.181939) (xy 164.004774 8.381898) (xy 164.082795 8.570256) - (xy 164.196063 8.739774) (xy 164.340226 8.883937) (xy 164.509744 8.997205) (xy 164.698102 9.075226) (xy 164.898061 9.115) - (xy 165.101939 9.115) (xy 165.301898 9.075226) (xy 165.490256 8.997205) (xy 165.659774 8.883937) (xy 165.803937 8.739774) - (xy 165.917205 8.570256) (xy 165.995226 8.381898) (xy 166.035 8.181939) (xy 166.035 7.978061) (xy 165.995226 7.778102) - (xy 165.917205 7.589744) (xy 165.803937 7.420226) (xy 165.659774 7.276063) (xy 165.490256 7.162795) (xy 165.301898 7.084774) - (xy 165.101939 7.045) (xy 164.898061 7.045) (xy 164.698102 7.084774) (xy 164.509744 7.162795) (xy 164.340226 7.276063) - (xy 164.196063 7.420226) (xy 164.082795 7.589744) (xy 164.004774 7.778102) (xy 163.965 7.978061) (xy 148.935 7.978061) - (xy 148.895226 7.778102) (xy 148.817205 7.589744) (xy 148.703937 7.420226) (xy 148.559774 7.276063) (xy 148.390256 7.162795) - (xy 148.201898 7.084774) (xy 148.001939 7.045) (xy 147.798061 7.045) (xy 147.598102 7.084774) (xy 147.409744 7.162795) - (xy 147.240226 7.276063) (xy 147.096063 7.420226) (xy 146.982795 7.589744) (xy 146.904774 7.778102) (xy 146.865 7.978061) - (xy 113.629814 7.978061) (xy 113.627352 5.81) (xy 266.751193 5.81) + (xy 167.785 45.658061) (xy 113.672584 45.658061) (xy 113.670921 44.193061) (xy 264.84 44.193061) (xy 264.84 44.396939) + (xy 264.879774 44.596898) (xy 264.957795 44.785256) (xy 265.071063 44.954774) (xy 265.215226 45.098937) (xy 265.384744 45.212205) + (xy 265.573102 45.290226) (xy 265.773061 45.33) (xy 265.976939 45.33) (xy 266.176898 45.290226) (xy 266.365256 45.212205) + (xy 266.534774 45.098937) (xy 266.678937 44.954774) (xy 266.792205 44.785256) (xy 266.870226 44.596898) (xy 266.91 44.396939) + (xy 266.91 44.193061) (xy 266.870226 43.993102) (xy 266.792205 43.804744) (xy 266.678937 43.635226) (xy 266.534774 43.491063) + (xy 266.365256 43.377795) (xy 266.176898 43.299774) (xy 265.976939 43.26) (xy 265.773061 43.26) (xy 265.573102 43.299774) + (xy 265.384744 43.377795) (xy 265.215226 43.491063) (xy 265.071063 43.635226) (xy 264.957795 43.804744) (xy 264.879774 43.993102) + (xy 264.84 44.193061) (xy 113.670921 44.193061) (xy 113.66852 42.078061) (xy 151.845 42.078061) (xy 151.845 42.281939) + (xy 151.884774 42.481898) (xy 151.962795 42.670256) (xy 152.076063 42.839774) (xy 152.220226 42.983937) (xy 152.389744 43.097205) + (xy 152.578102 43.175226) (xy 152.778061 43.215) (xy 152.981939 43.215) (xy 153.181898 43.175226) (xy 153.370256 43.097205) + (xy 153.539774 42.983937) (xy 153.683937 42.839774) (xy 153.797205 42.670256) (xy 153.875226 42.481898) (xy 153.915 42.281939) + (xy 153.915 42.078061) (xy 153.875226 41.878102) (xy 153.797205 41.689744) (xy 153.683937 41.520226) (xy 153.539774 41.376063) + (xy 153.370256 41.262795) (xy 153.181898 41.184774) (xy 152.981939 41.145) (xy 152.778061 41.145) (xy 152.578102 41.184774) + (xy 152.389744 41.262795) (xy 152.220226 41.376063) (xy 152.076063 41.520226) (xy 151.962795 41.689744) (xy 151.884774 41.878102) + (xy 151.845 42.078061) (xy 113.66852 42.078061) (xy 113.66651 40.308061) (xy 114.635 40.308061) (xy 114.635 40.511939) + (xy 114.674774 40.711898) (xy 114.752795 40.900256) (xy 114.866063 41.069774) (xy 115.010226 41.213937) (xy 115.179744 41.327205) + (xy 115.368102 41.405226) (xy 115.568061 41.445) (xy 115.771939 41.445) (xy 115.971898 41.405226) (xy 116.160256 41.327205) + (xy 116.329774 41.213937) (xy 116.473937 41.069774) (xy 116.587205 40.900256) (xy 116.665226 40.711898) (xy 116.705 40.511939) + (xy 116.705 40.308061) (xy 116.665226 40.108102) (xy 116.587205 39.919744) (xy 116.473937 39.750226) (xy 116.329774 39.606063) + (xy 116.160256 39.492795) (xy 115.971898 39.414774) (xy 115.771939 39.375) (xy 115.568061 39.375) (xy 115.368102 39.414774) + (xy 115.179744 39.492795) (xy 115.010226 39.606063) (xy 114.866063 39.750226) (xy 114.752795 39.919744) (xy 114.674774 40.108102) + (xy 114.635 40.308061) (xy 113.66651 40.308061) (xy 113.661233 35.658061) (xy 193.845 35.658061) (xy 193.845 35.861939) + (xy 193.884774 36.061898) (xy 193.962795 36.250256) (xy 194.076063 36.419774) (xy 194.220226 36.563937) (xy 194.389744 36.677205) + (xy 194.578102 36.755226) (xy 194.778061 36.795) (xy 194.981939 36.795) (xy 195.181898 36.755226) (xy 195.319905 36.698061) + (xy 221.705 36.698061) (xy 221.705 36.901939) (xy 221.744774 37.101898) (xy 221.822795 37.290256) (xy 221.936063 37.459774) + (xy 222.080226 37.603937) (xy 222.249744 37.717205) (xy 222.438102 37.795226) (xy 222.638061 37.835) (xy 222.841939 37.835) + (xy 223.041898 37.795226) (xy 223.230256 37.717205) (xy 223.399774 37.603937) (xy 223.543937 37.459774) (xy 223.657205 37.290256) + (xy 223.735226 37.101898) (xy 223.775 36.901939) (xy 223.775 36.698061) (xy 227.635 36.698061) (xy 227.635 36.901939) + (xy 227.674774 37.101898) (xy 227.752795 37.290256) (xy 227.866063 37.459774) (xy 228.010226 37.603937) (xy 228.179744 37.717205) + (xy 228.368102 37.795226) (xy 228.568061 37.835) (xy 228.771939 37.835) (xy 228.971898 37.795226) (xy 229.160256 37.717205) + (xy 229.329774 37.603937) (xy 229.473937 37.459774) (xy 229.587205 37.290256) (xy 229.665226 37.101898) (xy 229.705 36.901939) + (xy 229.705 36.698061) (xy 229.665226 36.498102) (xy 229.587205 36.309744) (xy 229.473937 36.140226) (xy 229.329774 35.996063) + (xy 229.160256 35.882795) (xy 228.971898 35.804774) (xy 228.771939 35.765) (xy 228.568061 35.765) (xy 228.368102 35.804774) + (xy 228.179744 35.882795) (xy 228.010226 35.996063) (xy 227.866063 36.140226) (xy 227.752795 36.309744) (xy 227.674774 36.498102) + (xy 227.635 36.698061) (xy 223.775 36.698061) (xy 223.735226 36.498102) (xy 223.657205 36.309744) (xy 223.543937 36.140226) + (xy 223.399774 35.996063) (xy 223.230256 35.882795) (xy 223.041898 35.804774) (xy 222.841939 35.765) (xy 222.638061 35.765) + (xy 222.438102 35.804774) (xy 222.249744 35.882795) (xy 222.080226 35.996063) (xy 221.936063 36.140226) (xy 221.822795 36.309744) + (xy 221.744774 36.498102) (xy 221.705 36.698061) (xy 195.319905 36.698061) (xy 195.370256 36.677205) (xy 195.539774 36.563937) + (xy 195.683937 36.419774) (xy 195.797205 36.250256) (xy 195.875226 36.061898) (xy 195.915 35.861939) (xy 195.915 35.658061) + (xy 195.875226 35.458102) (xy 195.797205 35.269744) (xy 195.759331 35.213061) (xy 254.965 35.213061) (xy 254.965 35.416939) + (xy 255.004774 35.616898) (xy 255.082795 35.805256) (xy 255.196063 35.974774) (xy 255.340226 36.118937) (xy 255.509744 36.232205) + (xy 255.698102 36.310226) (xy 255.898061 36.35) (xy 256.101939 36.35) (xy 256.301898 36.310226) (xy 256.490256 36.232205) + (xy 256.659774 36.118937) (xy 256.803937 35.974774) (xy 256.917205 35.805256) (xy 256.995226 35.616898) (xy 257.035 35.416939) + (xy 257.035 35.213061) (xy 256.995226 35.013102) (xy 256.917205 34.824744) (xy 256.803937 34.655226) (xy 256.659774 34.511063) + (xy 256.490256 34.397795) (xy 256.301898 34.319774) (xy 256.101939 34.28) (xy 255.898061 34.28) (xy 255.698102 34.319774) + (xy 255.509744 34.397795) (xy 255.340226 34.511063) (xy 255.196063 34.655226) (xy 255.082795 34.824744) (xy 255.004774 35.013102) + (xy 254.965 35.213061) (xy 195.759331 35.213061) (xy 195.683937 35.100226) (xy 195.539774 34.956063) (xy 195.370256 34.842795) + (xy 195.181898 34.764774) (xy 194.981939 34.725) (xy 194.778061 34.725) (xy 194.578102 34.764774) (xy 194.389744 34.842795) + (xy 194.220226 34.956063) (xy 194.076063 35.100226) (xy 193.962795 35.269744) (xy 193.884774 35.458102) (xy 193.845 35.658061) + (xy 113.661233 35.658061) (xy 113.658699 33.426061) (xy 124.687 33.426061) (xy 124.687 33.629939) (xy 124.726774 33.829898) + (xy 124.804795 34.018256) (xy 124.918063 34.187774) (xy 125.062226 34.331937) (xy 125.231744 34.445205) (xy 125.420102 34.523226) + (xy 125.620061 34.563) (xy 125.823939 34.563) (xy 126.023898 34.523226) (xy 126.212256 34.445205) (xy 126.381774 34.331937) + (xy 126.525937 34.187774) (xy 126.639205 34.018256) (xy 126.717226 33.829898) (xy 126.757 33.629939) (xy 126.757 33.528061) + (xy 175.445 33.528061) (xy 175.445 33.731939) (xy 175.484774 33.931898) (xy 175.562795 34.120256) (xy 175.676063 34.289774) + (xy 175.820226 34.433937) (xy 175.989744 34.547205) (xy 176.178102 34.625226) (xy 176.378061 34.665) (xy 176.581939 34.665) + (xy 176.781898 34.625226) (xy 176.970256 34.547205) (xy 177.139774 34.433937) (xy 177.283937 34.289774) (xy 177.397205 34.120256) + (xy 177.475226 33.931898) (xy 177.481956 33.898061) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) + (xy 223.082795 34.490256) (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) + (xy 223.898061 35.035) (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) + (xy 224.803937 34.659774) (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) + (xy 225.082795 34.490256) (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) + (xy 225.898061 35.035) (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) + (xy 226.803937 34.659774) (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) + (xy 227.082795 34.490256) (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) + (xy 227.898061 35.035) (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) + (xy 228.803937 34.659774) (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) + (xy 229.082795 34.490256) (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) + (xy 229.898061 35.035) (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) + (xy 230.803937 34.659774) (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) + (xy 231.082795 34.490256) (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) + (xy 231.898061 35.035) (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.659774 34.803937) + (xy 232.803937 34.659774) (xy 232.917205 34.490256) (xy 232.995226 34.301898) (xy 233 34.277897) (xy 233.004774 34.301898) + (xy 233.082795 34.490256) (xy 233.196063 34.659774) (xy 233.340226 34.803937) (xy 233.509744 34.917205) (xy 233.698102 34.995226) + (xy 233.898061 35.035) (xy 234.101939 35.035) (xy 234.301898 34.995226) (xy 234.490256 34.917205) (xy 234.659774 34.803937) + (xy 234.803937 34.659774) (xy 234.917205 34.490256) (xy 234.995226 34.301898) (xy 235.035 34.101939) (xy 235.035 33.898061) + (xy 234.995226 33.698102) (xy 234.917205 33.509744) (xy 234.803937 33.340226) (xy 234.659774 33.196063) (xy 234.490256 33.082795) + (xy 234.301898 33.004774) (xy 234.101939 32.965) (xy 233.898061 32.965) (xy 233.698102 33.004774) (xy 233.509744 33.082795) + (xy 233.340226 33.196063) (xy 233.196063 33.340226) (xy 233.082795 33.509744) (xy 233.004774 33.698102) (xy 233 33.722103) + (xy 232.995226 33.698102) (xy 232.917205 33.509744) (xy 232.803937 33.340226) (xy 232.659774 33.196063) (xy 232.490256 33.082795) + (xy 232.301898 33.004774) (xy 232.101939 32.965) (xy 231.898061 32.965) (xy 231.698102 33.004774) (xy 231.509744 33.082795) + (xy 231.340226 33.196063) (xy 231.196063 33.340226) (xy 231.082795 33.509744) (xy 231.004774 33.698102) (xy 231 33.722103) + (xy 230.995226 33.698102) (xy 230.917205 33.509744) (xy 230.803937 33.340226) (xy 230.659774 33.196063) (xy 230.490256 33.082795) + (xy 230.301898 33.004774) (xy 230.101939 32.965) (xy 229.898061 32.965) (xy 229.698102 33.004774) (xy 229.509744 33.082795) + (xy 229.340226 33.196063) (xy 229.196063 33.340226) (xy 229.082795 33.509744) (xy 229.004774 33.698102) (xy 229 33.722103) + (xy 228.995226 33.698102) (xy 228.917205 33.509744) (xy 228.803937 33.340226) (xy 228.659774 33.196063) (xy 228.490256 33.082795) + (xy 228.301898 33.004774) (xy 228.101939 32.965) (xy 227.898061 32.965) (xy 227.698102 33.004774) (xy 227.509744 33.082795) + (xy 227.340226 33.196063) (xy 227.196063 33.340226) (xy 227.082795 33.509744) (xy 227.004774 33.698102) (xy 227 33.722103) + (xy 226.995226 33.698102) (xy 226.917205 33.509744) (xy 226.803937 33.340226) (xy 226.659774 33.196063) (xy 226.490256 33.082795) + (xy 226.301898 33.004774) (xy 226.101939 32.965) (xy 225.898061 32.965) (xy 225.698102 33.004774) (xy 225.509744 33.082795) + (xy 225.340226 33.196063) (xy 225.196063 33.340226) (xy 225.082795 33.509744) (xy 225.004774 33.698102) (xy 225 33.722103) + (xy 224.995226 33.698102) (xy 224.917205 33.509744) (xy 224.803937 33.340226) (xy 224.659774 33.196063) (xy 224.490256 33.082795) + (xy 224.301898 33.004774) (xy 224.101939 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) (xy 223.509744 33.082795) + (xy 223.340226 33.196063) (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) (xy 222.965 33.898061) + (xy 177.481956 33.898061) (xy 177.515 33.731939) (xy 177.515 33.528061) (xy 177.475226 33.328102) (xy 177.397205 33.139744) + (xy 177.283937 32.970226) (xy 177.139774 32.826063) (xy 176.970256 32.712795) (xy 176.781898 32.634774) (xy 176.581939 32.595) + (xy 176.378061 32.595) (xy 176.178102 32.634774) (xy 175.989744 32.712795) (xy 175.820226 32.826063) (xy 175.676063 32.970226) + (xy 175.562795 33.139744) (xy 175.484774 33.328102) (xy 175.445 33.528061) (xy 126.757 33.528061) (xy 126.757 33.426061) + (xy 126.717226 33.226102) (xy 126.639205 33.037744) (xy 126.525937 32.868226) (xy 126.381774 32.724063) (xy 126.212256 32.610795) + (xy 126.023898 32.532774) (xy 125.823939 32.493) (xy 125.620061 32.493) (xy 125.420102 32.532774) (xy 125.231744 32.610795) + (xy 125.062226 32.724063) (xy 124.918063 32.868226) (xy 124.804795 33.037744) (xy 124.726774 33.226102) (xy 124.687 33.426061) + (xy 113.658699 33.426061) (xy 113.653846 29.15) (xy 128.511928 29.15) (xy 128.511928 30.85) (xy 128.524188 30.974482) + (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) (xy 128.795506 31.380537) (xy 128.90582 31.439502) + (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) (xy 130.974482 31.475812) (xy 131.09418 31.439502) + (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) (xy 131.439502 31.09418) (xy 131.461513 31.02162) + (xy 131.593368 31.153475) (xy 131.836589 31.31599) (xy 132.106842 31.427932) (xy 132.39374 31.485) (xy 132.68626 31.485) + (xy 132.973158 31.427932) (xy 133.243411 31.31599) (xy 133.486632 31.153475) (xy 133.693475 30.946632) (xy 133.81 30.77224) + (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) (xy 134.646842 31.427932) (xy 134.93374 31.485) + (xy 135.22626 31.485) (xy 135.513158 31.427932) (xy 135.783411 31.31599) (xy 136.026632 31.153475) (xy 136.233475 30.946632) + (xy 136.35 30.77224) (xy 136.466525 30.946632) (xy 136.673368 31.153475) (xy 136.916589 31.31599) (xy 137.186842 31.427932) + (xy 137.47374 31.485) (xy 137.76626 31.485) (xy 138.053158 31.427932) (xy 138.323411 31.31599) (xy 138.566632 31.153475) + (xy 138.773475 30.946632) (xy 138.89 30.77224) (xy 139.006525 30.946632) (xy 139.213368 31.153475) (xy 139.456589 31.31599) + (xy 139.726842 31.427932) (xy 140.01374 31.485) (xy 140.30626 31.485) (xy 140.593158 31.427932) (xy 140.863411 31.31599) + (xy 141.106632 31.153475) (xy 141.313475 30.946632) (xy 141.43 30.77224) (xy 141.546525 30.946632) (xy 141.753368 31.153475) + (xy 141.996589 31.31599) (xy 142.266842 31.427932) (xy 142.55374 31.485) (xy 142.84626 31.485) (xy 143.133158 31.427932) + (xy 143.403411 31.31599) (xy 143.646632 31.153475) (xy 143.853475 30.946632) (xy 143.97 30.77224) (xy 144.086525 30.946632) + (xy 144.293368 31.153475) (xy 144.536589 31.31599) (xy 144.806842 31.427932) (xy 145.09374 31.485) (xy 145.38626 31.485) + (xy 145.673158 31.427932) (xy 145.943411 31.31599) (xy 146.186632 31.153475) (xy 146.393475 30.946632) (xy 146.51 30.77224) + (xy 146.626525 30.946632) (xy 146.833368 31.153475) (xy 147.076589 31.31599) (xy 147.346842 31.427932) (xy 147.63374 31.485) + (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) (xy 148.726632 31.153475) (xy 148.933475 30.946632) + (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) (xy 149.616589 31.31599) (xy 149.886842 31.427932) + (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) (xy 151.023411 31.31599) (xy 151.266632 31.153475) + (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) (xy 151.913368 31.153475) (xy 152.156589 31.31599) + (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) (xy 153.293158 31.427932) (xy 153.563411 31.31599) + (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) (xy 154.246525 30.946632) (xy 154.453368 31.153475) + (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) (xy 155.54626 31.485) (xy 155.833158 31.427932) + (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) (xy 156.67 30.77224) (xy 156.786525 30.946632) + (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) (xy 157.79374 31.485) (xy 158.08626 31.485) + (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) (xy 159.093475 30.946632) (xy 159.21 30.77224) + (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) (xy 160.046842 31.427932) (xy 160.33374 31.485) + (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) (xy 161.426632 31.153475) (xy 161.633475 30.946632) + (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) (xy 162.316589 31.31599) (xy 162.586842 31.427932) + (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) (xy 163.723411 31.31599) (xy 163.966632 31.153475) + (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) (xy 164.613368 31.153475) (xy 164.856589 31.31599) + (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) (xy 165.993158 31.427932) (xy 166.263411 31.31599) + (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.835195 30.764466) (xy 166.904822 30.881355) (xy 167.099731 31.097588) + (xy 167.33308 31.271641) (xy 167.595901 31.396825) (xy 167.74311 31.441476) (xy 167.973 31.320155) (xy 167.973 30.127) + (xy 167.953 30.127) (xy 167.953 29.873) (xy 167.973 29.873) (xy 167.973 27.587) (xy 167.953 27.587) + (xy 167.953 27.333) (xy 167.973 27.333) (xy 167.973 26.139845) (xy 168.227 26.139845) (xy 168.227 27.333) + (xy 168.247 27.333) (xy 168.247 27.587) (xy 168.227 27.587) (xy 168.227 29.873) (xy 168.247 29.873) + (xy 168.247 30.127) (xy 168.227 30.127) (xy 168.227 31.320155) (xy 168.45689 31.441476) (xy 168.604099 31.396825) + (xy 168.86692 31.271641) (xy 169.100269 31.097588) (xy 169.295178 30.881355) (xy 169.364805 30.764466) (xy 169.486525 30.946632) + (xy 169.693368 31.153475) (xy 169.936589 31.31599) (xy 170.206842 31.427932) (xy 170.49374 31.485) (xy 170.78626 31.485) + (xy 171.073158 31.427932) (xy 171.343411 31.31599) (xy 171.586632 31.153475) (xy 171.793475 30.946632) (xy 171.915195 30.764466) + (xy 171.984822 30.881355) (xy 172.179731 31.097588) (xy 172.41308 31.271641) (xy 172.675901 31.396825) (xy 172.82311 31.441476) + (xy 173.053 31.320155) (xy 173.053 30.127) (xy 173.033 30.127) (xy 173.033 29.873) (xy 173.053 29.873) + (xy 173.053 27.587) (xy 173.033 27.587) (xy 173.033 27.333) (xy 173.053 27.333) (xy 173.053 26.139845) + (xy 173.307 26.139845) (xy 173.307 27.333) (xy 173.327 27.333) (xy 173.327 27.587) (xy 173.307 27.587) + (xy 173.307 29.873) (xy 173.327 29.873) (xy 173.327 30.127) (xy 173.307 30.127) (xy 173.307 31.320155) + (xy 173.53689 31.441476) (xy 173.684099 31.396825) (xy 173.94692 31.271641) (xy 174.180269 31.097588) (xy 174.375178 30.881355) + (xy 174.444805 30.764466) (xy 174.566525 30.946632) (xy 174.773368 31.153475) (xy 175.016589 31.31599) (xy 175.286842 31.427932) + (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.153158 31.427932) (xy 176.423411 31.31599) (xy 176.666632 31.153475) + (xy 176.873475 30.946632) (xy 176.99 30.77224) (xy 177.106525 30.946632) (xy 177.313368 31.153475) (xy 177.556589 31.31599) + (xy 177.826842 31.427932) (xy 178.11374 31.485) (xy 178.40626 31.485) (xy 178.693158 31.427932) (xy 178.963411 31.31599) + (xy 179.206632 31.153475) (xy 179.413475 30.946632) (xy 179.53 30.77224) (xy 179.646525 30.946632) (xy 179.853368 31.153475) + (xy 180.096589 31.31599) (xy 180.366842 31.427932) (xy 180.65374 31.485) (xy 180.94626 31.485) (xy 181.233158 31.427932) + (xy 181.503411 31.31599) (xy 181.746632 31.153475) (xy 181.953475 30.946632) (xy 182.07 30.77224) (xy 182.186525 30.946632) + (xy 182.393368 31.153475) (xy 182.636589 31.31599) (xy 182.906842 31.427932) (xy 183.19374 31.485) (xy 183.48626 31.485) + (xy 183.773158 31.427932) (xy 184.043411 31.31599) (xy 184.286632 31.153475) (xy 184.493475 30.946632) (xy 184.61 30.77224) + (xy 184.726525 30.946632) (xy 184.933368 31.153475) (xy 185.176589 31.31599) (xy 185.446842 31.427932) (xy 185.73374 31.485) + (xy 186.02626 31.485) (xy 186.313158 31.427932) (xy 186.583411 31.31599) (xy 186.826632 31.153475) (xy 187.033475 30.946632) + (xy 187.15 30.77224) (xy 187.266525 30.946632) (xy 187.473368 31.153475) (xy 187.716589 31.31599) (xy 187.986842 31.427932) + (xy 188.27374 31.485) (xy 188.56626 31.485) (xy 188.853158 31.427932) (xy 189.123411 31.31599) (xy 189.366632 31.153475) + (xy 189.573475 30.946632) (xy 189.69 30.77224) (xy 189.806525 30.946632) (xy 190.013368 31.153475) (xy 190.256589 31.31599) + (xy 190.526842 31.427932) (xy 190.81374 31.485) (xy 191.10626 31.485) (xy 191.393158 31.427932) (xy 191.663411 31.31599) + (xy 191.906632 31.153475) (xy 192.113475 30.946632) (xy 192.23 30.77224) (xy 192.346525 30.946632) (xy 192.553368 31.153475) + (xy 192.796589 31.31599) (xy 193.066842 31.427932) (xy 193.35374 31.485) (xy 193.64626 31.485) (xy 193.933158 31.427932) + (xy 194.203411 31.31599) (xy 194.446632 31.153475) (xy 194.653475 30.946632) (xy 194.77 30.77224) (xy 194.886525 30.946632) + (xy 195.093368 31.153475) (xy 195.336589 31.31599) (xy 195.606842 31.427932) (xy 195.89374 31.485) (xy 196.18626 31.485) + (xy 196.473158 31.427932) (xy 196.743411 31.31599) (xy 196.986632 31.153475) (xy 197.193475 30.946632) (xy 197.31 30.77224) + (xy 197.426525 30.946632) (xy 197.633368 31.153475) (xy 197.876589 31.31599) (xy 198.146842 31.427932) (xy 198.43374 31.485) + (xy 198.72626 31.485) (xy 199.013158 31.427932) (xy 199.283411 31.31599) (xy 199.526632 31.153475) (xy 199.733475 30.946632) + (xy 199.85 30.77224) (xy 199.966525 30.946632) (xy 200.173368 31.153475) (xy 200.416589 31.31599) (xy 200.686842 31.427932) + (xy 200.97374 31.485) (xy 201.26626 31.485) (xy 201.553158 31.427932) (xy 201.823411 31.31599) (xy 202.066632 31.153475) + (xy 202.273475 30.946632) (xy 202.39 30.77224) (xy 202.506525 30.946632) (xy 202.713368 31.153475) (xy 202.956589 31.31599) + (xy 203.226842 31.427932) (xy 203.51374 31.485) (xy 203.80626 31.485) (xy 204.093158 31.427932) (xy 204.363411 31.31599) + (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) + (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) + (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.47 30.77224) (xy 207.586525 30.946632) + (xy 207.793368 31.153475) (xy 208.036589 31.31599) (xy 208.306842 31.427932) (xy 208.59374 31.485) (xy 208.88626 31.485) + (xy 209.173158 31.427932) (xy 209.443411 31.31599) (xy 209.686632 31.153475) (xy 209.893475 30.946632) (xy 210.05599 30.703411) + (xy 210.167932 30.433158) (xy 210.225 30.14626) (xy 210.225 29.85374) (xy 210.167932 29.566842) (xy 210.130201 29.47575) + (xy 219.84 29.47575) (xy 219.84 29.70425) (xy 219.884578 29.92836) (xy 219.972021 30.139466) (xy 220.098969 30.329457) + (xy 220.260543 30.491031) (xy 220.450534 30.617979) (xy 220.66164 30.705422) (xy 220.88575 30.75) (xy 221.11425 30.75) + (xy 221.33836 30.705422) (xy 221.549466 30.617979) (xy 221.739457 30.491031) (xy 221.901031 30.329457) (xy 222.027979 30.139466) + (xy 222.115422 29.92836) (xy 222.16 29.70425) (xy 222.16 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) + (xy 229.884578 29.92836) (xy 229.972021 30.139466) (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) + (xy 230.66164 30.705422) (xy 230.88575 30.75) (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) + (xy 231.739457 30.491031) (xy 231.901031 30.329457) (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) + (xy 232.16 29.47575) (xy 232.115422 29.25164) (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) + (xy 231.549466 28.562021) (xy 231.33836 28.474578) (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) + (xy 230.450534 28.562021) (xy 230.260543 28.688969) (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) + (xy 229.84 29.47575) (xy 222.16 29.47575) (xy 222.115422 29.25164) (xy 222.027979 29.040534) (xy 221.901031 28.850543) + (xy 221.739457 28.688969) (xy 221.549466 28.562021) (xy 221.33836 28.474578) (xy 221.11425 28.43) (xy 220.88575 28.43) + (xy 220.66164 28.474578) (xy 220.450534 28.562021) (xy 220.260543 28.688969) (xy 220.098969 28.850543) (xy 219.972021 29.040534) + (xy 219.884578 29.25164) (xy 219.84 29.47575) (xy 210.130201 29.47575) (xy 210.05599 29.296589) (xy 209.893475 29.053368) + (xy 209.686632 28.846525) (xy 209.51224 28.73) (xy 209.686632 28.613475) (xy 209.893475 28.406632) (xy 210.05599 28.163411) + (xy 210.167932 27.893158) (xy 210.225 27.60626) (xy 210.225 27.358061) (xy 214.505 27.358061) (xy 214.505 27.561939) + (xy 214.544774 27.761898) (xy 214.622795 27.950256) (xy 214.736063 28.119774) (xy 214.880226 28.263937) (xy 215.049744 28.377205) + (xy 215.238102 28.455226) (xy 215.438061 28.495) (xy 215.641939 28.495) (xy 215.841898 28.455226) (xy 216.030256 28.377205) + (xy 216.199774 28.263937) (xy 216.343937 28.119774) (xy 216.457205 27.950256) (xy 216.535226 27.761898) (xy 216.575 27.561939) + (xy 216.575 27.358061) (xy 216.535226 27.158102) (xy 216.457205 26.969744) (xy 216.343937 26.800226) (xy 216.199774 26.656063) + (xy 216.030256 26.542795) (xy 215.841898 26.464774) (xy 215.641939 26.425) (xy 215.438061 26.425) (xy 215.238102 26.464774) + (xy 215.049744 26.542795) (xy 214.880226 26.656063) (xy 214.736063 26.800226) (xy 214.622795 26.969744) (xy 214.544774 27.158102) + (xy 214.505 27.358061) (xy 210.225 27.358061) (xy 210.225 27.31374) (xy 210.167932 27.026842) (xy 210.05599 26.756589) + (xy 209.893475 26.513368) (xy 209.686632 26.306525) (xy 209.443411 26.14401) (xy 209.173158 26.032068) (xy 208.88626 25.975) + (xy 208.59374 25.975) (xy 208.306842 26.032068) (xy 208.036589 26.14401) (xy 207.793368 26.306525) (xy 207.586525 26.513368) + (xy 207.47 26.68776) (xy 207.353475 26.513368) (xy 207.146632 26.306525) (xy 206.903411 26.14401) (xy 206.633158 26.032068) + (xy 206.34626 25.975) (xy 206.05374 25.975) (xy 205.766842 26.032068) (xy 205.496589 26.14401) (xy 205.253368 26.306525) + (xy 205.046525 26.513368) (xy 204.93 26.68776) (xy 204.813475 26.513368) (xy 204.606632 26.306525) (xy 204.363411 26.14401) + (xy 204.093158 26.032068) (xy 203.80626 25.975) (xy 203.51374 25.975) (xy 203.226842 26.032068) (xy 202.956589 26.14401) + (xy 202.713368 26.306525) (xy 202.506525 26.513368) (xy 202.39 26.68776) (xy 202.273475 26.513368) (xy 202.066632 26.306525) + (xy 201.823411 26.14401) (xy 201.553158 26.032068) (xy 201.26626 25.975) (xy 200.97374 25.975) (xy 200.686842 26.032068) + (xy 200.416589 26.14401) (xy 200.173368 26.306525) (xy 199.966525 26.513368) (xy 199.85 26.68776) (xy 199.733475 26.513368) + (xy 199.526632 26.306525) (xy 199.283411 26.14401) (xy 199.013158 26.032068) (xy 198.72626 25.975) (xy 198.43374 25.975) + (xy 198.146842 26.032068) (xy 197.876589 26.14401) (xy 197.633368 26.306525) (xy 197.426525 26.513368) (xy 197.31 26.68776) + (xy 197.193475 26.513368) (xy 196.986632 26.306525) (xy 196.743411 26.14401) (xy 196.473158 26.032068) (xy 196.18626 25.975) + (xy 195.89374 25.975) (xy 195.606842 26.032068) (xy 195.336589 26.14401) (xy 195.093368 26.306525) (xy 194.886525 26.513368) + (xy 194.77 26.68776) (xy 194.653475 26.513368) (xy 194.446632 26.306525) (xy 194.203411 26.14401) (xy 193.933158 26.032068) + (xy 193.64626 25.975) (xy 193.35374 25.975) (xy 193.066842 26.032068) (xy 192.796589 26.14401) (xy 192.553368 26.306525) + (xy 192.346525 26.513368) (xy 192.23 26.68776) (xy 192.113475 26.513368) (xy 191.906632 26.306525) (xy 191.663411 26.14401) + (xy 191.393158 26.032068) (xy 191.10626 25.975) (xy 190.81374 25.975) (xy 190.526842 26.032068) (xy 190.256589 26.14401) + (xy 190.013368 26.306525) (xy 189.806525 26.513368) (xy 189.69 26.68776) (xy 189.573475 26.513368) (xy 189.366632 26.306525) + (xy 189.123411 26.14401) (xy 188.853158 26.032068) (xy 188.56626 25.975) (xy 188.27374 25.975) (xy 187.986842 26.032068) + (xy 187.716589 26.14401) (xy 187.473368 26.306525) (xy 187.266525 26.513368) (xy 187.15 26.68776) (xy 187.033475 26.513368) + (xy 186.826632 26.306525) (xy 186.583411 26.14401) (xy 186.313158 26.032068) (xy 186.02626 25.975) (xy 185.73374 25.975) + (xy 185.446842 26.032068) (xy 185.176589 26.14401) (xy 184.933368 26.306525) (xy 184.726525 26.513368) (xy 184.61 26.68776) + (xy 184.493475 26.513368) (xy 184.286632 26.306525) (xy 184.043411 26.14401) (xy 183.773158 26.032068) (xy 183.48626 25.975) + (xy 183.19374 25.975) (xy 182.906842 26.032068) (xy 182.636589 26.14401) (xy 182.393368 26.306525) (xy 182.186525 26.513368) + (xy 182.07 26.68776) (xy 181.953475 26.513368) (xy 181.746632 26.306525) (xy 181.503411 26.14401) (xy 181.233158 26.032068) + (xy 180.94626 25.975) (xy 180.65374 25.975) (xy 180.366842 26.032068) (xy 180.096589 26.14401) (xy 179.853368 26.306525) + (xy 179.646525 26.513368) (xy 179.53 26.68776) (xy 179.413475 26.513368) (xy 179.206632 26.306525) (xy 178.963411 26.14401) + (xy 178.693158 26.032068) (xy 178.40626 25.975) (xy 178.11374 25.975) (xy 177.826842 26.032068) (xy 177.556589 26.14401) + (xy 177.313368 26.306525) (xy 177.106525 26.513368) (xy 176.99 26.68776) (xy 176.873475 26.513368) (xy 176.666632 26.306525) + (xy 176.423411 26.14401) (xy 176.153158 26.032068) (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) + (xy 175.016589 26.14401) (xy 174.773368 26.306525) (xy 174.566525 26.513368) (xy 174.444805 26.695534) (xy 174.375178 26.578645) + (xy 174.180269 26.362412) (xy 173.94692 26.188359) (xy 173.684099 26.063175) (xy 173.53689 26.018524) (xy 173.307 26.139845) + (xy 173.053 26.139845) (xy 172.82311 26.018524) (xy 172.675901 26.063175) (xy 172.41308 26.188359) (xy 172.179731 26.362412) + (xy 171.984822 26.578645) (xy 171.915195 26.695534) (xy 171.793475 26.513368) (xy 171.586632 26.306525) (xy 171.343411 26.14401) + (xy 171.073158 26.032068) (xy 170.78626 25.975) (xy 170.49374 25.975) (xy 170.206842 26.032068) (xy 169.936589 26.14401) + (xy 169.693368 26.306525) (xy 169.486525 26.513368) (xy 169.364805 26.695534) (xy 169.295178 26.578645) (xy 169.100269 26.362412) + (xy 168.86692 26.188359) (xy 168.604099 26.063175) (xy 168.45689 26.018524) (xy 168.227 26.139845) (xy 167.973 26.139845) + (xy 167.74311 26.018524) (xy 167.595901 26.063175) (xy 167.33308 26.188359) (xy 167.099731 26.362412) (xy 166.904822 26.578645) + (xy 166.835195 26.695534) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.263411 26.14401) (xy 165.993158 26.032068) + (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) (xy 164.856589 26.14401) (xy 164.613368 26.306525) + (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) (xy 163.966632 26.306525) (xy 163.723411 26.14401) + (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) (xy 162.586842 26.032068) (xy 162.316589 26.14401) + (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) (xy 161.633475 26.513368) (xy 161.426632 26.306525) + (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) (xy 160.33374 25.975) (xy 160.046842 26.032068) + (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) (xy 159.21 26.68776) (xy 159.093475 26.513368) + (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) (xy 158.08626 25.975) (xy 157.79374 25.975) + (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) (xy 156.786525 26.513368) (xy 156.67 26.68776) + (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) (xy 155.833158 26.032068) (xy 155.54626 25.975) + (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) (xy 154.453368 26.306525) (xy 154.246525 26.513368) + (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) (xy 153.563411 26.14401) (xy 153.293158 26.032068) + (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) (xy 152.156589 26.14401) (xy 151.913368 26.306525) + (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) (xy 151.266632 26.306525) (xy 151.023411 26.14401) + (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) (xy 149.616589 26.14401) + (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) (xy 148.726632 26.306525) + (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) (xy 147.346842 26.032068) + (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) (xy 146.393475 26.513368) + (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) (xy 145.09374 25.975) + (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) (xy 143.97 26.68776) + (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) (xy 142.84626 25.975) + (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) (xy 141.546525 26.513368) + (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) (xy 140.593158 26.032068) + (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) (xy 139.213368 26.306525) + (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) (xy 138.323411 26.14401) + (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) (xy 136.916589 26.14401) + (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) (xy 136.233475 26.513368) (xy 136.026632 26.306525) + (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) (xy 134.93374 25.975) (xy 134.646842 26.032068) + (xy 134.376589 26.14401) (xy 134.133368 26.306525) (xy 133.926525 26.513368) (xy 133.81 26.68776) (xy 133.693475 26.513368) + (xy 133.486632 26.306525) (xy 133.243411 26.14401) (xy 132.973158 26.032068) (xy 132.68626 25.975) (xy 132.39374 25.975) + (xy 132.106842 26.032068) (xy 131.836589 26.14401) (xy 131.593368 26.306525) (xy 131.386525 26.513368) (xy 131.27 26.68776) + (xy 131.153475 26.513368) (xy 130.946632 26.306525) (xy 130.703411 26.14401) (xy 130.433158 26.032068) (xy 130.14626 25.975) + (xy 129.85374 25.975) (xy 129.566842 26.032068) (xy 129.296589 26.14401) (xy 129.053368 26.306525) (xy 128.846525 26.513368) + (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) (xy 128.515 27.60626) (xy 128.572068 27.893158) + (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) (xy 128.90582 28.560498) (xy 128.795506 28.619463) + (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) (xy 128.524188 29.025518) (xy 128.511928 29.15) + (xy 113.653846 29.15) (xy 113.648339 24.298061) (xy 133.845 24.298061) (xy 133.845 24.501939) (xy 133.884774 24.701898) + (xy 133.962795 24.890256) (xy 134.076063 25.059774) (xy 134.220226 25.203937) (xy 134.389744 25.317205) (xy 134.578102 25.395226) + (xy 134.778061 25.435) (xy 134.981939 25.435) (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) + (xy 135.683937 25.059774) (xy 135.797205 24.890256) (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) + (xy 136.375 24.298061) (xy 136.375 24.501939) (xy 136.414774 24.701898) (xy 136.492795 24.890256) (xy 136.606063 25.059774) + (xy 136.750226 25.203937) (xy 136.919744 25.317205) (xy 137.108102 25.395226) (xy 137.308061 25.435) (xy 137.511939 25.435) + (xy 137.711898 25.395226) (xy 137.900256 25.317205) (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) + (xy 138.405226 24.701898) (xy 138.445 24.501939) (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) + (xy 138.213937 23.740226) (xy 138.069774 23.596063) (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) + (xy 137.308061 23.365) (xy 137.108102 23.404774) (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) + (xy 136.492795 23.909744) (xy 136.414774 24.098102) (xy 136.375 24.298061) (xy 135.915 24.298061) (xy 135.875226 24.098102) + (xy 135.797205 23.909744) (xy 135.683937 23.740226) (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) + (xy 134.981939 23.365) (xy 134.778061 23.365) (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) + (xy 134.076063 23.740226) (xy 133.962795 23.909744) (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 113.648339 24.298061) + (xy 113.641188 17.998061) (xy 148.52 17.998061) (xy 148.52 18.201939) (xy 148.559774 18.401898) (xy 148.637795 18.590256) + (xy 148.751063 18.759774) (xy 148.895226 18.903937) (xy 149.064744 19.017205) (xy 149.253102 19.095226) (xy 149.453061 19.135) + (xy 149.656939 19.135) (xy 149.842645 19.098061) (xy 157.0925 19.098061) (xy 157.0925 19.301939) (xy 157.132274 19.501898) + (xy 157.210295 19.690256) (xy 157.323563 19.859774) (xy 157.467726 20.003937) (xy 157.637244 20.117205) (xy 157.825602 20.195226) + (xy 158.025561 20.235) (xy 158.229439 20.235) (xy 158.429398 20.195226) (xy 158.617756 20.117205) (xy 158.787274 20.003937) + (xy 158.931437 19.859774) (xy 159.044705 19.690256) (xy 159.122726 19.501898) (xy 159.1625 19.301939) (xy 159.1625 19.098061) + (xy 159.122726 18.898102) (xy 159.044705 18.709744) (xy 158.931437 18.540226) (xy 158.787274 18.396063) (xy 158.617756 18.282795) + (xy 158.429398 18.204774) (xy 158.229439 18.165) (xy 158.025561 18.165) (xy 157.825602 18.204774) (xy 157.637244 18.282795) + (xy 157.467726 18.396063) (xy 157.323563 18.540226) (xy 157.210295 18.709744) (xy 157.132274 18.898102) (xy 157.0925 19.098061) + (xy 149.842645 19.098061) (xy 149.856898 19.095226) (xy 150.045256 19.017205) (xy 150.214774 18.903937) (xy 150.358937 18.759774) + (xy 150.472205 18.590256) (xy 150.550226 18.401898) (xy 150.59 18.201939) (xy 150.59 17.998061) (xy 162.27 17.998061) + (xy 162.27 18.201939) (xy 162.309774 18.401898) (xy 162.387795 18.590256) (xy 162.501063 18.759774) (xy 162.645226 18.903937) + (xy 162.814744 19.017205) (xy 163.003102 19.095226) (xy 163.203061 19.135) (xy 163.406939 19.135) (xy 163.606898 19.095226) + (xy 163.795256 19.017205) (xy 163.964774 18.903937) (xy 164.108937 18.759774) (xy 164.222205 18.590256) (xy 164.300226 18.401898) + (xy 164.34 18.201939) (xy 164.34 17.998061) (xy 164.300226 17.798102) (xy 164.222205 17.609744) (xy 164.108937 17.440226) + (xy 163.964774 17.296063) (xy 163.795256 17.182795) (xy 163.606898 17.104774) (xy 163.406939 17.065) (xy 163.203061 17.065) + (xy 163.003102 17.104774) (xy 162.814744 17.182795) (xy 162.645226 17.296063) (xy 162.501063 17.440226) (xy 162.387795 17.609744) + (xy 162.309774 17.798102) (xy 162.27 17.998061) (xy 150.59 17.998061) (xy 150.550226 17.798102) (xy 150.472205 17.609744) + (xy 150.358937 17.440226) (xy 150.214774 17.296063) (xy 150.045256 17.182795) (xy 149.856898 17.104774) (xy 149.656939 17.065) + (xy 149.453061 17.065) (xy 149.253102 17.104774) (xy 149.064744 17.182795) (xy 148.895226 17.296063) (xy 148.751063 17.440226) + (xy 148.637795 17.609744) (xy 148.559774 17.798102) (xy 148.52 17.998061) (xy 113.641188 17.998061) (xy 113.6393 16.335561) + (xy 115.265 16.335561) (xy 115.265 16.539439) (xy 115.304774 16.739398) (xy 115.382795 16.927756) (xy 115.496063 17.097274) + (xy 115.640226 17.241437) (xy 115.809744 17.354705) (xy 115.998102 17.432726) (xy 116.198061 17.4725) (xy 116.401939 17.4725) + (xy 116.601898 17.432726) (xy 116.790256 17.354705) (xy 116.959774 17.241437) (xy 117.103937 17.097274) (xy 117.217205 16.927756) + (xy 117.295226 16.739398) (xy 117.335 16.539439) (xy 117.335 16.335561) (xy 117.295226 16.135602) (xy 117.217205 15.947244) + (xy 117.103937 15.777726) (xy 116.959774 15.633563) (xy 116.790256 15.520295) (xy 116.601898 15.442274) (xy 116.401939 15.4025) + (xy 116.198061 15.4025) (xy 115.998102 15.442274) (xy 115.809744 15.520295) (xy 115.640226 15.633563) (xy 115.496063 15.777726) + (xy 115.382795 15.947244) (xy 115.304774 16.135602) (xy 115.265 16.335561) (xy 113.6393 16.335561) (xy 113.634662 12.24917) + (xy 166.98634 12.24917) (xy 166.98634 12.71083) (xy 167.076406 13.16362) (xy 167.253075 13.590139) (xy 167.50956 13.973996) + (xy 167.836004 14.30044) (xy 168.219861 14.556925) (xy 168.64638 14.733594) (xy 169.09917 14.82366) (xy 169.56083 14.82366) + (xy 170.01362 14.733594) (xy 170.440139 14.556925) (xy 170.823996 14.30044) (xy 171.15044 13.973996) (xy 171.406925 13.590139) + (xy 171.583594 13.16362) (xy 171.67366 12.71083) (xy 171.67366 12.24917) (xy 196.98634 12.24917) (xy 196.98634 12.71083) + (xy 197.076406 13.16362) (xy 197.253075 13.590139) (xy 197.50956 13.973996) (xy 197.836004 14.30044) (xy 198.219861 14.556925) + (xy 198.64638 14.733594) (xy 199.09917 14.82366) (xy 199.56083 14.82366) (xy 200.01362 14.733594) (xy 200.440139 14.556925) + (xy 200.823996 14.30044) (xy 201.15044 13.973996) (xy 201.406925 13.590139) (xy 201.583594 13.16362) (xy 201.67366 12.71083) + (xy 201.67366 12.24917) (xy 226.98634 12.24917) (xy 226.98634 12.71083) (xy 227.076406 13.16362) (xy 227.253075 13.590139) + (xy 227.50956 13.973996) (xy 227.836004 14.30044) (xy 228.219861 14.556925) (xy 228.64638 14.733594) (xy 229.09917 14.82366) + (xy 229.56083 14.82366) (xy 230.01362 14.733594) (xy 230.440139 14.556925) (xy 230.823996 14.30044) (xy 231.15044 13.973996) + (xy 231.201178 13.898061) (xy 232.965 13.898061) (xy 232.965 14.101939) (xy 233.004774 14.301898) (xy 233.082795 14.490256) + (xy 233.196063 14.659774) (xy 233.340226 14.803937) (xy 233.509744 14.917205) (xy 233.698102 14.995226) (xy 233.898061 15.035) + (xy 234.101939 15.035) (xy 234.301898 14.995226) (xy 234.490256 14.917205) (xy 234.659774 14.803937) (xy 234.803937 14.659774) + (xy 234.917205 14.490256) (xy 234.995226 14.301898) (xy 235.035 14.101939) (xy 235.035 13.898061) (xy 254.965 13.898061) + (xy 254.965 14.101939) (xy 255.004774 14.301898) (xy 255.082795 14.490256) (xy 255.196063 14.659774) (xy 255.340226 14.803937) + (xy 255.509744 14.917205) (xy 255.698102 14.995226) (xy 255.898061 15.035) (xy 256.101939 15.035) (xy 256.301898 14.995226) + (xy 256.490256 14.917205) (xy 256.659774 14.803937) (xy 256.803937 14.659774) (xy 256.917205 14.490256) (xy 256.995226 14.301898) + (xy 257.035 14.101939) (xy 257.035 13.898061) (xy 256.995226 13.698102) (xy 256.917205 13.509744) (xy 256.803937 13.340226) + (xy 256.659774 13.196063) (xy 256.490256 13.082795) (xy 256.301898 13.004774) (xy 256.101939 12.965) (xy 255.898061 12.965) + (xy 255.698102 13.004774) (xy 255.509744 13.082795) (xy 255.340226 13.196063) (xy 255.196063 13.340226) (xy 255.082795 13.509744) + (xy 255.004774 13.698102) (xy 254.965 13.898061) (xy 235.035 13.898061) (xy 234.995226 13.698102) (xy 234.917205 13.509744) + (xy 234.803937 13.340226) (xy 234.659774 13.196063) (xy 234.490256 13.082795) (xy 234.301898 13.004774) (xy 234.101939 12.965) + (xy 233.898061 12.965) (xy 233.698102 13.004774) (xy 233.509744 13.082795) (xy 233.340226 13.196063) (xy 233.196063 13.340226) + (xy 233.082795 13.509744) (xy 233.004774 13.698102) (xy 232.965 13.898061) (xy 231.201178 13.898061) (xy 231.406925 13.590139) + (xy 231.583594 13.16362) (xy 231.67366 12.71083) (xy 231.67366 12.24917) (xy 231.583594 11.79638) (xy 231.406925 11.369861) + (xy 231.15044 10.986004) (xy 230.823996 10.65956) (xy 230.440139 10.403075) (xy 230.01362 10.226406) (xy 229.56083 10.13634) + (xy 229.09917 10.13634) (xy 228.64638 10.226406) (xy 228.219861 10.403075) (xy 227.836004 10.65956) (xy 227.50956 10.986004) + (xy 227.253075 11.369861) (xy 227.076406 11.79638) (xy 226.98634 12.24917) (xy 201.67366 12.24917) (xy 201.583594 11.79638) + (xy 201.406925 11.369861) (xy 201.15044 10.986004) (xy 200.823996 10.65956) (xy 200.440139 10.403075) (xy 200.01362 10.226406) + (xy 199.56083 10.13634) (xy 199.09917 10.13634) (xy 198.64638 10.226406) (xy 198.219861 10.403075) (xy 197.836004 10.65956) + (xy 197.50956 10.986004) (xy 197.253075 11.369861) (xy 197.076406 11.79638) (xy 196.98634 12.24917) (xy 171.67366 12.24917) + (xy 171.583594 11.79638) (xy 171.406925 11.369861) (xy 171.15044 10.986004) (xy 170.823996 10.65956) (xy 170.440139 10.403075) + (xy 170.01362 10.226406) (xy 169.56083 10.13634) (xy 169.09917 10.13634) (xy 168.64638 10.226406) (xy 168.219861 10.403075) + (xy 167.836004 10.65956) (xy 167.50956 10.986004) (xy 167.253075 11.369861) (xy 167.076406 11.79638) (xy 166.98634 12.24917) + (xy 113.634662 12.24917) (xy 113.629814 7.978061) (xy 146.865 7.978061) (xy 146.865 8.181939) (xy 146.904774 8.381898) + (xy 146.982795 8.570256) (xy 147.096063 8.739774) (xy 147.240226 8.883937) (xy 147.409744 8.997205) (xy 147.598102 9.075226) + (xy 147.798061 9.115) (xy 148.001939 9.115) (xy 148.087097 9.098061) (xy 157.695 9.098061) (xy 157.695 9.301939) + (xy 157.734774 9.501898) (xy 157.812795 9.690256) (xy 157.926063 9.859774) (xy 158.070226 10.003937) (xy 158.239744 10.117205) + (xy 158.428102 10.195226) (xy 158.628061 10.235) (xy 158.831939 10.235) (xy 159.031898 10.195226) (xy 159.220256 10.117205) + (xy 159.389774 10.003937) (xy 159.533937 9.859774) (xy 159.647205 9.690256) (xy 159.725226 9.501898) (xy 159.765 9.301939) + (xy 159.765 9.098061) (xy 159.725226 8.898102) (xy 159.647205 8.709744) (xy 159.533937 8.540226) (xy 159.389774 8.396063) + (xy 159.220256 8.282795) (xy 159.031898 8.204774) (xy 158.831939 8.165) (xy 158.628061 8.165) (xy 158.428102 8.204774) + (xy 158.239744 8.282795) (xy 158.070226 8.396063) (xy 157.926063 8.540226) (xy 157.812795 8.709744) (xy 157.734774 8.898102) + (xy 157.695 9.098061) (xy 148.087097 9.098061) (xy 148.201898 9.075226) (xy 148.390256 8.997205) (xy 148.559774 8.883937) + (xy 148.703937 8.739774) (xy 148.817205 8.570256) (xy 148.895226 8.381898) (xy 148.935 8.181939) (xy 148.935 7.978061) + (xy 163.965 7.978061) (xy 163.965 8.181939) (xy 164.004774 8.381898) (xy 164.082795 8.570256) (xy 164.196063 8.739774) + (xy 164.340226 8.883937) (xy 164.509744 8.997205) (xy 164.698102 9.075226) (xy 164.898061 9.115) (xy 165.101939 9.115) + (xy 165.301898 9.075226) (xy 165.490256 8.997205) (xy 165.659774 8.883937) (xy 165.803937 8.739774) (xy 165.917205 8.570256) + (xy 165.995226 8.381898) (xy 166.035 8.181939) (xy 166.035 7.978061) (xy 165.995226 7.778102) (xy 165.917205 7.589744) + (xy 165.803937 7.420226) (xy 165.659774 7.276063) (xy 165.490256 7.162795) (xy 165.301898 7.084774) (xy 165.101939 7.045) + (xy 164.898061 7.045) (xy 164.698102 7.084774) (xy 164.509744 7.162795) (xy 164.340226 7.276063) (xy 164.196063 7.420226) + (xy 164.082795 7.589744) (xy 164.004774 7.778102) (xy 163.965 7.978061) (xy 148.935 7.978061) (xy 148.895226 7.778102) + (xy 148.817205 7.589744) (xy 148.703937 7.420226) (xy 148.559774 7.276063) (xy 148.390256 7.162795) (xy 148.201898 7.084774) + (xy 148.001939 7.045) (xy 147.798061 7.045) (xy 147.598102 7.084774) (xy 147.409744 7.162795) (xy 147.240226 7.276063) + (xy 147.096063 7.420226) (xy 146.982795 7.589744) (xy 146.904774 7.778102) (xy 146.865 7.978061) (xy 113.629814 7.978061) + (xy 113.627352 5.81) (xy 266.751193 5.81) ) ) ) @@ -12381,7 +12153,14 @@ (xy 255.13175 90.17) (xy 255.2905 90.01125) (xy 255.2905 89.187) (xy 255.5445 89.187) (xy 255.5445 90.01125) (xy 255.70325 90.17) (xy 255.855 90.173072) (xy 255.979482 90.160812) (xy 256.09918 90.124502) (xy 256.209494 90.065537) (xy 256.306185 89.986185) (xy 256.385537 89.889494) (xy 256.444502 89.77918) (xy 256.480812 89.659482) (xy 256.493072 89.535) - (xy 256.49 89.34575) (xy 256.33125 89.187) (xy 255.5445 89.187) (xy 255.2905 89.187) (xy 255.2705 89.187) + (xy 256.49 89.34575) (xy 256.365027 89.220777) (xy 266.3625 89.220777) (xy 266.3625 89.779223) (xy 266.471448 90.326939) + (xy 266.685156 90.842876) (xy 266.995412 91.307207) (xy 267.390293 91.702088) (xy 267.854624 92.012344) (xy 268.370561 92.226052) + (xy 268.918277 92.335) (xy 269.476723 92.335) (xy 270.024439 92.226052) (xy 270.540376 92.012344) (xy 271.004707 91.702088) + (xy 271.399588 91.307207) (xy 271.709844 90.842876) (xy 271.923552 90.326939) (xy 272.0325 89.779223) (xy 272.0325 89.220777) + (xy 271.923552 88.673061) (xy 271.709844 88.157124) (xy 271.399588 87.692793) (xy 271.004707 87.297912) (xy 270.540376 86.987656) + (xy 270.024439 86.773948) (xy 269.476723 86.665) (xy 268.918277 86.665) (xy 268.370561 86.773948) (xy 267.854624 86.987656) + (xy 267.390293 87.297912) (xy 266.995412 87.692793) (xy 266.685156 88.157124) (xy 266.471448 88.673061) (xy 266.3625 89.220777) + (xy 256.365027 89.220777) (xy 256.33125 89.187) (xy 255.5445 89.187) (xy 255.2905 89.187) (xy 255.2705 89.187) (xy 255.2705 88.933) (xy 255.2905 88.933) (xy 255.2905 88.10875) (xy 255.5445 88.10875) (xy 255.5445 88.933) (xy 256.33125 88.933) (xy 256.49 88.77425) (xy 256.493072 88.585) (xy 256.480812 88.460518) (xy 256.444502 88.34082) (xy 256.385537 88.230506) (xy 256.306185 88.133815) (xy 256.209494 88.054463) (xy 256.09918 87.995498) (xy 255.979482 87.959188) @@ -12680,16 +12459,6 @@ (xy 157.915218 95.818822) (xy 158.069525 95.587885) (xy 158.09 95.538454) ) ) - (filled_polygon - (pts - (xy 126.533748 97.445858) (xy 126.519605 97.46) (xy 126.657818 97.598213) (xy 126.683359 97.682411) (xy 126.749399 97.805963) - (xy 126.838274 97.914257) (xy 126.865411 97.936528) (xy 127.870678 98.941797) (xy 127.892944 98.968928) (xy 127.906174 98.979786) - (xy 127.784782 99.101178) (xy 127.630475 99.332115) (xy 127.61 99.381546) (xy 127.589525 99.332115) (xy 127.435218 99.101178) - (xy 127.238822 98.904782) (xy 127.007885 98.750475) (xy 126.962798 98.731799) (xy 127.06669 98.676268) (xy 127.135244 98.434849) - (xy 126.34 97.639605) (xy 126.325858 97.653748) (xy 126.146253 97.474143) (xy 126.160395 97.46) (xy 126.146253 97.445858) - (xy 126.325858 97.266253) (xy 126.34 97.280395) (xy 126.354143 97.266253) - ) - ) (filled_polygon (pts (xy 198.750475 95.587885) (xy 198.904782 95.818822) (xy 199.101178 96.015218) (xy 199.332115 96.169525) (xy 199.381546 96.19) @@ -12722,16 +12491,19 @@ ) (filled_polygon (pts - (xy 149.393748 97.445858) (xy 149.379605 97.46) (xy 149.393748 97.474143) (xy 149.214143 97.653748) (xy 149.2 97.639605) - (xy 149.185858 97.653748) (xy 149.006253 97.474143) (xy 149.020395 97.46) (xy 149.006253 97.445858) (xy 149.185858 97.266253) - (xy 149.2 97.280395) (xy 149.214143 97.266253) + (xy 126.533748 97.445858) (xy 126.519605 97.46) (xy 126.657818 97.598213) (xy 126.683359 97.682411) (xy 126.749399 97.805963) + (xy 126.838274 97.914257) (xy 126.865411 97.936528) (xy 127.870678 98.941797) (xy 127.892944 98.968928) (xy 127.906174 98.979786) + (xy 127.784782 99.101178) (xy 127.630475 99.332115) (xy 127.61 99.381546) (xy 127.589525 99.332115) (xy 127.435218 99.101178) + (xy 127.238822 98.904782) (xy 127.007885 98.750475) (xy 126.962798 98.731799) (xy 127.06669 98.676268) (xy 127.135244 98.434849) + (xy 126.34 97.639605) (xy 126.325858 97.653748) (xy 126.146253 97.474143) (xy 126.160395 97.46) (xy 126.146253 97.445858) + (xy 126.325858 97.266253) (xy 126.34 97.280395) (xy 126.354143 97.266253) ) ) (filled_polygon (pts - (xy 154.473748 97.445858) (xy 154.459605 97.46) (xy 154.473748 97.474143) (xy 154.294143 97.653748) (xy 154.28 97.639605) - (xy 154.265858 97.653748) (xy 154.086253 97.474143) (xy 154.100395 97.46) (xy 154.086253 97.445858) (xy 154.265858 97.266253) - (xy 154.28 97.280395) (xy 154.294143 97.266253) + (xy 164.633748 97.445858) (xy 164.619605 97.46) (xy 164.633748 97.474143) (xy 164.454143 97.653748) (xy 164.44 97.639605) + (xy 164.425858 97.653748) (xy 164.246253 97.474143) (xy 164.260395 97.46) (xy 164.246253 97.445858) (xy 164.425858 97.266253) + (xy 164.44 97.280395) (xy 164.454143 97.266253) ) ) (filled_polygon @@ -12743,16 +12515,30 @@ ) (filled_polygon (pts - (xy 162.093748 97.445858) (xy 162.079605 97.46) (xy 162.093748 97.474143) (xy 161.914143 97.653748) (xy 161.9 97.639605) - (xy 161.885858 97.653748) (xy 161.706253 97.474143) (xy 161.720395 97.46) (xy 161.706253 97.445858) (xy 161.885858 97.266253) - (xy 161.9 97.280395) (xy 161.914143 97.266253) + (xy 154.473748 97.445858) (xy 154.459605 97.46) (xy 154.473748 97.474143) (xy 154.294143 97.653748) (xy 154.28 97.639605) + (xy 154.265858 97.653748) (xy 154.086253 97.474143) (xy 154.100395 97.46) (xy 154.086253 97.445858) (xy 154.265858 97.266253) + (xy 154.28 97.280395) (xy 154.294143 97.266253) ) ) (filled_polygon (pts - (xy 164.633748 97.445858) (xy 164.619605 97.46) (xy 164.633748 97.474143) (xy 164.454143 97.653748) (xy 164.44 97.639605) - (xy 164.425858 97.653748) (xy 164.246253 97.474143) (xy 164.260395 97.46) (xy 164.246253 97.445858) (xy 164.425858 97.266253) - (xy 164.44 97.280395) (xy 164.454143 97.266253) + (xy 151.933748 97.445858) (xy 151.919605 97.46) (xy 151.933748 97.474143) (xy 151.754143 97.653748) (xy 151.74 97.639605) + (xy 151.725858 97.653748) (xy 151.546253 97.474143) (xy 151.560395 97.46) (xy 151.546253 97.445858) (xy 151.725858 97.266253) + (xy 151.74 97.280395) (xy 151.754143 97.266253) + ) + ) + (filled_polygon + (pts + (xy 149.393748 97.445858) (xy 149.379605 97.46) (xy 149.393748 97.474143) (xy 149.214143 97.653748) (xy 149.2 97.639605) + (xy 149.185858 97.653748) (xy 149.006253 97.474143) (xy 149.020395 97.46) (xy 149.006253 97.445858) (xy 149.185858 97.266253) + (xy 149.2 97.280395) (xy 149.214143 97.266253) + ) + ) + (filled_polygon + (pts + (xy 162.093748 97.445858) (xy 162.079605 97.46) (xy 162.093748 97.474143) (xy 161.914143 97.653748) (xy 161.9 97.639605) + (xy 161.885858 97.653748) (xy 161.706253 97.474143) (xy 161.720395 97.46) (xy 161.706253 97.445858) (xy 161.885858 97.266253) + (xy 161.9 97.280395) (xy 161.914143 97.266253) ) ) (filled_polygon @@ -12776,13 +12562,6 @@ (xy 172.06 97.280395) (xy 172.074143 97.266253) ) ) - (filled_polygon - (pts - (xy 151.933748 97.445858) (xy 151.919605 97.46) (xy 151.933748 97.474143) (xy 151.754143 97.653748) (xy 151.74 97.639605) - (xy 151.725858 97.653748) (xy 151.546253 97.474143) (xy 151.560395 97.46) (xy 151.546253 97.445858) (xy 151.725858 97.266253) - (xy 151.74 97.280395) (xy 151.754143 97.266253) - ) - ) (filled_polygon (pts (xy 127.049992 87.341233) (xy 127.129171 87.489366) (xy 127.168983 87.537878) (xy 127.102616 87.698102) (xy 127.062842 87.898061) @@ -12951,831 +12730,831 @@ (xy 261.550378 13.370593) (xy 261.558017 13.376912) (xy 261.663887 13.463257) (xy 261.717474 13.498859) (xy 261.770583 13.535224) (xy 261.779303 13.539939) (xy 261.899928 13.604076) (xy 261.959402 13.628589) (xy 262.018565 13.653947) (xy 262.028035 13.656878) (xy 262.15882 13.696365) (xy 262.221939 13.708863) (xy 262.284895 13.722245) (xy 262.294754 13.723281) (xy 262.430718 13.736612) - (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 53.031509) - (xy 272.574463 53.001082) (xy 272.276912 52.877832) (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) - (xy 271.025537 53.001082) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) - (xy 270.165 54.288967) (xy 270.165 54.611033) (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) - (xy 270.757748 55.719987) (xy 271.025537 55.898918) (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) - (xy 272.276912 56.022168) (xy 272.574463 55.898918) (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) - (xy 272.276912 68.577832) (xy 271.961033 68.515) (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) - (xy 270.757748 68.880013) (xy 270.530013 69.107748) (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) - (xy 270.165 70.311033) (xy 270.227832 70.626912) (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) - (xy 271.025537 71.598918) (xy 271.323088 71.722168) (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) - (xy 272.574463 71.598918) (xy 272.62 71.568491) (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) - (xy 271.486835 74.335) (xy 271.305398 74.35287) (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) - (xy 270.515667 74.880551) (xy 270.400989 75.095099) (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.309428 75.599475) - (xy 270.309428 75.786183) (xy 270.253881 75.703051) (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) - (xy 269.331448 75.21) (xy 269.063552 75.21) (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) - (xy 268.141119 75.703051) (xy 267.992284 75.925799) (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) - (xy 267.889764 76.966697) (xy 267.992284 77.214201) (xy 267.993079 77.21539) (xy 267.936979 77.316424) (xy 267.898759 77.435526) - (xy 267.8875 77.53825) (xy 267.992248 77.642998) (xy 267.948885 77.642998) (xy 267.679101 77.373214) (xy 267.656826 77.346072) - (xy 267.548532 77.257197) (xy 267.42498 77.191157) (xy 267.290919 77.15049) (xy 267.186435 77.140199) (xy 267.186425 77.140199) - (xy 267.151499 77.136759) (xy 267.116573 77.140199) (xy 265.570926 77.140199) (xy 265.536 77.136759) (xy 265.501074 77.140199) - (xy 265.501065 77.140199) (xy 265.396581 77.15049) (xy 265.286629 77.183844) (xy 265.30785 77.144142) (xy 265.356608 76.983408) - (xy 265.373072 76.81625) (xy 265.373072 76.30375) (xy 265.356608 76.136592) (xy 265.30785 75.975858) (xy 265.228671 75.827725) - (xy 265.122115 75.697885) (xy 264.992275 75.591329) (xy 264.844142 75.51215) (xy 264.683408 75.463392) (xy 264.51625 75.446928) - (xy 264.07875 75.446928) (xy 263.911592 75.463392) (xy 263.750858 75.51215) (xy 263.602725 75.591329) (xy 263.51 75.667426) - (xy 263.417275 75.591329) (xy 263.269142 75.51215) (xy 263.108408 75.463392) (xy 262.94125 75.446928) (xy 262.550799 75.446928) - (xy 262.534369 75.438146) (xy 262.400308 75.397479) (xy 262.295824 75.387188) (xy 262.295814 75.387188) (xy 262.260888 75.383748) - (xy 262.225962 75.387188) (xy 259.21414 75.387188) (xy 259.179212 75.383748) (xy 259.144283 75.387188) (xy 259.144276 75.387188) - (xy 259.039792 75.397479) (xy 258.90573 75.438146) (xy 258.819355 75.484315) (xy 258.782179 75.504186) (xy 258.673885 75.593061) - (xy 258.651615 75.620197) (xy 255.775413 78.4964) (xy 225.117726 78.4964) (xy 216.010293 69.388967) (xy 265.215 69.388967) - (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) - (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) - (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) - (xy 268.485 69.711033) (xy 268.485 69.388967) (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) - (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) - (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) - (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 216.010293 69.388967) (xy 214.416326 67.795) (xy 261.916928 67.795) - (xy 261.929188 67.919482) (xy 261.965498 68.03918) (xy 262.024463 68.149494) (xy 262.103815 68.246185) (xy 262.200506 68.325537) - (xy 262.31082 68.384502) (xy 262.430518 68.420812) (xy 262.555 68.433072) (xy 262.74425 68.43) (xy 262.903 68.27125) - (xy 262.903 67.4845) (xy 263.157 67.4845) (xy 263.157 68.27125) (xy 263.31575 68.43) (xy 263.505 68.433072) - (xy 263.629482 68.420812) (xy 263.74918 68.384502) (xy 263.859494 68.325537) (xy 263.956185 68.246185) (xy 264.035537 68.149494) - (xy 264.094502 68.03918) (xy 264.130812 67.919482) (xy 264.143072 67.795) (xy 264.14 67.64325) (xy 263.98125 67.4845) - (xy 263.157 67.4845) (xy 262.903 67.4845) (xy 262.07875 67.4845) (xy 261.92 67.64325) (xy 261.916928 67.795) - (xy 214.416326 67.795) (xy 210.21314 63.591815) (xy 210.190865 63.564673) (xy 210.082571 63.475798) (xy 209.959019 63.409758) - (xy 209.824958 63.369091) (xy 209.720474 63.3588) (xy 209.720464 63.3588) (xy 209.685538 63.35536) (xy 209.650612 63.3588) - (xy 208.503588 63.3588) (xy 208.501501 63.337608) (xy 208.500101 63.304364) (xy 208.485913 63.245989) (xy 208.478679 63.212113) - (xy 208.59374 63.235) (xy 208.88626 63.235) (xy 209.173158 63.177932) (xy 209.443411 63.06599) (xy 209.686632 62.903475) - (xy 209.893475 62.696632) (xy 210.05599 62.453411) (xy 210.167932 62.183158) (xy 210.225 61.89626) (xy 210.225 61.60374) - (xy 210.167932 61.316842) (xy 210.05599 61.046589) (xy 209.893475 60.803368) (xy 209.686632 60.596525) (xy 209.510594 60.4789) - (xy 209.740269 60.307588) (xy 209.935178 60.091355) (xy 210.070011 59.865) (xy 243.746928 59.865) (xy 243.75 60.01675) - (xy 243.90875 60.1755) (xy 244.733 60.1755) (xy 244.733 59.38875) (xy 244.987 59.38875) (xy 244.987 60.1755) - (xy 245.81125 60.1755) (xy 245.97 60.01675) (xy 245.973072 59.865) (xy 245.960812 59.740518) (xy 245.924502 59.62082) - (xy 245.865537 59.510506) (xy 245.786185 59.413815) (xy 245.689494 59.334463) (xy 245.57918 59.275498) (xy 245.459482 59.239188) - (xy 245.335 59.226928) (xy 245.14575 59.23) (xy 244.987 59.38875) (xy 244.733 59.38875) (xy 244.57425 59.23) - (xy 244.385 59.226928) (xy 244.260518 59.239188) (xy 244.14082 59.275498) (xy 244.030506 59.334463) (xy 243.933815 59.413815) - (xy 243.854463 59.510506) (xy 243.795498 59.62082) (xy 243.759188 59.740518) (xy 243.746928 59.865) (xy 210.070011 59.865) - (xy 210.084157 59.841252) (xy 210.181481 59.566891) (xy 210.060814 59.337) (xy 208.867 59.337) (xy 208.867 59.357) - (xy 208.613 59.357) (xy 208.613 59.337) (xy 208.593 59.337) (xy 208.593 59.083) (xy 208.613 59.083) - (xy 208.613 57.889845) (xy 208.867 57.889845) (xy 208.867 59.083) (xy 210.060814 59.083) (xy 210.181481 58.853109) - (xy 210.084157 58.578748) (xy 209.935178 58.328645) (xy 209.740269 58.112412) (xy 209.50692 57.938359) (xy 209.244099 57.813175) - (xy 209.09689 57.768524) (xy 208.867 57.889845) (xy 208.613 57.889845) (xy 208.38311 57.768524) (xy 208.235901 57.813175) - (xy 207.97308 57.938359) (xy 207.739731 58.112412) (xy 207.544822 58.328645) (xy 207.475195 58.445534) (xy 207.353475 58.263368) - (xy 207.146632 58.056525) (xy 206.903411 57.89401) (xy 206.633158 57.782068) (xy 206.34626 57.725) (xy 206.05374 57.725) - (xy 205.766842 57.782068) (xy 205.496589 57.89401) (xy 205.253368 58.056525) (xy 205.046525 58.263368) (xy 204.93 58.43776) - (xy 204.813475 58.263368) (xy 204.606632 58.056525) (xy 204.363411 57.89401) (xy 204.093158 57.782068) (xy 203.80626 57.725) - (xy 203.51374 57.725) (xy 203.226842 57.782068) (xy 202.956589 57.89401) (xy 202.713368 58.056525) (xy 202.506525 58.263368) - (xy 202.39 58.43776) (xy 202.273475 58.263368) (xy 202.066632 58.056525) (xy 201.823411 57.89401) (xy 201.553158 57.782068) - (xy 201.26626 57.725) (xy 200.97374 57.725) (xy 200.686842 57.782068) (xy 200.416589 57.89401) (xy 200.173368 58.056525) - (xy 199.966525 58.263368) (xy 199.85 58.43776) (xy 199.733475 58.263368) (xy 199.526632 58.056525) (xy 199.283411 57.89401) - (xy 199.013158 57.782068) (xy 198.72626 57.725) (xy 198.43374 57.725) (xy 198.146842 57.782068) (xy 197.876589 57.89401) - (xy 197.633368 58.056525) (xy 197.426525 58.263368) (xy 197.31 58.43776) (xy 197.193475 58.263368) (xy 196.986632 58.056525) - (xy 196.743411 57.89401) (xy 196.473158 57.782068) (xy 196.18626 57.725) (xy 195.89374 57.725) (xy 195.606842 57.782068) - (xy 195.336589 57.89401) (xy 195.093368 58.056525) (xy 194.886525 58.263368) (xy 194.77 58.43776) (xy 194.653475 58.263368) - (xy 194.446632 58.056525) (xy 194.203411 57.89401) (xy 193.933158 57.782068) (xy 193.64626 57.725) (xy 193.35374 57.725) - (xy 193.066842 57.782068) (xy 192.796589 57.89401) (xy 192.553368 58.056525) (xy 192.346525 58.263368) (xy 192.23 58.43776) - (xy 192.113475 58.263368) (xy 191.906632 58.056525) (xy 191.663411 57.89401) (xy 191.393158 57.782068) (xy 191.10626 57.725) - (xy 190.81374 57.725) (xy 190.526842 57.782068) (xy 190.256589 57.89401) (xy 190.013368 58.056525) (xy 189.806525 58.263368) - (xy 189.69 58.43776) (xy 189.573475 58.263368) (xy 189.366632 58.056525) (xy 189.123411 57.89401) (xy 188.853158 57.782068) - (xy 188.56626 57.725) (xy 188.27374 57.725) (xy 187.986842 57.782068) (xy 187.716589 57.89401) (xy 187.473368 58.056525) - (xy 187.266525 58.263368) (xy 187.15 58.43776) (xy 187.033475 58.263368) (xy 186.826632 58.056525) (xy 186.583411 57.89401) - (xy 186.313158 57.782068) (xy 186.02626 57.725) (xy 185.73374 57.725) (xy 185.446842 57.782068) (xy 185.176589 57.89401) - (xy 184.933368 58.056525) (xy 184.726525 58.263368) (xy 184.61 58.43776) (xy 184.493475 58.263368) (xy 184.286632 58.056525) - (xy 184.043411 57.89401) (xy 183.773158 57.782068) (xy 183.48626 57.725) (xy 183.19374 57.725) (xy 182.906842 57.782068) - (xy 182.636589 57.89401) (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) (xy 181.953475 58.263368) - (xy 181.746632 58.056525) (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) (xy 180.65374 57.725) - (xy 180.366842 57.782068) (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) (xy 179.53 58.43776) - (xy 179.413475 58.263368) (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) (xy 178.40626 57.725) - (xy 178.11374 57.725) (xy 177.826842 57.782068) (xy 177.556589 57.89401) (xy 177.313368 58.056525) (xy 177.106525 58.263368) - (xy 176.99 58.43776) (xy 176.873475 58.263368) (xy 176.666632 58.056525) (xy 176.423411 57.89401) (xy 176.153158 57.782068) - (xy 175.86626 57.725) (xy 175.57374 57.725) (xy 175.286842 57.782068) (xy 175.016589 57.89401) (xy 174.773368 58.056525) - (xy 174.566525 58.263368) (xy 174.45 58.43776) (xy 174.333475 58.263368) (xy 174.126632 58.056525) (xy 173.883411 57.89401) - (xy 173.613158 57.782068) (xy 173.32626 57.725) (xy 173.03374 57.725) (xy 172.746842 57.782068) (xy 172.476589 57.89401) - (xy 172.233368 58.056525) (xy 172.026525 58.263368) (xy 171.91 58.43776) (xy 171.793475 58.263368) (xy 171.586632 58.056525) - (xy 171.343411 57.89401) (xy 171.073158 57.782068) (xy 170.78626 57.725) (xy 170.49374 57.725) (xy 170.206842 57.782068) - (xy 169.936589 57.89401) (xy 169.693368 58.056525) (xy 169.486525 58.263368) (xy 169.364805 58.445534) (xy 169.295178 58.328645) - (xy 169.100269 58.112412) (xy 168.86692 57.938359) (xy 168.604099 57.813175) (xy 168.45689 57.768524) (xy 168.227 57.889845) - (xy 168.227 59.083) (xy 168.247 59.083) (xy 168.247 59.337) (xy 168.227 59.337) (xy 168.227 61.623) - (xy 168.247 61.623) (xy 168.247 61.877) (xy 168.227 61.877) (xy 168.227 63.070155) (xy 168.45689 63.191476) - (xy 168.604099 63.146825) (xy 168.86692 63.021641) (xy 169.100269 62.847588) (xy 169.295178 62.631355) (xy 169.364805 62.514466) - (xy 169.486525 62.696632) (xy 169.693368 62.903475) (xy 169.923379 63.057163) (xy 163.43431 69.546233) (xy 163.407174 69.568503) - (xy 163.384904 69.595639) (xy 163.384903 69.59564) (xy 163.318298 69.676798) (xy 163.292405 69.725241) (xy 163.252259 69.800349) - (xy 163.211592 69.93441) (xy 163.205546 69.995798) (xy 163.19786 70.07383) (xy 163.201301 70.108766) (xy 163.201301 71.124449) - (xy 163.127 71.19875) (xy 163.127 71.9855) (xy 163.147 71.9855) (xy 163.147 72.2395) (xy 163.127 72.2395) - (xy 163.127 72.2595) (xy 162.873 72.2595) (xy 162.873 72.2395) (xy 162.853 72.2395) (xy 162.853 71.9855) - (xy 162.873 71.9855) (xy 162.873 71.19875) (xy 162.71425 71.04) (xy 162.525 71.036928) (xy 162.400518 71.049188) - (xy 162.28082 71.085498) (xy 162.170506 71.144463) (xy 162.073815 71.223815) (xy 162.03329 71.273195) (xy 161.982275 71.231329) - (xy 161.834142 71.15215) (xy 161.673408 71.103392) (xy 161.50625 71.086928) (xy 161.06875 71.086928) (xy 160.901592 71.103392) - (xy 160.740858 71.15215) (xy 160.592725 71.231329) (xy 160.5 71.307426) (xy 160.4237 71.244809) (xy 160.4237 65.352087) - (xy 162.596029 63.179759) (xy 162.87374 63.235) (xy 163.16626 63.235) (xy 163.453158 63.177932) (xy 163.723411 63.06599) - (xy 163.966632 62.903475) (xy 164.173475 62.696632) (xy 164.29 62.52224) (xy 164.406525 62.696632) (xy 164.613368 62.903475) - (xy 164.856589 63.06599) (xy 165.126842 63.177932) (xy 165.41374 63.235) (xy 165.70626 63.235) (xy 165.993158 63.177932) - (xy 166.263411 63.06599) (xy 166.506632 62.903475) (xy 166.713475 62.696632) (xy 166.835195 62.514466) (xy 166.904822 62.631355) - (xy 167.099731 62.847588) (xy 167.33308 63.021641) (xy 167.595901 63.146825) (xy 167.74311 63.191476) (xy 167.973 63.070155) - (xy 167.973 61.877) (xy 167.953 61.877) (xy 167.953 61.623) (xy 167.973 61.623) (xy 167.973 59.337) - (xy 167.953 59.337) (xy 167.953 59.083) (xy 167.973 59.083) (xy 167.973 57.889845) (xy 167.74311 57.768524) - (xy 167.595901 57.813175) (xy 167.33308 57.938359) (xy 167.099731 58.112412) (xy 166.904822 58.328645) (xy 166.835195 58.445534) - (xy 166.8125 58.411569) (xy 166.8125 56.746657) (xy 166.835506 56.765537) (xy 166.94582 56.824502) (xy 167.065518 56.860812) - (xy 167.19 56.873072) (xy 167.34175 56.87) (xy 167.5005 56.71125) (xy 167.5005 55.887) (xy 167.7545 55.887) - (xy 167.7545 56.71125) (xy 167.91325 56.87) (xy 168.065 56.873072) (xy 168.189482 56.860812) (xy 168.30918 56.824502) - (xy 168.419494 56.765537) (xy 168.516185 56.686185) (xy 168.595537 56.589494) (xy 168.654502 56.47918) (xy 168.690812 56.359482) - (xy 168.703072 56.235) (xy 168.7 56.04575) (xy 168.54125 55.887) (xy 167.7545 55.887) (xy 167.5005 55.887) - (xy 167.4805 55.887) (xy 167.4805 55.633) (xy 167.5005 55.633) (xy 167.5005 54.80875) (xy 167.7545 54.80875) - (xy 167.7545 55.633) (xy 168.54125 55.633) (xy 168.7 55.47425) (xy 168.703072 55.285) (xy 168.690812 55.160518) - (xy 168.654502 55.04082) (xy 168.595537 54.930506) (xy 168.516185 54.833815) (xy 168.419494 54.754463) (xy 168.30918 54.695498) - (xy 168.189482 54.659188) (xy 168.065 54.646928) (xy 167.91325 54.65) (xy 167.7545 54.80875) (xy 167.5005 54.80875) - (xy 167.34175 54.65) (xy 167.19 54.646928) (xy 167.065518 54.659188) (xy 166.94582 54.695498) (xy 166.835506 54.754463) - (xy 166.76893 54.8091) (xy 166.747275 54.791329) (xy 166.599142 54.71215) (xy 166.438408 54.663392) (xy 166.27125 54.646928) - (xy 165.83375 54.646928) (xy 165.666592 54.663392) (xy 165.505858 54.71215) (xy 165.357725 54.791329) (xy 165.227885 54.897885) - (xy 165.121329 55.027725) (xy 165.04215 55.175858) (xy 164.993392 55.336592) (xy 164.976928 55.50375) (xy 164.976928 56.01625) - (xy 164.993392 56.183408) (xy 165.04215 56.344142) (xy 165.121329 56.492275) (xy 165.227885 56.622115) (xy 165.2925 56.675143) - (xy 165.292501 57.749116) (xy 165.126842 57.782068) (xy 164.856589 57.89401) (xy 164.613368 58.056525) (xy 164.406525 58.263368) - (xy 164.29 58.43776) (xy 164.173475 58.263368) (xy 163.966632 58.056525) (xy 163.723411 57.89401) (xy 163.453158 57.782068) - (xy 163.16626 57.725) (xy 162.87374 57.725) (xy 162.586842 57.782068) (xy 162.316589 57.89401) (xy 162.073368 58.056525) - (xy 161.866525 58.263368) (xy 161.75 58.43776) (xy 161.633475 58.263368) (xy 161.426632 58.056525) (xy 161.183411 57.89401) - (xy 160.913158 57.782068) (xy 160.62626 57.725) (xy 160.33374 57.725) (xy 160.046842 57.782068) (xy 159.776589 57.89401) - (xy 159.533368 58.056525) (xy 159.326525 58.263368) (xy 159.21 58.43776) (xy 159.093475 58.263368) (xy 158.886632 58.056525) - (xy 158.643411 57.89401) (xy 158.373158 57.782068) (xy 158.08626 57.725) (xy 157.79374 57.725) (xy 157.506842 57.782068) - (xy 157.236589 57.89401) (xy 156.993368 58.056525) (xy 156.786525 58.263368) (xy 156.67 58.43776) (xy 156.553475 58.263368) - (xy 156.346632 58.056525) (xy 156.103411 57.89401) (xy 155.833158 57.782068) (xy 155.54626 57.725) (xy 155.25374 57.725) - (xy 154.966842 57.782068) (xy 154.696589 57.89401) (xy 154.453368 58.056525) (xy 154.246525 58.263368) (xy 154.13 58.43776) - (xy 154.013475 58.263368) (xy 153.806632 58.056525) (xy 153.563411 57.89401) (xy 153.293158 57.782068) (xy 153.00626 57.725) - (xy 152.71374 57.725) (xy 152.426842 57.782068) (xy 152.156589 57.89401) (xy 151.913368 58.056525) (xy 151.706525 58.263368) - (xy 151.59 58.43776) (xy 151.473475 58.263368) (xy 151.266632 58.056525) (xy 151.023411 57.89401) (xy 150.753158 57.782068) - (xy 150.46626 57.725) (xy 150.17374 57.725) (xy 149.886842 57.782068) (xy 149.616589 57.89401) (xy 149.373368 58.056525) - (xy 149.166525 58.263368) (xy 149.05 58.43776) (xy 148.933475 58.263368) (xy 148.726632 58.056525) (xy 148.483411 57.89401) - (xy 148.213158 57.782068) (xy 147.92626 57.725) (xy 147.63374 57.725) (xy 147.346842 57.782068) (xy 147.188419 57.847689) - (xy 147.152597 57.80404) (xy 147.152593 57.804036) (xy 147.130326 57.776904) (xy 147.103195 57.754638) (xy 145.1862 55.837644) - (xy 145.1862 53.01) (xy 145.252002 53.01) (xy 145.252002 52.880252) (xy 145.38175 53.01) (xy 145.483561 52.998937) - (xy 145.602719 52.960892) (xy 145.712165 52.900331) (xy 145.807693 52.819582) (xy 145.885632 52.721748) (xy 145.942987 52.610589) - (xy 145.977554 52.490376) (xy 145.988005 52.365729) (xy 145.985 51.88575) (xy 145.82625 51.727) (xy 145.338072 51.727) - (xy 145.338072 51.497224) (xy 180.723366 51.497224) (xy 180.726371 51.977203) (xy 180.885121 52.135953) (xy 181.488371 52.135953) - (xy 181.488371 52.089203) (xy 181.535121 52.135953) (xy 183.033371 52.135953) (xy 183.033371 52.389953) (xy 181.535121 52.389953) - (xy 181.488371 52.436703) (xy 181.488371 52.389953) (xy 180.885121 52.389953) (xy 180.726371 52.548703) (xy 180.723366 53.028682) - (xy 180.733817 53.153329) (xy 180.768384 53.273542) (xy 180.825739 53.384701) (xy 180.903678 53.482535) (xy 180.999206 53.563284) - (xy 181.108652 53.623845) (xy 181.22781 53.66189) (xy 181.329621 53.672953) (xy 181.488371 53.514203) (xy 181.488371 53.400557) - (xy 181.553678 53.482535) (xy 181.649206 53.563284) (xy 181.758652 53.623845) (xy 181.810599 53.640431) (xy 181.843121 53.672953) - (xy 181.911371 53.665537) (xy 181.979621 53.672953) (xy 182.012143 53.640431) (xy 182.06409 53.623845) (xy 182.173536 53.563284) - (xy 182.236371 53.51017) (xy 182.299206 53.563284) (xy 182.408652 53.623845) (xy 182.460599 53.640431) (xy 182.493121 53.672953) - (xy 182.561371 53.665537) (xy 182.629621 53.672953) (xy 182.662143 53.640431) (xy 182.71409 53.623845) (xy 182.823536 53.563284) - (xy 182.886371 53.51017) (xy 182.949206 53.563284) (xy 183.058652 53.623845) (xy 183.110599 53.640431) (xy 183.143121 53.672953) - (xy 183.211371 53.665537) (xy 183.279621 53.672953) (xy 183.312143 53.640431) (xy 183.36409 53.623845) (xy 183.409369 53.59879) - (xy 183.409369 53.672953) (xy 183.475172 53.672953) (xy 183.475172 54.061117) (xy 183.396063 54.140226) (xy 183.282795 54.309744) - (xy 183.204774 54.498102) (xy 183.165 54.698061) (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) - (xy 183.396063 55.459774) (xy 183.540226 55.603937) (xy 183.709744 55.717205) (xy 183.898102 55.795226) (xy 184.098061 55.835) - (xy 184.301939 55.835) (xy 184.501898 55.795226) (xy 184.690256 55.717205) (xy 184.8 55.643877) (xy 184.909744 55.717205) - (xy 185.098102 55.795226) (xy 185.298061 55.835) (xy 185.501939 55.835) (xy 185.701898 55.795226) (xy 185.890256 55.717205) - (xy 186 55.643877) (xy 186.109744 55.717205) (xy 186.298102 55.795226) (xy 186.498061 55.835) (xy 186.701939 55.835) - (xy 186.901898 55.795226) (xy 187.090256 55.717205) (xy 187.2 55.643877) (xy 187.309744 55.717205) (xy 187.498102 55.795226) - (xy 187.698061 55.835) (xy 187.901939 55.835) (xy 188.101898 55.795226) (xy 188.290256 55.717205) (xy 188.459774 55.603937) - (xy 188.603937 55.459774) (xy 188.717205 55.290256) (xy 188.795226 55.101898) (xy 188.835 54.901939) (xy 188.835 54.698061) - (xy 188.797991 54.512001) (xy 188.909473 54.558179) (xy 189.109432 54.597953) (xy 189.31331 54.597953) (xy 189.513269 54.558179) - (xy 189.701627 54.480158) (xy 189.871145 54.36689) (xy 190.015308 54.222727) (xy 190.128576 54.053209) (xy 190.206597 53.864851) - (xy 190.246371 53.664892) (xy 190.246371 53.461014) (xy 190.206597 53.261055) (xy 190.128576 53.072697) (xy 190.104726 53.037002) - (xy 190.180042 52.945228) (xy 190.259221 52.797095) (xy 190.307979 52.636361) (xy 190.324443 52.469203) (xy 190.324443 52.031703) - (xy 190.307979 51.864545) (xy 190.259221 51.703811) (xy 190.180042 51.555678) (xy 190.162271 51.534023) (xy 190.216908 51.467447) - (xy 190.275873 51.357133) (xy 190.312183 51.237435) (xy 190.324443 51.112953) (xy 190.321371 50.961203) (xy 190.162621 50.802453) - (xy 189.338371 50.802453) (xy 189.338371 50.822453) (xy 189.084371 50.822453) (xy 189.084371 50.802453) (xy 188.260121 50.802453) - (xy 188.101371 50.961203) (xy 188.100049 51.026504) (xy 188.015865 50.957416) (xy 187.905551 50.898451) (xy 187.785853 50.862141) - (xy 187.661371 50.849881) (xy 187.211371 50.849881) (xy 187.108284 50.860034) (xy 187.043121 50.852953) (xy 187.010874 50.8852) - (xy 186.967191 50.898451) (xy 186.856877 50.957416) (xy 186.786371 51.015279) (xy 186.715865 50.957416) (xy 186.605551 50.898451) - (xy 186.561868 50.8852) (xy 186.529621 50.852953) (xy 186.464458 50.860034) (xy 186.361371 50.849881) (xy 185.911371 50.849881) - (xy 185.811371 50.85973) (xy 185.711371 50.849881) (xy 185.261371 50.849881) (xy 185.161371 50.85973) (xy 185.061371 50.849881) - (xy 184.611371 50.849881) (xy 184.511371 50.85973) (xy 184.411371 50.849881) (xy 183.961371 50.849881) (xy 183.858284 50.860034) - (xy 183.793121 50.852953) (xy 183.760874 50.8852) (xy 183.717191 50.898451) (xy 183.606877 50.957416) (xy 183.536097 51.015504) - (xy 183.473536 50.962622) (xy 183.36409 50.902061) (xy 183.312143 50.885475) (xy 183.279621 50.852953) (xy 183.211371 50.860369) - (xy 183.143121 50.852953) (xy 183.110599 50.885475) (xy 183.058652 50.902061) (xy 182.949206 50.962622) (xy 182.886371 51.015736) - (xy 182.823536 50.962622) (xy 182.71409 50.902061) (xy 182.662143 50.885475) (xy 182.629621 50.852953) (xy 182.561371 50.860369) - (xy 182.493121 50.852953) (xy 182.460599 50.885475) (xy 182.408652 50.902061) (xy 182.299206 50.962622) (xy 182.236371 51.015736) - (xy 182.173536 50.962622) (xy 182.06409 50.902061) (xy 182.012143 50.885475) (xy 181.979621 50.852953) (xy 181.911371 50.860369) - (xy 181.843121 50.852953) (xy 181.810599 50.885475) (xy 181.758652 50.902061) (xy 181.649206 50.962622) (xy 181.553678 51.043371) - (xy 181.488371 51.125349) (xy 181.488371 51.011703) (xy 181.329621 50.852953) (xy 181.22781 50.864016) (xy 181.108652 50.902061) - (xy 180.999206 50.962622) (xy 180.903678 51.043371) (xy 180.825739 51.141205) (xy 180.768384 51.252364) (xy 180.733817 51.372577) - (xy 180.723366 51.497224) (xy 145.338072 51.497224) (xy 145.338072 51.473) (xy 145.82625 51.473) (xy 145.985 51.31425) - (xy 145.988005 50.834271) (xy 145.977554 50.709624) (xy 145.942987 50.589411) (xy 145.885632 50.478252) (xy 145.807693 50.380418) - (xy 145.712165 50.299669) (xy 145.602719 50.239108) (xy 145.599102 50.237953) (xy 188.098299 50.237953) (xy 188.101371 50.389703) - (xy 188.260121 50.548453) (xy 189.084371 50.548453) (xy 189.084371 49.761703) (xy 189.338371 49.761703) (xy 189.338371 50.548453) - (xy 190.162621 50.548453) (xy 190.321371 50.389703) (xy 190.324443 50.237953) (xy 190.312183 50.113471) (xy 190.275873 49.993773) - (xy 190.216908 49.883459) (xy 190.137556 49.786768) (xy 190.040865 49.707416) (xy 189.930551 49.648451) (xy 189.810853 49.612141) - (xy 189.686371 49.599881) (xy 189.497121 49.602953) (xy 189.338371 49.761703) (xy 189.084371 49.761703) (xy 188.925621 49.602953) - (xy 188.736371 49.599881) (xy 188.611889 49.612141) (xy 188.492191 49.648451) (xy 188.381877 49.707416) (xy 188.285186 49.786768) - (xy 188.205834 49.883459) (xy 188.146869 49.993773) (xy 188.110559 50.113471) (xy 188.098299 50.237953) (xy 145.599102 50.237953) - (xy 145.483561 50.201063) (xy 145.38175 50.19) (xy 145.223 50.34875) (xy 145.223 50.461322) (xy 145.151185 50.373815) - (xy 145.054494 50.294463) (xy 144.94418 50.235498) (xy 144.900497 50.222247) (xy 144.86825 50.19) (xy 144.803087 50.197081) - (xy 144.7 50.186928) (xy 144.25 50.186928) (xy 144.15 50.196777) (xy 144.05 50.186928) (xy 143.6 50.186928) - (xy 143.5 50.196777) (xy 143.4 50.186928) (xy 142.95 50.186928) (xy 142.85 50.196777) (xy 142.75 50.186928) - (xy 142.3 50.186928) (xy 142.2 50.196777) (xy 142.1 50.186928) (xy 141.65 50.186928) (xy 141.55 50.196777) - (xy 141.45 50.186928) (xy 141 50.186928) (xy 140.9 50.196777) (xy 140.8 50.186928) (xy 140.448881 50.186928) - (xy 140.522249 50.164672) (xy 140.645801 50.098632) (xy 140.754095 50.009757) (xy 140.77637 49.982615) (xy 143.003195 47.755791) - (xy 143.030326 47.733525) (xy 143.052593 47.706393) (xy 143.052597 47.706389) (xy 143.119202 47.625231) (xy 143.185241 47.50168) - (xy 143.185242 47.501679) (xy 143.212121 47.413072) (xy 143.4 47.413072) (xy 143.5 47.403223) (xy 143.6 47.413072) - (xy 144.05 47.413072) (xy 144.15 47.403223) (xy 144.25 47.413072) (xy 144.7 47.413072) (xy 144.8 47.403223) - (xy 144.9 47.413072) (xy 145.35 47.413072) (xy 145.474482 47.400812) (xy 145.59418 47.364502) (xy 145.704494 47.305537) - (xy 145.801185 47.226185) (xy 145.880537 47.129494) (xy 145.939502 47.01918) (xy 145.975812 46.899482) (xy 145.988072 46.775) - (xy 145.988072 45.225) (xy 145.975812 45.100518) (xy 145.939502 44.98082) (xy 145.880537 44.870506) (xy 145.8362 44.816481) - (xy 145.8362 32.869587) (xy 146.796797 31.908992) (xy 146.823928 31.886726) (xy 146.846194 31.859595) (xy 146.846199 31.85959) - (xy 146.912803 31.778432) (xy 146.978843 31.654881) (xy 147.01951 31.520819) (xy 147.01983 31.517565) (xy 147.029801 31.416335) - (xy 147.029801 31.416328) (xy 147.033241 31.381399) (xy 147.029801 31.346471) (xy 147.029801 31.284727) (xy 147.076589 31.31599) - (xy 147.346842 31.427932) (xy 147.63374 31.485) (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) - (xy 148.726632 31.153475) (xy 148.933475 30.946632) (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) - (xy 149.616589 31.31599) (xy 149.886842 31.427932) (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) - (xy 151.023411 31.31599) (xy 151.266632 31.153475) (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) - (xy 151.913368 31.153475) (xy 152.156589 31.31599) (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) - (xy 153.293158 31.427932) (xy 153.563411 31.31599) (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) - (xy 154.246525 30.946632) (xy 154.453368 31.153475) (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) - (xy 155.54626 31.485) (xy 155.833158 31.427932) (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) - (xy 156.67 30.77224) (xy 156.786525 30.946632) (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) - (xy 157.79374 31.485) (xy 158.08626 31.485) (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) - (xy 159.093475 30.946632) (xy 159.21 30.77224) (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) - (xy 160.046842 31.427932) (xy 160.33374 31.485) (xy 160.62626 31.485) (xy 160.903972 31.429759) (xy 173.352407 43.878196) - (xy 173.374673 43.905327) (xy 173.401804 43.927593) (xy 173.401809 43.927598) (xy 173.482967 43.994202) (xy 173.606518 44.060242) - (xy 173.700438 44.088732) (xy 173.74058 44.100909) (xy 173.845064 44.1112) (xy 173.845071 44.1112) (xy 173.88 44.11464) - (xy 173.914928 44.1112) (xy 182.206231 44.1112) (xy 183.409369 45.314339) (xy 183.409369 45.327116) (xy 183.36409 45.302061) - (xy 183.312143 45.285475) (xy 183.279621 45.252953) (xy 183.211371 45.260369) (xy 183.143121 45.252953) (xy 183.110599 45.285475) - (xy 183.058652 45.302061) (xy 182.949206 45.362622) (xy 182.886371 45.415736) (xy 182.823536 45.362622) (xy 182.71409 45.302061) - (xy 182.662143 45.285475) (xy 182.629621 45.252953) (xy 182.561371 45.260369) (xy 182.493121 45.252953) (xy 182.460599 45.285475) - (xy 182.408652 45.302061) (xy 182.299206 45.362622) (xy 182.236371 45.415736) (xy 182.173536 45.362622) (xy 182.06409 45.302061) - (xy 182.012143 45.285475) (xy 181.979621 45.252953) (xy 181.911371 45.260369) (xy 181.843121 45.252953) (xy 181.810599 45.285475) - (xy 181.758652 45.302061) (xy 181.649206 45.362622) (xy 181.553678 45.443371) (xy 181.488371 45.525349) (xy 181.488371 45.411703) - (xy 181.329621 45.252953) (xy 181.22781 45.264016) (xy 181.108652 45.302061) (xy 180.999206 45.362622) (xy 180.903678 45.443371) - (xy 180.825739 45.541205) (xy 180.768384 45.652364) (xy 180.733817 45.772577) (xy 180.723366 45.897224) (xy 180.726371 46.377203) - (xy 180.885121 46.535953) (xy 181.488371 46.535953) (xy 181.488371 46.489203) (xy 181.535121 46.535953) (xy 183.683371 46.535953) - (xy 183.683371 46.789953) (xy 181.535121 46.789953) (xy 181.488371 46.836703) (xy 181.488371 46.789953) (xy 180.885121 46.789953) - (xy 180.726371 46.948703) (xy 180.723366 47.428682) (xy 180.733817 47.553329) (xy 180.768384 47.673542) (xy 180.825739 47.784701) - (xy 180.903678 47.882535) (xy 180.999206 47.963284) (xy 181.108652 48.023845) (xy 181.22781 48.06189) (xy 181.329621 48.072953) - (xy 181.488371 47.914203) (xy 181.488371 47.800557) (xy 181.553678 47.882535) (xy 181.649206 47.963284) (xy 181.758652 48.023845) - (xy 181.810599 48.040431) (xy 181.843121 48.072953) (xy 181.911371 48.065537) (xy 181.979621 48.072953) (xy 182.012143 48.040431) - (xy 182.06409 48.023845) (xy 182.173536 47.963284) (xy 182.236371 47.91017) (xy 182.299206 47.963284) (xy 182.408652 48.023845) - (xy 182.460599 48.040431) (xy 182.493121 48.072953) (xy 182.561371 48.065537) (xy 182.629621 48.072953) (xy 182.662143 48.040431) - (xy 182.71409 48.023845) (xy 182.823536 47.963284) (xy 182.886371 47.91017) (xy 182.949206 47.963284) (xy 183.058652 48.023845) - (xy 183.110599 48.040431) (xy 183.143121 48.072953) (xy 183.211371 48.065537) (xy 183.279621 48.072953) (xy 183.312143 48.040431) - (xy 183.36409 48.023845) (xy 183.473536 47.963284) (xy 183.536371 47.91017) (xy 183.599206 47.963284) (xy 183.708652 48.023845) - (xy 183.760599 48.040431) (xy 183.793121 48.072953) (xy 183.861371 48.065537) (xy 183.929621 48.072953) (xy 183.962143 48.040431) - (xy 184.01409 48.023845) (xy 184.123536 47.963284) (xy 184.186097 47.910402) (xy 184.256877 47.96849) (xy 184.367191 48.027455) - (xy 184.410874 48.040706) (xy 184.443121 48.072953) (xy 184.508284 48.065872) (xy 184.611371 48.076025) (xy 185.061371 48.076025) - (xy 185.161371 48.066176) (xy 185.261371 48.076025) (xy 185.711371 48.076025) (xy 185.811371 48.066176) (xy 185.911371 48.076025) - (xy 186.361371 48.076025) (xy 186.461371 48.066176) (xy 186.561371 48.076025) (xy 187.011371 48.076025) (xy 187.111371 48.066176) - (xy 187.211371 48.076025) (xy 187.661371 48.076025) (xy 187.785853 48.063765) (xy 187.905551 48.027455) (xy 188.015865 47.96849) - (xy 188.112556 47.889138) (xy 188.191908 47.792447) (xy 188.250873 47.682133) (xy 188.287183 47.562435) (xy 188.299443 47.437953) - (xy 188.299443 45.887953) (xy 188.287183 45.763471) (xy 188.250873 45.643773) (xy 188.191908 45.533459) (xy 188.112556 45.436768) - (xy 188.015865 45.357416) (xy 187.905551 45.298451) (xy 187.785853 45.262141) (xy 187.661371 45.249881) (xy 187.307829 45.249881) - (xy 187.291698 45.230226) (xy 187.264567 45.20796) (xy 184.02842 41.971815) (xy 184.006145 41.944673) (xy 183.897851 41.855798) - (xy 183.774299 41.789758) (xy 183.640238 41.749091) (xy 183.535754 41.7388) (xy 183.535744 41.7388) (xy 183.500818 41.73536) - (xy 183.465892 41.7388) (xy 179.248259 41.7388) (xy 172.196122 34.686664) (xy 172.26918 34.664502) (xy 172.379494 34.605537) - (xy 172.44607 34.5509) (xy 172.467725 34.568671) (xy 172.615858 34.64785) (xy 172.776592 34.696608) (xy 172.94375 34.713072) - (xy 173.38125 34.713072) (xy 173.548408 34.696608) (xy 173.709142 34.64785) (xy 173.857275 34.568671) (xy 173.987115 34.462115) - (xy 174.093671 34.332275) (xy 174.17285 34.184142) (xy 174.221608 34.023408) (xy 174.238072 33.85625) (xy 174.238072 33.34375) - (xy 174.221608 33.176592) (xy 174.17285 33.015858) (xy 174.093671 32.867725) (xy 173.987115 32.737885) (xy 173.9225 32.684857) - (xy 173.9225 31.289872) (xy 174.126632 31.153475) (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) - (xy 174.773368 31.153475) (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) - (xy 176.143972 31.429759) (xy 201.763792 57.04958) (xy 201.786063 57.076717) (xy 201.894357 57.165592) (xy 202.017909 57.231632) - (xy 202.15197 57.272299) (xy 202.256454 57.28259) (xy 202.256463 57.28259) (xy 202.291389 57.28603) (xy 202.326315 57.28259) - (xy 222.421966 57.28259) (xy 222.942571 57.803196) (xy 222.964837 57.830327) (xy 222.991968 57.852593) (xy 222.991972 57.852597) - (xy 223.043194 57.894633) (xy 223.073131 57.919202) (xy 223.196683 57.985242) (xy 223.330744 58.025909) (xy 223.435228 58.0362) - (xy 223.435245 58.0362) (xy 223.470163 58.039639) (xy 223.505081 58.0362) (xy 244.515 58.0362) (xy 244.515 58.076939) - (xy 244.554774 58.276898) (xy 244.632795 58.465256) (xy 244.746063 58.634774) (xy 244.890226 58.778937) (xy 245.059744 58.892205) - (xy 245.248102 58.970226) (xy 245.448061 59.01) (xy 245.651939 59.01) (xy 245.851898 58.970226) (xy 246.040256 58.892205) - (xy 246.209774 58.778937) (xy 246.278711 58.71) (xy 246.66 58.71) (xy 246.66 58.752002) (xy 246.744955 58.752002) - (xy 246.716485 58.805265) (xy 246.66 58.86175) (xy 246.669144 58.945968) (xy 246.656928 59.07) (xy 246.656928 59.48) - (xy 246.668747 59.6) (xy 246.656928 59.72) (xy 246.656928 60.13) (xy 246.668747 60.25) (xy 246.656928 60.37) - (xy 246.656928 60.78) (xy 246.668747 60.9) (xy 246.665533 60.932628) (xy 246.531898 60.877274) (xy 246.331939 60.8375) - (xy 246.128061 60.8375) (xy 245.958783 60.871171) (xy 245.960812 60.864482) (xy 245.973072 60.74) (xy 245.97 60.58825) - (xy 245.81125 60.4295) (xy 244.987 60.4295) (xy 244.987 60.4495) (xy 244.733 60.4495) (xy 244.733 60.4295) - (xy 243.90875 60.4295) (xy 243.75 60.58825) (xy 243.746928 60.74) (xy 243.759188 60.864482) (xy 243.795498 60.98418) - (xy 243.854463 61.094494) (xy 243.9091 61.16107) (xy 243.891329 61.182725) (xy 243.81215 61.330858) (xy 243.763392 61.491592) - (xy 243.746928 61.65875) (xy 243.746928 62.09625) (xy 243.763392 62.263408) (xy 243.81215 62.424142) (xy 243.891329 62.572275) - (xy 243.997885 62.702115) (xy 244.127725 62.808671) (xy 244.275858 62.88785) (xy 244.436592 62.936608) (xy 244.60375 62.953072) - (xy 245.11625 62.953072) (xy 245.283408 62.936608) (xy 245.444142 62.88785) (xy 245.592275 62.808671) (xy 245.671196 62.743903) - (xy 245.739744 62.789705) (xy 245.928102 62.867726) (xy 246.128061 62.9075) (xy 246.331939 62.9075) (xy 246.531898 62.867726) - (xy 246.66506 62.812568) (xy 246.669188 62.854482) (xy 246.705498 62.97418) (xy 246.764463 63.084494) (xy 246.843815 63.181185) - (xy 246.940506 63.260537) (xy 247.05082 63.319502) (xy 247.128306 63.343007) (xy 247.084774 63.448102) (xy 247.045 63.648061) - (xy 247.045 63.851939) (xy 247.084774 64.051898) (xy 247.162795 64.240256) (xy 247.276063 64.409774) (xy 247.420226 64.553937) - (xy 247.589744 64.667205) (xy 247.778102 64.745226) (xy 247.978061 64.785) (xy 248.181939 64.785) (xy 248.381898 64.745226) - (xy 248.570256 64.667205) (xy 248.739774 64.553937) (xy 248.883937 64.409774) (xy 248.997205 64.240256) (xy 249.075226 64.051898) - (xy 249.115 63.851939) (xy 249.115 63.648061) (xy 249.075226 63.448102) (xy 249.031694 63.343007) (xy 249.10918 63.319502) - (xy 249.219494 63.260537) (xy 249.316185 63.181185) (xy 249.395537 63.084494) (xy 249.454502 62.97418) (xy 249.490812 62.854482) - (xy 249.503072 62.73) (xy 249.503072 62.716459) (xy 253.814807 67.028196) (xy 253.837073 67.055327) (xy 253.864204 67.077593) - (xy 253.864208 67.077597) (xy 253.923151 67.12597) (xy 253.945367 67.144202) (xy 254.068919 67.210242) (xy 254.20298 67.250909) - (xy 254.307464 67.2612) (xy 254.307473 67.2612) (xy 254.342399 67.26464) (xy 254.377325 67.2612) (xy 264.702872 67.2612) - (xy 264.75582 67.289502) (xy 264.875518 67.325812) (xy 265 67.338072) (xy 266.9 67.338072) (xy 267.024482 67.325812) - (xy 267.14418 67.289502) (xy 267.254494 67.230537) (xy 267.351185 67.151185) (xy 267.430537 67.054494) (xy 267.489502 66.94418) - (xy 267.525812 66.824482) (xy 267.538072 66.7) (xy 267.538072 66.4) (xy 267.528223 66.3) (xy 267.538072 66.2) - (xy 267.538072 65.9) (xy 267.52796 65.797326) (xy 267.535 65.73175) (xy 267.502753 65.699503) (xy 267.489502 65.65582) - (xy 267.432939 65.55) (xy 267.489502 65.44418) (xy 267.502753 65.400497) (xy 267.535 65.36825) (xy 267.52796 65.302674) - (xy 267.538072 65.2) (xy 267.538072 64.9) (xy 267.528223 64.8) (xy 267.538072 64.7) (xy 267.538072 64.4) - (xy 267.528223 64.3) (xy 267.538072 64.2) (xy 267.538072 63.9) (xy 267.528223 63.8) (xy 267.538072 63.7) - (xy 267.538072 63.4) (xy 267.528223 63.3) (xy 267.538072 63.2) (xy 267.538072 62.9) (xy 267.52796 62.797326) - (xy 267.535 62.73175) (xy 267.502753 62.699503) (xy 267.489502 62.65582) (xy 267.432939 62.55) (xy 267.489502 62.44418) - (xy 267.502753 62.400497) (xy 267.535 62.36825) (xy 267.52796 62.302674) (xy 267.538072 62.2) (xy 267.538072 61.9) - (xy 267.528223 61.8) (xy 267.538072 61.7) (xy 267.538072 61.4) (xy 267.52796 61.297326) (xy 267.535 61.23175) - (xy 267.502753 61.199503) (xy 267.489502 61.15582) (xy 267.432939 61.05) (xy 267.489502 60.94418) (xy 267.502753 60.900497) - (xy 267.535 60.86825) (xy 267.52796 60.802674) (xy 267.538072 60.7) (xy 267.538072 60.4) (xy 267.528223 60.3) - (xy 267.538072 60.2) (xy 267.538072 59.9) (xy 267.52796 59.797326) (xy 267.535 59.73175) (xy 267.502753 59.699503) - (xy 267.489502 59.65582) (xy 267.432939 59.55) (xy 267.489502 59.44418) (xy 267.502753 59.400497) (xy 267.535 59.36825) - (xy 267.52796 59.302674) (xy 267.538072 59.2) (xy 267.538072 58.9) (xy 267.528223 58.8) (xy 267.538072 58.7) - (xy 267.538072 58.4) (xy 267.52796 58.297326) (xy 267.535 58.23175) (xy 267.502753 58.199503) (xy 267.489502 58.15582) - (xy 267.432939 58.05) (xy 267.489502 57.94418) (xy 267.502753 57.900497) (xy 267.535 57.86825) (xy 267.52796 57.802674) - (xy 267.538072 57.7) (xy 267.538072 57.4) (xy 267.525812 57.275518) (xy 267.489502 57.15582) (xy 267.430537 57.045506) - (xy 267.351185 56.948815) (xy 267.254494 56.869463) (xy 267.14418 56.810498) (xy 267.024482 56.774188) (xy 266.9 56.761928) - (xy 265 56.761928) (xy 264.875518 56.774188) (xy 264.75582 56.810498) (xy 264.702872 56.8388) (xy 264.559927 56.8388) - (xy 264.524999 56.83536) (xy 264.49007 56.8388) (xy 264.490063 56.8388) (xy 264.385579 56.849091) (xy 264.251517 56.889758) - (xy 264.233419 56.899432) (xy 264.127966 56.955798) (xy 264.019672 57.044673) (xy 263.997401 57.07181) (xy 263.882811 57.1864) - (xy 262.727716 57.1864) (xy 261.26073 55.719415) (xy 261.238455 55.692273) (xy 261.130161 55.603398) (xy 261.006609 55.537358) - (xy 260.872548 55.496691) (xy 260.768064 55.4864) (xy 260.768054 55.4864) (xy 260.733128 55.48296) (xy 260.698202 55.4864) - (xy 259.875901 55.4864) (xy 260.21598 55.146322) (xy 260.23375 55.148072) (xy 260.72125 55.148072) (xy 260.893285 55.131128) - (xy 261.058709 55.080947) (xy 261.211164 54.999458) (xy 261.344792 54.889792) (xy 261.454458 54.756164) (xy 261.535947 54.603709) - (xy 261.586128 54.438285) (xy 261.603072 54.26625) (xy 261.603072 53.35375) (xy 261.586128 53.181715) (xy 261.535947 53.016291) - (xy 261.454458 52.863836) (xy 261.344792 52.730208) (xy 261.211164 52.620542) (xy 261.058709 52.539053) (xy 260.893285 52.488872) - (xy 260.72125 52.471928) (xy 260.23375 52.471928) (xy 260.061715 52.488872) (xy 259.896291 52.539053) (xy 259.743836 52.620542) - (xy 259.610208 52.730208) (xy 259.604992 52.736564) (xy 259.541185 52.658815) (xy 259.444494 52.579463) (xy 259.33418 52.520498) - (xy 259.214482 52.484188) (xy 259.09 52.471928) (xy 258.88825 52.475) (xy 258.7295 52.63375) (xy 258.7295 53.683) - (xy 258.7495 53.683) (xy 258.7495 53.937) (xy 258.7295 53.937) (xy 258.7295 53.957) (xy 258.4755 53.957) - (xy 258.4755 53.937) (xy 257.63875 53.937) (xy 257.48 54.09575) (xy 257.478096 54.3525) (xy 257.448061 54.3525) - (xy 257.391876 54.363676) (xy 257.403072 54.25) (xy 257.4 54.09825) (xy 257.24125 53.9395) (xy 256.417 53.9395) - (xy 256.417 53.9595) (xy 256.163 53.9595) (xy 256.163 53.9395) (xy 255.33875 53.9395) (xy 255.18 54.09825) - (xy 255.176928 54.25) (xy 255.189188 54.374482) (xy 255.225498 54.49418) (xy 255.284463 54.604494) (xy 255.293085 54.615) - (xy 254.913728 54.615) (xy 254.84918 54.580498) (xy 254.749332 54.55021) (xy 254.788671 54.502275) (xy 254.86785 54.354142) - (xy 254.916608 54.193408) (xy 254.933072 54.02625) (xy 254.933072 53.58875) (xy 254.916608 53.421592) (xy 254.902475 53.375) - (xy 255.176928 53.375) (xy 255.18 53.52675) (xy 255.33875 53.6855) (xy 256.163 53.6855) (xy 256.163 52.89875) - (xy 256.417 52.89875) (xy 256.417 53.6855) (xy 257.24125 53.6855) (xy 257.4 53.52675) (xy 257.403072 53.375) - (xy 257.390812 53.250518) (xy 257.354502 53.13082) (xy 257.343374 53.11) (xy 257.476928 53.11) (xy 257.48 53.52425) - (xy 257.63875 53.683) (xy 258.4755 53.683) (xy 258.4755 52.63375) (xy 258.31675 52.475) (xy 258.115 52.471928) - (xy 257.990518 52.484188) (xy 257.87082 52.520498) (xy 257.760506 52.579463) (xy 257.663815 52.658815) (xy 257.584463 52.755506) - (xy 257.525498 52.86582) (xy 257.489188 52.985518) (xy 257.476928 53.11) (xy 257.343374 53.11) (xy 257.295537 53.020506) - (xy 257.216185 52.923815) (xy 257.119494 52.844463) (xy 257.00918 52.785498) (xy 256.889482 52.749188) (xy 256.765 52.736928) - (xy 256.57575 52.74) (xy 256.417 52.89875) (xy 256.163 52.89875) (xy 256.00425 52.74) (xy 255.815 52.736928) - (xy 255.690518 52.749188) (xy 255.57082 52.785498) (xy 255.460506 52.844463) (xy 255.363815 52.923815) (xy 255.284463 53.020506) - (xy 255.225498 53.13082) (xy 255.189188 53.250518) (xy 255.176928 53.375) (xy 254.902475 53.375) (xy 254.86785 53.260858) - (xy 254.788671 53.112725) (xy 254.7709 53.09107) (xy 254.825537 53.024494) (xy 254.884502 52.91418) (xy 254.920812 52.794482) - (xy 254.933072 52.67) (xy 254.93 52.51825) (xy 254.77125 52.3595) (xy 253.947 52.3595) (xy 253.947 52.3795) - (xy 253.693 52.3795) (xy 253.693 52.3595) (xy 252.86875 52.3595) (xy 252.71 52.51825) (xy 252.706928 52.67) - (xy 252.719188 52.794482) (xy 252.755498 52.91418) (xy 252.814463 53.024494) (xy 252.8691 53.09107) (xy 252.851329 53.112725) - (xy 252.77215 53.260858) (xy 252.723392 53.421592) (xy 252.706928 53.58875) (xy 252.706928 54.02625) (xy 252.723392 54.193408) - (xy 252.728365 54.209803) (xy 252.725079 54.205798) (xy 252.669997 54.13868) (xy 252.669988 54.138671) (xy 252.647726 54.111545) - (xy 252.620601 54.089284) (xy 250.40073 51.869415) (xy 250.378455 51.842273) (xy 250.320853 51.795) (xy 252.706928 51.795) - (xy 252.71 51.94675) (xy 252.86875 52.1055) (xy 253.693 52.1055) (xy 253.693 51.31875) (xy 253.947 51.31875) - (xy 253.947 52.1055) (xy 254.77125 52.1055) (xy 254.93 51.94675) (xy 254.933072 51.795) (xy 254.920812 51.670518) - (xy 254.913072 51.645) (xy 262.786928 51.645) (xy 262.799188 51.769482) (xy 262.835498 51.88918) (xy 262.894463 51.999494) - (xy 262.973815 52.096185) (xy 263.070506 52.175537) (xy 263.18082 52.234502) (xy 263.300518 52.270812) (xy 263.425 52.283072) - (xy 263.76425 52.28) (xy 263.923 52.12125) (xy 263.923 50.447) (xy 264.177 50.447) (xy 264.177 52.12125) - (xy 264.33575 52.28) (xy 264.675 52.283072) (xy 264.799482 52.270812) (xy 264.91918 52.234502) (xy 265.029494 52.175537) - (xy 265.126185 52.096185) (xy 265.205537 51.999494) (xy 265.264502 51.88918) (xy 265.300812 51.769482) (xy 265.313072 51.645) - (xy 265.31 50.60575) (xy 265.15125 50.447) (xy 264.177 50.447) (xy 263.923 50.447) (xy 262.94875 50.447) - (xy 262.79 50.60575) (xy 262.786928 51.645) (xy 254.913072 51.645) (xy 254.884502 51.55082) (xy 254.825537 51.440506) - (xy 254.746185 51.343815) (xy 254.649494 51.264463) (xy 254.53918 51.205498) (xy 254.419482 51.169188) (xy 254.295 51.156928) - (xy 254.10575 51.16) (xy 253.947 51.31875) (xy 253.693 51.31875) (xy 253.53425 51.16) (xy 253.345 51.156928) - (xy 253.220518 51.169188) (xy 253.10082 51.205498) (xy 252.990506 51.264463) (xy 252.893815 51.343815) (xy 252.814463 51.440506) - (xy 252.755498 51.55082) (xy 252.719188 51.670518) (xy 252.706928 51.795) (xy 250.320853 51.795) (xy 250.270161 51.753398) - (xy 250.146609 51.687358) (xy 250.012548 51.646691) (xy 249.908064 51.6364) (xy 249.908054 51.6364) (xy 249.873128 51.63296) - (xy 249.838202 51.6364) (xy 224.193241 51.6364) (xy 219.367618 46.810777) (xy 254.515 46.810777) (xy 254.515 47.369223) - (xy 254.623948 47.916939) (xy 254.837656 48.432876) (xy 255.147912 48.897207) (xy 255.542793 49.292088) (xy 256.007124 49.602344) - (xy 256.523061 49.816052) (xy 257.070777 49.925) (xy 257.629223 49.925) (xy 258.176939 49.816052) (xy 258.692876 49.602344) - (xy 259.157207 49.292088) (xy 259.454295 48.995) (xy 262.786928 48.995) (xy 262.79 50.03425) (xy 262.94875 50.193) - (xy 263.923 50.193) (xy 263.923 48.51875) (xy 264.177 48.51875) (xy 264.177 50.193) (xy 265.15125 50.193) - (xy 265.31 50.03425) (xy 265.313072 48.995) (xy 265.300812 48.870518) (xy 265.264502 48.75082) (xy 265.205537 48.640506) - (xy 265.126185 48.543815) (xy 265.029494 48.464463) (xy 264.91918 48.405498) (xy 264.799482 48.369188) (xy 264.675 48.356928) - (xy 264.33575 48.36) (xy 264.177 48.51875) (xy 263.923 48.51875) (xy 263.76425 48.36) (xy 263.425 48.356928) - (xy 263.300518 48.369188) (xy 263.18082 48.405498) (xy 263.070506 48.464463) (xy 262.973815 48.543815) (xy 262.894463 48.640506) - (xy 262.835498 48.75082) (xy 262.799188 48.870518) (xy 262.786928 48.995) (xy 259.454295 48.995) (xy 259.552088 48.897207) - (xy 259.862344 48.432876) (xy 260.076052 47.916939) (xy 260.185 47.369223) (xy 260.185 46.810777) (xy 260.076052 46.263061) - (xy 259.862344 45.747124) (xy 259.552088 45.282793) (xy 259.541997 45.272702) (xy 263.536903 45.272702) (xy 263.608486 45.516671) - (xy 263.863996 45.637571) (xy 264.138184 45.7063) (xy 264.420512 45.720217) (xy 264.70013 45.678787) (xy 264.966292 45.583603) - (xy 265.091514 45.516671) (xy 265.163097 45.272702) (xy 264.35 44.459605) (xy 263.536903 45.272702) (xy 259.541997 45.272702) - (xy 259.157207 44.887912) (xy 258.692876 44.577656) (xy 258.176939 44.363948) (xy 258.109392 44.350512) (xy 262.909783 44.350512) - (xy 262.951213 44.63013) (xy 263.046397 44.896292) (xy 263.113329 45.021514) (xy 263.357298 45.093097) (xy 264.170395 44.28) - (xy 264.529605 44.28) (xy 265.342702 45.093097) (xy 265.411928 45.072785) (xy 265.411928 45.08) (xy 265.424188 45.204482) - (xy 265.460498 45.32418) (xy 265.519463 45.434494) (xy 265.598815 45.531185) (xy 265.695506 45.610537) (xy 265.80582 45.669502) - (xy 265.815001 45.672287) (xy 265.815 48.656076) (xy 265.736595 48.751614) (xy 265.654528 48.90515) (xy 265.603992 49.071746) - (xy 265.586928 49.245) (xy 265.586928 51.395) (xy 265.603992 51.568254) (xy 265.654528 51.73485) (xy 265.736595 51.888386) - (xy 265.815001 51.983924) (xy 265.815 53.775167) (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) - (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) (xy 265.401082 55.824463) - (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) (xy 266.688967 56.685) - (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) (xy 268.119987 56.092252) - (xy 268.298918 55.824463) (xy 268.422168 55.526912) (xy 268.485 55.211033) (xy 268.485 54.888967) (xy 268.422168 54.573088) - (xy 268.298918 54.275537) (xy 268.119987 54.007748) (xy 267.892252 53.780013) (xy 267.885 53.775167) (xy 267.885 51.983923) - (xy 267.963405 51.888386) (xy 268.045472 51.73485) (xy 268.096008 51.568254) (xy 268.113072 51.395) (xy 268.113072 49.245) - (xy 268.096008 49.071746) (xy 268.045472 48.90515) (xy 267.963405 48.751614) (xy 267.885 48.656077) (xy 267.885 45.672287) - (xy 267.89418 45.669502) (xy 268.004494 45.610537) (xy 268.101185 45.531185) (xy 268.180537 45.434494) (xy 268.239502 45.32418) - (xy 268.275812 45.204482) (xy 268.288072 45.08) (xy 268.288072 43.48) (xy 268.275812 43.355518) (xy 268.239502 43.23582) - (xy 268.180537 43.125506) (xy 268.101185 43.028815) (xy 268.004494 42.949463) (xy 267.89418 42.890498) (xy 267.774482 42.854188) - (xy 267.65 42.841928) (xy 266.05 42.841928) (xy 265.925518 42.854188) (xy 265.80582 42.890498) (xy 265.695506 42.949463) - (xy 265.598815 43.028815) (xy 265.519463 43.125506) (xy 265.460498 43.23582) (xy 265.424188 43.355518) (xy 265.411928 43.48) - (xy 265.411928 43.487215) (xy 265.342702 43.466903) (xy 264.529605 44.28) (xy 264.170395 44.28) (xy 263.357298 43.466903) - (xy 263.113329 43.538486) (xy 262.992429 43.793996) (xy 262.9237 44.068184) (xy 262.909783 44.350512) (xy 258.109392 44.350512) - (xy 257.629223 44.255) (xy 257.070777 44.255) (xy 256.523061 44.363948) (xy 256.007124 44.577656) (xy 255.542793 44.887912) - (xy 255.147912 45.282793) (xy 254.837656 45.747124) (xy 254.623948 46.263061) (xy 254.515 46.810777) (xy 219.367618 46.810777) - (xy 215.844139 43.287298) (xy 263.536903 43.287298) (xy 264.35 44.100395) (xy 265.163097 43.287298) (xy 265.091514 43.043329) - (xy 264.836004 42.922429) (xy 264.561816 42.8537) (xy 264.279488 42.839783) (xy 263.99987 42.881213) (xy 263.733708 42.976397) - (xy 263.608486 43.043329) (xy 263.536903 43.287298) (xy 215.844139 43.287298) (xy 211.91618 39.35934) (xy 211.922973 39.348185) - (xy 211.95565 39.297763) (xy 211.97232 39.255865) (xy 211.979822 39.240287) (xy 212.002191 39.20112) (xy 212.02123 39.144157) - (xy 212.041863 39.087743) (xy 212.048795 39.043172) (xy 212.052643 39.02631) (xy 212.06573 38.983172) (xy 212.071619 38.923389) - (xy 212.079183 38.863777) (xy 212.076024 38.818806) (xy 212.076024 38.801505) (xy 212.079184 38.756509) (xy 212.071622 38.696931) - (xy 212.065736 38.637155) (xy 212.052641 38.593985) (xy 212.048794 38.577132) (xy 212.041867 38.532582) (xy 212.021225 38.476138) - (xy 212.002181 38.419167) (xy 211.979825 38.380026) (xy 211.972321 38.364442) (xy 211.955647 38.322536) (xy 211.922978 38.272127) - (xy 211.891741 38.220828) (xy 211.861218 38.187621) (xy 211.850431 38.174094) (xy 211.824851 38.136951) (xy 211.781775 38.095067) - (xy 211.739906 38.052007) (xy 211.702768 38.026432) (xy 211.689235 38.01564) (xy 211.656047 37.985133) (xy 211.604755 37.953898) - (xy 211.554321 37.921214) (xy 211.512421 37.904543) (xy 211.49684 37.89704) (xy 211.457679 37.874674) (xy 211.400698 37.855629) - (xy 211.344295 37.835) (xy 211.299735 37.82807) (xy 211.282871 37.824221) (xy 211.239709 37.811129) (xy 211.219225 37.809112) - (xy 211.217208 37.788627) (xy 211.204113 37.745457) (xy 211.200266 37.728604) (xy 211.193339 37.684054) (xy 211.172697 37.62761) - (xy 211.153653 37.570639) (xy 211.131297 37.531498) (xy 211.123793 37.515914) (xy 211.107119 37.474008) (xy 211.07445 37.423599) - (xy 211.043213 37.3723) (xy 211.01269 37.339093) (xy 211.001903 37.325566) (xy 210.976323 37.288423) (xy 210.933247 37.246539) - (xy 210.891378 37.203479) (xy 210.85424 37.177904) (xy 210.840707 37.167112) (xy 210.807519 37.136605) (xy 210.756227 37.10537) - (xy 210.705793 37.072686) (xy 210.663893 37.056015) (xy 210.648312 37.048512) (xy 210.609151 37.026146) (xy 210.55217 37.007101) - (xy 210.495767 36.986472) (xy 210.451207 36.979542) (xy 210.434343 36.975693) (xy 210.391181 36.962601) (xy 210.370697 36.960584) - (xy 210.36868 36.940099) (xy 210.355585 36.896929) (xy 210.351738 36.880076) (xy 210.344811 36.835526) (xy 210.324169 36.779082) - (xy 210.305125 36.722111) (xy 210.282769 36.68297) (xy 210.275265 36.667386) (xy 210.258591 36.62548) (xy 210.225922 36.575071) - (xy 210.194685 36.523772) (xy 210.164162 36.490565) (xy 210.153375 36.477038) (xy 210.127795 36.439895) (xy 210.084719 36.398011) - (xy 210.04285 36.354951) (xy 210.005712 36.329376) (xy 209.992179 36.318584) (xy 209.958991 36.288077) (xy 209.907699 36.256842) - (xy 209.857265 36.224158) (xy 209.815365 36.207487) (xy 209.799784 36.199984) (xy 209.760623 36.177618) (xy 209.703642 36.158573) - (xy 209.647239 36.137944) (xy 209.602679 36.131014) (xy 209.585815 36.127165) (xy 209.542653 36.114073) (xy 209.522169 36.112056) - (xy 209.520152 36.091571) (xy 209.507057 36.048401) (xy 209.50321 36.031548) (xy 209.496283 35.986998) (xy 209.475641 35.930554) - (xy 209.456597 35.873583) (xy 209.434241 35.834442) (xy 209.426737 35.818858) (xy 209.410063 35.776952) (xy 209.394217 35.7525) - (xy 252.886928 35.7525) (xy 252.899188 35.876982) (xy 252.935498 35.99668) (xy 252.994463 36.106994) (xy 253.0491 36.17357) - (xy 253.031329 36.195225) (xy 252.95215 36.343358) (xy 252.903392 36.504092) (xy 252.886928 36.67125) (xy 252.886928 37.10875) - (xy 252.903392 37.275908) (xy 252.95215 37.436642) (xy 253.031329 37.584775) (xy 253.137885 37.714615) (xy 253.267725 37.821171) - (xy 253.415858 37.90035) (xy 253.576592 37.949108) (xy 253.74375 37.965572) (xy 254.25625 37.965572) (xy 254.423408 37.949108) - (xy 254.584142 37.90035) (xy 254.732275 37.821171) (xy 254.788535 37.775) (xy 255.461546 37.775) (xy 255.509744 37.807205) - (xy 255.698102 37.885226) (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) - (xy 256.538454 37.775) (xy 257.269511 37.775) (xy 257.290498 37.844185) (xy 257.349463 37.954499) (xy 257.428815 38.05119) - (xy 257.525506 38.130542) (xy 257.63582 38.189507) (xy 257.755518 38.225817) (xy 257.88 38.238077) (xy 260.12 38.238077) - (xy 260.244482 38.225817) (xy 260.36418 38.189507) (xy 260.474494 38.130542) (xy 260.571185 38.05119) (xy 260.650537 37.954499) - (xy 260.709502 37.844185) (xy 260.730489 37.775) (xy 261.080511 37.775) (xy 261.101498 37.844185) (xy 261.160463 37.954499) - (xy 261.239815 38.05119) (xy 261.336506 38.130542) (xy 261.44682 38.189507) (xy 261.566518 38.225817) (xy 261.691 38.238077) - (xy 264.031 38.238077) (xy 264.155482 38.225817) (xy 264.27518 38.189507) (xy 264.385494 38.130542) (xy 264.482185 38.05119) - (xy 264.561537 37.954499) (xy 264.620502 37.844185) (xy 264.656812 37.724487) (xy 264.669072 37.600005) (xy 264.669072 36.179995) - (xy 264.656812 36.055513) (xy 264.620502 35.935815) (xy 264.561537 35.825501) (xy 264.482185 35.72881) (xy 264.385494 35.649458) - (xy 264.330383 35.62) (xy 264.385494 35.590542) (xy 264.482185 35.51119) (xy 264.561537 35.414499) (xy 264.620502 35.304185) - (xy 264.656812 35.184487) (xy 264.669072 35.060005) (xy 264.666 34.63575) (xy 264.50725 34.477) (xy 262.988 34.477) - (xy 262.988 34.497) (xy 262.734 34.497) (xy 262.734 34.477) (xy 261.21475 34.477) (xy 261.056 34.63575) - (xy 261.052928 35.060005) (xy 261.065188 35.184487) (xy 261.101498 35.304185) (xy 261.160463 35.414499) (xy 261.239815 35.51119) - (xy 261.336506 35.590542) (xy 261.391617 35.62) (xy 261.336506 35.649458) (xy 261.239815 35.72881) (xy 261.160463 35.825501) - (xy 261.101498 35.935815) (xy 261.080511 36.005) (xy 260.730489 36.005) (xy 260.709502 35.935815) (xy 260.650537 35.825501) - (xy 260.571185 35.72881) (xy 260.474494 35.649458) (xy 260.419383 35.62) (xy 260.474494 35.590542) (xy 260.571185 35.51119) - (xy 260.650537 35.414499) (xy 260.709502 35.304185) (xy 260.745812 35.184487) (xy 260.758072 35.060005) (xy 260.755 34.63575) - (xy 260.59625 34.477) (xy 259.127 34.477) (xy 259.127 34.497) (xy 258.873 34.497) (xy 258.873 34.477) - (xy 257.40375 34.477) (xy 257.245 34.63575) (xy 257.241928 35.060005) (xy 257.254188 35.184487) (xy 257.290498 35.304185) - (xy 257.349463 35.414499) (xy 257.428815 35.51119) (xy 257.525506 35.590542) (xy 257.580617 35.62) (xy 257.525506 35.649458) - (xy 257.428815 35.72881) (xy 257.349463 35.825501) (xy 257.290498 35.935815) (xy 257.269511 36.005) (xy 256.538454 36.005) - (xy 256.490256 35.972795) (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) - (xy 255.509744 35.972795) (xy 255.461546 36.005) (xy 255.060055 36.005) (xy 255.064502 35.99668) (xy 255.100812 35.876982) - (xy 255.113072 35.7525) (xy 255.11 35.60075) (xy 254.95125 35.442) (xy 254.127 35.442) (xy 254.127 35.462) - (xy 253.873 35.462) (xy 253.873 35.442) (xy 253.04875 35.442) (xy 252.89 35.60075) (xy 252.886928 35.7525) - (xy 209.394217 35.7525) (xy 209.377394 35.726543) (xy 209.346157 35.675244) (xy 209.315634 35.642037) (xy 209.304847 35.62851) - (xy 209.279267 35.591367) (xy 209.236191 35.549483) (xy 209.194322 35.506423) (xy 209.157184 35.480848) (xy 209.143651 35.470056) - (xy 209.110463 35.439549) (xy 209.059171 35.408314) (xy 209.008737 35.37563) (xy 208.966837 35.358959) (xy 208.951256 35.351456) - (xy 208.912095 35.32909) (xy 208.855114 35.310045) (xy 208.798711 35.289416) (xy 208.754151 35.282486) (xy 208.737287 35.278637) - (xy 208.694125 35.265545) (xy 208.673641 35.263528) (xy 208.671624 35.243043) (xy 208.658529 35.199873) (xy 208.654682 35.18302) - (xy 208.647755 35.13847) (xy 208.627113 35.082026) (xy 208.608069 35.025055) (xy 208.585713 34.985914) (xy 208.578209 34.97033) - (xy 208.561535 34.928424) (xy 208.528866 34.878015) (xy 208.497629 34.826716) (xy 208.467106 34.793509) (xy 208.456319 34.779982) - (xy 208.430739 34.742839) (xy 208.387663 34.700955) (xy 208.345794 34.657895) (xy 208.308656 34.63232) (xy 208.295123 34.621528) - (xy 208.261935 34.591021) (xy 208.210643 34.559786) (xy 208.160209 34.527102) (xy 208.118309 34.510431) (xy 208.102728 34.502928) - (xy 208.063567 34.480562) (xy 208.006586 34.461517) (xy 207.950183 34.440888) (xy 207.905623 34.433958) (xy 207.888759 34.430109) - (xy 207.845597 34.417017) (xy 207.825113 34.415) (xy 207.823096 34.394515) (xy 207.810001 34.351345) (xy 207.806154 34.334492) - (xy 207.799227 34.289942) (xy 207.778585 34.233498) (xy 207.759541 34.176527) (xy 207.737185 34.137386) (xy 207.729681 34.121802) - (xy 207.713007 34.079896) (xy 207.680338 34.029487) (xy 207.649101 33.978188) (xy 207.618578 33.944981) (xy 207.607791 33.931454) - (xy 207.582211 33.894311) (xy 207.539135 33.852427) (xy 207.497266 33.809367) (xy 207.460128 33.783792) (xy 207.446595 33.773) - (xy 207.413407 33.742493) (xy 207.362115 33.711258) (xy 207.311681 33.678574) (xy 207.269781 33.661903) (xy 207.2542 33.6544) - (xy 207.215039 33.632034) (xy 207.158058 33.612989) (xy 207.101655 33.59236) (xy 207.057095 33.58543) (xy 207.040231 33.581581) - (xy 206.997069 33.568489) (xy 206.976585 33.566472) (xy 206.974568 33.545987) (xy 206.961473 33.502817) (xy 206.957626 33.485964) - (xy 206.950699 33.441414) (xy 206.930057 33.38497) (xy 206.911013 33.327999) (xy 206.888657 33.288858) (xy 206.881153 33.273274) - (xy 206.864479 33.231368) (xy 206.83181 33.180959) (xy 206.800573 33.12966) (xy 206.77005 33.096453) (xy 206.759263 33.082926) - (xy 206.733683 33.045783) (xy 206.690607 33.003899) (xy 206.648738 32.960839) (xy 206.6116 32.935264) (xy 206.598067 32.924472) - (xy 206.564879 32.893965) (xy 206.513587 32.86273) (xy 206.463153 32.830046) (xy 206.421253 32.813375) (xy 206.405672 32.805872) - (xy 206.366511 32.783506) (xy 206.30953 32.764461) (xy 206.253127 32.743832) (xy 206.208567 32.736902) (xy 206.191703 32.733053) - (xy 206.148541 32.719961) (xy 206.128057 32.717944) (xy 206.12604 32.697459) (xy 206.112945 32.654289) (xy 206.109098 32.637436) - (xy 206.102171 32.592886) (xy 206.081529 32.536442) (xy 206.062485 32.479471) (xy 206.040129 32.44033) (xy 206.032625 32.424746) - (xy 206.015951 32.38284) (xy 205.983282 32.332431) (xy 205.952045 32.281132) (xy 205.921522 32.247925) (xy 205.910735 32.234398) - (xy 205.885155 32.197255) (xy 205.842079 32.155371) (xy 205.80021 32.112311) (xy 205.763072 32.086736) (xy 205.749539 32.075944) - (xy 205.716351 32.045437) (xy 205.665059 32.014202) (xy 205.614625 31.981518) (xy 205.572725 31.964847) (xy 205.557144 31.957344) - (xy 205.517983 31.934978) (xy 205.461002 31.915933) (xy 205.404599 31.895304) (xy 205.360039 31.888374) (xy 205.343175 31.884525) - (xy 205.300013 31.871433) (xy 205.240215 31.865544) (xy 205.18064 31.857985) (xy 205.135658 31.861145) (xy 205.11836 31.861145) - (xy 205.073389 31.857986) (xy 205.013787 31.865549) (xy 204.953996 31.871439) (xy 204.910858 31.884525) (xy 204.89399 31.888375) - (xy 204.849431 31.895305) (xy 204.793028 31.915934) (xy 204.736047 31.934979) (xy 204.696884 31.957346) (xy 204.681302 31.96485) - (xy 204.639412 31.981516) (xy 204.588996 32.014188) (xy 204.577829 32.020988) (xy 204.3712 31.814359) (xy 204.3712 31.310786) - (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) - (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) - (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.475195 30.764466) (xy 207.544822 30.881355) - (xy 207.739731 31.097588) (xy 207.97308 31.271641) (xy 208.235901 31.396825) (xy 208.38311 31.441476) (xy 208.613 31.320155) - (xy 208.613 30.127) (xy 208.867 30.127) (xy 208.867 31.320155) (xy 209.09689 31.441476) (xy 209.244099 31.396825) - (xy 209.50692 31.271641) (xy 209.740269 31.097588) (xy 209.935178 30.881355) (xy 210.084157 30.631252) (xy 210.181481 30.356891) - (xy 210.060814 30.127) (xy 208.867 30.127) (xy 208.613 30.127) (xy 208.593 30.127) (xy 208.593 29.873) - (xy 208.613 29.873) (xy 208.613 27.587) (xy 208.867 27.587) (xy 208.867 29.873) (xy 210.060814 29.873) - (xy 210.181481 29.643109) (xy 210.084157 29.368748) (xy 209.935178 29.118645) (xy 209.740269 28.902412) (xy 209.649892 28.835) - (xy 218.861928 28.835) (xy 218.874188 28.959482) (xy 218.910498 29.07918) (xy 218.969463 29.189494) (xy 219.048815 29.286185) - (xy 219.145506 29.365537) (xy 219.25582 29.424502) (xy 219.375518 29.460812) (xy 219.5 29.473072) (xy 219.71425 29.47) - (xy 219.872652 29.311598) (xy 219.84 29.47575) (xy 219.84 29.70425) (xy 219.840533 29.706928) (xy 219.5 29.706928) - (xy 219.375518 29.719188) (xy 219.25582 29.755498) (xy 219.145506 29.814463) (xy 219.048815 29.893815) (xy 218.969463 29.990506) - (xy 218.910498 30.10082) (xy 218.874188 30.220518) (xy 218.861928 30.345) (xy 218.861928 33.095) (xy 218.874188 33.219482) - (xy 218.910498 33.33918) (xy 218.969463 33.449494) (xy 219.048815 33.546185) (xy 219.145506 33.625537) (xy 219.25582 33.684502) - (xy 219.375518 33.720812) (xy 219.5 33.733072) (xy 220.5 33.733072) (xy 220.624482 33.720812) (xy 220.74418 33.684502) - (xy 220.854494 33.625537) (xy 220.951185 33.546185) (xy 221 33.486704) (xy 221.048815 33.546185) (xy 221.145506 33.625537) - (xy 221.25582 33.684502) (xy 221.375518 33.720812) (xy 221.5 33.733072) (xy 222.5 33.733072) (xy 222.624482 33.720812) - (xy 222.74418 33.684502) (xy 222.854494 33.625537) (xy 222.951185 33.546185) (xy 223 33.486704) (xy 223.048815 33.546185) - (xy 223.062909 33.557752) (xy 223.004774 33.698102) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) - (xy 223.082795 34.490256) (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) - (xy 223.898061 35.035) (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) - (xy 224.803937 34.659774) (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) - (xy 225.082795 34.490256) (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) - (xy 225.898061 35.035) (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) - (xy 226.803937 34.659774) (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) - (xy 227.082795 34.490256) (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) - (xy 227.898061 35.035) (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) - (xy 228.803937 34.659774) (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) - (xy 229.082795 34.490256) (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) - (xy 229.898061 35.035) (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) - (xy 230.803937 34.659774) (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) - (xy 231.082795 34.490256) (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) - (xy 231.898061 35.035) (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.549678 34.8775) - (xy 252.886928 34.8775) (xy 252.89 35.02925) (xy 253.04875 35.188) (xy 253.873 35.188) (xy 253.873 34.40125) - (xy 254.127 34.40125) (xy 254.127 35.188) (xy 254.95125 35.188) (xy 255.11 35.02925) (xy 255.113072 34.8775) - (xy 255.100812 34.753018) (xy 255.064502 34.63332) (xy 255.005537 34.523006) (xy 254.926185 34.426315) (xy 254.829494 34.346963) - (xy 254.71918 34.287998) (xy 254.599482 34.251688) (xy 254.475 34.239428) (xy 254.28575 34.2425) (xy 254.127 34.40125) - (xy 253.873 34.40125) (xy 253.71425 34.2425) (xy 253.525 34.239428) (xy 253.400518 34.251688) (xy 253.28082 34.287998) - (xy 253.170506 34.346963) (xy 253.073815 34.426315) (xy 252.994463 34.523006) (xy 252.935498 34.63332) (xy 252.899188 34.753018) - (xy 252.886928 34.8775) (xy 232.549678 34.8775) (xy 232.659774 34.803937) (xy 232.803937 34.659774) (xy 232.917205 34.490256) - (xy 232.995226 34.301898) (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.937091 33.557752) - (xy 232.951185 33.546185) (xy 233.030537 33.449494) (xy 233.089502 33.33918) (xy 233.125812 33.219482) (xy 233.138072 33.095) - (xy 233.138072 30.345) (xy 233.125812 30.220518) (xy 233.089502 30.10082) (xy 233.030537 29.990506) (xy 232.951185 29.893815) - (xy 232.854494 29.814463) (xy 232.74418 29.755498) (xy 232.624482 29.719188) (xy 232.5 29.706928) (xy 232.159467 29.706928) - (xy 232.16 29.70425) (xy 232.16 29.47575) (xy 232.127348 29.311598) (xy 232.28575 29.47) (xy 232.5 29.473072) - (xy 232.624482 29.460812) (xy 232.74418 29.424502) (xy 232.854494 29.365537) (xy 232.951185 29.286185) (xy 233.030537 29.189494) - (xy 233.089502 29.07918) (xy 233.125812 28.959482) (xy 233.138072 28.835) (xy 233.135 27.74575) (xy 232.97625 27.587) - (xy 232.127 27.587) (xy 232.127 27.607) (xy 231.873 27.607) (xy 231.873 27.587) (xy 231.02375 27.587) - (xy 231 27.61075) (xy 230.97625 27.587) (xy 230.127 27.587) (xy 230.127 27.607) (xy 229.873 27.607) - (xy 229.873 27.587) (xy 229.02375 27.587) (xy 229 27.61075) (xy 228.97625 27.587) (xy 228.127 27.587) - (xy 228.127 29.31125) (xy 228.28575 29.47) (xy 228.5 29.473072) (xy 228.624482 29.460812) (xy 228.74418 29.424502) - (xy 228.854494 29.365537) (xy 228.951185 29.286185) (xy 229 29.226704) (xy 229.048815 29.286185) (xy 229.145506 29.365537) - (xy 229.25582 29.424502) (xy 229.375518 29.460812) (xy 229.5 29.473072) (xy 229.71425 29.47) (xy 229.872652 29.311598) - (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.840533 29.706928) (xy 229.5 29.706928) (xy 229.375518 29.719188) - (xy 229.25582 29.755498) (xy 229.145506 29.814463) (xy 229.048815 29.893815) (xy 229 29.953296) (xy 228.951185 29.893815) - (xy 228.854494 29.814463) (xy 228.74418 29.755498) (xy 228.624482 29.719188) (xy 228.5 29.706928) (xy 227.5 29.706928) - (xy 227.375518 29.719188) (xy 227.25582 29.755498) (xy 227.145506 29.814463) (xy 227.048815 29.893815) (xy 227 29.953296) - (xy 226.951185 29.893815) (xy 226.854494 29.814463) (xy 226.74418 29.755498) (xy 226.624482 29.719188) (xy 226.5 29.706928) - (xy 225.5 29.706928) (xy 225.375518 29.719188) (xy 225.25582 29.755498) (xy 225.145506 29.814463) (xy 225.048815 29.893815) - (xy 225 29.953296) (xy 224.951185 29.893815) (xy 224.854494 29.814463) (xy 224.74418 29.755498) (xy 224.624482 29.719188) - (xy 224.5 29.706928) (xy 223.5 29.706928) (xy 223.375518 29.719188) (xy 223.25582 29.755498) (xy 223.145506 29.814463) - (xy 223.048815 29.893815) (xy 223 29.953296) (xy 222.951185 29.893815) (xy 222.854494 29.814463) (xy 222.74418 29.755498) - (xy 222.624482 29.719188) (xy 222.5 29.706928) (xy 222.159467 29.706928) (xy 222.16 29.70425) (xy 222.16 29.47575) - (xy 222.127348 29.311598) (xy 222.28575 29.47) (xy 222.5 29.473072) (xy 222.624482 29.460812) (xy 222.74418 29.424502) - (xy 222.854494 29.365537) (xy 222.951185 29.286185) (xy 223 29.226704) (xy 223.048815 29.286185) (xy 223.145506 29.365537) - (xy 223.25582 29.424502) (xy 223.375518 29.460812) (xy 223.5 29.473072) (xy 223.71425 29.47) (xy 223.873 29.31125) - (xy 223.873 27.587) (xy 224.127 27.587) (xy 224.127 29.31125) (xy 224.28575 29.47) (xy 224.5 29.473072) - (xy 224.624482 29.460812) (xy 224.74418 29.424502) (xy 224.854494 29.365537) (xy 224.951185 29.286185) (xy 225 29.226704) - (xy 225.048815 29.286185) (xy 225.145506 29.365537) (xy 225.25582 29.424502) (xy 225.375518 29.460812) (xy 225.5 29.473072) - (xy 225.71425 29.47) (xy 225.873 29.31125) (xy 225.873 27.587) (xy 226.127 27.587) (xy 226.127 29.31125) - (xy 226.28575 29.47) (xy 226.5 29.473072) (xy 226.624482 29.460812) (xy 226.74418 29.424502) (xy 226.854494 29.365537) - (xy 226.951185 29.286185) (xy 227 29.226704) (xy 227.048815 29.286185) (xy 227.145506 29.365537) (xy 227.25582 29.424502) - (xy 227.375518 29.460812) (xy 227.5 29.473072) (xy 227.71425 29.47) (xy 227.873 29.31125) (xy 227.873 27.587) - (xy 227.02375 27.587) (xy 227 27.61075) (xy 226.97625 27.587) (xy 226.127 27.587) (xy 225.873 27.587) - (xy 225.02375 27.587) (xy 225 27.61075) (xy 224.97625 27.587) (xy 224.127 27.587) (xy 223.873 27.587) - (xy 223.02375 27.587) (xy 223 27.61075) (xy 222.97625 27.587) (xy 222.127 27.587) (xy 222.127 27.607) - (xy 221.873 27.607) (xy 221.873 27.587) (xy 221.02375 27.587) (xy 221 27.61075) (xy 220.97625 27.587) - (xy 220.127 27.587) (xy 220.127 27.607) (xy 219.873 27.607) (xy 219.873 27.587) (xy 219.02375 27.587) - (xy 218.865 27.74575) (xy 218.861928 28.835) (xy 209.649892 28.835) (xy 209.50912 28.73) (xy 209.740269 28.557588) - (xy 209.935178 28.341355) (xy 210.084157 28.091252) (xy 210.181481 27.816891) (xy 210.060814 27.587) (xy 208.867 27.587) - (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) (xy 208.613 26.139845) - (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) (xy 210.084157 26.828748) - (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.289921 26.085) (xy 218.861928 26.085) - (xy 218.865 27.17425) (xy 219.02375 27.333) (xy 219.873 27.333) (xy 219.873 25.60875) (xy 220.127 25.60875) - (xy 220.127 27.333) (xy 220.97625 27.333) (xy 221 27.30925) (xy 221.02375 27.333) (xy 221.873 27.333) - (xy 221.873 25.60875) (xy 222.127 25.60875) (xy 222.127 27.333) (xy 222.97625 27.333) (xy 223 27.30925) - (xy 223.02375 27.333) (xy 223.873 27.333) (xy 223.873 25.60875) (xy 224.127 25.60875) (xy 224.127 27.333) - (xy 224.97625 27.333) (xy 225 27.30925) (xy 225.02375 27.333) (xy 225.873 27.333) (xy 225.873 25.60875) - (xy 226.127 25.60875) (xy 226.127 27.333) (xy 226.97625 27.333) (xy 227 27.30925) (xy 227.02375 27.333) - (xy 227.873 27.333) (xy 227.873 25.60875) (xy 228.127 25.60875) (xy 228.127 27.333) (xy 228.97625 27.333) - (xy 229 27.30925) (xy 229.02375 27.333) (xy 229.873 27.333) (xy 229.873 25.60875) (xy 230.127 25.60875) - (xy 230.127 27.333) (xy 230.97625 27.333) (xy 231 27.30925) (xy 231.02375 27.333) (xy 231.873 27.333) - (xy 231.873 25.60875) (xy 232.127 25.60875) (xy 232.127 27.333) (xy 232.97625 27.333) (xy 233.135 27.17425) - (xy 233.138072 26.085) (xy 233.125812 25.960518) (xy 233.089502 25.84082) (xy 233.030537 25.730506) (xy 232.951185 25.633815) - (xy 232.854494 25.554463) (xy 232.74418 25.495498) (xy 232.624482 25.459188) (xy 232.5 25.446928) (xy 232.28575 25.45) - (xy 232.127 25.60875) (xy 231.873 25.60875) (xy 231.71425 25.45) (xy 231.5 25.446928) (xy 231.375518 25.459188) - (xy 231.25582 25.495498) (xy 231.145506 25.554463) (xy 231.048815 25.633815) (xy 231 25.693296) (xy 230.951185 25.633815) - (xy 230.854494 25.554463) (xy 230.74418 25.495498) (xy 230.624482 25.459188) (xy 230.5 25.446928) (xy 230.28575 25.45) - (xy 230.127 25.60875) (xy 229.873 25.60875) (xy 229.71425 25.45) (xy 229.5 25.446928) (xy 229.375518 25.459188) - (xy 229.25582 25.495498) (xy 229.145506 25.554463) (xy 229.048815 25.633815) (xy 229 25.693296) (xy 228.951185 25.633815) - (xy 228.854494 25.554463) (xy 228.74418 25.495498) (xy 228.624482 25.459188) (xy 228.5 25.446928) (xy 228.28575 25.45) - (xy 228.127 25.60875) (xy 227.873 25.60875) (xy 227.71425 25.45) (xy 227.5 25.446928) (xy 227.375518 25.459188) - (xy 227.25582 25.495498) (xy 227.145506 25.554463) (xy 227.048815 25.633815) (xy 227 25.693296) (xy 226.951185 25.633815) - (xy 226.854494 25.554463) (xy 226.74418 25.495498) (xy 226.624482 25.459188) (xy 226.5 25.446928) (xy 226.28575 25.45) - (xy 226.127 25.60875) (xy 225.873 25.60875) (xy 225.71425 25.45) (xy 225.5 25.446928) (xy 225.375518 25.459188) - (xy 225.25582 25.495498) (xy 225.145506 25.554463) (xy 225.048815 25.633815) (xy 225 25.693296) (xy 224.951185 25.633815) - (xy 224.854494 25.554463) (xy 224.74418 25.495498) (xy 224.624482 25.459188) (xy 224.5 25.446928) (xy 224.28575 25.45) - (xy 224.127 25.60875) (xy 223.873 25.60875) (xy 223.71425 25.45) (xy 223.5 25.446928) (xy 223.375518 25.459188) - (xy 223.25582 25.495498) (xy 223.145506 25.554463) (xy 223.048815 25.633815) (xy 223 25.693296) (xy 222.951185 25.633815) - (xy 222.854494 25.554463) (xy 222.74418 25.495498) (xy 222.624482 25.459188) (xy 222.5 25.446928) (xy 222.28575 25.45) - (xy 222.127 25.60875) (xy 221.873 25.60875) (xy 221.71425 25.45) (xy 221.5 25.446928) (xy 221.375518 25.459188) - (xy 221.25582 25.495498) (xy 221.145506 25.554463) (xy 221.048815 25.633815) (xy 221 25.693296) (xy 220.951185 25.633815) - (xy 220.854494 25.554463) (xy 220.74418 25.495498) (xy 220.624482 25.459188) (xy 220.5 25.446928) (xy 220.28575 25.45) - (xy 220.127 25.60875) (xy 219.873 25.60875) (xy 219.71425 25.45) (xy 219.5 25.446928) (xy 219.375518 25.459188) - (xy 219.25582 25.495498) (xy 219.145506 25.554463) (xy 219.048815 25.633815) (xy 218.969463 25.730506) (xy 218.910498 25.84082) - (xy 218.874188 25.960518) (xy 218.861928 26.085) (xy 209.289921 26.085) (xy 209.244099 26.063175) (xy 209.09689 26.018524) - (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) (xy 207.97308 26.188359) - (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) (xy 207.146632 26.306525) - (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.150788 25.975) (xy 207.234589 24.8912) - (xy 233.125413 24.8912) (xy 241.792407 33.558196) (xy 241.814673 33.585327) (xy 241.841804 33.607593) (xy 241.841809 33.607598) - (xy 241.922967 33.674202) (xy 242.046518 33.740242) (xy 242.168096 33.777122) (xy 242.18058 33.780909) (xy 242.285064 33.7912) - (xy 242.285071 33.7912) (xy 242.32 33.79464) (xy 242.354928 33.7912) (xy 257.243023 33.7912) (xy 257.245 34.06425) - (xy 257.40375 34.223) (xy 258.873 34.223) (xy 258.873 34.203) (xy 259.127 34.203) (xy 259.127 34.223) - (xy 260.59625 34.223) (xy 260.755 34.06425) (xy 260.756977 33.7912) (xy 261.054023 33.7912) (xy 261.056 34.06425) - (xy 261.21475 34.223) (xy 262.734 34.223) (xy 262.734 34.203) (xy 262.988 34.203) (xy 262.988 34.223) - (xy 264.50725 34.223) (xy 264.666 34.06425) (xy 264.669072 33.639995) (xy 264.656812 33.515513) (xy 264.620502 33.395815) - (xy 264.561537 33.285501) (xy 264.482185 33.18881) (xy 264.385494 33.109458) (xy 264.330383 33.08) (xy 264.385494 33.050542) - (xy 264.482185 32.97119) (xy 264.561537 32.874499) (xy 264.620502 32.764185) (xy 264.656812 32.644487) (xy 264.669072 32.520005) - (xy 264.669072 31.099995) (xy 264.656812 30.975513) (xy 264.620502 30.855815) (xy 264.561537 30.745501) (xy 264.482185 30.64881) - (xy 264.385494 30.569458) (xy 264.330383 30.54) (xy 264.385494 30.510542) (xy 264.482185 30.43119) (xy 264.561537 30.334499) - (xy 264.620502 30.224185) (xy 264.656812 30.104487) (xy 264.669072 29.980005) (xy 264.669072 28.559995) (xy 264.656812 28.435513) - (xy 264.620502 28.315815) (xy 264.561537 28.205501) (xy 264.482185 28.10881) (xy 264.385494 28.029458) (xy 264.330383 28) - (xy 264.385494 27.970542) (xy 264.482185 27.89119) (xy 264.561537 27.794499) (xy 264.620502 27.684185) (xy 264.656812 27.564487) - (xy 264.669072 27.440005) (xy 264.669072 26.019995) (xy 264.656812 25.895513) (xy 264.620502 25.775815) (xy 264.561537 25.665501) - (xy 264.482185 25.56881) (xy 264.385494 25.489458) (xy 264.330383 25.46) (xy 264.385494 25.430542) (xy 264.482185 25.35119) - (xy 264.561537 25.254499) (xy 264.620502 25.144185) (xy 264.656812 25.024487) (xy 264.669072 24.900005) (xy 264.669072 23.479995) - (xy 264.656812 23.355513) (xy 264.620502 23.235815) (xy 264.561537 23.125501) (xy 264.482185 23.02881) (xy 264.385494 22.949458) - (xy 264.330383 22.92) (xy 264.385494 22.890542) (xy 264.482185 22.81119) (xy 264.561537 22.714499) (xy 264.620502 22.604185) - (xy 264.656812 22.484487) (xy 264.669072 22.360005) (xy 264.669072 20.939995) (xy 264.656812 20.815513) (xy 264.620502 20.695815) - (xy 264.561537 20.585501) (xy 264.482185 20.48881) (xy 264.385494 20.409458) (xy 264.330383 20.38) (xy 264.385494 20.350542) - (xy 264.482185 20.27119) (xy 264.561537 20.174499) (xy 264.620502 20.064185) (xy 264.656812 19.944487) (xy 264.669072 19.820005) - (xy 264.669072 18.399995) (xy 264.656812 18.275513) (xy 264.620502 18.155815) (xy 264.561537 18.045501) (xy 264.482185 17.94881) - (xy 264.385494 17.869458) (xy 264.27518 17.810493) (xy 264.155482 17.774183) (xy 264.031 17.761923) (xy 261.691 17.761923) - (xy 261.566518 17.774183) (xy 261.44682 17.810493) (xy 261.336506 17.869458) (xy 261.239815 17.94881) (xy 261.160463 18.045501) - (xy 261.101498 18.155815) (xy 261.065188 18.275513) (xy 261.052928 18.399995) (xy 261.052928 19.6688) (xy 260.758072 19.6688) - (xy 260.758072 18.399995) (xy 260.745812 18.275513) (xy 260.709502 18.155815) (xy 260.650537 18.045501) (xy 260.571185 17.94881) - (xy 260.474494 17.869458) (xy 260.36418 17.810493) (xy 260.244482 17.774183) (xy 260.12 17.761923) (xy 257.88 17.761923) - (xy 257.755518 17.774183) (xy 257.63582 17.810493) (xy 257.525506 17.869458) (xy 257.428815 17.94881) (xy 257.349463 18.045501) - (xy 257.290498 18.155815) (xy 257.254188 18.275513) (xy 257.242046 18.3988) (xy 184.104925 18.3988) (xy 184.069999 18.39536) - (xy 184.035073 18.3988) (xy 184.035064 18.3988) (xy 183.93058 18.409091) (xy 183.796519 18.449758) (xy 183.712899 18.494454) - (xy 183.672967 18.515798) (xy 183.591808 18.582403) (xy 183.591804 18.582407) (xy 183.564673 18.604673) (xy 183.542407 18.631804) - (xy 176.143972 26.030241) (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) - (xy 174.773368 26.306525) (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) - (xy 173.883411 26.14401) (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) - (xy 172.476589 26.14401) (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) - (xy 171.640269 26.362412) (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) - (xy 170.767 27.333) (xy 170.787 27.333) (xy 170.787 27.587) (xy 170.767 27.587) (xy 170.767 29.873) - (xy 170.787 29.873) (xy 170.787 30.127) (xy 170.767 30.127) (xy 170.767 31.320155) (xy 170.99689 31.441476) - (xy 171.144099 31.396825) (xy 171.40692 31.271641) (xy 171.640269 31.097588) (xy 171.835178 30.881355) (xy 171.904805 30.764466) - (xy 172.026525 30.946632) (xy 172.233368 31.153475) (xy 172.402501 31.266486) (xy 172.4025 32.613344) (xy 172.379494 32.594463) - (xy 172.26918 32.535498) (xy 172.149482 32.499188) (xy 172.025 32.486928) (xy 171.87325 32.49) (xy 171.7145 32.64875) - (xy 171.7145 33.473) (xy 171.7345 33.473) (xy 171.7345 33.727) (xy 171.7145 33.727) (xy 171.7145 33.747) - (xy 171.4605 33.747) (xy 171.4605 33.727) (xy 171.4405 33.727) (xy 171.4405 33.473) (xy 171.4605 33.473) - (xy 171.4605 32.64875) (xy 171.30175 32.49) (xy 171.15 32.486928) (xy 171.025518 32.499188) (xy 170.90582 32.535498) - (xy 170.795506 32.594463) (xy 170.698815 32.673815) (xy 170.619463 32.770506) (xy 170.560498 32.88082) (xy 170.524188 33.000518) - (xy 170.522914 33.013456) (xy 168.816621 31.307164) (xy 169.046632 31.153475) (xy 169.253475 30.946632) (xy 169.375195 30.764466) - (xy 169.444822 30.881355) (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) (xy 170.28311 31.441476) - (xy 170.513 31.320155) (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) (xy 170.513 29.873) - (xy 170.513 27.587) (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) (xy 170.513 26.139845) - (xy 170.28311 26.018524) (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) (xy 169.444822 26.578645) - (xy 169.375195 26.695534) (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) (xy 168.533158 26.032068) - (xy 168.24626 25.975) (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) (xy 167.153368 26.306525) - (xy 166.946525 26.513368) (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.276621 26.152836) - (xy 174.266117 18.163341) (xy 174.266117 18.192285) (xy 174.283061 18.36432) (xy 174.333242 18.529744) (xy 174.414731 18.682199) - (xy 174.524397 18.815827) (xy 174.658025 18.925493) (xy 174.81048 19.006982) (xy 174.892989 19.032011) (xy 174.892989 19.161099) - (xy 174.871914 19.172364) (xy 174.742074 19.27892) (xy 174.635518 19.40876) (xy 174.556339 19.556893) (xy 174.507581 19.717627) - (xy 174.491117 19.884785) (xy 174.491117 20.322285) (xy 174.507581 20.489443) (xy 174.556339 20.650177) (xy 174.635518 20.79831) - (xy 174.711615 20.891035) (xy 174.635518 20.98376) (xy 174.556339 21.131893) (xy 174.507581 21.292627) (xy 174.491117 21.459785) - (xy 174.491117 21.897285) (xy 174.507581 22.064443) (xy 174.556339 22.225177) (xy 174.635518 22.37331) (xy 174.742074 22.50315) - (xy 174.871914 22.609706) (xy 175.020047 22.688885) (xy 175.180781 22.737643) (xy 175.347939 22.754107) (xy 175.860439 22.754107) - (xy 176.027597 22.737643) (xy 176.188331 22.688885) (xy 176.336464 22.609706) (xy 176.372302 22.580295) (xy 176.395417 22.59574) - (xy 176.583775 22.673761) (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) - (xy 177.386384 22.588754) (xy 177.411914 22.609706) (xy 177.560047 22.688885) (xy 177.720781 22.737643) (xy 177.887939 22.754107) - (xy 178.400439 22.754107) (xy 178.567597 22.737643) (xy 178.728331 22.688885) (xy 178.876464 22.609706) (xy 179.006304 22.50315) - (xy 179.11286 22.37331) (xy 179.192039 22.225177) (xy 179.240797 22.064443) (xy 179.257261 21.897285) (xy 179.257261 21.459785) - (xy 179.240797 21.292627) (xy 179.192039 21.131893) (xy 179.11286 20.98376) (xy 179.036763 20.891035) (xy 179.11286 20.79831) - (xy 179.192039 20.650177) (xy 179.240797 20.489443) (xy 179.257261 20.322285) (xy 179.257261 19.884785) (xy 179.240797 19.717627) - (xy 179.192039 19.556893) (xy 179.11286 19.40876) (xy 179.006304 19.27892) (xy 178.876464 19.172364) (xy 178.855389 19.161099) - (xy 178.855389 19.032011) (xy 178.937898 19.006982) (xy 179.090353 18.925493) (xy 179.223981 18.815827) (xy 179.333647 18.682199) - (xy 179.415136 18.529744) (xy 179.465317 18.36432) (xy 179.482261 18.192285) (xy 179.482261 17.704785) (xy 179.465317 17.53275) - (xy 179.415136 17.367326) (xy 179.333647 17.214871) (xy 179.223981 17.081243) (xy 179.138433 17.011035) (xy 179.223981 16.940827) - (xy 179.333647 16.807199) (xy 179.415136 16.654744) (xy 179.465317 16.48932) (xy 179.482261 16.317285) (xy 179.482261 15.829785) - (xy 179.465317 15.65775) (xy 179.415136 15.492326) (xy 179.333647 15.339871) (xy 179.223981 15.206243) (xy 179.090353 15.096577) - (xy 178.937898 15.015088) (xy 178.772474 14.964907) (xy 178.600439 14.947963) (xy 177.687939 14.947963) (xy 177.515904 14.964907) - (xy 177.35048 15.015088) (xy 177.198025 15.096577) (xy 177.064397 15.206243) (xy 176.954731 15.339871) (xy 176.874189 15.490554) - (xy 176.793647 15.339871) (xy 176.683981 15.206243) (xy 176.550353 15.096577) (xy 176.397898 15.015088) (xy 176.232474 14.964907) - (xy 176.060439 14.947963) (xy 175.147939 14.947963) (xy 174.975904 14.964907) (xy 174.81048 15.015088) (xy 174.658025 15.096577) - (xy 174.524397 15.206243) (xy 174.414731 15.339871) (xy 174.376512 15.411374) (xy 174.370186 15.413293) (xy 174.339319 15.429792) - (xy 174.246634 15.479333) (xy 174.165475 15.545938) (xy 174.165471 15.545942) (xy 174.13834 15.568208) (xy 174.116074 15.595339) - (xy 163.691119 26.020296) (xy 163.680242 25.984438) (xy 163.614202 25.860886) (xy 163.590133 25.831558) (xy 163.547597 25.779727) - (xy 163.547593 25.779723) (xy 163.525327 25.752592) (xy 163.498196 25.730326) (xy 161.6412 23.873332) (xy 161.6412 17.405) - (xy 161.916928 17.405) (xy 161.929188 17.529482) (xy 161.965498 17.64918) (xy 162.024463 17.759494) (xy 162.103815 17.856185) - (xy 162.200506 17.935537) (xy 162.31082 17.994502) (xy 162.430518 18.030812) (xy 162.555 18.043072) (xy 163.01925 18.04) - (xy 163.178 17.88125) (xy 163.178 16.507) (xy 163.432 16.507) (xy 163.432 17.88125) (xy 163.59075 18.04) - (xy 164.055 18.043072) (xy 164.179482 18.030812) (xy 164.29918 17.994502) (xy 164.409494 17.935537) (xy 164.506185 17.856185) - (xy 164.585537 17.759494) (xy 164.644502 17.64918) (xy 164.680812 17.529482) (xy 164.693072 17.405) (xy 164.69 16.66575) - (xy 164.53125 16.507) (xy 163.432 16.507) (xy 163.178 16.507) (xy 162.07875 16.507) (xy 161.92 16.66575) - (xy 161.916928 17.405) (xy 161.6412 17.405) (xy 161.6412 15.355) (xy 161.916928 15.355) (xy 161.92 16.09425) - (xy 162.07875 16.253) (xy 163.178 16.253) (xy 163.178 14.87875) (xy 163.432 14.87875) (xy 163.432 16.253) - (xy 164.53125 16.253) (xy 164.69 16.09425) (xy 164.693072 15.355) (xy 164.680812 15.230518) (xy 164.644502 15.11082) - (xy 164.585537 15.000506) (xy 164.506185 14.903815) (xy 164.409494 14.824463) (xy 164.29918 14.765498) (xy 164.179482 14.729188) - (xy 164.055 14.716928) (xy 163.59075 14.72) (xy 163.432 14.87875) (xy 163.178 14.87875) (xy 163.01925 14.72) - (xy 162.555 14.716928) (xy 162.430518 14.729188) (xy 162.31082 14.765498) (xy 162.200506 14.824463) (xy 162.103815 14.903815) - (xy 162.024463 15.000506) (xy 161.965498 15.11082) (xy 161.929188 15.230518) (xy 161.916928 15.355) (xy 161.6412 15.355) - (xy 161.6412 12.24917) (xy 166.98634 12.24917) (xy 166.98634 12.71083) (xy 167.076406 13.16362) (xy 167.253075 13.590139) - (xy 167.50956 13.973996) (xy 167.836004 14.30044) (xy 168.219861 14.556925) (xy 168.64638 14.733594) (xy 169.09917 14.82366) - (xy 169.56083 14.82366) (xy 170.01362 14.733594) (xy 170.440139 14.556925) (xy 170.823996 14.30044) (xy 171.15044 13.973996) - (xy 171.406925 13.590139) (xy 171.583594 13.16362) (xy 171.67366 12.71083) (xy 171.67366 12.24917) (xy 196.98634 12.24917) - (xy 196.98634 12.71083) (xy 197.076406 13.16362) (xy 197.253075 13.590139) (xy 197.50956 13.973996) (xy 197.836004 14.30044) - (xy 198.219861 14.556925) (xy 198.64638 14.733594) (xy 199.09917 14.82366) (xy 199.56083 14.82366) (xy 200.01362 14.733594) - (xy 200.440139 14.556925) (xy 200.823996 14.30044) (xy 201.15044 13.973996) (xy 201.406925 13.590139) (xy 201.583594 13.16362) - (xy 201.67366 12.71083) (xy 201.67366 12.24917) (xy 226.98634 12.24917) (xy 226.98634 12.71083) (xy 227.076406 13.16362) - (xy 227.253075 13.590139) (xy 227.50956 13.973996) (xy 227.836004 14.30044) (xy 228.219861 14.556925) (xy 228.64638 14.733594) - (xy 229.09917 14.82366) (xy 229.56083 14.82366) (xy 230.01362 14.733594) (xy 230.440139 14.556925) (xy 230.823996 14.30044) - (xy 231.15044 13.973996) (xy 231.406925 13.590139) (xy 231.583594 13.16362) (xy 231.67366 12.71083) (xy 231.67366 12.24917) - (xy 231.583594 11.79638) (xy 231.406925 11.369861) (xy 231.15044 10.986004) (xy 230.823996 10.65956) (xy 230.440139 10.403075) - (xy 230.01362 10.226406) (xy 229.56083 10.13634) (xy 229.09917 10.13634) (xy 228.64638 10.226406) (xy 228.219861 10.403075) - (xy 227.836004 10.65956) (xy 227.50956 10.986004) (xy 227.253075 11.369861) (xy 227.076406 11.79638) (xy 226.98634 12.24917) - (xy 201.67366 12.24917) (xy 201.583594 11.79638) (xy 201.406925 11.369861) (xy 201.15044 10.986004) (xy 200.823996 10.65956) - (xy 200.440139 10.403075) (xy 200.01362 10.226406) (xy 199.56083 10.13634) (xy 199.09917 10.13634) (xy 198.64638 10.226406) - (xy 198.219861 10.403075) (xy 197.836004 10.65956) (xy 197.50956 10.986004) (xy 197.253075 11.369861) (xy 197.076406 11.79638) - (xy 196.98634 12.24917) (xy 171.67366 12.24917) (xy 171.583594 11.79638) (xy 171.406925 11.369861) (xy 171.15044 10.986004) - (xy 170.823996 10.65956) (xy 170.440139 10.403075) (xy 170.01362 10.226406) (xy 169.56083 10.13634) (xy 169.09917 10.13634) - (xy 168.64638 10.226406) (xy 168.219861 10.403075) (xy 167.836004 10.65956) (xy 167.50956 10.986004) (xy 167.253075 11.369861) - (xy 167.076406 11.79638) (xy 166.98634 12.24917) (xy 161.6412 12.24917) (xy 161.6412 11.983678) (xy 161.684494 11.960537) - (xy 161.781185 11.881185) (xy 161.860537 11.784494) (xy 161.919502 11.67418) (xy 161.955812 11.554482) (xy 161.968072 11.43) - (xy 161.968072 9.93) (xy 161.955812 9.805518) (xy 161.919502 9.68582) (xy 161.860537 9.575506) (xy 161.781185 9.478815) - (xy 161.684494 9.399463) (xy 161.57418 9.340498) (xy 161.454482 9.304188) (xy 161.33 9.291928) (xy 160.53 9.291928) - (xy 160.405518 9.304188) (xy 160.38 9.311929) (xy 160.354482 9.304188) (xy 160.23 9.291928) (xy 159.43 9.291928) - (xy 159.305518 9.304188) (xy 159.28 9.311929) (xy 159.254482 9.304188) (xy 159.13 9.291928) (xy 159.01575 9.295) - (xy 158.857 9.45375) (xy 158.857 9.654947) (xy 158.840498 9.68582) (xy 158.804188 9.805518) (xy 158.791928 9.93) - (xy 158.791928 11.43) (xy 158.804188 11.554482) (xy 158.840498 11.67418) (xy 158.857 11.705053) (xy 158.857 11.90625) - (xy 159.01575 12.065) (xy 159.118801 12.067771) (xy 159.118801 15.513013) (xy 158.3412 14.735413) (xy 158.3412 12.067771) - (xy 158.44425 12.065) (xy 158.603 11.90625) (xy 158.603 11.705053) (xy 158.619502 11.67418) (xy 158.655812 11.554482) - (xy 158.668072 11.43) (xy 158.668072 9.93) (xy 158.655812 9.805518) (xy 158.619502 9.68582) (xy 158.603 9.654947) - (xy 158.603 9.45375) (xy 158.44425 9.295) (xy 158.33 9.291928) (xy 158.205518 9.304188) (xy 158.18 9.311929) - (xy 158.154482 9.304188) (xy 158.03 9.291928) (xy 157.565 9.291928) (xy 157.565 9.105) (xy 161.916928 9.105) - (xy 161.929188 9.229482) (xy 161.965498 9.34918) (xy 162.024463 9.459494) (xy 162.103815 9.556185) (xy 162.200506 9.635537) - (xy 162.31082 9.694502) (xy 162.430518 9.730812) (xy 162.555 9.743072) (xy 163.01925 9.74) (xy 163.178 9.58125) - (xy 163.178 8.207) (xy 163.432 8.207) (xy 163.432 9.58125) (xy 163.59075 9.74) (xy 164.055 9.743072) - (xy 164.179482 9.730812) (xy 164.29918 9.694502) (xy 164.409494 9.635537) (xy 164.506185 9.556185) (xy 164.585537 9.459494) - (xy 164.644502 9.34918) (xy 164.680812 9.229482) (xy 164.693072 9.105) (xy 164.69 8.36575) (xy 164.53125 8.207) - (xy 163.432 8.207) (xy 163.178 8.207) (xy 162.07875 8.207) (xy 161.92 8.36575) (xy 161.916928 9.105) - (xy 157.565 9.105) (xy 157.565 9.098061) (xy 157.525226 8.898102) (xy 157.447205 8.709744) (xy 157.333937 8.540226) - (xy 157.189774 8.396063) (xy 157.020256 8.282795) (xy 156.831898 8.204774) (xy 156.631939 8.165) (xy 156.428061 8.165) - (xy 156.228102 8.204774) (xy 156.039744 8.282795) (xy 155.870226 8.396063) (xy 155.726063 8.540226) (xy 155.612795 8.709744) - (xy 155.534774 8.898102) (xy 155.495 9.098061) (xy 155.495 9.291928) (xy 155.03 9.291928) (xy 154.905518 9.304188) - (xy 154.88 9.311929) (xy 154.854482 9.304188) (xy 154.73 9.291928) (xy 153.93 9.291928) (xy 153.805518 9.304188) - (xy 153.78 9.311929) (xy 153.754482 9.304188) (xy 153.63 9.291928) (xy 152.83 9.291928) (xy 152.705518 9.304188) - (xy 152.58582 9.340498) (xy 152.475506 9.399463) (xy 152.378815 9.478815) (xy 152.299463 9.575506) (xy 152.240498 9.68582) - (xy 152.204188 9.805518) (xy 152.191928 9.93) (xy 152.191928 11.43) (xy 152.204188 11.554482) (xy 152.240498 11.67418) - (xy 152.299463 11.784494) (xy 152.378815 11.881185) (xy 152.475506 11.960537) (xy 152.518801 11.983679) (xy 152.5188 24.255411) - (xy 150.743972 26.030241) (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) (xy 149.616589 26.14401) - (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) (xy 148.726632 26.306525) - (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) (xy 147.346842 26.032068) - (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) (xy 146.393475 26.513368) - (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) (xy 145.09374 25.975) - (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) (xy 143.97 26.68776) - (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) (xy 142.84626 25.975) - (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) (xy 141.546525 26.513368) - (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) (xy 140.593158 26.032068) - (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) (xy 139.213368 26.306525) - (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) (xy 138.323411 26.14401) - (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) (xy 136.916589 26.14401) - (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) (xy 136.233475 26.513368) (xy 136.026632 26.306525) - (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) (xy 134.93374 25.975) (xy 134.656028 26.030241) - (xy 131.487602 22.861815) (xy 131.465327 22.834673) (xy 131.357033 22.745798) (xy 131.233481 22.679758) (xy 131.09942 22.639091) - (xy 130.994936 22.6288) (xy 130.994926 22.6288) (xy 130.96 22.62536) (xy 130.925074 22.6288) (xy 123.210786 22.6288) - (xy 123.053475 22.393368) (xy 122.846632 22.186525) (xy 122.67224 22.07) (xy 122.846632 21.953475) (xy 123.053475 21.746632) - (xy 123.21599 21.503411) (xy 123.327932 21.233158) (xy 123.385 20.94626) (xy 123.385 20.65374) (xy 123.327932 20.366842) - (xy 123.21599 20.096589) (xy 123.053475 19.853368) (xy 122.846632 19.646525) (xy 122.603411 19.48401) (xy 122.333158 19.372068) - (xy 122.04626 19.315) (xy 121.75374 19.315) (xy 121.466842 19.372068) (xy 121.196589 19.48401) (xy 120.953368 19.646525) - (xy 120.746525 19.853368) (xy 120.58401 20.096589) (xy 120.472068 20.366842) (xy 120.415 20.65374) (xy 120.415 20.94626) - (xy 120.472068 21.233158) (xy 120.58401 21.503411) (xy 120.746525 21.746632) (xy 120.953368 21.953475) (xy 121.12776 22.07) - (xy 120.953368 22.186525) (xy 120.746525 22.393368) (xy 120.58401 22.636589) (xy 120.472068 22.906842) (xy 120.415 23.19374) - (xy 120.415 23.48626) (xy 120.470241 23.763972) (xy 119.66181 24.572402) (xy 119.634673 24.594674) (xy 119.545798 24.702968) - (xy 119.479758 24.82652) (xy 119.439091 24.960581) (xy 119.4288 25.065065) (xy 119.4288 25.065074) (xy 119.42536 25.1) - (xy 119.4288 25.134926) (xy 119.428801 35.265064) (xy 119.42536 35.3) (xy 119.428801 35.334936) (xy 119.439092 35.43942) - (xy 119.477821 35.567092) (xy 119.479759 35.573481) (xy 119.545798 35.697032) (xy 119.612391 35.778175) (xy 119.634674 35.805327) - (xy 119.66181 35.827597) (xy 120.8188 36.984588) (xy 120.8188 37.045262) (xy 120.51375 37.045262) (xy 120.346592 37.061726) - (xy 120.185858 37.110484) (xy 120.037725 37.189663) (xy 119.907885 37.296219) (xy 119.896681 37.309872) (xy 119.844458 37.21217) - (xy 119.734792 37.078542) (xy 119.601164 36.968876) (xy 119.448709 36.887387) (xy 119.283285 36.837206) (xy 119.11125 36.820262) - (xy 118.62375 36.820262) (xy 118.451715 36.837206) (xy 118.286291 36.887387) (xy 118.133836 36.968876) (xy 118.000208 37.078542) - (xy 117.994992 37.084898) (xy 117.931185 37.007149) (xy 117.834494 36.927797) (xy 117.72418 36.868832) (xy 117.604482 36.832522) - (xy 117.48 36.820262) (xy 117.27825 36.823334) (xy 117.1195 36.982084) (xy 117.1195 38.031334) (xy 117.1395 38.031334) - (xy 117.1395 38.285334) (xy 117.1195 38.285334) (xy 117.1195 40.283) (xy 117.1395 40.283) (xy 117.1395 40.537) - (xy 117.1195 40.537) (xy 117.1195 41.58625) (xy 117.27825 41.745) (xy 117.48 41.748072) (xy 117.604482 41.735812) - (xy 117.72418 41.699502) (xy 117.834494 41.640537) (xy 117.931185 41.561185) (xy 117.994992 41.483436) (xy 118.000208 41.489792) - (xy 118.133836 41.599458) (xy 118.286291 41.680947) (xy 118.451715 41.731128) (xy 118.62375 41.748072) (xy 119.11125 41.748072) - (xy 119.283285 41.731128) (xy 119.448709 41.680947) (xy 119.601164 41.599458) (xy 119.734792 41.489792) (xy 119.844458 41.356164) - (xy 119.896681 41.258462) (xy 119.907885 41.272115) (xy 120.037725 41.378671) (xy 120.185858 41.45785) (xy 120.346592 41.506608) - (xy 120.51375 41.523072) (xy 120.95125 41.523072) (xy 121.118408 41.506608) (xy 121.279142 41.45785) (xy 121.427275 41.378671) - (xy 121.52 41.302574) (xy 121.612725 41.378671) (xy 121.760858 41.45785) (xy 121.921592 41.506608) (xy 122.08875 41.523072) - (xy 122.52625 41.523072) (xy 122.693408 41.506608) (xy 122.854142 41.45785) (xy 123.002275 41.378671) (xy 123.132115 41.272115) - (xy 123.238671 41.142275) (xy 123.31785 40.994142) (xy 123.366608 40.833408) (xy 123.383072 40.66625) (xy 123.383072 40.15375) - (xy 123.366608 39.986592) (xy 123.31785 39.825858) (xy 123.238671 39.677725) (xy 123.132115 39.547885) (xy 123.002275 39.441329) - (xy 122.854142 39.36215) (xy 122.693408 39.313392) (xy 122.52625 39.296928) (xy 122.2412 39.296928) (xy 122.2412 39.271406) - (xy 122.52625 39.271406) (xy 122.693408 39.254942) (xy 122.854142 39.206184) (xy 123.002275 39.127005) (xy 123.132115 39.020449) - (xy 123.238671 38.890609) (xy 123.31785 38.742476) (xy 123.366608 38.581742) (xy 123.383072 38.414584) (xy 123.383072 37.902084) - (xy 123.366608 37.734926) (xy 123.31785 37.574192) (xy 123.238671 37.426059) (xy 123.132115 37.296219) (xy 123.0187 37.203143) - (xy 123.0187 36.662425) (xy 123.02214 36.627499) (xy 123.0187 36.592573) (xy 123.0187 36.592564) (xy 123.008409 36.48808) - (xy 122.967742 36.354019) (xy 122.901702 36.230467) (xy 122.897965 36.225913) (xy 122.835097 36.149308) (xy 122.835093 36.149304) - (xy 122.812827 36.122173) (xy 122.785696 36.099907) (xy 121.642519 34.956731) (xy 121.773 34.82625) (xy 121.773 33.627) - (xy 122.027 33.627) (xy 122.027 34.82625) (xy 122.18575 34.985) (xy 122.75 34.988072) (xy 122.874482 34.975812) - (xy 122.99418 34.939502) (xy 123.104494 34.880537) (xy 123.201185 34.801185) (xy 123.280537 34.704494) (xy 123.339502 34.59418) - (xy 123.375812 34.474482) (xy 123.388072 34.35) (xy 123.385 33.78575) (xy 123.22625 33.627) (xy 122.027 33.627) - (xy 121.773 33.627) (xy 121.753 33.627) (xy 121.753 33.373) (xy 121.773 33.373) (xy 121.773 33.353) - (xy 122.027 33.353) (xy 122.027 33.373) (xy 123.22625 33.373) (xy 123.385 33.21425) (xy 123.388072 32.65) - (xy 123.375812 32.525518) (xy 123.339502 32.40582) (xy 123.280537 32.295506) (xy 123.201185 32.198815) (xy 123.104494 32.119463) - (xy 122.99418 32.060498) (xy 122.92162 32.038487) (xy 123.053475 31.906632) (xy 123.21599 31.663411) (xy 123.327932 31.393158) - (xy 123.385 31.10626) (xy 123.385 30.81374) (xy 123.327932 30.526842) (xy 123.21599 30.256589) (xy 123.053475 30.013368) - (xy 122.846632 29.806525) (xy 122.67224 29.69) (xy 122.846632 29.573475) (xy 123.053475 29.366632) (xy 123.21599 29.123411) - (xy 123.327932 28.853158) (xy 123.385 28.56626) (xy 123.385 28.27374) (xy 123.327932 27.986842) (xy 123.21599 27.716589) - (xy 123.053475 27.473368) (xy 122.846632 27.266525) (xy 122.67224 27.15) (xy 122.846632 27.033475) (xy 122.98661 26.893497) - (xy 125.492402 29.39929) (xy 125.514673 29.426427) (xy 125.622967 29.515302) (xy 125.746519 29.581342) (xy 125.88058 29.622009) - (xy 125.985064 29.6323) (xy 125.985071 29.6323) (xy 126.02 29.63574) (xy 126.054928 29.6323) (xy 128.511928 29.6323) - (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) - (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) - (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) - (xy 131.439502 31.09418) (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) - (xy 132.18311 31.441476) (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) - (xy 132.413 29.873) (xy 132.413 29.853) (xy 132.667 29.853) (xy 132.667 29.873) (xy 132.687 29.873) + (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 44.747414) + (xy 272.50235 44.684528) (xy 272.335754 44.633992) (xy 272.1625 44.616928) (xy 271.4375 44.616928) (xy 271.264246 44.633992) + (xy 271.09765 44.684528) (xy 270.944114 44.766595) (xy 270.809538 44.877038) (xy 270.699095 45.011614) (xy 270.617028 45.16515) + (xy 270.566492 45.331746) (xy 270.549428 45.505) (xy 270.549428 48.355) (xy 270.566492 48.528254) (xy 270.617028 48.69485) + (xy 270.699095 48.848386) (xy 270.809538 48.982962) (xy 270.835393 49.004181) (xy 270.804774 49.078102) (xy 270.765 49.278061) + (xy 270.765 49.481939) (xy 270.804774 49.681898) (xy 270.865 49.827296) (xy 270.865 49.840649) (xy 270.851185 49.823815) + (xy 270.754494 49.744463) (xy 270.64418 49.685498) (xy 270.524482 49.649188) (xy 270.4 49.636928) (xy 270.18575 49.64) + (xy 270.027 49.79875) (xy 270.027 50.873) (xy 270.047 50.873) (xy 270.047 51.127) (xy 270.027 51.127) + (xy 270.027 52.20125) (xy 270.18575 52.36) (xy 270.4 52.363072) (xy 270.524482 52.350812) (xy 270.64418 52.314502) + (xy 270.754494 52.255537) (xy 270.851185 52.176185) (xy 270.865001 52.159351) (xy 270.865 53.108349) (xy 270.757748 53.180013) + (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) (xy 270.165 54.288967) (xy 270.165 54.611033) + (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) (xy 270.757748 55.719987) (xy 271.025537 55.898918) + (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) (xy 272.276912 56.022168) (xy 272.574463 55.898918) + (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) (xy 272.276912 68.577832) (xy 271.961033 68.515) + (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) (xy 270.757748 68.880013) (xy 270.530013 69.107748) + (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) (xy 270.165 70.311033) (xy 270.227832 70.626912) + (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 271.025537 71.598918) (xy 271.323088 71.722168) + (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) (xy 272.574463 71.598918) (xy 272.62 71.568491) + (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) (xy 271.486835 74.335) (xy 271.305398 74.35287) + (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) (xy 270.515667 74.880551) (xy 270.400989 75.095099) + (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.309428 75.599475) (xy 270.309428 75.786183) (xy 270.253881 75.703051) + (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) (xy 269.331448 75.21) (xy 269.063552 75.21) + (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) (xy 267.992284 75.925799) + (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) (xy 267.889764 76.966697) (xy 267.992284 77.214201) + (xy 267.993079 77.21539) (xy 267.936979 77.316424) (xy 267.898759 77.435526) (xy 267.8875 77.53825) (xy 267.992248 77.642998) + (xy 267.948885 77.642998) (xy 267.679101 77.373214) (xy 267.656826 77.346072) (xy 267.548532 77.257197) (xy 267.42498 77.191157) + (xy 267.290919 77.15049) (xy 267.186435 77.140199) (xy 267.186425 77.140199) (xy 267.151499 77.136759) (xy 267.116573 77.140199) + (xy 265.570926 77.140199) (xy 265.536 77.136759) (xy 265.501074 77.140199) (xy 265.501065 77.140199) (xy 265.396581 77.15049) + (xy 265.286629 77.183844) (xy 265.30785 77.144142) (xy 265.356608 76.983408) (xy 265.373072 76.81625) (xy 265.373072 76.30375) + (xy 265.356608 76.136592) (xy 265.30785 75.975858) (xy 265.228671 75.827725) (xy 265.122115 75.697885) (xy 264.992275 75.591329) + (xy 264.844142 75.51215) (xy 264.683408 75.463392) (xy 264.51625 75.446928) (xy 264.07875 75.446928) (xy 263.911592 75.463392) + (xy 263.750858 75.51215) (xy 263.602725 75.591329) (xy 263.51 75.667426) (xy 263.417275 75.591329) (xy 263.269142 75.51215) + (xy 263.108408 75.463392) (xy 262.94125 75.446928) (xy 262.550799 75.446928) (xy 262.534369 75.438146) (xy 262.400308 75.397479) + (xy 262.295824 75.387188) (xy 262.295814 75.387188) (xy 262.260888 75.383748) (xy 262.225962 75.387188) (xy 259.21414 75.387188) + (xy 259.179212 75.383748) (xy 259.144283 75.387188) (xy 259.144276 75.387188) (xy 259.039792 75.397479) (xy 258.90573 75.438146) + (xy 258.819355 75.484315) (xy 258.782179 75.504186) (xy 258.673885 75.593061) (xy 258.651615 75.620197) (xy 255.775413 78.4964) + (xy 225.117726 78.4964) (xy 216.010293 69.388967) (xy 265.215 69.388967) (xy 265.215 69.711033) (xy 265.277832 70.026912) + (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) (xy 266.075537 70.998918) (xy 266.373088 71.122168) + (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) (xy 267.624463 70.998918) (xy 267.892252 70.819987) + (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) (xy 268.485 69.711033) (xy 268.485 69.388967) + (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) (xy 267.892252 68.280013) (xy 267.624463 68.101082) + (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) (xy 266.373088 67.977832) (xy 266.075537 68.101082) + (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) (xy 265.277832 69.073088) (xy 265.215 69.388967) + (xy 216.010293 69.388967) (xy 214.416326 67.795) (xy 261.916928 67.795) (xy 261.929188 67.919482) (xy 261.965498 68.03918) + (xy 262.024463 68.149494) (xy 262.103815 68.246185) (xy 262.200506 68.325537) (xy 262.31082 68.384502) (xy 262.430518 68.420812) + (xy 262.555 68.433072) (xy 262.74425 68.43) (xy 262.903 68.27125) (xy 262.903 67.4845) (xy 263.157 67.4845) + (xy 263.157 68.27125) (xy 263.31575 68.43) (xy 263.505 68.433072) (xy 263.629482 68.420812) (xy 263.74918 68.384502) + (xy 263.859494 68.325537) (xy 263.956185 68.246185) (xy 264.035537 68.149494) (xy 264.094502 68.03918) (xy 264.130812 67.919482) + (xy 264.143072 67.795) (xy 264.14 67.64325) (xy 263.98125 67.4845) (xy 263.157 67.4845) (xy 262.903 67.4845) + (xy 262.07875 67.4845) (xy 261.92 67.64325) (xy 261.916928 67.795) (xy 214.416326 67.795) (xy 210.21314 63.591815) + (xy 210.190865 63.564673) (xy 210.082571 63.475798) (xy 209.959019 63.409758) (xy 209.824958 63.369091) (xy 209.720474 63.3588) + (xy 209.720464 63.3588) (xy 209.685538 63.35536) (xy 209.650612 63.3588) (xy 208.503588 63.3588) (xy 208.501501 63.337608) + (xy 208.500101 63.304364) (xy 208.485913 63.245989) (xy 208.478679 63.212113) (xy 208.59374 63.235) (xy 208.88626 63.235) + (xy 209.173158 63.177932) (xy 209.443411 63.06599) (xy 209.686632 62.903475) (xy 209.893475 62.696632) (xy 210.05599 62.453411) + (xy 210.167932 62.183158) (xy 210.225 61.89626) (xy 210.225 61.60374) (xy 210.167932 61.316842) (xy 210.05599 61.046589) + (xy 209.893475 60.803368) (xy 209.686632 60.596525) (xy 209.510594 60.4789) (xy 209.740269 60.307588) (xy 209.935178 60.091355) + (xy 210.070011 59.865) (xy 243.746928 59.865) (xy 243.75 60.01675) (xy 243.90875 60.1755) (xy 244.733 60.1755) + (xy 244.733 59.38875) (xy 244.987 59.38875) (xy 244.987 60.1755) (xy 245.81125 60.1755) (xy 245.97 60.01675) + (xy 245.973072 59.865) (xy 245.960812 59.740518) (xy 245.924502 59.62082) (xy 245.865537 59.510506) (xy 245.786185 59.413815) + (xy 245.689494 59.334463) (xy 245.57918 59.275498) (xy 245.459482 59.239188) (xy 245.335 59.226928) (xy 245.14575 59.23) + (xy 244.987 59.38875) (xy 244.733 59.38875) (xy 244.57425 59.23) (xy 244.385 59.226928) (xy 244.260518 59.239188) + (xy 244.14082 59.275498) (xy 244.030506 59.334463) (xy 243.933815 59.413815) (xy 243.854463 59.510506) (xy 243.795498 59.62082) + (xy 243.759188 59.740518) (xy 243.746928 59.865) (xy 210.070011 59.865) (xy 210.084157 59.841252) (xy 210.181481 59.566891) + (xy 210.060814 59.337) (xy 208.867 59.337) (xy 208.867 59.357) (xy 208.613 59.357) (xy 208.613 59.337) + (xy 208.593 59.337) (xy 208.593 59.083) (xy 208.613 59.083) (xy 208.613 57.889845) (xy 208.867 57.889845) + (xy 208.867 59.083) (xy 210.060814 59.083) (xy 210.181481 58.853109) (xy 210.084157 58.578748) (xy 209.935178 58.328645) + (xy 209.740269 58.112412) (xy 209.50692 57.938359) (xy 209.244099 57.813175) (xy 209.09689 57.768524) (xy 208.867 57.889845) + (xy 208.613 57.889845) (xy 208.38311 57.768524) (xy 208.235901 57.813175) (xy 207.97308 57.938359) (xy 207.739731 58.112412) + (xy 207.544822 58.328645) (xy 207.475195 58.445534) (xy 207.353475 58.263368) (xy 207.146632 58.056525) (xy 206.903411 57.89401) + (xy 206.633158 57.782068) (xy 206.34626 57.725) (xy 206.05374 57.725) (xy 205.766842 57.782068) (xy 205.496589 57.89401) + (xy 205.253368 58.056525) (xy 205.046525 58.263368) (xy 204.93 58.43776) (xy 204.813475 58.263368) (xy 204.606632 58.056525) + (xy 204.363411 57.89401) (xy 204.093158 57.782068) (xy 203.80626 57.725) (xy 203.51374 57.725) (xy 203.226842 57.782068) + (xy 202.956589 57.89401) (xy 202.713368 58.056525) (xy 202.506525 58.263368) (xy 202.39 58.43776) (xy 202.273475 58.263368) + (xy 202.066632 58.056525) (xy 201.823411 57.89401) (xy 201.553158 57.782068) (xy 201.26626 57.725) (xy 200.97374 57.725) + (xy 200.686842 57.782068) (xy 200.416589 57.89401) (xy 200.173368 58.056525) (xy 199.966525 58.263368) (xy 199.85 58.43776) + (xy 199.733475 58.263368) (xy 199.526632 58.056525) (xy 199.283411 57.89401) (xy 199.013158 57.782068) (xy 198.72626 57.725) + (xy 198.43374 57.725) (xy 198.146842 57.782068) (xy 197.876589 57.89401) (xy 197.633368 58.056525) (xy 197.426525 58.263368) + (xy 197.31 58.43776) (xy 197.193475 58.263368) (xy 196.986632 58.056525) (xy 196.743411 57.89401) (xy 196.473158 57.782068) + (xy 196.18626 57.725) (xy 195.89374 57.725) (xy 195.606842 57.782068) (xy 195.336589 57.89401) (xy 195.093368 58.056525) + (xy 194.886525 58.263368) (xy 194.77 58.43776) (xy 194.653475 58.263368) (xy 194.446632 58.056525) (xy 194.203411 57.89401) + (xy 193.933158 57.782068) (xy 193.64626 57.725) (xy 193.35374 57.725) (xy 193.066842 57.782068) (xy 192.796589 57.89401) + (xy 192.553368 58.056525) (xy 192.346525 58.263368) (xy 192.23 58.43776) (xy 192.113475 58.263368) (xy 191.906632 58.056525) + (xy 191.663411 57.89401) (xy 191.393158 57.782068) (xy 191.10626 57.725) (xy 190.81374 57.725) (xy 190.526842 57.782068) + (xy 190.256589 57.89401) (xy 190.013368 58.056525) (xy 189.806525 58.263368) (xy 189.69 58.43776) (xy 189.573475 58.263368) + (xy 189.366632 58.056525) (xy 189.123411 57.89401) (xy 188.853158 57.782068) (xy 188.56626 57.725) (xy 188.27374 57.725) + (xy 187.986842 57.782068) (xy 187.716589 57.89401) (xy 187.473368 58.056525) (xy 187.266525 58.263368) (xy 187.15 58.43776) + (xy 187.033475 58.263368) (xy 186.826632 58.056525) (xy 186.583411 57.89401) (xy 186.313158 57.782068) (xy 186.02626 57.725) + (xy 185.73374 57.725) (xy 185.446842 57.782068) (xy 185.176589 57.89401) (xy 184.933368 58.056525) (xy 184.726525 58.263368) + (xy 184.61 58.43776) (xy 184.493475 58.263368) (xy 184.286632 58.056525) (xy 184.043411 57.89401) (xy 183.773158 57.782068) + (xy 183.48626 57.725) (xy 183.19374 57.725) (xy 182.906842 57.782068) (xy 182.636589 57.89401) (xy 182.393368 58.056525) + (xy 182.186525 58.263368) (xy 182.07 58.43776) (xy 181.953475 58.263368) (xy 181.746632 58.056525) (xy 181.503411 57.89401) + (xy 181.233158 57.782068) (xy 180.94626 57.725) (xy 180.65374 57.725) (xy 180.366842 57.782068) (xy 180.096589 57.89401) + (xy 179.853368 58.056525) (xy 179.646525 58.263368) (xy 179.53 58.43776) (xy 179.413475 58.263368) (xy 179.206632 58.056525) + (xy 178.963411 57.89401) (xy 178.693158 57.782068) (xy 178.40626 57.725) (xy 178.11374 57.725) (xy 177.826842 57.782068) + (xy 177.556589 57.89401) (xy 177.313368 58.056525) (xy 177.106525 58.263368) (xy 176.99 58.43776) (xy 176.873475 58.263368) + (xy 176.666632 58.056525) (xy 176.423411 57.89401) (xy 176.153158 57.782068) (xy 175.86626 57.725) (xy 175.57374 57.725) + (xy 175.286842 57.782068) (xy 175.016589 57.89401) (xy 174.773368 58.056525) (xy 174.566525 58.263368) (xy 174.45 58.43776) + (xy 174.333475 58.263368) (xy 174.126632 58.056525) (xy 173.883411 57.89401) (xy 173.613158 57.782068) (xy 173.32626 57.725) + (xy 173.03374 57.725) (xy 172.746842 57.782068) (xy 172.476589 57.89401) (xy 172.233368 58.056525) (xy 172.026525 58.263368) + (xy 171.91 58.43776) (xy 171.793475 58.263368) (xy 171.586632 58.056525) (xy 171.343411 57.89401) (xy 171.073158 57.782068) + (xy 170.78626 57.725) (xy 170.49374 57.725) (xy 170.206842 57.782068) (xy 169.936589 57.89401) (xy 169.693368 58.056525) + (xy 169.486525 58.263368) (xy 169.364805 58.445534) (xy 169.295178 58.328645) (xy 169.100269 58.112412) (xy 168.86692 57.938359) + (xy 168.604099 57.813175) (xy 168.45689 57.768524) (xy 168.227 57.889845) (xy 168.227 59.083) (xy 168.247 59.083) + (xy 168.247 59.337) (xy 168.227 59.337) (xy 168.227 61.623) (xy 168.247 61.623) (xy 168.247 61.877) + (xy 168.227 61.877) (xy 168.227 63.070155) (xy 168.45689 63.191476) (xy 168.604099 63.146825) (xy 168.86692 63.021641) + (xy 169.100269 62.847588) (xy 169.295178 62.631355) (xy 169.364805 62.514466) (xy 169.486525 62.696632) (xy 169.693368 62.903475) + (xy 169.923379 63.057163) (xy 163.43431 69.546233) (xy 163.407174 69.568503) (xy 163.384904 69.595639) (xy 163.384903 69.59564) + (xy 163.318298 69.676798) (xy 163.292405 69.725241) (xy 163.252259 69.800349) (xy 163.211592 69.93441) (xy 163.205546 69.995798) + (xy 163.19786 70.07383) (xy 163.201301 70.108766) (xy 163.201301 71.124449) (xy 163.127 71.19875) (xy 163.127 71.9855) + (xy 163.147 71.9855) (xy 163.147 72.2395) (xy 163.127 72.2395) (xy 163.127 72.2595) (xy 162.873 72.2595) + (xy 162.873 72.2395) (xy 162.853 72.2395) (xy 162.853 71.9855) (xy 162.873 71.9855) (xy 162.873 71.19875) + (xy 162.71425 71.04) (xy 162.525 71.036928) (xy 162.400518 71.049188) (xy 162.28082 71.085498) (xy 162.170506 71.144463) + (xy 162.073815 71.223815) (xy 162.03329 71.273195) (xy 161.982275 71.231329) (xy 161.834142 71.15215) (xy 161.673408 71.103392) + (xy 161.50625 71.086928) (xy 161.06875 71.086928) (xy 160.901592 71.103392) (xy 160.740858 71.15215) (xy 160.592725 71.231329) + (xy 160.5 71.307426) (xy 160.4237 71.244809) (xy 160.4237 65.352087) (xy 162.596029 63.179759) (xy 162.87374 63.235) + (xy 163.16626 63.235) (xy 163.453158 63.177932) (xy 163.723411 63.06599) (xy 163.966632 62.903475) (xy 164.173475 62.696632) + (xy 164.29 62.52224) (xy 164.406525 62.696632) (xy 164.613368 62.903475) (xy 164.856589 63.06599) (xy 165.126842 63.177932) + (xy 165.41374 63.235) (xy 165.70626 63.235) (xy 165.993158 63.177932) (xy 166.263411 63.06599) (xy 166.506632 62.903475) + (xy 166.713475 62.696632) (xy 166.835195 62.514466) (xy 166.904822 62.631355) (xy 167.099731 62.847588) (xy 167.33308 63.021641) + (xy 167.595901 63.146825) (xy 167.74311 63.191476) (xy 167.973 63.070155) (xy 167.973 61.877) (xy 167.953 61.877) + (xy 167.953 61.623) (xy 167.973 61.623) (xy 167.973 59.337) (xy 167.953 59.337) (xy 167.953 59.083) + (xy 167.973 59.083) (xy 167.973 57.889845) (xy 167.74311 57.768524) (xy 167.595901 57.813175) (xy 167.33308 57.938359) + (xy 167.099731 58.112412) (xy 166.904822 58.328645) (xy 166.835195 58.445534) (xy 166.8125 58.411569) (xy 166.8125 56.746657) + (xy 166.835506 56.765537) (xy 166.94582 56.824502) (xy 167.065518 56.860812) (xy 167.19 56.873072) (xy 167.34175 56.87) + (xy 167.5005 56.71125) (xy 167.5005 55.887) (xy 167.7545 55.887) (xy 167.7545 56.71125) (xy 167.91325 56.87) + (xy 168.065 56.873072) (xy 168.189482 56.860812) (xy 168.30918 56.824502) (xy 168.419494 56.765537) (xy 168.516185 56.686185) + (xy 168.595537 56.589494) (xy 168.654502 56.47918) (xy 168.690812 56.359482) (xy 168.703072 56.235) (xy 168.7 56.04575) + (xy 168.54125 55.887) (xy 167.7545 55.887) (xy 167.5005 55.887) (xy 167.4805 55.887) (xy 167.4805 55.633) + (xy 167.5005 55.633) (xy 167.5005 54.80875) (xy 167.7545 54.80875) (xy 167.7545 55.633) (xy 168.54125 55.633) + (xy 168.7 55.47425) (xy 168.703072 55.285) (xy 168.690812 55.160518) (xy 168.654502 55.04082) (xy 168.595537 54.930506) + (xy 168.516185 54.833815) (xy 168.419494 54.754463) (xy 168.30918 54.695498) (xy 168.189482 54.659188) (xy 168.065 54.646928) + (xy 167.91325 54.65) (xy 167.7545 54.80875) (xy 167.5005 54.80875) (xy 167.34175 54.65) (xy 167.19 54.646928) + (xy 167.065518 54.659188) (xy 166.94582 54.695498) (xy 166.835506 54.754463) (xy 166.76893 54.8091) (xy 166.747275 54.791329) + (xy 166.599142 54.71215) (xy 166.438408 54.663392) (xy 166.27125 54.646928) (xy 165.83375 54.646928) (xy 165.666592 54.663392) + (xy 165.505858 54.71215) (xy 165.357725 54.791329) (xy 165.227885 54.897885) (xy 165.121329 55.027725) (xy 165.04215 55.175858) + (xy 164.993392 55.336592) (xy 164.976928 55.50375) (xy 164.976928 56.01625) (xy 164.993392 56.183408) (xy 165.04215 56.344142) + (xy 165.121329 56.492275) (xy 165.227885 56.622115) (xy 165.2925 56.675143) (xy 165.292501 57.749116) (xy 165.126842 57.782068) + (xy 164.856589 57.89401) (xy 164.613368 58.056525) (xy 164.406525 58.263368) (xy 164.29 58.43776) (xy 164.173475 58.263368) + (xy 163.966632 58.056525) (xy 163.723411 57.89401) (xy 163.453158 57.782068) (xy 163.16626 57.725) (xy 162.87374 57.725) + (xy 162.586842 57.782068) (xy 162.316589 57.89401) (xy 162.073368 58.056525) (xy 161.866525 58.263368) (xy 161.75 58.43776) + (xy 161.633475 58.263368) (xy 161.426632 58.056525) (xy 161.183411 57.89401) (xy 160.913158 57.782068) (xy 160.62626 57.725) + (xy 160.33374 57.725) (xy 160.046842 57.782068) (xy 159.776589 57.89401) (xy 159.533368 58.056525) (xy 159.326525 58.263368) + (xy 159.21 58.43776) (xy 159.093475 58.263368) (xy 158.886632 58.056525) (xy 158.643411 57.89401) (xy 158.373158 57.782068) + (xy 158.08626 57.725) (xy 157.79374 57.725) (xy 157.506842 57.782068) (xy 157.236589 57.89401) (xy 156.993368 58.056525) + (xy 156.786525 58.263368) (xy 156.67 58.43776) (xy 156.553475 58.263368) (xy 156.346632 58.056525) (xy 156.103411 57.89401) + (xy 155.833158 57.782068) (xy 155.54626 57.725) (xy 155.25374 57.725) (xy 154.966842 57.782068) (xy 154.696589 57.89401) + (xy 154.453368 58.056525) (xy 154.246525 58.263368) (xy 154.13 58.43776) (xy 154.013475 58.263368) (xy 153.806632 58.056525) + (xy 153.563411 57.89401) (xy 153.293158 57.782068) (xy 153.00626 57.725) (xy 152.71374 57.725) (xy 152.426842 57.782068) + (xy 152.156589 57.89401) (xy 151.913368 58.056525) (xy 151.706525 58.263368) (xy 151.59 58.43776) (xy 151.473475 58.263368) + (xy 151.266632 58.056525) (xy 151.023411 57.89401) (xy 150.753158 57.782068) (xy 150.46626 57.725) (xy 150.17374 57.725) + (xy 149.886842 57.782068) (xy 149.616589 57.89401) (xy 149.373368 58.056525) (xy 149.166525 58.263368) (xy 149.05 58.43776) + (xy 148.933475 58.263368) (xy 148.726632 58.056525) (xy 148.483411 57.89401) (xy 148.213158 57.782068) (xy 147.92626 57.725) + (xy 147.63374 57.725) (xy 147.346842 57.782068) (xy 147.188419 57.847689) (xy 147.152597 57.80404) (xy 147.152593 57.804036) + (xy 147.130326 57.776904) (xy 147.103195 57.754638) (xy 145.1862 55.837644) (xy 145.1862 53.01) (xy 145.252002 53.01) + (xy 145.252002 52.880252) (xy 145.38175 53.01) (xy 145.483561 52.998937) (xy 145.602719 52.960892) (xy 145.712165 52.900331) + (xy 145.807693 52.819582) (xy 145.885632 52.721748) (xy 145.942987 52.610589) (xy 145.977554 52.490376) (xy 145.988005 52.365729) + (xy 145.985 51.88575) (xy 145.82625 51.727) (xy 145.338072 51.727) (xy 145.338072 51.497224) (xy 180.723366 51.497224) + (xy 180.726371 51.977203) (xy 180.885121 52.135953) (xy 181.488371 52.135953) (xy 181.488371 52.089203) (xy 181.535121 52.135953) + (xy 183.033371 52.135953) (xy 183.033371 52.389953) (xy 181.535121 52.389953) (xy 181.488371 52.436703) (xy 181.488371 52.389953) + (xy 180.885121 52.389953) (xy 180.726371 52.548703) (xy 180.723366 53.028682) (xy 180.733817 53.153329) (xy 180.768384 53.273542) + (xy 180.825739 53.384701) (xy 180.903678 53.482535) (xy 180.999206 53.563284) (xy 181.108652 53.623845) (xy 181.22781 53.66189) + (xy 181.329621 53.672953) (xy 181.488371 53.514203) (xy 181.488371 53.400557) (xy 181.553678 53.482535) (xy 181.649206 53.563284) + (xy 181.758652 53.623845) (xy 181.810599 53.640431) (xy 181.843121 53.672953) (xy 181.911371 53.665537) (xy 181.979621 53.672953) + (xy 182.012143 53.640431) (xy 182.06409 53.623845) (xy 182.173536 53.563284) (xy 182.236371 53.51017) (xy 182.299206 53.563284) + (xy 182.408652 53.623845) (xy 182.460599 53.640431) (xy 182.493121 53.672953) (xy 182.561371 53.665537) (xy 182.629621 53.672953) + (xy 182.662143 53.640431) (xy 182.71409 53.623845) (xy 182.823536 53.563284) (xy 182.886371 53.51017) (xy 182.949206 53.563284) + (xy 183.058652 53.623845) (xy 183.110599 53.640431) (xy 183.143121 53.672953) (xy 183.211371 53.665537) (xy 183.279621 53.672953) + (xy 183.312143 53.640431) (xy 183.36409 53.623845) (xy 183.409369 53.59879) (xy 183.409369 53.672953) (xy 183.475172 53.672953) + (xy 183.475172 54.061117) (xy 183.396063 54.140226) (xy 183.282795 54.309744) (xy 183.204774 54.498102) (xy 183.165 54.698061) + (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) (xy 183.540226 55.603937) + (xy 183.709744 55.717205) (xy 183.898102 55.795226) (xy 184.098061 55.835) (xy 184.301939 55.835) (xy 184.501898 55.795226) + (xy 184.690256 55.717205) (xy 184.8 55.643877) (xy 184.909744 55.717205) (xy 185.098102 55.795226) (xy 185.298061 55.835) + (xy 185.501939 55.835) (xy 185.701898 55.795226) (xy 185.890256 55.717205) (xy 186 55.643877) (xy 186.109744 55.717205) + (xy 186.298102 55.795226) (xy 186.498061 55.835) (xy 186.701939 55.835) (xy 186.901898 55.795226) (xy 187.090256 55.717205) + (xy 187.2 55.643877) (xy 187.309744 55.717205) (xy 187.498102 55.795226) (xy 187.698061 55.835) (xy 187.901939 55.835) + (xy 188.101898 55.795226) (xy 188.290256 55.717205) (xy 188.459774 55.603937) (xy 188.603937 55.459774) (xy 188.717205 55.290256) + (xy 188.795226 55.101898) (xy 188.835 54.901939) (xy 188.835 54.698061) (xy 188.797991 54.512001) (xy 188.909473 54.558179) + (xy 189.109432 54.597953) (xy 189.31331 54.597953) (xy 189.513269 54.558179) (xy 189.701627 54.480158) (xy 189.871145 54.36689) + (xy 190.015308 54.222727) (xy 190.128576 54.053209) (xy 190.206597 53.864851) (xy 190.246371 53.664892) (xy 190.246371 53.461014) + (xy 190.206597 53.261055) (xy 190.128576 53.072697) (xy 190.104726 53.037002) (xy 190.180042 52.945228) (xy 190.259221 52.797095) + (xy 190.307979 52.636361) (xy 190.324443 52.469203) (xy 190.324443 52.031703) (xy 190.307979 51.864545) (xy 190.259221 51.703811) + (xy 190.180042 51.555678) (xy 190.162271 51.534023) (xy 190.216908 51.467447) (xy 190.275873 51.357133) (xy 190.312183 51.237435) + (xy 190.324443 51.112953) (xy 190.321371 50.961203) (xy 190.162621 50.802453) (xy 189.338371 50.802453) (xy 189.338371 50.822453) + (xy 189.084371 50.822453) (xy 189.084371 50.802453) (xy 188.260121 50.802453) (xy 188.101371 50.961203) (xy 188.100049 51.026504) + (xy 188.015865 50.957416) (xy 187.905551 50.898451) (xy 187.785853 50.862141) (xy 187.661371 50.849881) (xy 187.211371 50.849881) + (xy 187.108284 50.860034) (xy 187.043121 50.852953) (xy 187.010874 50.8852) (xy 186.967191 50.898451) (xy 186.856877 50.957416) + (xy 186.786371 51.015279) (xy 186.715865 50.957416) (xy 186.605551 50.898451) (xy 186.561868 50.8852) (xy 186.529621 50.852953) + (xy 186.464458 50.860034) (xy 186.361371 50.849881) (xy 185.911371 50.849881) (xy 185.811371 50.85973) (xy 185.711371 50.849881) + (xy 185.261371 50.849881) (xy 185.161371 50.85973) (xy 185.061371 50.849881) (xy 184.611371 50.849881) (xy 184.511371 50.85973) + (xy 184.411371 50.849881) (xy 183.961371 50.849881) (xy 183.858284 50.860034) (xy 183.793121 50.852953) (xy 183.760874 50.8852) + (xy 183.717191 50.898451) (xy 183.606877 50.957416) (xy 183.536097 51.015504) (xy 183.473536 50.962622) (xy 183.36409 50.902061) + (xy 183.312143 50.885475) (xy 183.279621 50.852953) (xy 183.211371 50.860369) (xy 183.143121 50.852953) (xy 183.110599 50.885475) + (xy 183.058652 50.902061) (xy 182.949206 50.962622) (xy 182.886371 51.015736) (xy 182.823536 50.962622) (xy 182.71409 50.902061) + (xy 182.662143 50.885475) (xy 182.629621 50.852953) (xy 182.561371 50.860369) (xy 182.493121 50.852953) (xy 182.460599 50.885475) + (xy 182.408652 50.902061) (xy 182.299206 50.962622) (xy 182.236371 51.015736) (xy 182.173536 50.962622) (xy 182.06409 50.902061) + (xy 182.012143 50.885475) (xy 181.979621 50.852953) (xy 181.911371 50.860369) (xy 181.843121 50.852953) (xy 181.810599 50.885475) + (xy 181.758652 50.902061) (xy 181.649206 50.962622) (xy 181.553678 51.043371) (xy 181.488371 51.125349) (xy 181.488371 51.011703) + (xy 181.329621 50.852953) (xy 181.22781 50.864016) (xy 181.108652 50.902061) (xy 180.999206 50.962622) (xy 180.903678 51.043371) + (xy 180.825739 51.141205) (xy 180.768384 51.252364) (xy 180.733817 51.372577) (xy 180.723366 51.497224) (xy 145.338072 51.497224) + (xy 145.338072 51.473) (xy 145.82625 51.473) (xy 145.985 51.31425) (xy 145.988005 50.834271) (xy 145.977554 50.709624) + (xy 145.942987 50.589411) (xy 145.885632 50.478252) (xy 145.807693 50.380418) (xy 145.712165 50.299669) (xy 145.602719 50.239108) + (xy 145.599102 50.237953) (xy 188.098299 50.237953) (xy 188.101371 50.389703) (xy 188.260121 50.548453) (xy 189.084371 50.548453) + (xy 189.084371 49.761703) (xy 189.338371 49.761703) (xy 189.338371 50.548453) (xy 190.162621 50.548453) (xy 190.321371 50.389703) + (xy 190.324443 50.237953) (xy 190.312183 50.113471) (xy 190.275873 49.993773) (xy 190.216908 49.883459) (xy 190.137556 49.786768) + (xy 190.040865 49.707416) (xy 189.930551 49.648451) (xy 189.810853 49.612141) (xy 189.686371 49.599881) (xy 189.497121 49.602953) + (xy 189.338371 49.761703) (xy 189.084371 49.761703) (xy 188.925621 49.602953) (xy 188.736371 49.599881) (xy 188.611889 49.612141) + (xy 188.492191 49.648451) (xy 188.381877 49.707416) (xy 188.285186 49.786768) (xy 188.205834 49.883459) (xy 188.146869 49.993773) + (xy 188.110559 50.113471) (xy 188.098299 50.237953) (xy 145.599102 50.237953) (xy 145.483561 50.201063) (xy 145.38175 50.19) + (xy 145.223 50.34875) (xy 145.223 50.461322) (xy 145.151185 50.373815) (xy 145.054494 50.294463) (xy 144.94418 50.235498) + (xy 144.900497 50.222247) (xy 144.86825 50.19) (xy 144.803087 50.197081) (xy 144.7 50.186928) (xy 144.25 50.186928) + (xy 144.15 50.196777) (xy 144.05 50.186928) (xy 143.6 50.186928) (xy 143.5 50.196777) (xy 143.4 50.186928) + (xy 142.95 50.186928) (xy 142.85 50.196777) (xy 142.75 50.186928) (xy 142.3 50.186928) (xy 142.2 50.196777) + (xy 142.1 50.186928) (xy 141.65 50.186928) (xy 141.55 50.196777) (xy 141.45 50.186928) (xy 141 50.186928) + (xy 140.9 50.196777) (xy 140.8 50.186928) (xy 140.448881 50.186928) (xy 140.522249 50.164672) (xy 140.645801 50.098632) + (xy 140.754095 50.009757) (xy 140.77637 49.982615) (xy 143.003195 47.755791) (xy 143.030326 47.733525) (xy 143.052593 47.706393) + (xy 143.052597 47.706389) (xy 143.119202 47.625231) (xy 143.185241 47.50168) (xy 143.185242 47.501679) (xy 143.212121 47.413072) + (xy 143.4 47.413072) (xy 143.5 47.403223) (xy 143.6 47.413072) (xy 144.05 47.413072) (xy 144.15 47.403223) + (xy 144.25 47.413072) (xy 144.7 47.413072) (xy 144.8 47.403223) (xy 144.9 47.413072) (xy 145.35 47.413072) + (xy 145.474482 47.400812) (xy 145.59418 47.364502) (xy 145.704494 47.305537) (xy 145.801185 47.226185) (xy 145.880537 47.129494) + (xy 145.939502 47.01918) (xy 145.975812 46.899482) (xy 145.988072 46.775) (xy 145.988072 45.225) (xy 145.975812 45.100518) + (xy 145.939502 44.98082) (xy 145.880537 44.870506) (xy 145.8362 44.816481) (xy 145.8362 32.869587) (xy 146.796797 31.908992) + (xy 146.823928 31.886726) (xy 146.846194 31.859595) (xy 146.846199 31.85959) (xy 146.912803 31.778432) (xy 146.978843 31.654881) + (xy 147.01951 31.520819) (xy 147.01983 31.517565) (xy 147.029801 31.416335) (xy 147.029801 31.416328) (xy 147.033241 31.381399) + (xy 147.029801 31.346471) (xy 147.029801 31.284727) (xy 147.076589 31.31599) (xy 147.346842 31.427932) (xy 147.63374 31.485) + (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) (xy 148.726632 31.153475) (xy 148.933475 30.946632) + (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) (xy 149.616589 31.31599) (xy 149.886842 31.427932) + (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) (xy 151.023411 31.31599) (xy 151.266632 31.153475) + (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) (xy 151.913368 31.153475) (xy 152.156589 31.31599) + (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) (xy 153.293158 31.427932) (xy 153.563411 31.31599) + (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) (xy 154.246525 30.946632) (xy 154.453368 31.153475) + (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) (xy 155.54626 31.485) (xy 155.833158 31.427932) + (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) (xy 156.67 30.77224) (xy 156.786525 30.946632) + (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) (xy 157.79374 31.485) (xy 158.08626 31.485) + (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) (xy 159.093475 30.946632) (xy 159.21 30.77224) + (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) (xy 160.046842 31.427932) (xy 160.33374 31.485) + (xy 160.62626 31.485) (xy 160.903972 31.429759) (xy 173.352407 43.878196) (xy 173.374673 43.905327) (xy 173.401804 43.927593) + (xy 173.401809 43.927598) (xy 173.482967 43.994202) (xy 173.606518 44.060242) (xy 173.700438 44.088732) (xy 173.74058 44.100909) + (xy 173.845064 44.1112) (xy 173.845071 44.1112) (xy 173.88 44.11464) (xy 173.914928 44.1112) (xy 182.206231 44.1112) + (xy 183.409369 45.314339) (xy 183.409369 45.327116) (xy 183.36409 45.302061) (xy 183.312143 45.285475) (xy 183.279621 45.252953) + (xy 183.211371 45.260369) (xy 183.143121 45.252953) (xy 183.110599 45.285475) (xy 183.058652 45.302061) (xy 182.949206 45.362622) + (xy 182.886371 45.415736) (xy 182.823536 45.362622) (xy 182.71409 45.302061) (xy 182.662143 45.285475) (xy 182.629621 45.252953) + (xy 182.561371 45.260369) (xy 182.493121 45.252953) (xy 182.460599 45.285475) (xy 182.408652 45.302061) (xy 182.299206 45.362622) + (xy 182.236371 45.415736) (xy 182.173536 45.362622) (xy 182.06409 45.302061) (xy 182.012143 45.285475) (xy 181.979621 45.252953) + (xy 181.911371 45.260369) (xy 181.843121 45.252953) (xy 181.810599 45.285475) (xy 181.758652 45.302061) (xy 181.649206 45.362622) + (xy 181.553678 45.443371) (xy 181.488371 45.525349) (xy 181.488371 45.411703) (xy 181.329621 45.252953) (xy 181.22781 45.264016) + (xy 181.108652 45.302061) (xy 180.999206 45.362622) (xy 180.903678 45.443371) (xy 180.825739 45.541205) (xy 180.768384 45.652364) + (xy 180.733817 45.772577) (xy 180.723366 45.897224) (xy 180.726371 46.377203) (xy 180.885121 46.535953) (xy 181.488371 46.535953) + (xy 181.488371 46.489203) (xy 181.535121 46.535953) (xy 183.683371 46.535953) (xy 183.683371 46.789953) (xy 181.535121 46.789953) + (xy 181.488371 46.836703) (xy 181.488371 46.789953) (xy 180.885121 46.789953) (xy 180.726371 46.948703) (xy 180.723366 47.428682) + (xy 180.733817 47.553329) (xy 180.768384 47.673542) (xy 180.825739 47.784701) (xy 180.903678 47.882535) (xy 180.999206 47.963284) + (xy 181.108652 48.023845) (xy 181.22781 48.06189) (xy 181.329621 48.072953) (xy 181.488371 47.914203) (xy 181.488371 47.800557) + (xy 181.553678 47.882535) (xy 181.649206 47.963284) (xy 181.758652 48.023845) (xy 181.810599 48.040431) (xy 181.843121 48.072953) + (xy 181.911371 48.065537) (xy 181.979621 48.072953) (xy 182.012143 48.040431) (xy 182.06409 48.023845) (xy 182.173536 47.963284) + (xy 182.236371 47.91017) (xy 182.299206 47.963284) (xy 182.408652 48.023845) (xy 182.460599 48.040431) (xy 182.493121 48.072953) + (xy 182.561371 48.065537) (xy 182.629621 48.072953) (xy 182.662143 48.040431) (xy 182.71409 48.023845) (xy 182.823536 47.963284) + (xy 182.886371 47.91017) (xy 182.949206 47.963284) (xy 183.058652 48.023845) (xy 183.110599 48.040431) (xy 183.143121 48.072953) + (xy 183.211371 48.065537) (xy 183.279621 48.072953) (xy 183.312143 48.040431) (xy 183.36409 48.023845) (xy 183.473536 47.963284) + (xy 183.536371 47.91017) (xy 183.599206 47.963284) (xy 183.708652 48.023845) (xy 183.760599 48.040431) (xy 183.793121 48.072953) + (xy 183.861371 48.065537) (xy 183.929621 48.072953) (xy 183.962143 48.040431) (xy 184.01409 48.023845) (xy 184.123536 47.963284) + (xy 184.186097 47.910402) (xy 184.256877 47.96849) (xy 184.367191 48.027455) (xy 184.410874 48.040706) (xy 184.443121 48.072953) + (xy 184.508284 48.065872) (xy 184.611371 48.076025) (xy 185.061371 48.076025) (xy 185.161371 48.066176) (xy 185.261371 48.076025) + (xy 185.711371 48.076025) (xy 185.811371 48.066176) (xy 185.911371 48.076025) (xy 186.361371 48.076025) (xy 186.461371 48.066176) + (xy 186.561371 48.076025) (xy 187.011371 48.076025) (xy 187.111371 48.066176) (xy 187.211371 48.076025) (xy 187.661371 48.076025) + (xy 187.785853 48.063765) (xy 187.905551 48.027455) (xy 188.015865 47.96849) (xy 188.112556 47.889138) (xy 188.191908 47.792447) + (xy 188.250873 47.682133) (xy 188.287183 47.562435) (xy 188.299443 47.437953) (xy 188.299443 45.887953) (xy 188.287183 45.763471) + (xy 188.250873 45.643773) (xy 188.191908 45.533459) (xy 188.112556 45.436768) (xy 188.015865 45.357416) (xy 187.905551 45.298451) + (xy 187.785853 45.262141) (xy 187.661371 45.249881) (xy 187.307829 45.249881) (xy 187.291698 45.230226) (xy 187.264567 45.20796) + (xy 184.02842 41.971815) (xy 184.006145 41.944673) (xy 183.897851 41.855798) (xy 183.774299 41.789758) (xy 183.640238 41.749091) + (xy 183.535754 41.7388) (xy 183.535744 41.7388) (xy 183.500818 41.73536) (xy 183.465892 41.7388) (xy 179.248259 41.7388) + (xy 172.196122 34.686664) (xy 172.26918 34.664502) (xy 172.379494 34.605537) (xy 172.44607 34.5509) (xy 172.467725 34.568671) + (xy 172.615858 34.64785) (xy 172.776592 34.696608) (xy 172.94375 34.713072) (xy 173.38125 34.713072) (xy 173.548408 34.696608) + (xy 173.709142 34.64785) (xy 173.857275 34.568671) (xy 173.987115 34.462115) (xy 174.093671 34.332275) (xy 174.17285 34.184142) + (xy 174.221608 34.023408) (xy 174.238072 33.85625) (xy 174.238072 33.34375) (xy 174.221608 33.176592) (xy 174.17285 33.015858) + (xy 174.093671 32.867725) (xy 173.987115 32.737885) (xy 173.9225 32.684857) (xy 173.9225 31.289872) (xy 174.126632 31.153475) + (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) (xy 174.773368 31.153475) (xy 175.016589 31.31599) + (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.143972 31.429759) (xy 201.763792 57.04958) + (xy 201.786063 57.076717) (xy 201.894357 57.165592) (xy 202.017909 57.231632) (xy 202.15197 57.272299) (xy 202.256454 57.28259) + (xy 202.256463 57.28259) (xy 202.291389 57.28603) (xy 202.326315 57.28259) (xy 222.421966 57.28259) (xy 222.942571 57.803196) + (xy 222.964837 57.830327) (xy 222.991968 57.852593) (xy 222.991972 57.852597) (xy 223.043194 57.894633) (xy 223.073131 57.919202) + (xy 223.196683 57.985242) (xy 223.330744 58.025909) (xy 223.435228 58.0362) (xy 223.435245 58.0362) (xy 223.470163 58.039639) + (xy 223.505081 58.0362) (xy 244.515 58.0362) (xy 244.515 58.076939) (xy 244.554774 58.276898) (xy 244.632795 58.465256) + (xy 244.746063 58.634774) (xy 244.890226 58.778937) (xy 245.059744 58.892205) (xy 245.248102 58.970226) (xy 245.448061 59.01) + (xy 245.651939 59.01) (xy 245.851898 58.970226) (xy 246.040256 58.892205) (xy 246.209774 58.778937) (xy 246.278711 58.71) + (xy 246.66 58.71) (xy 246.66 58.752002) (xy 246.744955 58.752002) (xy 246.716485 58.805265) (xy 246.66 58.86175) + (xy 246.669144 58.945968) (xy 246.656928 59.07) (xy 246.656928 59.48) (xy 246.668747 59.6) (xy 246.656928 59.72) + (xy 246.656928 60.13) (xy 246.668747 60.25) (xy 246.656928 60.37) (xy 246.656928 60.78) (xy 246.668747 60.9) + (xy 246.665533 60.932628) (xy 246.531898 60.877274) (xy 246.331939 60.8375) (xy 246.128061 60.8375) (xy 245.958783 60.871171) + (xy 245.960812 60.864482) (xy 245.973072 60.74) (xy 245.97 60.58825) (xy 245.81125 60.4295) (xy 244.987 60.4295) + (xy 244.987 60.4495) (xy 244.733 60.4495) (xy 244.733 60.4295) (xy 243.90875 60.4295) (xy 243.75 60.58825) + (xy 243.746928 60.74) (xy 243.759188 60.864482) (xy 243.795498 60.98418) (xy 243.854463 61.094494) (xy 243.9091 61.16107) + (xy 243.891329 61.182725) (xy 243.81215 61.330858) (xy 243.763392 61.491592) (xy 243.746928 61.65875) (xy 243.746928 62.09625) + (xy 243.763392 62.263408) (xy 243.81215 62.424142) (xy 243.891329 62.572275) (xy 243.997885 62.702115) (xy 244.127725 62.808671) + (xy 244.275858 62.88785) (xy 244.436592 62.936608) (xy 244.60375 62.953072) (xy 245.11625 62.953072) (xy 245.283408 62.936608) + (xy 245.444142 62.88785) (xy 245.592275 62.808671) (xy 245.671196 62.743903) (xy 245.739744 62.789705) (xy 245.928102 62.867726) + (xy 246.128061 62.9075) (xy 246.331939 62.9075) (xy 246.531898 62.867726) (xy 246.66506 62.812568) (xy 246.669188 62.854482) + (xy 246.705498 62.97418) (xy 246.764463 63.084494) (xy 246.843815 63.181185) (xy 246.940506 63.260537) (xy 247.05082 63.319502) + (xy 247.128306 63.343007) (xy 247.084774 63.448102) (xy 247.045 63.648061) (xy 247.045 63.851939) (xy 247.084774 64.051898) + (xy 247.162795 64.240256) (xy 247.276063 64.409774) (xy 247.420226 64.553937) (xy 247.589744 64.667205) (xy 247.778102 64.745226) + (xy 247.978061 64.785) (xy 248.181939 64.785) (xy 248.381898 64.745226) (xy 248.570256 64.667205) (xy 248.739774 64.553937) + (xy 248.883937 64.409774) (xy 248.997205 64.240256) (xy 249.075226 64.051898) (xy 249.115 63.851939) (xy 249.115 63.648061) + (xy 249.075226 63.448102) (xy 249.031694 63.343007) (xy 249.10918 63.319502) (xy 249.219494 63.260537) (xy 249.316185 63.181185) + (xy 249.395537 63.084494) (xy 249.454502 62.97418) (xy 249.490812 62.854482) (xy 249.503072 62.73) (xy 249.503072 62.716459) + (xy 253.814807 67.028196) (xy 253.837073 67.055327) (xy 253.864204 67.077593) (xy 253.864208 67.077597) (xy 253.923151 67.12597) + (xy 253.945367 67.144202) (xy 254.068919 67.210242) (xy 254.20298 67.250909) (xy 254.307464 67.2612) (xy 254.307473 67.2612) + (xy 254.342399 67.26464) (xy 254.377325 67.2612) (xy 264.702872 67.2612) (xy 264.75582 67.289502) (xy 264.875518 67.325812) + (xy 265 67.338072) (xy 266.9 67.338072) (xy 267.024482 67.325812) (xy 267.14418 67.289502) (xy 267.254494 67.230537) + (xy 267.351185 67.151185) (xy 267.430537 67.054494) (xy 267.489502 66.94418) (xy 267.525812 66.824482) (xy 267.538072 66.7) + (xy 267.538072 66.4) (xy 267.528223 66.3) (xy 267.538072 66.2) (xy 267.538072 65.9) (xy 267.52796 65.797326) + (xy 267.535 65.73175) (xy 267.502753 65.699503) (xy 267.489502 65.65582) (xy 267.432939 65.55) (xy 267.489502 65.44418) + (xy 267.502753 65.400497) (xy 267.535 65.36825) (xy 267.52796 65.302674) (xy 267.538072 65.2) (xy 267.538072 64.9) + (xy 267.528223 64.8) (xy 267.538072 64.7) (xy 267.538072 64.4) (xy 267.528223 64.3) (xy 267.538072 64.2) + (xy 267.538072 63.9) (xy 267.528223 63.8) (xy 267.538072 63.7) (xy 267.538072 63.4) (xy 267.528223 63.3) + (xy 267.538072 63.2) (xy 267.538072 62.9) (xy 267.52796 62.797326) (xy 267.535 62.73175) (xy 267.502753 62.699503) + (xy 267.489502 62.65582) (xy 267.432939 62.55) (xy 267.489502 62.44418) (xy 267.502753 62.400497) (xy 267.535 62.36825) + (xy 267.52796 62.302674) (xy 267.538072 62.2) (xy 267.538072 61.9) (xy 267.528223 61.8) (xy 267.538072 61.7) + (xy 267.538072 61.4) (xy 267.52796 61.297326) (xy 267.535 61.23175) (xy 267.502753 61.199503) (xy 267.489502 61.15582) + (xy 267.432939 61.05) (xy 267.489502 60.94418) (xy 267.502753 60.900497) (xy 267.535 60.86825) (xy 267.52796 60.802674) + (xy 267.538072 60.7) (xy 267.538072 60.4) (xy 267.528223 60.3) (xy 267.538072 60.2) (xy 267.538072 59.9) + (xy 267.52796 59.797326) (xy 267.535 59.73175) (xy 267.502753 59.699503) (xy 267.489502 59.65582) (xy 267.432939 59.55) + (xy 267.489502 59.44418) (xy 267.502753 59.400497) (xy 267.535 59.36825) (xy 267.52796 59.302674) (xy 267.538072 59.2) + (xy 267.538072 58.9) (xy 267.528223 58.8) (xy 267.538072 58.7) (xy 267.538072 58.4) (xy 267.52796 58.297326) + (xy 267.535 58.23175) (xy 267.502753 58.199503) (xy 267.489502 58.15582) (xy 267.432939 58.05) (xy 267.489502 57.94418) + (xy 267.502753 57.900497) (xy 267.535 57.86825) (xy 267.52796 57.802674) (xy 267.538072 57.7) (xy 267.538072 57.4) + (xy 267.525812 57.275518) (xy 267.489502 57.15582) (xy 267.430537 57.045506) (xy 267.351185 56.948815) (xy 267.254494 56.869463) + (xy 267.14418 56.810498) (xy 267.024482 56.774188) (xy 266.9 56.761928) (xy 265 56.761928) (xy 264.875518 56.774188) + (xy 264.75582 56.810498) (xy 264.702872 56.8388) (xy 264.559927 56.8388) (xy 264.524999 56.83536) (xy 264.49007 56.8388) + (xy 264.490063 56.8388) (xy 264.385579 56.849091) (xy 264.251517 56.889758) (xy 264.233419 56.899432) (xy 264.127966 56.955798) + (xy 264.019672 57.044673) (xy 263.997401 57.07181) (xy 263.882811 57.1864) (xy 262.727716 57.1864) (xy 261.26073 55.719415) + (xy 261.238455 55.692273) (xy 261.130161 55.603398) (xy 261.006609 55.537358) (xy 260.872548 55.496691) (xy 260.768064 55.4864) + (xy 260.768054 55.4864) (xy 260.733128 55.48296) (xy 260.698202 55.4864) (xy 259.875901 55.4864) (xy 260.21598 55.146322) + (xy 260.23375 55.148072) (xy 260.72125 55.148072) (xy 260.893285 55.131128) (xy 261.058709 55.080947) (xy 261.211164 54.999458) + (xy 261.344792 54.889792) (xy 261.345469 54.888967) (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) + (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) + (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) + (xy 268.119987 56.092252) (xy 268.298918 55.824463) (xy 268.422168 55.526912) (xy 268.485 55.211033) (xy 268.485 54.888967) + (xy 268.422168 54.573088) (xy 268.298918 54.275537) (xy 268.119987 54.007748) (xy 267.892252 53.780013) (xy 267.624463 53.601082) + (xy 267.326912 53.477832) (xy 267.011033 53.415) (xy 266.688967 53.415) (xy 266.373088 53.477832) (xy 266.075537 53.601082) + (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) (xy 265.277832 54.573088) (xy 265.215 54.888967) + (xy 261.345469 54.888967) (xy 261.454458 54.756164) (xy 261.535947 54.603709) (xy 261.586128 54.438285) (xy 261.603072 54.26625) + (xy 261.603072 53.35375) (xy 261.586128 53.181715) (xy 261.535947 53.016291) (xy 261.454458 52.863836) (xy 261.344792 52.730208) + (xy 261.211164 52.620542) (xy 261.058709 52.539053) (xy 260.893285 52.488872) (xy 260.72125 52.471928) (xy 260.23375 52.471928) + (xy 260.061715 52.488872) (xy 259.896291 52.539053) (xy 259.743836 52.620542) (xy 259.610208 52.730208) (xy 259.604992 52.736564) + (xy 259.541185 52.658815) (xy 259.444494 52.579463) (xy 259.33418 52.520498) (xy 259.214482 52.484188) (xy 259.09 52.471928) + (xy 258.88825 52.475) (xy 258.7295 52.63375) (xy 258.7295 53.683) (xy 258.7495 53.683) (xy 258.7495 53.937) + (xy 258.7295 53.937) (xy 258.7295 53.957) (xy 258.4755 53.957) (xy 258.4755 53.937) (xy 257.63875 53.937) + (xy 257.48 54.09575) (xy 257.478096 54.3525) (xy 257.448061 54.3525) (xy 257.391876 54.363676) (xy 257.403072 54.25) + (xy 257.4 54.09825) (xy 257.24125 53.9395) (xy 256.417 53.9395) (xy 256.417 53.9595) (xy 256.163 53.9595) + (xy 256.163 53.9395) (xy 255.33875 53.9395) (xy 255.18 54.09825) (xy 255.176928 54.25) (xy 255.189188 54.374482) + (xy 255.225498 54.49418) (xy 255.284463 54.604494) (xy 255.293085 54.615) (xy 254.913728 54.615) (xy 254.84918 54.580498) + (xy 254.749332 54.55021) (xy 254.788671 54.502275) (xy 254.86785 54.354142) (xy 254.916608 54.193408) (xy 254.933072 54.02625) + (xy 254.933072 53.58875) (xy 254.916608 53.421592) (xy 254.902475 53.375) (xy 255.176928 53.375) (xy 255.18 53.52675) + (xy 255.33875 53.6855) (xy 256.163 53.6855) (xy 256.163 52.89875) (xy 256.417 52.89875) (xy 256.417 53.6855) + (xy 257.24125 53.6855) (xy 257.4 53.52675) (xy 257.403072 53.375) (xy 257.390812 53.250518) (xy 257.354502 53.13082) + (xy 257.343374 53.11) (xy 257.476928 53.11) (xy 257.48 53.52425) (xy 257.63875 53.683) (xy 258.4755 53.683) + (xy 258.4755 52.63375) (xy 258.31675 52.475) (xy 258.115 52.471928) (xy 257.990518 52.484188) (xy 257.87082 52.520498) + (xy 257.760506 52.579463) (xy 257.663815 52.658815) (xy 257.584463 52.755506) (xy 257.525498 52.86582) (xy 257.489188 52.985518) + (xy 257.476928 53.11) (xy 257.343374 53.11) (xy 257.295537 53.020506) (xy 257.216185 52.923815) (xy 257.119494 52.844463) + (xy 257.00918 52.785498) (xy 256.889482 52.749188) (xy 256.765 52.736928) (xy 256.57575 52.74) (xy 256.417 52.89875) + (xy 256.163 52.89875) (xy 256.00425 52.74) (xy 255.815 52.736928) (xy 255.690518 52.749188) (xy 255.57082 52.785498) + (xy 255.460506 52.844463) (xy 255.363815 52.923815) (xy 255.284463 53.020506) (xy 255.225498 53.13082) (xy 255.189188 53.250518) + (xy 255.176928 53.375) (xy 254.902475 53.375) (xy 254.86785 53.260858) (xy 254.788671 53.112725) (xy 254.7709 53.09107) + (xy 254.825537 53.024494) (xy 254.884502 52.91418) (xy 254.920812 52.794482) (xy 254.933072 52.67) (xy 254.93 52.51825) + (xy 254.77125 52.3595) (xy 253.947 52.3595) (xy 253.947 52.3795) (xy 253.693 52.3795) (xy 253.693 52.3595) + (xy 252.86875 52.3595) (xy 252.71 52.51825) (xy 252.706928 52.67) (xy 252.719188 52.794482) (xy 252.755498 52.91418) + (xy 252.814463 53.024494) (xy 252.8691 53.09107) (xy 252.851329 53.112725) (xy 252.77215 53.260858) (xy 252.723392 53.421592) + (xy 252.706928 53.58875) (xy 252.706928 54.02625) (xy 252.723392 54.193408) (xy 252.728365 54.209803) (xy 252.725079 54.205798) + (xy 252.669997 54.13868) (xy 252.669988 54.138671) (xy 252.647726 54.111545) (xy 252.620601 54.089284) (xy 250.40073 51.869415) + (xy 250.378455 51.842273) (xy 250.320853 51.795) (xy 252.706928 51.795) (xy 252.71 51.94675) (xy 252.86875 52.1055) + (xy 253.693 52.1055) (xy 253.693 51.31875) (xy 253.947 51.31875) (xy 253.947 52.1055) (xy 254.77125 52.1055) + (xy 254.93 51.94675) (xy 254.933072 51.795) (xy 254.926178 51.725) (xy 268.761928 51.725) (xy 268.774188 51.849482) + (xy 268.810498 51.96918) (xy 268.869463 52.079494) (xy 268.948815 52.176185) (xy 269.045506 52.255537) (xy 269.15582 52.314502) + (xy 269.275518 52.350812) (xy 269.4 52.363072) (xy 269.61425 52.36) (xy 269.773 52.20125) (xy 269.773 51.127) + (xy 268.92375 51.127) (xy 268.765 51.28575) (xy 268.761928 51.725) (xy 254.926178 51.725) (xy 254.920812 51.670518) + (xy 254.884502 51.55082) (xy 254.825537 51.440506) (xy 254.746185 51.343815) (xy 254.649494 51.264463) (xy 254.53918 51.205498) + (xy 254.419482 51.169188) (xy 254.295 51.156928) (xy 254.10575 51.16) (xy 253.947 51.31875) (xy 253.693 51.31875) + (xy 253.53425 51.16) (xy 253.345 51.156928) (xy 253.220518 51.169188) (xy 253.10082 51.205498) (xy 252.990506 51.264463) + (xy 252.893815 51.343815) (xy 252.814463 51.440506) (xy 252.755498 51.55082) (xy 252.719188 51.670518) (xy 252.706928 51.795) + (xy 250.320853 51.795) (xy 250.270161 51.753398) (xy 250.146609 51.687358) (xy 250.012548 51.646691) (xy 249.908064 51.6364) + (xy 249.908054 51.6364) (xy 249.873128 51.63296) (xy 249.838202 51.6364) (xy 224.193241 51.6364) (xy 222.831841 50.275) + (xy 268.761928 50.275) (xy 268.765 50.71425) (xy 268.92375 50.873) (xy 269.773 50.873) (xy 269.773 49.79875) + (xy 269.61425 49.64) (xy 269.4 49.636928) (xy 269.275518 49.649188) (xy 269.15582 49.685498) (xy 269.045506 49.744463) + (xy 268.948815 49.823815) (xy 268.869463 49.920506) (xy 268.810498 50.03082) (xy 268.774188 50.150518) (xy 268.761928 50.275) + (xy 222.831841 50.275) (xy 221.161841 48.605) (xy 264.624428 48.605) (xy 264.636688 48.729482) (xy 264.672998 48.84918) + (xy 264.731963 48.959494) (xy 264.811315 49.056185) (xy 264.908006 49.135537) (xy 265.01832 49.194502) (xy 265.138018 49.230812) + (xy 265.2625 49.243072) (xy 265.58925 49.24) (xy 265.748 49.08125) (xy 265.748 47.057) (xy 266.002 47.057) + (xy 266.002 49.08125) (xy 266.16075 49.24) (xy 266.4875 49.243072) (xy 266.611982 49.230812) (xy 266.73168 49.194502) + (xy 266.841994 49.135537) (xy 266.938685 49.056185) (xy 267.018037 48.959494) (xy 267.077002 48.84918) (xy 267.113312 48.729482) + (xy 267.125572 48.605) (xy 267.1225 47.21575) (xy 266.96375 47.057) (xy 266.002 47.057) (xy 265.748 47.057) + (xy 264.78625 47.057) (xy 264.6275 47.21575) (xy 264.624428 48.605) (xy 221.161841 48.605) (xy 217.811841 45.255) + (xy 264.624428 45.255) (xy 264.6275 46.64425) (xy 264.78625 46.803) (xy 265.748 46.803) (xy 265.748 44.77875) + (xy 266.002 44.77875) (xy 266.002 46.803) (xy 266.96375 46.803) (xy 267.1225 46.64425) (xy 267.125572 45.255) + (xy 267.113312 45.130518) (xy 267.077002 45.01082) (xy 267.018037 44.900506) (xy 266.938685 44.803815) (xy 266.841994 44.724463) + (xy 266.73168 44.665498) (xy 266.611982 44.629188) (xy 266.4875 44.616928) (xy 266.16075 44.62) (xy 266.002 44.77875) + (xy 265.748 44.77875) (xy 265.58925 44.62) (xy 265.2625 44.616928) (xy 265.138018 44.629188) (xy 265.01832 44.665498) + (xy 264.908006 44.724463) (xy 264.811315 44.803815) (xy 264.731963 44.900506) (xy 264.672998 45.01082) (xy 264.636688 45.130518) + (xy 264.624428 45.255) (xy 217.811841 45.255) (xy 211.91618 39.35934) (xy 211.922973 39.348185) (xy 211.95565 39.297763) + (xy 211.97232 39.255865) (xy 211.979822 39.240287) (xy 212.002191 39.20112) (xy 212.02123 39.144157) (xy 212.041863 39.087743) + (xy 212.048795 39.043172) (xy 212.052643 39.02631) (xy 212.06573 38.983172) (xy 212.071619 38.923389) (xy 212.079183 38.863777) + (xy 212.076024 38.818806) (xy 212.076024 38.801505) (xy 212.079184 38.756509) (xy 212.071622 38.696931) (xy 212.065736 38.637155) + (xy 212.052641 38.593985) (xy 212.048794 38.577132) (xy 212.041867 38.532582) (xy 212.021225 38.476138) (xy 212.002181 38.419167) + (xy 211.979825 38.380026) (xy 211.972321 38.364442) (xy 211.955647 38.322536) (xy 211.922978 38.272127) (xy 211.891741 38.220828) + (xy 211.861218 38.187621) (xy 211.850431 38.174094) (xy 211.824851 38.136951) (xy 211.781775 38.095067) (xy 211.739906 38.052007) + (xy 211.702768 38.026432) (xy 211.689235 38.01564) (xy 211.656047 37.985133) (xy 211.604755 37.953898) (xy 211.554321 37.921214) + (xy 211.512421 37.904543) (xy 211.49684 37.89704) (xy 211.457679 37.874674) (xy 211.400698 37.855629) (xy 211.344295 37.835) + (xy 211.299735 37.82807) (xy 211.282871 37.824221) (xy 211.239709 37.811129) (xy 211.219225 37.809112) (xy 211.217208 37.788627) + (xy 211.204113 37.745457) (xy 211.200266 37.728604) (xy 211.193339 37.684054) (xy 211.172697 37.62761) (xy 211.153653 37.570639) + (xy 211.131297 37.531498) (xy 211.123793 37.515914) (xy 211.107119 37.474008) (xy 211.07445 37.423599) (xy 211.043213 37.3723) + (xy 211.01269 37.339093) (xy 211.001903 37.325566) (xy 210.976323 37.288423) (xy 210.933247 37.246539) (xy 210.891378 37.203479) + (xy 210.85424 37.177904) (xy 210.840707 37.167112) (xy 210.807519 37.136605) (xy 210.756227 37.10537) (xy 210.705793 37.072686) + (xy 210.663893 37.056015) (xy 210.648312 37.048512) (xy 210.609151 37.026146) (xy 210.55217 37.007101) (xy 210.495767 36.986472) + (xy 210.451207 36.979542) (xy 210.434343 36.975693) (xy 210.391181 36.962601) (xy 210.370697 36.960584) (xy 210.36868 36.940099) + (xy 210.355585 36.896929) (xy 210.351738 36.880076) (xy 210.344811 36.835526) (xy 210.324169 36.779082) (xy 210.305125 36.722111) + (xy 210.282769 36.68297) (xy 210.275265 36.667386) (xy 210.258591 36.62548) (xy 210.225922 36.575071) (xy 210.194685 36.523772) + (xy 210.164162 36.490565) (xy 210.153375 36.477038) (xy 210.127795 36.439895) (xy 210.084719 36.398011) (xy 210.04285 36.354951) + (xy 210.005712 36.329376) (xy 209.992179 36.318584) (xy 209.958991 36.288077) (xy 209.907699 36.256842) (xy 209.857265 36.224158) + (xy 209.815365 36.207487) (xy 209.799784 36.199984) (xy 209.760623 36.177618) (xy 209.703642 36.158573) (xy 209.647239 36.137944) + (xy 209.602679 36.131014) (xy 209.585815 36.127165) (xy 209.542653 36.114073) (xy 209.522169 36.112056) (xy 209.520152 36.091571) + (xy 209.507057 36.048401) (xy 209.50321 36.031548) (xy 209.496283 35.986998) (xy 209.475641 35.930554) (xy 209.456597 35.873583) + (xy 209.434241 35.834442) (xy 209.426737 35.818858) (xy 209.410063 35.776952) (xy 209.394217 35.7525) (xy 252.886928 35.7525) + (xy 252.899188 35.876982) (xy 252.935498 35.99668) (xy 252.994463 36.106994) (xy 253.0491 36.17357) (xy 253.031329 36.195225) + (xy 252.95215 36.343358) (xy 252.903392 36.504092) (xy 252.886928 36.67125) (xy 252.886928 37.10875) (xy 252.903392 37.275908) + (xy 252.95215 37.436642) (xy 253.031329 37.584775) (xy 253.137885 37.714615) (xy 253.267725 37.821171) (xy 253.415858 37.90035) + (xy 253.576592 37.949108) (xy 253.74375 37.965572) (xy 254.25625 37.965572) (xy 254.423408 37.949108) (xy 254.584142 37.90035) + (xy 254.732275 37.821171) (xy 254.788535 37.775) (xy 255.461546 37.775) (xy 255.509744 37.807205) (xy 255.698102 37.885226) + (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) (xy 256.538454 37.775) + (xy 257.269511 37.775) (xy 257.290498 37.844185) (xy 257.349463 37.954499) (xy 257.428815 38.05119) (xy 257.525506 38.130542) + (xy 257.63582 38.189507) (xy 257.755518 38.225817) (xy 257.88 38.238077) (xy 260.12 38.238077) (xy 260.244482 38.225817) + (xy 260.36418 38.189507) (xy 260.474494 38.130542) (xy 260.571185 38.05119) (xy 260.650537 37.954499) (xy 260.709502 37.844185) + (xy 260.730489 37.775) (xy 261.080511 37.775) (xy 261.101498 37.844185) (xy 261.160463 37.954499) (xy 261.239815 38.05119) + (xy 261.336506 38.130542) (xy 261.44682 38.189507) (xy 261.566518 38.225817) (xy 261.691 38.238077) (xy 264.031 38.238077) + (xy 264.155482 38.225817) (xy 264.27518 38.189507) (xy 264.385494 38.130542) (xy 264.482185 38.05119) (xy 264.561537 37.954499) + (xy 264.620502 37.844185) (xy 264.656812 37.724487) (xy 264.669072 37.600005) (xy 264.669072 36.179995) (xy 264.656812 36.055513) + (xy 264.620502 35.935815) (xy 264.561537 35.825501) (xy 264.482185 35.72881) (xy 264.385494 35.649458) (xy 264.330383 35.62) + (xy 264.385494 35.590542) (xy 264.482185 35.51119) (xy 264.561537 35.414499) (xy 264.620502 35.304185) (xy 264.656812 35.184487) + (xy 264.669072 35.060005) (xy 264.666 34.63575) (xy 264.50725 34.477) (xy 262.988 34.477) (xy 262.988 34.497) + (xy 262.734 34.497) (xy 262.734 34.477) (xy 261.21475 34.477) (xy 261.056 34.63575) (xy 261.052928 35.060005) + (xy 261.065188 35.184487) (xy 261.101498 35.304185) (xy 261.160463 35.414499) (xy 261.239815 35.51119) (xy 261.336506 35.590542) + (xy 261.391617 35.62) (xy 261.336506 35.649458) (xy 261.239815 35.72881) (xy 261.160463 35.825501) (xy 261.101498 35.935815) + (xy 261.080511 36.005) (xy 260.730489 36.005) (xy 260.709502 35.935815) (xy 260.650537 35.825501) (xy 260.571185 35.72881) + (xy 260.474494 35.649458) (xy 260.419383 35.62) (xy 260.474494 35.590542) (xy 260.571185 35.51119) (xy 260.650537 35.414499) + (xy 260.709502 35.304185) (xy 260.745812 35.184487) (xy 260.758072 35.060005) (xy 260.755 34.63575) (xy 260.59625 34.477) + (xy 259.127 34.477) (xy 259.127 34.497) (xy 258.873 34.497) (xy 258.873 34.477) (xy 257.40375 34.477) + (xy 257.245 34.63575) (xy 257.241928 35.060005) (xy 257.254188 35.184487) (xy 257.290498 35.304185) (xy 257.349463 35.414499) + (xy 257.428815 35.51119) (xy 257.525506 35.590542) (xy 257.580617 35.62) (xy 257.525506 35.649458) (xy 257.428815 35.72881) + (xy 257.349463 35.825501) (xy 257.290498 35.935815) (xy 257.269511 36.005) (xy 256.538454 36.005) (xy 256.490256 35.972795) + (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) (xy 255.509744 35.972795) + (xy 255.461546 36.005) (xy 255.060055 36.005) (xy 255.064502 35.99668) (xy 255.100812 35.876982) (xy 255.113072 35.7525) + (xy 255.11 35.60075) (xy 254.95125 35.442) (xy 254.127 35.442) (xy 254.127 35.462) (xy 253.873 35.462) + (xy 253.873 35.442) (xy 253.04875 35.442) (xy 252.89 35.60075) (xy 252.886928 35.7525) (xy 209.394217 35.7525) + (xy 209.377394 35.726543) (xy 209.346157 35.675244) (xy 209.315634 35.642037) (xy 209.304847 35.62851) (xy 209.279267 35.591367) + (xy 209.236191 35.549483) (xy 209.194322 35.506423) (xy 209.157184 35.480848) (xy 209.143651 35.470056) (xy 209.110463 35.439549) + (xy 209.059171 35.408314) (xy 209.008737 35.37563) (xy 208.966837 35.358959) (xy 208.951256 35.351456) (xy 208.912095 35.32909) + (xy 208.855114 35.310045) (xy 208.798711 35.289416) (xy 208.754151 35.282486) (xy 208.737287 35.278637) (xy 208.694125 35.265545) + (xy 208.673641 35.263528) (xy 208.671624 35.243043) (xy 208.658529 35.199873) (xy 208.654682 35.18302) (xy 208.647755 35.13847) + (xy 208.627113 35.082026) (xy 208.608069 35.025055) (xy 208.585713 34.985914) (xy 208.578209 34.97033) (xy 208.561535 34.928424) + (xy 208.528866 34.878015) (xy 208.497629 34.826716) (xy 208.467106 34.793509) (xy 208.456319 34.779982) (xy 208.430739 34.742839) + (xy 208.387663 34.700955) (xy 208.345794 34.657895) (xy 208.308656 34.63232) (xy 208.295123 34.621528) (xy 208.261935 34.591021) + (xy 208.210643 34.559786) (xy 208.160209 34.527102) (xy 208.118309 34.510431) (xy 208.102728 34.502928) (xy 208.063567 34.480562) + (xy 208.006586 34.461517) (xy 207.950183 34.440888) (xy 207.905623 34.433958) (xy 207.888759 34.430109) (xy 207.845597 34.417017) + (xy 207.825113 34.415) (xy 207.823096 34.394515) (xy 207.810001 34.351345) (xy 207.806154 34.334492) (xy 207.799227 34.289942) + (xy 207.778585 34.233498) (xy 207.759541 34.176527) (xy 207.737185 34.137386) (xy 207.729681 34.121802) (xy 207.713007 34.079896) + (xy 207.680338 34.029487) (xy 207.649101 33.978188) (xy 207.618578 33.944981) (xy 207.607791 33.931454) (xy 207.582211 33.894311) + (xy 207.539135 33.852427) (xy 207.497266 33.809367) (xy 207.460128 33.783792) (xy 207.446595 33.773) (xy 207.413407 33.742493) + (xy 207.362115 33.711258) (xy 207.311681 33.678574) (xy 207.269781 33.661903) (xy 207.2542 33.6544) (xy 207.215039 33.632034) + (xy 207.158058 33.612989) (xy 207.101655 33.59236) (xy 207.057095 33.58543) (xy 207.040231 33.581581) (xy 206.997069 33.568489) + (xy 206.976585 33.566472) (xy 206.974568 33.545987) (xy 206.961473 33.502817) (xy 206.957626 33.485964) (xy 206.950699 33.441414) + (xy 206.930057 33.38497) (xy 206.911013 33.327999) (xy 206.888657 33.288858) (xy 206.881153 33.273274) (xy 206.864479 33.231368) + (xy 206.83181 33.180959) (xy 206.800573 33.12966) (xy 206.77005 33.096453) (xy 206.759263 33.082926) (xy 206.733683 33.045783) + (xy 206.690607 33.003899) (xy 206.648738 32.960839) (xy 206.6116 32.935264) (xy 206.598067 32.924472) (xy 206.564879 32.893965) + (xy 206.513587 32.86273) (xy 206.463153 32.830046) (xy 206.421253 32.813375) (xy 206.405672 32.805872) (xy 206.366511 32.783506) + (xy 206.30953 32.764461) (xy 206.253127 32.743832) (xy 206.208567 32.736902) (xy 206.191703 32.733053) (xy 206.148541 32.719961) + (xy 206.128057 32.717944) (xy 206.12604 32.697459) (xy 206.112945 32.654289) (xy 206.109098 32.637436) (xy 206.102171 32.592886) + (xy 206.081529 32.536442) (xy 206.062485 32.479471) (xy 206.040129 32.44033) (xy 206.032625 32.424746) (xy 206.015951 32.38284) + (xy 205.983282 32.332431) (xy 205.952045 32.281132) (xy 205.921522 32.247925) (xy 205.910735 32.234398) (xy 205.885155 32.197255) + (xy 205.842079 32.155371) (xy 205.80021 32.112311) (xy 205.763072 32.086736) (xy 205.749539 32.075944) (xy 205.716351 32.045437) + (xy 205.665059 32.014202) (xy 205.614625 31.981518) (xy 205.572725 31.964847) (xy 205.557144 31.957344) (xy 205.517983 31.934978) + (xy 205.461002 31.915933) (xy 205.404599 31.895304) (xy 205.360039 31.888374) (xy 205.343175 31.884525) (xy 205.300013 31.871433) + (xy 205.240215 31.865544) (xy 205.18064 31.857985) (xy 205.135658 31.861145) (xy 205.11836 31.861145) (xy 205.073389 31.857986) + (xy 205.013787 31.865549) (xy 204.953996 31.871439) (xy 204.910858 31.884525) (xy 204.89399 31.888375) (xy 204.849431 31.895305) + (xy 204.793028 31.915934) (xy 204.736047 31.934979) (xy 204.696884 31.957346) (xy 204.681302 31.96485) (xy 204.639412 31.981516) + (xy 204.588996 32.014188) (xy 204.577829 32.020988) (xy 204.3712 31.814359) (xy 204.3712 31.310786) (xy 204.606632 31.153475) + (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) + (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) + (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.475195 30.764466) (xy 207.544822 30.881355) (xy 207.739731 31.097588) + (xy 207.97308 31.271641) (xy 208.235901 31.396825) (xy 208.38311 31.441476) (xy 208.613 31.320155) (xy 208.613 30.127) + (xy 208.867 30.127) (xy 208.867 31.320155) (xy 209.09689 31.441476) (xy 209.244099 31.396825) (xy 209.50692 31.271641) + (xy 209.740269 31.097588) (xy 209.935178 30.881355) (xy 210.084157 30.631252) (xy 210.181481 30.356891) (xy 210.060814 30.127) + (xy 208.867 30.127) (xy 208.613 30.127) (xy 208.593 30.127) (xy 208.593 29.873) (xy 208.613 29.873) + (xy 208.613 27.587) (xy 208.867 27.587) (xy 208.867 29.873) (xy 210.060814 29.873) (xy 210.181481 29.643109) + (xy 210.084157 29.368748) (xy 209.935178 29.118645) (xy 209.740269 28.902412) (xy 209.649892 28.835) (xy 218.861928 28.835) + (xy 218.874188 28.959482) (xy 218.910498 29.07918) (xy 218.969463 29.189494) (xy 219.048815 29.286185) (xy 219.145506 29.365537) + (xy 219.25582 29.424502) (xy 219.375518 29.460812) (xy 219.5 29.473072) (xy 219.71425 29.47) (xy 219.872652 29.311598) + (xy 219.84 29.47575) (xy 219.84 29.70425) (xy 219.840533 29.706928) (xy 219.5 29.706928) (xy 219.375518 29.719188) + (xy 219.25582 29.755498) (xy 219.145506 29.814463) (xy 219.048815 29.893815) (xy 218.969463 29.990506) (xy 218.910498 30.10082) + (xy 218.874188 30.220518) (xy 218.861928 30.345) (xy 218.861928 33.095) (xy 218.874188 33.219482) (xy 218.910498 33.33918) + (xy 218.969463 33.449494) (xy 219.048815 33.546185) (xy 219.145506 33.625537) (xy 219.25582 33.684502) (xy 219.375518 33.720812) + (xy 219.5 33.733072) (xy 220.5 33.733072) (xy 220.624482 33.720812) (xy 220.74418 33.684502) (xy 220.854494 33.625537) + (xy 220.951185 33.546185) (xy 221 33.486704) (xy 221.048815 33.546185) (xy 221.145506 33.625537) (xy 221.25582 33.684502) + (xy 221.375518 33.720812) (xy 221.5 33.733072) (xy 222.5 33.733072) (xy 222.624482 33.720812) (xy 222.74418 33.684502) + (xy 222.854494 33.625537) (xy 222.951185 33.546185) (xy 223 33.486704) (xy 223.048815 33.546185) (xy 223.062909 33.557752) + (xy 223.004774 33.698102) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) (xy 223.082795 34.490256) + (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) (xy 223.898061 35.035) + (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) (xy 224.803937 34.659774) + (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) (xy 225.082795 34.490256) + (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) (xy 225.898061 35.035) + (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) (xy 226.803937 34.659774) + (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) (xy 227.082795 34.490256) + (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) (xy 227.898061 35.035) + (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) (xy 228.803937 34.659774) + (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) (xy 229.082795 34.490256) + (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) (xy 229.898061 35.035) + (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) (xy 230.803937 34.659774) + (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) (xy 231.082795 34.490256) + (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) (xy 231.898061 35.035) + (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.549678 34.8775) (xy 252.886928 34.8775) + (xy 252.89 35.02925) (xy 253.04875 35.188) (xy 253.873 35.188) (xy 253.873 34.40125) (xy 254.127 34.40125) + (xy 254.127 35.188) (xy 254.95125 35.188) (xy 255.11 35.02925) (xy 255.113072 34.8775) (xy 255.100812 34.753018) + (xy 255.064502 34.63332) (xy 255.005537 34.523006) (xy 254.926185 34.426315) (xy 254.829494 34.346963) (xy 254.71918 34.287998) + (xy 254.599482 34.251688) (xy 254.475 34.239428) (xy 254.28575 34.2425) (xy 254.127 34.40125) (xy 253.873 34.40125) + (xy 253.71425 34.2425) (xy 253.525 34.239428) (xy 253.400518 34.251688) (xy 253.28082 34.287998) (xy 253.170506 34.346963) + (xy 253.073815 34.426315) (xy 252.994463 34.523006) (xy 252.935498 34.63332) (xy 252.899188 34.753018) (xy 252.886928 34.8775) + (xy 232.549678 34.8775) (xy 232.659774 34.803937) (xy 232.803937 34.659774) (xy 232.917205 34.490256) (xy 232.995226 34.301898) + (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.937091 33.557752) (xy 232.951185 33.546185) + (xy 233.030537 33.449494) (xy 233.089502 33.33918) (xy 233.125812 33.219482) (xy 233.138072 33.095) (xy 233.138072 30.345) + (xy 233.125812 30.220518) (xy 233.089502 30.10082) (xy 233.030537 29.990506) (xy 232.951185 29.893815) (xy 232.854494 29.814463) + (xy 232.74418 29.755498) (xy 232.624482 29.719188) (xy 232.5 29.706928) (xy 232.159467 29.706928) (xy 232.16 29.70425) + (xy 232.16 29.47575) (xy 232.127348 29.311598) (xy 232.28575 29.47) (xy 232.5 29.473072) (xy 232.624482 29.460812) + (xy 232.74418 29.424502) (xy 232.854494 29.365537) (xy 232.951185 29.286185) (xy 233.030537 29.189494) (xy 233.089502 29.07918) + (xy 233.125812 28.959482) (xy 233.138072 28.835) (xy 233.135 27.74575) (xy 232.97625 27.587) (xy 232.127 27.587) + (xy 232.127 27.607) (xy 231.873 27.607) (xy 231.873 27.587) (xy 231.02375 27.587) (xy 231 27.61075) + (xy 230.97625 27.587) (xy 230.127 27.587) (xy 230.127 27.607) (xy 229.873 27.607) (xy 229.873 27.587) + (xy 229.02375 27.587) (xy 229 27.61075) (xy 228.97625 27.587) (xy 228.127 27.587) (xy 228.127 29.31125) + (xy 228.28575 29.47) (xy 228.5 29.473072) (xy 228.624482 29.460812) (xy 228.74418 29.424502) (xy 228.854494 29.365537) + (xy 228.951185 29.286185) (xy 229 29.226704) (xy 229.048815 29.286185) (xy 229.145506 29.365537) (xy 229.25582 29.424502) + (xy 229.375518 29.460812) (xy 229.5 29.473072) (xy 229.71425 29.47) (xy 229.872652 29.311598) (xy 229.84 29.47575) + (xy 229.84 29.70425) (xy 229.840533 29.706928) (xy 229.5 29.706928) (xy 229.375518 29.719188) (xy 229.25582 29.755498) + (xy 229.145506 29.814463) (xy 229.048815 29.893815) (xy 229 29.953296) (xy 228.951185 29.893815) (xy 228.854494 29.814463) + (xy 228.74418 29.755498) (xy 228.624482 29.719188) (xy 228.5 29.706928) (xy 227.5 29.706928) (xy 227.375518 29.719188) + (xy 227.25582 29.755498) (xy 227.145506 29.814463) (xy 227.048815 29.893815) (xy 227 29.953296) (xy 226.951185 29.893815) + (xy 226.854494 29.814463) (xy 226.74418 29.755498) (xy 226.624482 29.719188) (xy 226.5 29.706928) (xy 225.5 29.706928) + (xy 225.375518 29.719188) (xy 225.25582 29.755498) (xy 225.145506 29.814463) (xy 225.048815 29.893815) (xy 225 29.953296) + (xy 224.951185 29.893815) (xy 224.854494 29.814463) (xy 224.74418 29.755498) (xy 224.624482 29.719188) (xy 224.5 29.706928) + (xy 223.5 29.706928) (xy 223.375518 29.719188) (xy 223.25582 29.755498) (xy 223.145506 29.814463) (xy 223.048815 29.893815) + (xy 223 29.953296) (xy 222.951185 29.893815) (xy 222.854494 29.814463) (xy 222.74418 29.755498) (xy 222.624482 29.719188) + (xy 222.5 29.706928) (xy 222.159467 29.706928) (xy 222.16 29.70425) (xy 222.16 29.47575) (xy 222.127348 29.311598) + (xy 222.28575 29.47) (xy 222.5 29.473072) (xy 222.624482 29.460812) (xy 222.74418 29.424502) (xy 222.854494 29.365537) + (xy 222.951185 29.286185) (xy 223 29.226704) (xy 223.048815 29.286185) (xy 223.145506 29.365537) (xy 223.25582 29.424502) + (xy 223.375518 29.460812) (xy 223.5 29.473072) (xy 223.71425 29.47) (xy 223.873 29.31125) (xy 223.873 27.587) + (xy 224.127 27.587) (xy 224.127 29.31125) (xy 224.28575 29.47) (xy 224.5 29.473072) (xy 224.624482 29.460812) + (xy 224.74418 29.424502) (xy 224.854494 29.365537) (xy 224.951185 29.286185) (xy 225 29.226704) (xy 225.048815 29.286185) + (xy 225.145506 29.365537) (xy 225.25582 29.424502) (xy 225.375518 29.460812) (xy 225.5 29.473072) (xy 225.71425 29.47) + (xy 225.873 29.31125) (xy 225.873 27.587) (xy 226.127 27.587) (xy 226.127 29.31125) (xy 226.28575 29.47) + (xy 226.5 29.473072) (xy 226.624482 29.460812) (xy 226.74418 29.424502) (xy 226.854494 29.365537) (xy 226.951185 29.286185) + (xy 227 29.226704) (xy 227.048815 29.286185) (xy 227.145506 29.365537) (xy 227.25582 29.424502) (xy 227.375518 29.460812) + (xy 227.5 29.473072) (xy 227.71425 29.47) (xy 227.873 29.31125) (xy 227.873 27.587) (xy 227.02375 27.587) + (xy 227 27.61075) (xy 226.97625 27.587) (xy 226.127 27.587) (xy 225.873 27.587) (xy 225.02375 27.587) + (xy 225 27.61075) (xy 224.97625 27.587) (xy 224.127 27.587) (xy 223.873 27.587) (xy 223.02375 27.587) + (xy 223 27.61075) (xy 222.97625 27.587) (xy 222.127 27.587) (xy 222.127 27.607) (xy 221.873 27.607) + (xy 221.873 27.587) (xy 221.02375 27.587) (xy 221 27.61075) (xy 220.97625 27.587) (xy 220.127 27.587) + (xy 220.127 27.607) (xy 219.873 27.607) (xy 219.873 27.587) (xy 219.02375 27.587) (xy 218.865 27.74575) + (xy 218.861928 28.835) (xy 209.649892 28.835) (xy 209.50912 28.73) (xy 209.740269 28.557588) (xy 209.935178 28.341355) + (xy 210.084157 28.091252) (xy 210.181481 27.816891) (xy 210.060814 27.587) (xy 208.867 27.587) (xy 208.613 27.587) + (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) (xy 208.613 26.139845) (xy 208.867 26.139845) + (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) (xy 210.084157 26.828748) (xy 209.935178 26.578645) + (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.289921 26.085) (xy 218.861928 26.085) (xy 218.865 27.17425) + (xy 219.02375 27.333) (xy 219.873 27.333) (xy 219.873 25.60875) (xy 220.127 25.60875) (xy 220.127 27.333) + (xy 220.97625 27.333) (xy 221 27.30925) (xy 221.02375 27.333) (xy 221.873 27.333) (xy 221.873 25.60875) + (xy 222.127 25.60875) (xy 222.127 27.333) (xy 222.97625 27.333) (xy 223 27.30925) (xy 223.02375 27.333) + (xy 223.873 27.333) (xy 223.873 25.60875) (xy 224.127 25.60875) (xy 224.127 27.333) (xy 224.97625 27.333) + (xy 225 27.30925) (xy 225.02375 27.333) (xy 225.873 27.333) (xy 225.873 25.60875) (xy 226.127 25.60875) + (xy 226.127 27.333) (xy 226.97625 27.333) (xy 227 27.30925) (xy 227.02375 27.333) (xy 227.873 27.333) + (xy 227.873 25.60875) (xy 228.127 25.60875) (xy 228.127 27.333) (xy 228.97625 27.333) (xy 229 27.30925) + (xy 229.02375 27.333) (xy 229.873 27.333) (xy 229.873 25.60875) (xy 230.127 25.60875) (xy 230.127 27.333) + (xy 230.97625 27.333) (xy 231 27.30925) (xy 231.02375 27.333) (xy 231.873 27.333) (xy 231.873 25.60875) + (xy 232.127 25.60875) (xy 232.127 27.333) (xy 232.97625 27.333) (xy 233.135 27.17425) (xy 233.138072 26.085) + (xy 233.125812 25.960518) (xy 233.089502 25.84082) (xy 233.030537 25.730506) (xy 232.951185 25.633815) (xy 232.854494 25.554463) + (xy 232.74418 25.495498) (xy 232.624482 25.459188) (xy 232.5 25.446928) (xy 232.28575 25.45) (xy 232.127 25.60875) + (xy 231.873 25.60875) (xy 231.71425 25.45) (xy 231.5 25.446928) (xy 231.375518 25.459188) (xy 231.25582 25.495498) + (xy 231.145506 25.554463) (xy 231.048815 25.633815) (xy 231 25.693296) (xy 230.951185 25.633815) (xy 230.854494 25.554463) + (xy 230.74418 25.495498) (xy 230.624482 25.459188) (xy 230.5 25.446928) (xy 230.28575 25.45) (xy 230.127 25.60875) + (xy 229.873 25.60875) (xy 229.71425 25.45) (xy 229.5 25.446928) (xy 229.375518 25.459188) (xy 229.25582 25.495498) + (xy 229.145506 25.554463) (xy 229.048815 25.633815) (xy 229 25.693296) (xy 228.951185 25.633815) (xy 228.854494 25.554463) + (xy 228.74418 25.495498) (xy 228.624482 25.459188) (xy 228.5 25.446928) (xy 228.28575 25.45) (xy 228.127 25.60875) + (xy 227.873 25.60875) (xy 227.71425 25.45) (xy 227.5 25.446928) (xy 227.375518 25.459188) (xy 227.25582 25.495498) + (xy 227.145506 25.554463) (xy 227.048815 25.633815) (xy 227 25.693296) (xy 226.951185 25.633815) (xy 226.854494 25.554463) + (xy 226.74418 25.495498) (xy 226.624482 25.459188) (xy 226.5 25.446928) (xy 226.28575 25.45) (xy 226.127 25.60875) + (xy 225.873 25.60875) (xy 225.71425 25.45) (xy 225.5 25.446928) (xy 225.375518 25.459188) (xy 225.25582 25.495498) + (xy 225.145506 25.554463) (xy 225.048815 25.633815) (xy 225 25.693296) (xy 224.951185 25.633815) (xy 224.854494 25.554463) + (xy 224.74418 25.495498) (xy 224.624482 25.459188) (xy 224.5 25.446928) (xy 224.28575 25.45) (xy 224.127 25.60875) + (xy 223.873 25.60875) (xy 223.71425 25.45) (xy 223.5 25.446928) (xy 223.375518 25.459188) (xy 223.25582 25.495498) + (xy 223.145506 25.554463) (xy 223.048815 25.633815) (xy 223 25.693296) (xy 222.951185 25.633815) (xy 222.854494 25.554463) + (xy 222.74418 25.495498) (xy 222.624482 25.459188) (xy 222.5 25.446928) (xy 222.28575 25.45) (xy 222.127 25.60875) + (xy 221.873 25.60875) (xy 221.71425 25.45) (xy 221.5 25.446928) (xy 221.375518 25.459188) (xy 221.25582 25.495498) + (xy 221.145506 25.554463) (xy 221.048815 25.633815) (xy 221 25.693296) (xy 220.951185 25.633815) (xy 220.854494 25.554463) + (xy 220.74418 25.495498) (xy 220.624482 25.459188) (xy 220.5 25.446928) (xy 220.28575 25.45) (xy 220.127 25.60875) + (xy 219.873 25.60875) (xy 219.71425 25.45) (xy 219.5 25.446928) (xy 219.375518 25.459188) (xy 219.25582 25.495498) + (xy 219.145506 25.554463) (xy 219.048815 25.633815) (xy 218.969463 25.730506) (xy 218.910498 25.84082) (xy 218.874188 25.960518) + (xy 218.861928 26.085) (xy 209.289921 26.085) (xy 209.244099 26.063175) (xy 209.09689 26.018524) (xy 208.867 26.139845) + (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) (xy 207.97308 26.188359) (xy 207.739731 26.362412) + (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) (xy 207.146632 26.306525) (xy 206.903411 26.14401) + (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.150788 25.975) (xy 207.234589 24.8912) (xy 233.125413 24.8912) + (xy 241.792407 33.558196) (xy 241.814673 33.585327) (xy 241.841804 33.607593) (xy 241.841809 33.607598) (xy 241.922967 33.674202) + (xy 242.046518 33.740242) (xy 242.168096 33.777122) (xy 242.18058 33.780909) (xy 242.285064 33.7912) (xy 242.285071 33.7912) + (xy 242.32 33.79464) (xy 242.354928 33.7912) (xy 257.243023 33.7912) (xy 257.245 34.06425) (xy 257.40375 34.223) + (xy 258.873 34.223) (xy 258.873 34.203) (xy 259.127 34.203) (xy 259.127 34.223) (xy 260.59625 34.223) + (xy 260.755 34.06425) (xy 260.756977 33.7912) (xy 261.054023 33.7912) (xy 261.056 34.06425) (xy 261.21475 34.223) + (xy 262.734 34.223) (xy 262.734 34.203) (xy 262.988 34.203) (xy 262.988 34.223) (xy 264.50725 34.223) + (xy 264.666 34.06425) (xy 264.669072 33.639995) (xy 264.656812 33.515513) (xy 264.620502 33.395815) (xy 264.561537 33.285501) + (xy 264.482185 33.18881) (xy 264.385494 33.109458) (xy 264.330383 33.08) (xy 264.385494 33.050542) (xy 264.482185 32.97119) + (xy 264.561537 32.874499) (xy 264.620502 32.764185) (xy 264.656812 32.644487) (xy 264.669072 32.520005) (xy 264.669072 31.099995) + (xy 264.656812 30.975513) (xy 264.620502 30.855815) (xy 264.561537 30.745501) (xy 264.482185 30.64881) (xy 264.385494 30.569458) + (xy 264.330383 30.54) (xy 264.385494 30.510542) (xy 264.482185 30.43119) (xy 264.561537 30.334499) (xy 264.620502 30.224185) + (xy 264.656812 30.104487) (xy 264.669072 29.980005) (xy 264.669072 28.559995) (xy 264.656812 28.435513) (xy 264.620502 28.315815) + (xy 264.561537 28.205501) (xy 264.482185 28.10881) (xy 264.385494 28.029458) (xy 264.330383 28) (xy 264.385494 27.970542) + (xy 264.482185 27.89119) (xy 264.561537 27.794499) (xy 264.620502 27.684185) (xy 264.656812 27.564487) (xy 264.669072 27.440005) + (xy 264.669072 26.019995) (xy 264.656812 25.895513) (xy 264.620502 25.775815) (xy 264.561537 25.665501) (xy 264.482185 25.56881) + (xy 264.385494 25.489458) (xy 264.330383 25.46) (xy 264.385494 25.430542) (xy 264.482185 25.35119) (xy 264.561537 25.254499) + (xy 264.620502 25.144185) (xy 264.656812 25.024487) (xy 264.669072 24.900005) (xy 264.669072 23.479995) (xy 264.656812 23.355513) + (xy 264.620502 23.235815) (xy 264.561537 23.125501) (xy 264.482185 23.02881) (xy 264.385494 22.949458) (xy 264.330383 22.92) + (xy 264.385494 22.890542) (xy 264.482185 22.81119) (xy 264.561537 22.714499) (xy 264.620502 22.604185) (xy 264.656812 22.484487) + (xy 264.669072 22.360005) (xy 264.669072 20.939995) (xy 264.656812 20.815513) (xy 264.620502 20.695815) (xy 264.561537 20.585501) + (xy 264.482185 20.48881) (xy 264.385494 20.409458) (xy 264.330383 20.38) (xy 264.385494 20.350542) (xy 264.482185 20.27119) + (xy 264.561537 20.174499) (xy 264.620502 20.064185) (xy 264.656812 19.944487) (xy 264.669072 19.820005) (xy 264.669072 18.399995) + (xy 264.656812 18.275513) (xy 264.620502 18.155815) (xy 264.561537 18.045501) (xy 264.482185 17.94881) (xy 264.385494 17.869458) + (xy 264.27518 17.810493) (xy 264.155482 17.774183) (xy 264.031 17.761923) (xy 261.691 17.761923) (xy 261.566518 17.774183) + (xy 261.44682 17.810493) (xy 261.336506 17.869458) (xy 261.239815 17.94881) (xy 261.160463 18.045501) (xy 261.101498 18.155815) + (xy 261.065188 18.275513) (xy 261.052928 18.399995) (xy 261.052928 19.6688) (xy 260.758072 19.6688) (xy 260.758072 18.399995) + (xy 260.745812 18.275513) (xy 260.709502 18.155815) (xy 260.650537 18.045501) (xy 260.571185 17.94881) (xy 260.474494 17.869458) + (xy 260.36418 17.810493) (xy 260.244482 17.774183) (xy 260.12 17.761923) (xy 257.88 17.761923) (xy 257.755518 17.774183) + (xy 257.63582 17.810493) (xy 257.525506 17.869458) (xy 257.428815 17.94881) (xy 257.349463 18.045501) (xy 257.290498 18.155815) + (xy 257.254188 18.275513) (xy 257.242046 18.3988) (xy 184.104925 18.3988) (xy 184.069999 18.39536) (xy 184.035073 18.3988) + (xy 184.035064 18.3988) (xy 183.93058 18.409091) (xy 183.796519 18.449758) (xy 183.712899 18.494454) (xy 183.672967 18.515798) + (xy 183.591808 18.582403) (xy 183.591804 18.582407) (xy 183.564673 18.604673) (xy 183.542407 18.631804) (xy 176.143972 26.030241) + (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) + (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) (xy 173.883411 26.14401) + (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) (xy 172.476589 26.14401) + (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) (xy 171.640269 26.362412) + (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) (xy 170.767 27.333) + (xy 170.787 27.333) (xy 170.787 27.587) (xy 170.767 27.587) (xy 170.767 29.873) (xy 170.787 29.873) + (xy 170.787 30.127) (xy 170.767 30.127) (xy 170.767 31.320155) (xy 170.99689 31.441476) (xy 171.144099 31.396825) + (xy 171.40692 31.271641) (xy 171.640269 31.097588) (xy 171.835178 30.881355) (xy 171.904805 30.764466) (xy 172.026525 30.946632) + (xy 172.233368 31.153475) (xy 172.402501 31.266486) (xy 172.4025 32.613344) (xy 172.379494 32.594463) (xy 172.26918 32.535498) + (xy 172.149482 32.499188) (xy 172.025 32.486928) (xy 171.87325 32.49) (xy 171.7145 32.64875) (xy 171.7145 33.473) + (xy 171.7345 33.473) (xy 171.7345 33.727) (xy 171.7145 33.727) (xy 171.7145 33.747) (xy 171.4605 33.747) + (xy 171.4605 33.727) (xy 171.4405 33.727) (xy 171.4405 33.473) (xy 171.4605 33.473) (xy 171.4605 32.64875) + (xy 171.30175 32.49) (xy 171.15 32.486928) (xy 171.025518 32.499188) (xy 170.90582 32.535498) (xy 170.795506 32.594463) + (xy 170.698815 32.673815) (xy 170.619463 32.770506) (xy 170.560498 32.88082) (xy 170.524188 33.000518) (xy 170.522914 33.013456) + (xy 168.816621 31.307164) (xy 169.046632 31.153475) (xy 169.253475 30.946632) (xy 169.375195 30.764466) (xy 169.444822 30.881355) + (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) (xy 170.28311 31.441476) (xy 170.513 31.320155) + (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) (xy 170.513 29.873) (xy 170.513 27.587) + (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) (xy 170.513 26.139845) (xy 170.28311 26.018524) + (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) (xy 169.444822 26.578645) (xy 169.375195 26.695534) + (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) (xy 168.533158 26.032068) (xy 168.24626 25.975) + (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) (xy 167.153368 26.306525) (xy 166.946525 26.513368) + (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.276621 26.152836) (xy 174.266117 18.163341) + (xy 174.266117 18.192285) (xy 174.283061 18.36432) (xy 174.333242 18.529744) (xy 174.414731 18.682199) (xy 174.524397 18.815827) + (xy 174.658025 18.925493) (xy 174.81048 19.006982) (xy 174.892989 19.032011) (xy 174.892989 19.161099) (xy 174.871914 19.172364) + (xy 174.742074 19.27892) (xy 174.635518 19.40876) (xy 174.556339 19.556893) (xy 174.507581 19.717627) (xy 174.491117 19.884785) + (xy 174.491117 20.322285) (xy 174.507581 20.489443) (xy 174.556339 20.650177) (xy 174.635518 20.79831) (xy 174.711615 20.891035) + (xy 174.635518 20.98376) (xy 174.556339 21.131893) (xy 174.507581 21.292627) (xy 174.491117 21.459785) (xy 174.491117 21.897285) + (xy 174.507581 22.064443) (xy 174.556339 22.225177) (xy 174.635518 22.37331) (xy 174.742074 22.50315) (xy 174.871914 22.609706) + (xy 175.020047 22.688885) (xy 175.180781 22.737643) (xy 175.347939 22.754107) (xy 175.860439 22.754107) (xy 176.027597 22.737643) + (xy 176.188331 22.688885) (xy 176.336464 22.609706) (xy 176.372302 22.580295) (xy 176.395417 22.59574) (xy 176.583775 22.673761) + (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) (xy 177.386384 22.588754) + (xy 177.411914 22.609706) (xy 177.560047 22.688885) (xy 177.720781 22.737643) (xy 177.887939 22.754107) (xy 178.400439 22.754107) + (xy 178.567597 22.737643) (xy 178.728331 22.688885) (xy 178.876464 22.609706) (xy 179.006304 22.50315) (xy 179.11286 22.37331) + (xy 179.192039 22.225177) (xy 179.240797 22.064443) (xy 179.257261 21.897285) (xy 179.257261 21.459785) (xy 179.240797 21.292627) + (xy 179.192039 21.131893) (xy 179.11286 20.98376) (xy 179.036763 20.891035) (xy 179.11286 20.79831) (xy 179.192039 20.650177) + (xy 179.240797 20.489443) (xy 179.257261 20.322285) (xy 179.257261 19.884785) (xy 179.240797 19.717627) (xy 179.192039 19.556893) + (xy 179.11286 19.40876) (xy 179.006304 19.27892) (xy 178.876464 19.172364) (xy 178.855389 19.161099) (xy 178.855389 19.032011) + (xy 178.937898 19.006982) (xy 179.090353 18.925493) (xy 179.223981 18.815827) (xy 179.333647 18.682199) (xy 179.415136 18.529744) + (xy 179.465317 18.36432) (xy 179.482261 18.192285) (xy 179.482261 17.704785) (xy 179.465317 17.53275) (xy 179.415136 17.367326) + (xy 179.333647 17.214871) (xy 179.223981 17.081243) (xy 179.138433 17.011035) (xy 179.223981 16.940827) (xy 179.333647 16.807199) + (xy 179.415136 16.654744) (xy 179.465317 16.48932) (xy 179.482261 16.317285) (xy 179.482261 15.829785) (xy 179.465317 15.65775) + (xy 179.415136 15.492326) (xy 179.333647 15.339871) (xy 179.223981 15.206243) (xy 179.090353 15.096577) (xy 178.937898 15.015088) + (xy 178.772474 14.964907) (xy 178.600439 14.947963) (xy 177.687939 14.947963) (xy 177.515904 14.964907) (xy 177.35048 15.015088) + (xy 177.198025 15.096577) (xy 177.064397 15.206243) (xy 176.954731 15.339871) (xy 176.874189 15.490554) (xy 176.793647 15.339871) + (xy 176.683981 15.206243) (xy 176.550353 15.096577) (xy 176.397898 15.015088) (xy 176.232474 14.964907) (xy 176.060439 14.947963) + (xy 175.147939 14.947963) (xy 174.975904 14.964907) (xy 174.81048 15.015088) (xy 174.658025 15.096577) (xy 174.524397 15.206243) + (xy 174.414731 15.339871) (xy 174.376512 15.411374) (xy 174.370186 15.413293) (xy 174.339319 15.429792) (xy 174.246634 15.479333) + (xy 174.165475 15.545938) (xy 174.165471 15.545942) (xy 174.13834 15.568208) (xy 174.116074 15.595339) (xy 163.691119 26.020296) + (xy 163.680242 25.984438) (xy 163.614202 25.860886) (xy 163.590133 25.831558) (xy 163.547597 25.779727) (xy 163.547593 25.779723) + (xy 163.525327 25.752592) (xy 163.498196 25.730326) (xy 161.6412 23.873332) (xy 161.6412 17.405) (xy 161.916928 17.405) + (xy 161.929188 17.529482) (xy 161.965498 17.64918) (xy 162.024463 17.759494) (xy 162.103815 17.856185) (xy 162.200506 17.935537) + (xy 162.31082 17.994502) (xy 162.430518 18.030812) (xy 162.555 18.043072) (xy 163.01925 18.04) (xy 163.178 17.88125) + (xy 163.178 16.507) (xy 163.432 16.507) (xy 163.432 17.88125) (xy 163.59075 18.04) (xy 164.055 18.043072) + (xy 164.179482 18.030812) (xy 164.29918 17.994502) (xy 164.409494 17.935537) (xy 164.506185 17.856185) (xy 164.585537 17.759494) + (xy 164.644502 17.64918) (xy 164.680812 17.529482) (xy 164.693072 17.405) (xy 164.69 16.66575) (xy 164.53125 16.507) + (xy 163.432 16.507) (xy 163.178 16.507) (xy 162.07875 16.507) (xy 161.92 16.66575) (xy 161.916928 17.405) + (xy 161.6412 17.405) (xy 161.6412 15.355) (xy 161.916928 15.355) (xy 161.92 16.09425) (xy 162.07875 16.253) + (xy 163.178 16.253) (xy 163.178 14.87875) (xy 163.432 14.87875) (xy 163.432 16.253) (xy 164.53125 16.253) + (xy 164.69 16.09425) (xy 164.693072 15.355) (xy 164.680812 15.230518) (xy 164.644502 15.11082) (xy 164.585537 15.000506) + (xy 164.506185 14.903815) (xy 164.409494 14.824463) (xy 164.29918 14.765498) (xy 164.179482 14.729188) (xy 164.055 14.716928) + (xy 163.59075 14.72) (xy 163.432 14.87875) (xy 163.178 14.87875) (xy 163.01925 14.72) (xy 162.555 14.716928) + (xy 162.430518 14.729188) (xy 162.31082 14.765498) (xy 162.200506 14.824463) (xy 162.103815 14.903815) (xy 162.024463 15.000506) + (xy 161.965498 15.11082) (xy 161.929188 15.230518) (xy 161.916928 15.355) (xy 161.6412 15.355) (xy 161.6412 12.24917) + (xy 166.98634 12.24917) (xy 166.98634 12.71083) (xy 167.076406 13.16362) (xy 167.253075 13.590139) (xy 167.50956 13.973996) + (xy 167.836004 14.30044) (xy 168.219861 14.556925) (xy 168.64638 14.733594) (xy 169.09917 14.82366) (xy 169.56083 14.82366) + (xy 170.01362 14.733594) (xy 170.440139 14.556925) (xy 170.823996 14.30044) (xy 171.15044 13.973996) (xy 171.406925 13.590139) + (xy 171.583594 13.16362) (xy 171.67366 12.71083) (xy 171.67366 12.24917) (xy 196.98634 12.24917) (xy 196.98634 12.71083) + (xy 197.076406 13.16362) (xy 197.253075 13.590139) (xy 197.50956 13.973996) (xy 197.836004 14.30044) (xy 198.219861 14.556925) + (xy 198.64638 14.733594) (xy 199.09917 14.82366) (xy 199.56083 14.82366) (xy 200.01362 14.733594) (xy 200.440139 14.556925) + (xy 200.823996 14.30044) (xy 201.15044 13.973996) (xy 201.406925 13.590139) (xy 201.583594 13.16362) (xy 201.67366 12.71083) + (xy 201.67366 12.24917) (xy 226.98634 12.24917) (xy 226.98634 12.71083) (xy 227.076406 13.16362) (xy 227.253075 13.590139) + (xy 227.50956 13.973996) (xy 227.836004 14.30044) (xy 228.219861 14.556925) (xy 228.64638 14.733594) (xy 229.09917 14.82366) + (xy 229.56083 14.82366) (xy 230.01362 14.733594) (xy 230.440139 14.556925) (xy 230.823996 14.30044) (xy 231.15044 13.973996) + (xy 231.406925 13.590139) (xy 231.583594 13.16362) (xy 231.67366 12.71083) (xy 231.67366 12.24917) (xy 231.583594 11.79638) + (xy 231.406925 11.369861) (xy 231.15044 10.986004) (xy 230.823996 10.65956) (xy 230.440139 10.403075) (xy 230.01362 10.226406) + (xy 229.56083 10.13634) (xy 229.09917 10.13634) (xy 228.64638 10.226406) (xy 228.219861 10.403075) (xy 227.836004 10.65956) + (xy 227.50956 10.986004) (xy 227.253075 11.369861) (xy 227.076406 11.79638) (xy 226.98634 12.24917) (xy 201.67366 12.24917) + (xy 201.583594 11.79638) (xy 201.406925 11.369861) (xy 201.15044 10.986004) (xy 200.823996 10.65956) (xy 200.440139 10.403075) + (xy 200.01362 10.226406) (xy 199.56083 10.13634) (xy 199.09917 10.13634) (xy 198.64638 10.226406) (xy 198.219861 10.403075) + (xy 197.836004 10.65956) (xy 197.50956 10.986004) (xy 197.253075 11.369861) (xy 197.076406 11.79638) (xy 196.98634 12.24917) + (xy 171.67366 12.24917) (xy 171.583594 11.79638) (xy 171.406925 11.369861) (xy 171.15044 10.986004) (xy 170.823996 10.65956) + (xy 170.440139 10.403075) (xy 170.01362 10.226406) (xy 169.56083 10.13634) (xy 169.09917 10.13634) (xy 168.64638 10.226406) + (xy 168.219861 10.403075) (xy 167.836004 10.65956) (xy 167.50956 10.986004) (xy 167.253075 11.369861) (xy 167.076406 11.79638) + (xy 166.98634 12.24917) (xy 161.6412 12.24917) (xy 161.6412 11.983678) (xy 161.684494 11.960537) (xy 161.781185 11.881185) + (xy 161.860537 11.784494) (xy 161.919502 11.67418) (xy 161.955812 11.554482) (xy 161.968072 11.43) (xy 161.968072 9.93) + (xy 161.955812 9.805518) (xy 161.919502 9.68582) (xy 161.860537 9.575506) (xy 161.781185 9.478815) (xy 161.684494 9.399463) + (xy 161.57418 9.340498) (xy 161.454482 9.304188) (xy 161.33 9.291928) (xy 160.53 9.291928) (xy 160.405518 9.304188) + (xy 160.38 9.311929) (xy 160.354482 9.304188) (xy 160.23 9.291928) (xy 159.43 9.291928) (xy 159.305518 9.304188) + (xy 159.28 9.311929) (xy 159.254482 9.304188) (xy 159.13 9.291928) (xy 159.01575 9.295) (xy 158.857 9.45375) + (xy 158.857 9.654947) (xy 158.840498 9.68582) (xy 158.804188 9.805518) (xy 158.791928 9.93) (xy 158.791928 11.43) + (xy 158.804188 11.554482) (xy 158.840498 11.67418) (xy 158.857 11.705053) (xy 158.857 11.90625) (xy 159.01575 12.065) + (xy 159.118801 12.067771) (xy 159.118801 15.513013) (xy 158.3412 14.735413) (xy 158.3412 12.067771) (xy 158.44425 12.065) + (xy 158.603 11.90625) (xy 158.603 11.705053) (xy 158.619502 11.67418) (xy 158.655812 11.554482) (xy 158.668072 11.43) + (xy 158.668072 9.93) (xy 158.655812 9.805518) (xy 158.619502 9.68582) (xy 158.603 9.654947) (xy 158.603 9.45375) + (xy 158.44425 9.295) (xy 158.33 9.291928) (xy 158.205518 9.304188) (xy 158.18 9.311929) (xy 158.154482 9.304188) + (xy 158.03 9.291928) (xy 157.565 9.291928) (xy 157.565 9.105) (xy 161.916928 9.105) (xy 161.929188 9.229482) + (xy 161.965498 9.34918) (xy 162.024463 9.459494) (xy 162.103815 9.556185) (xy 162.200506 9.635537) (xy 162.31082 9.694502) + (xy 162.430518 9.730812) (xy 162.555 9.743072) (xy 163.01925 9.74) (xy 163.178 9.58125) (xy 163.178 8.207) + (xy 163.432 8.207) (xy 163.432 9.58125) (xy 163.59075 9.74) (xy 164.055 9.743072) (xy 164.179482 9.730812) + (xy 164.29918 9.694502) (xy 164.409494 9.635537) (xy 164.506185 9.556185) (xy 164.585537 9.459494) (xy 164.644502 9.34918) + (xy 164.680812 9.229482) (xy 164.693072 9.105) (xy 164.69 8.36575) (xy 164.53125 8.207) (xy 163.432 8.207) + (xy 163.178 8.207) (xy 162.07875 8.207) (xy 161.92 8.36575) (xy 161.916928 9.105) (xy 157.565 9.105) + (xy 157.565 9.098061) (xy 157.525226 8.898102) (xy 157.447205 8.709744) (xy 157.333937 8.540226) (xy 157.189774 8.396063) + (xy 157.020256 8.282795) (xy 156.831898 8.204774) (xy 156.631939 8.165) (xy 156.428061 8.165) (xy 156.228102 8.204774) + (xy 156.039744 8.282795) (xy 155.870226 8.396063) (xy 155.726063 8.540226) (xy 155.612795 8.709744) (xy 155.534774 8.898102) + (xy 155.495 9.098061) (xy 155.495 9.291928) (xy 155.03 9.291928) (xy 154.905518 9.304188) (xy 154.88 9.311929) + (xy 154.854482 9.304188) (xy 154.73 9.291928) (xy 153.93 9.291928) (xy 153.805518 9.304188) (xy 153.78 9.311929) + (xy 153.754482 9.304188) (xy 153.63 9.291928) (xy 152.83 9.291928) (xy 152.705518 9.304188) (xy 152.58582 9.340498) + (xy 152.475506 9.399463) (xy 152.378815 9.478815) (xy 152.299463 9.575506) (xy 152.240498 9.68582) (xy 152.204188 9.805518) + (xy 152.191928 9.93) (xy 152.191928 11.43) (xy 152.204188 11.554482) (xy 152.240498 11.67418) (xy 152.299463 11.784494) + (xy 152.378815 11.881185) (xy 152.475506 11.960537) (xy 152.518801 11.983679) (xy 152.5188 24.255411) (xy 150.743972 26.030241) + (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) (xy 149.616589 26.14401) (xy 149.373368 26.306525) + (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) (xy 148.726632 26.306525) (xy 148.483411 26.14401) + (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) (xy 147.346842 26.032068) (xy 147.076589 26.14401) + (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) (xy 146.393475 26.513368) (xy 146.186632 26.306525) + (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) (xy 145.09374 25.975) (xy 144.806842 26.032068) + (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) (xy 143.97 26.68776) (xy 143.853475 26.513368) + (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) (xy 142.84626 25.975) (xy 142.55374 25.975) + (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) (xy 141.546525 26.513368) (xy 141.43 26.68776) + (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) (xy 140.593158 26.032068) (xy 140.30626 25.975) + (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) (xy 139.213368 26.306525) (xy 139.006525 26.513368) + (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) (xy 138.323411 26.14401) (xy 138.053158 26.032068) + (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) (xy 136.916589 26.14401) (xy 136.673368 26.306525) + (xy 136.466525 26.513368) (xy 136.35 26.68776) (xy 136.233475 26.513368) (xy 136.026632 26.306525) (xy 135.783411 26.14401) + (xy 135.513158 26.032068) (xy 135.22626 25.975) (xy 134.93374 25.975) (xy 134.656028 26.030241) (xy 131.515602 22.889815) + (xy 131.493327 22.862673) (xy 131.385033 22.773798) (xy 131.261481 22.707758) (xy 131.12742 22.667091) (xy 131.022936 22.6568) + (xy 131.022926 22.6568) (xy 130.988 22.65336) (xy 130.953074 22.6568) (xy 125.356567 22.6568) (xy 125.351812 22.608518) + (xy 125.315502 22.48882) (xy 125.256537 22.378506) (xy 125.177185 22.281815) (xy 125.080494 22.202463) (xy 124.97018 22.143498) + (xy 124.850482 22.107188) (xy 124.757192 22.098) (xy 124.850482 22.088812) (xy 124.97018 22.052502) (xy 125.080494 21.993537) + (xy 125.177185 21.914185) (xy 125.256537 21.817494) (xy 125.315502 21.70718) (xy 125.351812 21.587482) (xy 125.364072 21.463) + (xy 125.364072 20.193) (xy 125.351812 20.068518) (xy 125.315502 19.94882) (xy 125.256537 19.838506) (xy 125.177185 19.741815) + (xy 125.080494 19.662463) (xy 124.97018 19.603498) (xy 124.850482 19.567188) (xy 124.726 19.554928) (xy 121.546 19.554928) + (xy 121.421518 19.567188) (xy 121.30182 19.603498) (xy 121.191506 19.662463) (xy 121.094815 19.741815) (xy 121.015463 19.838506) + (xy 120.956498 19.94882) (xy 120.920188 20.068518) (xy 120.907928 20.193) (xy 120.907928 21.463) (xy 120.920188 21.587482) + (xy 120.956498 21.70718) (xy 121.015463 21.817494) (xy 121.094815 21.914185) (xy 121.191506 21.993537) (xy 121.30182 22.052502) + (xy 121.421518 22.088812) (xy 121.514808 22.098) (xy 121.421518 22.107188) (xy 121.30182 22.143498) (xy 121.191506 22.202463) + (xy 121.094815 22.281815) (xy 121.015463 22.378506) (xy 120.956498 22.48882) (xy 120.920188 22.608518) (xy 120.908852 22.723615) + (xy 120.864008 22.747585) (xy 120.814967 22.773798) (xy 120.706673 22.862673) (xy 120.684403 22.88981) (xy 119.82181 23.752403) + (xy 119.794674 23.774673) (xy 119.772404 23.801809) (xy 119.772403 23.80181) (xy 119.705798 23.882968) (xy 119.660661 23.967414) + (xy 119.639759 24.006519) (xy 119.599092 24.14058) (xy 119.588801 24.245064) (xy 119.58536 24.28) (xy 119.588801 24.314936) + (xy 119.5888 35.325074) (xy 119.58536 35.36) (xy 119.5888 35.394926) (xy 119.5888 35.394935) (xy 119.599091 35.499419) + (xy 119.639758 35.63348) (xy 119.705798 35.757032) (xy 119.794673 35.865326) (xy 119.82181 35.887597) (xy 120.838801 36.904589) + (xy 120.838801 37.045262) (xy 120.51375 37.045262) (xy 120.346592 37.061726) (xy 120.185858 37.110484) (xy 120.037725 37.189663) + (xy 119.907885 37.296219) (xy 119.896681 37.309872) (xy 119.844458 37.21217) (xy 119.734792 37.078542) (xy 119.601164 36.968876) + (xy 119.448709 36.887387) (xy 119.283285 36.837206) (xy 119.11125 36.820262) (xy 118.62375 36.820262) (xy 118.451715 36.837206) + (xy 118.286291 36.887387) (xy 118.133836 36.968876) (xy 118.000208 37.078542) (xy 117.994992 37.084898) (xy 117.931185 37.007149) + (xy 117.834494 36.927797) (xy 117.72418 36.868832) (xy 117.604482 36.832522) (xy 117.48 36.820262) (xy 117.27825 36.823334) + (xy 117.1195 36.982084) (xy 117.1195 38.031334) (xy 117.1395 38.031334) (xy 117.1395 38.285334) (xy 117.1195 38.285334) + (xy 117.1195 40.283) (xy 117.1395 40.283) (xy 117.1395 40.537) (xy 117.1195 40.537) (xy 117.1195 41.58625) + (xy 117.27825 41.745) (xy 117.48 41.748072) (xy 117.604482 41.735812) (xy 117.72418 41.699502) (xy 117.834494 41.640537) + (xy 117.931185 41.561185) (xy 117.994992 41.483436) (xy 118.000208 41.489792) (xy 118.133836 41.599458) (xy 118.286291 41.680947) + (xy 118.451715 41.731128) (xy 118.62375 41.748072) (xy 119.11125 41.748072) (xy 119.283285 41.731128) (xy 119.448709 41.680947) + (xy 119.601164 41.599458) (xy 119.734792 41.489792) (xy 119.844458 41.356164) (xy 119.896681 41.258462) (xy 119.907885 41.272115) + (xy 120.037725 41.378671) (xy 120.185858 41.45785) (xy 120.346592 41.506608) (xy 120.51375 41.523072) (xy 120.95125 41.523072) + (xy 121.118408 41.506608) (xy 121.279142 41.45785) (xy 121.427275 41.378671) (xy 121.52 41.302574) (xy 121.612725 41.378671) + (xy 121.760858 41.45785) (xy 121.921592 41.506608) (xy 122.08875 41.523072) (xy 122.52625 41.523072) (xy 122.693408 41.506608) + (xy 122.854142 41.45785) (xy 123.002275 41.378671) (xy 123.132115 41.272115) (xy 123.238671 41.142275) (xy 123.31785 40.994142) + (xy 123.366608 40.833408) (xy 123.383072 40.66625) (xy 123.383072 40.15375) (xy 123.366608 39.986592) (xy 123.31785 39.825858) + (xy 123.238671 39.677725) (xy 123.132115 39.547885) (xy 123.002275 39.441329) (xy 122.854142 39.36215) (xy 122.693408 39.313392) + (xy 122.52625 39.296928) (xy 122.2612 39.296928) (xy 122.2612 39.271406) (xy 122.52625 39.271406) (xy 122.693408 39.254942) + (xy 122.854142 39.206184) (xy 123.002275 39.127005) (xy 123.132115 39.020449) (xy 123.238671 38.890609) (xy 123.31785 38.742476) + (xy 123.366608 38.581742) (xy 123.383072 38.414584) (xy 123.383072 37.902084) (xy 123.366608 37.734926) (xy 123.31785 37.574192) + (xy 123.238671 37.426059) (xy 123.132115 37.296219) (xy 123.0187 37.203143) (xy 123.0187 36.812425) (xy 123.02214 36.777499) + (xy 123.0187 36.742573) (xy 123.0187 36.742564) (xy 123.008409 36.63808) (xy 122.967742 36.504019) (xy 122.901702 36.380467) + (xy 122.90082 36.379392) (xy 122.835097 36.299308) (xy 122.835088 36.299299) (xy 122.812826 36.272173) (xy 122.785701 36.249912) + (xy 121.4812 34.945413) (xy 121.4812 34.79469) (xy 121.546 34.801072) (xy 122.85025 34.798) (xy 123.009 34.63925) + (xy 123.009 33.655) (xy 123.263 33.655) (xy 123.263 34.63925) (xy 123.42175 34.798) (xy 124.726 34.801072) + (xy 124.850482 34.788812) (xy 124.97018 34.752502) (xy 125.080494 34.693537) (xy 125.177185 34.614185) (xy 125.256537 34.517494) + (xy 125.315502 34.40718) (xy 125.351812 34.287482) (xy 125.364072 34.163) (xy 125.361 33.81375) (xy 125.20225 33.655) + (xy 123.263 33.655) (xy 123.009 33.655) (xy 122.989 33.655) (xy 122.989 33.401) (xy 123.009 33.401) + (xy 123.009 33.381) (xy 123.263 33.381) (xy 123.263 33.401) (xy 125.20225 33.401) (xy 125.361 33.24225) + (xy 125.364072 32.893) (xy 125.351812 32.768518) (xy 125.315502 32.64882) (xy 125.256537 32.538506) (xy 125.177185 32.441815) + (xy 125.080494 32.362463) (xy 124.97018 32.303498) (xy 124.850482 32.267188) (xy 124.757192 32.258) (xy 124.850482 32.248812) + (xy 124.97018 32.212502) (xy 125.080494 32.153537) (xy 125.177185 32.074185) (xy 125.256537 31.977494) (xy 125.315502 31.86718) + (xy 125.351812 31.747482) (xy 125.364072 31.623) (xy 125.364072 30.353) (xy 125.351812 30.228518) (xy 125.315502 30.10882) + (xy 125.256537 29.998506) (xy 125.177185 29.901815) (xy 125.080494 29.822463) (xy 124.97018 29.763498) (xy 124.850482 29.727188) + (xy 124.757192 29.718) (xy 124.850482 29.708812) (xy 124.97018 29.672502) (xy 125.080494 29.613537) (xy 125.177185 29.534185) + (xy 125.256537 29.437494) (xy 125.315502 29.32718) (xy 125.351812 29.207482) (xy 125.364072 29.083) (xy 125.364072 27.813) + (xy 125.351812 27.688518) (xy 125.315502 27.56882) (xy 125.256537 27.458506) (xy 125.177185 27.361815) (xy 125.080494 27.282463) + (xy 124.97018 27.223498) (xy 124.850482 27.187188) (xy 124.757192 27.178) (xy 124.850482 27.168812) (xy 124.97018 27.132502) + (xy 125.080494 27.073537) (xy 125.177185 26.994185) (xy 125.256537 26.897494) (xy 125.315502 26.78718) (xy 125.351812 26.667482) + (xy 125.356567 26.6192) (xy 128.77581 26.6192) (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) + (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) + (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) + (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) + (xy 128.619463 31.204494) (xy 128.698815 31.301185) (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) + (xy 129.15 31.488072) (xy 130.85 31.488072) (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) + (xy 131.301185 31.301185) (xy 131.380537 31.204494) (xy 131.439502 31.09418) (xy 131.463966 31.013534) (xy 131.539731 31.097588) + (xy 131.77308 31.271641) (xy 132.035901 31.396825) (xy 132.18311 31.441476) (xy 132.413 31.320155) (xy 132.413 30.127) + (xy 132.393 30.127) (xy 132.393 29.873) (xy 132.413 29.873) (xy 132.413 27.587) (xy 132.393 27.587) + (xy 132.393 27.333) (xy 132.413 27.333) (xy 132.413 27.313) (xy 132.667 27.313) (xy 132.667 27.333) + (xy 132.687 27.333) (xy 132.687 27.587) (xy 132.667 27.587) (xy 132.667 29.873) (xy 132.687 29.873) (xy 132.687 30.127) (xy 132.667 30.127) (xy 132.667 31.320155) (xy 132.89689 31.441476) (xy 133.044099 31.396825) (xy 133.30692 31.271641) (xy 133.540269 31.097588) (xy 133.735178 30.881355) (xy 133.804805 30.764466) (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) (xy 134.646842 31.427932) (xy 134.93374 31.485) (xy 135.22626 31.485) @@ -14160,6 +13939,12 @@ (xy 133.078801 64.756988) ) ) + (filled_polygon + (pts + (xy 143.067402 77.80181) (xy 142.947352 77.92186) (xy 142.960242 77.897745) (xy 143.000909 77.763684) (xy 143.0112 77.6592) + (xy 143.0112 77.659191) (xy 143.01464 77.624265) (xy 143.0112 77.589339) (xy 143.0112 66.552511) (xy 143.067401 66.49631) + ) + ) (filled_polygon (pts (xy 139.726842 63.177932) (xy 140.01374 63.235) (xy 140.30626 63.235) (xy 140.527402 63.191012) (xy 140.527401 76.027543) @@ -14309,20 +14094,6 @@ (xy 192.113475 30.946632) (xy 192.23 30.77224) ) ) - (filled_polygon - (pts - (xy 132.723985 26.109772) (xy 132.667 26.139845) (xy 132.667 27.333) (xy 132.687 27.333) (xy 132.687 27.587) - (xy 132.667 27.587) (xy 132.667 27.607) (xy 132.413 27.607) (xy 132.413 27.587) (xy 132.393 27.587) - (xy 132.393 27.333) (xy 132.413 27.333) (xy 132.413 26.139845) (xy 132.18311 26.018524) (xy 132.035901 26.063175) - (xy 131.77308 26.188359) (xy 131.539731 26.362412) (xy 131.344822 26.578645) (xy 131.275195 26.695534) (xy 131.153475 26.513368) - (xy 130.946632 26.306525) (xy 130.703411 26.14401) (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) - (xy 129.566842 26.032068) (xy 129.296589 26.14401) (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) - (xy 128.572068 27.026842) (xy 128.515 27.31374) (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) - (xy 128.715073 28.2099) (xy 126.314588 28.2099) (xy 123.506502 25.401815) (xy 123.484227 25.374673) (xy 123.375933 25.285798) - (xy 123.252381 25.219758) (xy 123.231211 25.213336) (xy 123.21599 25.176589) (xy 123.053475 24.933368) (xy 122.846632 24.726525) - (xy 122.67224 24.61) (xy 122.846632 24.493475) (xy 123.053475 24.286632) (xy 123.210786 24.0512) (xy 130.665413 24.0512) - ) - ) (filled_polygon (pts (xy 158.4045 23.873) (xy 158.4245 23.873) (xy 158.4245 24.127) (xy 158.4045 24.127) (xy 158.4045 25.17625) @@ -14377,543 +14148,498 @@ (xy 261.550378 13.370593) (xy 261.558017 13.376912) (xy 261.663887 13.463257) (xy 261.717474 13.498859) (xy 261.770583 13.535224) (xy 261.779303 13.539939) (xy 261.899928 13.604076) (xy 261.959402 13.628589) (xy 262.018565 13.653947) (xy 262.028035 13.656878) (xy 262.15882 13.696365) (xy 262.221939 13.708863) (xy 262.284895 13.722245) (xy 262.294754 13.723281) (xy 262.430718 13.736612) - (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 53.031509) - (xy 272.574463 53.001082) (xy 272.276912 52.877832) (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) - (xy 271.025537 53.001082) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) - (xy 270.165 54.288967) (xy 270.165 54.611033) (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) - (xy 270.757748 55.719987) (xy 271.025537 55.898918) (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) - (xy 272.276912 56.022168) (xy 272.574463 55.898918) (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) - (xy 272.276912 68.577832) (xy 271.961033 68.515) (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) - (xy 270.757748 68.880013) (xy 270.530013 69.107748) (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) - (xy 270.165 70.311033) (xy 270.227832 70.626912) (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) - (xy 271.025537 71.598918) (xy 271.323088 71.722168) (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) - (xy 272.574463 71.598918) (xy 272.62 71.568491) (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) - (xy 271.486835 74.335) (xy 271.305398 74.35287) (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) - (xy 270.515667 74.880551) (xy 270.400989 75.095099) (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.33037 75.812102) - (xy 270.333383 75.822035) (xy 270.253881 75.703051) (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) - (xy 269.331448 75.21) (xy 269.063552 75.21) (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) - (xy 268.141119 75.703051) (xy 267.992284 75.925799) (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) - (xy 267.889764 76.966697) (xy 267.992284 77.214201) (xy 268.141119 77.436949) (xy 268.330551 77.626381) (xy 268.553299 77.775216) - (xy 268.800803 77.877736) (xy 269.063552 77.93) (xy 269.331448 77.93) (xy 269.594197 77.877736) (xy 269.841701 77.775216) - (xy 270.064449 77.626381) (xy 270.253881 77.436949) (xy 270.402716 77.214201) (xy 270.505236 76.966697) (xy 270.5575 76.703948) - (xy 270.5575 76.436052) (xy 270.524516 76.270232) (xy 270.669998 76.447502) (xy 270.858051 76.601833) (xy 271.072599 76.716511) - (xy 271.305398 76.78713) (xy 271.486835 76.805) (xy 272.308165 76.805) (xy 272.489602 76.78713) (xy 272.62 76.747574) - (xy 272.62 81.392426) (xy 272.489602 81.35287) (xy 272.308165 81.335) (xy 271.486835 81.335) (xy 271.305398 81.35287) - (xy 271.072599 81.423489) (xy 270.858051 81.538167) (xy 270.669998 81.692498) (xy 270.524516 81.869768) (xy 270.5575 81.703948) - (xy 270.5575 81.436052) (xy 270.505236 81.173303) (xy 270.402716 80.925799) (xy 270.253881 80.703051) (xy 270.064449 80.513619) - (xy 269.841701 80.364784) (xy 269.594197 80.262264) (xy 269.331448 80.21) (xy 269.063552 80.21) (xy 268.800803 80.262264) - (xy 268.553299 80.364784) (xy 268.330551 80.513619) (xy 268.141119 80.703051) (xy 267.992284 80.925799) (xy 267.889764 81.173303) - (xy 267.8375 81.436052) (xy 267.8375 81.703948) (xy 267.889764 81.966697) (xy 267.992284 82.214201) (xy 268.141119 82.436949) - (xy 268.330551 82.626381) (xy 268.553299 82.775216) (xy 268.800803 82.877736) (xy 269.063552 82.93) (xy 269.331448 82.93) - (xy 269.594197 82.877736) (xy 269.841701 82.775216) (xy 270.064449 82.626381) (xy 270.253881 82.436949) (xy 270.333383 82.317965) - (xy 270.33037 82.327898) (xy 270.306525 82.57) (xy 270.33037 82.812102) (xy 270.400989 83.044901) (xy 270.515667 83.259449) - (xy 270.669998 83.447502) (xy 270.858051 83.601833) (xy 271.072599 83.716511) (xy 271.305398 83.78713) (xy 271.486835 83.805) - (xy 272.308165 83.805) (xy 272.489602 83.78713) (xy 272.62 83.747574) (xy 272.62 97.635909) (xy 272.085909 98.17) - (xy 262.465123 98.17) (xy 262.430023 98.173457) (xy 262.420938 98.173457) (xy 262.411079 98.174493) (xy 262.275316 98.189722) - (xy 262.21241 98.203094) (xy 262.149252 98.215599) (xy 262.139782 98.218531) (xy 262.009561 98.259839) (xy 261.950438 98.285179) - (xy 261.890914 98.309713) (xy 261.882194 98.314428) (xy 261.762476 98.380244) (xy 261.709412 98.416579) (xy 261.655782 98.45221) - (xy 261.648144 98.458529) (xy 261.54349 98.546344) (xy 261.498477 98.59231) (xy 261.452804 98.637665) (xy 261.446539 98.645347) - (xy 261.360935 98.751818) (xy 261.325733 98.805613) (xy 261.289716 98.85901) (xy 261.285062 98.867763) (xy 261.221768 98.988832) - (xy 261.197669 99.048477) (xy 261.172722 99.107825) (xy 261.169857 99.117315) (xy 261.131285 99.248373) (xy 261.119231 99.311565) - (xy 261.106288 99.374618) (xy 261.105321 99.384484) (xy 261.092939 99.520537) (xy 261.093388 99.584853) (xy 261.092939 99.649235) - (xy 261.093906 99.659101) (xy 261.108186 99.794968) (xy 261.121121 99.857983) (xy 261.133185 99.921221) (xy 261.13605 99.930711) - (xy 261.176449 100.061217) (xy 261.201391 100.12055) (xy 261.225492 100.180202) (xy 261.230146 100.188955) (xy 261.295124 100.309129) - (xy 261.331086 100.362444) (xy 261.366342 100.416322) (xy 261.372608 100.424004) (xy 261.459689 100.529268) (xy 261.505328 100.57459) - (xy 261.550378 100.620593) (xy 261.558017 100.626912) (xy 261.663887 100.713257) (xy 261.717474 100.748859) (xy 261.770583 100.785224) - (xy 261.779303 100.789939) (xy 261.899928 100.854076) (xy 261.945842 100.873) (xy 206.293059 100.873) (xy 206.055776 100.714452) - (xy 205.68088 100.559165) (xy 205.282892 100.48) (xy 204.877108 100.48) (xy 204.550082 100.54505) (xy 205.990132 99.105) - (xy 243.484249 99.105) (xy 243.54 99.110491) (xy 243.595751 99.105) (xy 243.595752 99.105) (xy 243.762499 99.088577) - (xy 243.976447 99.023676) (xy 244.173623 98.918284) (xy 244.346449 98.776449) (xy 244.381996 98.733135) (xy 248.285565 94.829566) - (xy 265.717303 94.829566) (xy 265.717303 95.330434) (xy 265.815017 95.821677) (xy 266.006691 96.284419) (xy 266.284958 96.700875) - (xy 266.639125 97.055042) (xy 267.055581 97.333309) (xy 267.518323 97.524983) (xy 268.009566 97.622697) (xy 268.510434 97.622697) - (xy 269.001677 97.524983) (xy 269.464419 97.333309) (xy 269.880875 97.055042) (xy 270.235042 96.700875) (xy 270.513309 96.284419) - (xy 270.704983 95.821677) (xy 270.802697 95.330434) (xy 270.802697 94.829566) (xy 270.704983 94.338323) (xy 270.513309 93.875581) - (xy 270.235042 93.459125) (xy 269.880875 93.104958) (xy 269.464419 92.826691) (xy 269.001677 92.635017) (xy 268.510434 92.537303) - (xy 268.009566 92.537303) (xy 267.518323 92.635017) (xy 267.055581 92.826691) (xy 266.639125 93.104958) (xy 266.284958 93.459125) - (xy 266.006691 93.875581) (xy 265.815017 94.338323) (xy 265.717303 94.829566) (xy 248.285565 94.829566) (xy 254.691988 88.423144) - (xy 254.798284 88.293623) (xy 254.903676 88.096447) (xy 254.968577 87.882499) (xy 254.990491 87.66) (xy 254.968577 87.437501) - (xy 254.903676 87.223554) (xy 254.798284 87.026378) (xy 254.656449 86.853551) (xy 254.483622 86.711716) (xy 254.286446 86.606324) - (xy 254.072499 86.541423) (xy 253.85 86.519509) (xy 253.627501 86.541423) (xy 253.413553 86.606324) (xy 253.216377 86.711716) - (xy 253.086856 86.818012) (xy 243.069869 96.835) (xy 221.8 96.835) (xy 221.8 92.609841) (xy 221.849345 92.569345) - (xy 222.037417 92.340179) (xy 222.052302 92.312331) (xy 222.141744 92.448903) (xy 222.349506 92.660536) (xy 222.594563 92.827571) - (xy 222.867498 92.943588) (xy 222.959969 92.961302) (xy 223.193 92.840246) (xy 223.193 91.397) (xy 223.447 91.397) - (xy 223.447 92.840246) (xy 223.680031 92.961302) (xy 223.772502 92.943588) (xy 224.045437 92.827571) (xy 224.290494 92.660536) - (xy 224.498256 92.448903) (xy 224.660738 92.200804) (xy 224.771696 91.925773) (xy 224.826866 91.63438) (xy 224.670586 91.397) - (xy 223.447 91.397) (xy 223.193 91.397) (xy 223.173 91.397) (xy 223.173 91.143) (xy 223.193 91.143) - (xy 223.193 89.699754) (xy 223.447 89.699754) (xy 223.447 91.143) (xy 224.670586 91.143) (xy 224.826866 90.90562) - (xy 224.771696 90.614227) (xy 224.660738 90.339196) (xy 224.498256 90.091097) (xy 224.290494 89.879464) (xy 224.045437 89.712429) - (xy 223.772502 89.596412) (xy 223.680031 89.578698) (xy 223.447 89.699754) (xy 223.193 89.699754) (xy 222.959969 89.578698) - (xy 222.867498 89.596412) (xy 222.594563 89.712429) (xy 222.349506 89.879464) (xy 222.141744 90.091097) (xy 222.052302 90.227669) - (xy 222.037417 90.199821) (xy 221.849345 89.970655) (xy 221.620178 89.782583) (xy 221.358724 89.642834) (xy 221.075031 89.556776) - (xy 220.78 89.527718) (xy 220.484968 89.556776) (xy 220.201275 89.642834) (xy 219.939821 89.782583) (xy 219.712615 89.969047) - (xy 219.699502 89.92582) (xy 219.640537 89.815506) (xy 219.561185 89.718815) (xy 219.464494 89.639463) (xy 219.35418 89.580498) - (xy 219.234482 89.544188) (xy 219.11 89.531928) (xy 218.52575 89.535) (xy 218.367 89.69375) (xy 218.367 91.143) - (xy 218.387 91.143) (xy 218.387 91.397) (xy 218.367 91.397) (xy 218.367 92.84625) (xy 218.52575 93.005) - (xy 219.11 93.008072) (xy 219.234482 92.995812) (xy 219.35418 92.959502) (xy 219.464494 92.900537) (xy 219.561185 92.821185) - (xy 219.640537 92.724494) (xy 219.699502 92.61418) (xy 219.712615 92.570953) (xy 219.880001 92.708323) (xy 219.88 96.835) - (xy 208.11871 96.835) (xy 212.58371 92.37) (xy 216.731928 92.37) (xy 216.744188 92.494482) (xy 216.780498 92.61418) - (xy 216.839463 92.724494) (xy 216.918815 92.821185) (xy 217.015506 92.900537) (xy 217.12582 92.959502) (xy 217.245518 92.995812) - (xy 217.37 93.008072) (xy 217.95425 93.005) (xy 218.113 92.84625) (xy 218.113 91.397) (xy 216.89375 91.397) - (xy 216.735 91.55575) (xy 216.731928 92.37) (xy 212.58371 92.37) (xy 214.78371 90.17) (xy 216.731928 90.17) - (xy 216.735 90.98425) (xy 216.89375 91.143) (xy 218.113 91.143) (xy 218.113 89.69375) (xy 217.95425 89.535) - (xy 217.37 89.531928) (xy 217.245518 89.544188) (xy 217.12582 89.580498) (xy 217.015506 89.639463) (xy 216.918815 89.718815) - (xy 216.839463 89.815506) (xy 216.780498 89.92582) (xy 216.744188 90.045518) (xy 216.731928 90.17) (xy 214.78371 90.17) - (xy 235.564743 69.388967) (xy 265.215 69.388967) (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) - (xy 265.580013 70.592252) (xy 265.807748 70.819987) (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) - (xy 267.011033 71.185) (xy 267.326912 71.122168) (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.119987 70.592252) - (xy 268.298918 70.324463) (xy 268.422168 70.026912) (xy 268.485 69.711033) (xy 268.485 69.388967) (xy 268.422168 69.073088) - (xy 268.298918 68.775537) (xy 268.119987 68.507748) (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) - (xy 267.011033 67.915) (xy 266.688967 67.915) (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) - (xy 265.580013 68.507748) (xy 265.401082 68.775537) (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 235.564743 69.388967) - (xy 241.305649 63.648061) (xy 247.045 63.648061) (xy 247.045 63.851939) (xy 247.084774 64.051898) (xy 247.162795 64.240256) - (xy 247.276063 64.409774) (xy 247.420226 64.553937) (xy 247.589744 64.667205) (xy 247.778102 64.745226) (xy 247.978061 64.785) - (xy 248.181939 64.785) (xy 248.381898 64.745226) (xy 248.570256 64.667205) (xy 248.739774 64.553937) (xy 248.883937 64.409774) - (xy 248.997205 64.240256) (xy 249.075226 64.051898) (xy 249.115 63.851939) (xy 249.115 63.648061) (xy 249.075226 63.448102) - (xy 248.997205 63.259744) (xy 248.969354 63.218061) (xy 254.885 63.218061) (xy 254.885 63.421939) (xy 254.924774 63.621898) - (xy 255.002795 63.810256) (xy 255.116063 63.979774) (xy 255.260226 64.123937) (xy 255.429744 64.237205) (xy 255.618102 64.315226) - (xy 255.818061 64.355) (xy 255.880199 64.355) (xy 257.818701 66.293503) (xy 257.842499 66.322501) (xy 257.871497 66.346299) - (xy 257.958223 66.417474) (xy 258.038234 66.460241) (xy 258.090253 66.488046) (xy 258.233514 66.531503) (xy 258.345167 66.5425) - (xy 258.345177 66.5425) (xy 258.3825 66.546176) (xy 258.419823 66.5425) (xy 261.056289 66.5425) (xy 261.100226 66.586437) - (xy 261.269744 66.699705) (xy 261.458102 66.777726) (xy 261.658061 66.8175) (xy 261.861939 66.8175) (xy 262.061898 66.777726) - (xy 262.250256 66.699705) (xy 262.419774 66.586437) (xy 262.563937 66.442274) (xy 262.677205 66.272756) (xy 262.755226 66.084398) - (xy 262.795 65.884439) (xy 262.795 65.680561) (xy 262.755226 65.480602) (xy 262.677205 65.292244) (xy 262.563937 65.122726) - (xy 262.419774 64.978563) (xy 262.250256 64.865295) (xy 262.061898 64.787274) (xy 261.861939 64.7475) (xy 261.658061 64.7475) - (xy 261.458102 64.787274) (xy 261.269744 64.865295) (xy 261.100226 64.978563) (xy 261.056289 65.0225) (xy 258.697302 65.0225) - (xy 256.955 63.280199) (xy 256.955 63.218061) (xy 256.915226 63.018102) (xy 256.837205 62.829744) (xy 256.723937 62.660226) - (xy 256.579774 62.516063) (xy 256.410256 62.402795) (xy 256.221898 62.324774) (xy 256.021939 62.285) (xy 255.818061 62.285) - (xy 255.618102 62.324774) (xy 255.429744 62.402795) (xy 255.260226 62.516063) (xy 255.116063 62.660226) (xy 255.002795 62.829744) - (xy 254.924774 63.018102) (xy 254.885 63.218061) (xy 248.969354 63.218061) (xy 248.883937 63.090226) (xy 248.739774 62.946063) - (xy 248.570256 62.832795) (xy 248.381898 62.754774) (xy 248.181939 62.715) (xy 247.978061 62.715) (xy 247.778102 62.754774) - (xy 247.589744 62.832795) (xy 247.420226 62.946063) (xy 247.276063 63.090226) (xy 247.162795 63.259744) (xy 247.084774 63.448102) - (xy 247.045 63.648061) (xy 241.305649 63.648061) (xy 242.046211 62.9075) (xy 246.331939 62.9075) (xy 246.382057 62.897531) - (xy 246.432895 62.892524) (xy 246.481777 62.877696) (xy 246.531898 62.867726) (xy 246.579113 62.848169) (xy 246.627993 62.833341) - (xy 246.673042 62.809262) (xy 246.720256 62.789705) (xy 246.762746 62.761314) (xy 246.807797 62.737234) (xy 246.847284 62.704828) - (xy 246.889774 62.676437) (xy 246.925908 62.640303) (xy 246.965396 62.607896) (xy 246.997803 62.568408) (xy 247.033937 62.532274) - (xy 247.062328 62.489784) (xy 247.094734 62.450297) (xy 247.118814 62.405246) (xy 247.147205 62.362756) (xy 247.166762 62.315542) - (xy 247.190841 62.270493) (xy 247.205669 62.221613) (xy 247.225226 62.174398) (xy 247.235196 62.124277) (xy 247.250024 62.075395) - (xy 247.255031 62.024557) (xy 247.265 61.974439) (xy 247.265 61.923338) (xy 247.270007 61.8725) (xy 247.265 61.821662) - (xy 247.265 61.770561) (xy 247.255031 61.720443) (xy 247.250024 61.669605) (xy 247.235196 61.620723) (xy 247.225226 61.570602) - (xy 247.205669 61.523387) (xy 247.190841 61.474507) (xy 247.166762 61.429458) (xy 247.147205 61.382244) (xy 247.118814 61.339754) - (xy 247.094734 61.294703) (xy 247.062328 61.255216) (xy 247.033937 61.212726) (xy 246.997803 61.176592) (xy 246.965396 61.137104) - (xy 246.925908 61.104697) (xy 246.889774 61.068563) (xy 246.847284 61.040172) (xy 246.807797 61.007766) (xy 246.762746 60.983686) - (xy 246.720256 60.955295) (xy 246.673042 60.935738) (xy 246.627993 60.911659) (xy 246.579113 60.896831) (xy 246.531898 60.877274) - (xy 246.481777 60.867304) (xy 246.432895 60.852476) (xy 246.382057 60.847469) (xy 246.331939 60.8375) (xy 241.668327 60.8375) - (xy 241.617499 60.832494) (xy 241.566671 60.8375) (xy 241.566662 60.8375) (xy 241.414605 60.852476) (xy 241.219507 60.911659) - (xy 241.138197 60.95512) (xy 241.039702 61.007766) (xy 240.940498 61.089181) (xy 240.882104 61.137104) (xy 240.849697 61.176592) - (xy 205.121467 96.904823) (xy 205.083553 96.916324) (xy 204.886377 97.021716) (xy 204.713551 97.163551) (xy 204.678011 97.206857) - (xy 201.413072 100.471797) (xy 201.413072 99.474998) (xy 201.396008 99.301744) (xy 201.345472 99.135148) (xy 201.263405 98.981613) - (xy 201.152962 98.847038) (xy 201.018387 98.736595) (xy 200.864852 98.654528) (xy 200.785994 98.630607) (xy 200.898822 98.555218) - (xy 201.095218 98.358822) (xy 201.249525 98.127885) (xy 201.355814 97.871282) (xy 201.41 97.598873) (xy 201.41 97.321127) - (xy 201.355814 97.048718) (xy 201.249525 96.792115) (xy 201.095218 96.561178) (xy 200.898822 96.364782) (xy 200.667885 96.210475) - (xy 200.618454 96.19) (xy 200.667885 96.169525) (xy 200.898822 96.015218) (xy 201.095218 95.818822) (xy 201.249525 95.587885) - (xy 201.355814 95.331282) (xy 201.41 95.058873) (xy 201.41 94.781127) (xy 201.355814 94.508718) (xy 201.249525 94.252115) - (xy 201.095218 94.021178) (xy 200.898822 93.824782) (xy 200.7112 93.699417) (xy 200.7112 63.182777) (xy 200.97374 63.235) - (xy 201.26626 63.235) (xy 201.553158 63.177932) (xy 201.823411 63.06599) (xy 202.066632 62.903475) (xy 202.273475 62.696632) - (xy 202.39 62.52224) (xy 202.506525 62.696632) (xy 202.713368 62.903475) (xy 202.956589 63.06599) (xy 203.226842 63.177932) - (xy 203.51374 63.235) (xy 203.80626 63.235) (xy 204.093158 63.177932) (xy 204.363411 63.06599) (xy 204.606632 62.903475) - (xy 204.813475 62.696632) (xy 204.93 62.52224) (xy 205.046525 62.696632) (xy 205.253368 62.903475) (xy 205.496589 63.06599) - (xy 205.766842 63.177932) (xy 206.05374 63.235) (xy 206.34626 63.235) (xy 206.633158 63.177932) (xy 206.903411 63.06599) - (xy 207.146632 62.903475) (xy 207.353475 62.696632) (xy 207.47 62.52224) (xy 207.586525 62.696632) (xy 207.793368 62.903475) - (xy 208.036589 63.06599) (xy 208.306842 63.177932) (xy 208.59374 63.235) (xy 208.88626 63.235) (xy 209.173158 63.177932) - (xy 209.443411 63.06599) (xy 209.686632 62.903475) (xy 209.893475 62.696632) (xy 210.05599 62.453411) (xy 210.167932 62.183158) - (xy 210.225 61.89626) (xy 210.225 61.60374) (xy 210.169759 61.326028) (xy 213.622726 57.873061) (xy 244.515 57.873061) - (xy 244.515 58.076939) (xy 244.554774 58.276898) (xy 244.632795 58.465256) (xy 244.746063 58.634774) (xy 244.890226 58.778937) - (xy 245.059744 58.892205) (xy 245.248102 58.970226) (xy 245.448061 59.01) (xy 245.651939 59.01) (xy 245.851898 58.970226) - (xy 246.040256 58.892205) (xy 246.209774 58.778937) (xy 246.353937 58.634774) (xy 246.467205 58.465256) (xy 246.545226 58.276898) - (xy 246.585 58.076939) (xy 246.585 57.873061) (xy 246.545226 57.673102) (xy 246.467205 57.484744) (xy 246.353937 57.315226) - (xy 246.209774 57.171063) (xy 246.040256 57.057795) (xy 245.851898 56.979774) (xy 245.651939 56.94) (xy 245.448061 56.94) - (xy 245.248102 56.979774) (xy 245.059744 57.057795) (xy 244.890226 57.171063) (xy 244.746063 57.315226) (xy 244.632795 57.484744) - (xy 244.554774 57.673102) (xy 244.515 57.873061) (xy 213.622726 57.873061) (xy 216.210226 55.285561) (xy 256.515 55.285561) - (xy 256.515 55.489439) (xy 256.554774 55.689398) (xy 256.632795 55.877756) (xy 256.746063 56.047274) (xy 256.890226 56.191437) - (xy 257.059744 56.304705) (xy 257.248102 56.382726) (xy 257.448061 56.4225) (xy 257.651939 56.4225) (xy 257.851898 56.382726) - (xy 258.040256 56.304705) (xy 258.209774 56.191437) (xy 258.353937 56.047274) (xy 258.467205 55.877756) (xy 258.545226 55.689398) - (xy 258.585 55.489439) (xy 258.585 55.285561) (xy 258.545226 55.085602) (xy 258.467205 54.897244) (xy 258.461675 54.888967) - (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) (xy 265.401082 55.824463) (xy 265.580013 56.092252) - (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) (xy 266.688967 56.685) (xy 267.011033 56.685) - (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) (xy 268.119987 56.092252) (xy 268.298918 55.824463) - (xy 268.422168 55.526912) (xy 268.485 55.211033) (xy 268.485 54.888967) (xy 268.422168 54.573088) (xy 268.298918 54.275537) - (xy 268.119987 54.007748) (xy 267.892252 53.780013) (xy 267.624463 53.601082) (xy 267.326912 53.477832) (xy 267.011033 53.415) - (xy 266.688967 53.415) (xy 266.373088 53.477832) (xy 266.075537 53.601082) (xy 265.807748 53.780013) (xy 265.580013 54.007748) - (xy 265.401082 54.275537) (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 258.461675 54.888967) (xy 258.353937 54.727726) - (xy 258.209774 54.583563) (xy 258.040256 54.470295) (xy 257.851898 54.392274) (xy 257.651939 54.3525) (xy 257.448061 54.3525) - (xy 257.248102 54.392274) (xy 257.059744 54.470295) (xy 256.890226 54.583563) (xy 256.746063 54.727726) (xy 256.632795 54.897244) - (xy 256.554774 55.085602) (xy 256.515 55.285561) (xy 216.210226 55.285561) (xy 224.685011 46.810777) (xy 254.515 46.810777) - (xy 254.515 47.369223) (xy 254.623948 47.916939) (xy 254.837656 48.432876) (xy 255.147912 48.897207) (xy 255.542793 49.292088) - (xy 256.007124 49.602344) (xy 256.523061 49.816052) (xy 257.070777 49.925) (xy 257.629223 49.925) (xy 258.176939 49.816052) - (xy 258.692876 49.602344) (xy 259.157207 49.292088) (xy 259.552088 48.897207) (xy 259.862344 48.432876) (xy 260.076052 47.916939) - (xy 260.185 47.369223) (xy 260.185 46.810777) (xy 260.076052 46.263061) (xy 259.862344 45.747124) (xy 259.552088 45.282793) - (xy 259.541997 45.272702) (xy 263.536903 45.272702) (xy 263.608486 45.516671) (xy 263.863996 45.637571) (xy 264.138184 45.7063) - (xy 264.420512 45.720217) (xy 264.70013 45.678787) (xy 264.966292 45.583603) (xy 265.091514 45.516671) (xy 265.163097 45.272702) - (xy 264.35 44.459605) (xy 263.536903 45.272702) (xy 259.541997 45.272702) (xy 259.157207 44.887912) (xy 258.692876 44.577656) - (xy 258.176939 44.363948) (xy 258.109392 44.350512) (xy 262.909783 44.350512) (xy 262.951213 44.63013) (xy 263.046397 44.896292) - (xy 263.113329 45.021514) (xy 263.357298 45.093097) (xy 264.170395 44.28) (xy 264.529605 44.28) (xy 265.342702 45.093097) - (xy 265.411928 45.072785) (xy 265.411928 45.08) (xy 265.424188 45.204482) (xy 265.460498 45.32418) (xy 265.519463 45.434494) - (xy 265.598815 45.531185) (xy 265.695506 45.610537) (xy 265.80582 45.669502) (xy 265.925518 45.705812) (xy 266.05 45.718072) - (xy 267.65 45.718072) (xy 267.774482 45.705812) (xy 267.89418 45.669502) (xy 268.004494 45.610537) (xy 268.101185 45.531185) - (xy 268.180537 45.434494) (xy 268.239502 45.32418) (xy 268.275812 45.204482) (xy 268.288072 45.08) (xy 268.288072 43.48) - (xy 268.275812 43.355518) (xy 268.239502 43.23582) (xy 268.180537 43.125506) (xy 268.101185 43.028815) (xy 268.004494 42.949463) - (xy 267.89418 42.890498) (xy 267.774482 42.854188) (xy 267.65 42.841928) (xy 266.05 42.841928) (xy 265.925518 42.854188) - (xy 265.80582 42.890498) (xy 265.695506 42.949463) (xy 265.598815 43.028815) (xy 265.519463 43.125506) (xy 265.460498 43.23582) - (xy 265.424188 43.355518) (xy 265.411928 43.48) (xy 265.411928 43.487215) (xy 265.342702 43.466903) (xy 264.529605 44.28) - (xy 264.170395 44.28) (xy 263.357298 43.466903) (xy 263.113329 43.538486) (xy 262.992429 43.793996) (xy 262.9237 44.068184) - (xy 262.909783 44.350512) (xy 258.109392 44.350512) (xy 257.629223 44.255) (xy 257.070777 44.255) (xy 256.523061 44.363948) - (xy 256.007124 44.577656) (xy 255.542793 44.887912) (xy 255.147912 45.282793) (xy 254.837656 45.747124) (xy 254.623948 46.263061) - (xy 254.515 46.810777) (xy 224.685011 46.810777) (xy 228.20849 43.287298) (xy 263.536903 43.287298) (xy 264.35 44.100395) - (xy 265.163097 43.287298) (xy 265.091514 43.043329) (xy 264.836004 42.922429) (xy 264.561816 42.8537) (xy 264.279488 42.839783) - (xy 263.99987 42.881213) (xy 263.733708 42.976397) (xy 263.608486 43.043329) (xy 263.536903 43.287298) (xy 228.20849 43.287298) - (xy 228.757597 42.738192) (xy 228.757606 42.738181) (xy 232.478191 39.017597) (xy 232.505327 38.995327) (xy 232.594202 38.887033) - (xy 232.660242 38.763481) (xy 232.700909 38.62942) (xy 232.7112 38.524936) (xy 232.7112 38.524927) (xy 232.71464 38.490001) - (xy 232.7112 38.455075) (xy 232.7112 36.788061) (xy 254.965 36.788061) (xy 254.965 36.991939) (xy 255.004774 37.191898) - (xy 255.082795 37.380256) (xy 255.196063 37.549774) (xy 255.340226 37.693937) (xy 255.509744 37.807205) (xy 255.698102 37.885226) - (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) (xy 256.659774 37.693937) - (xy 256.803937 37.549774) (xy 256.917205 37.380256) (xy 256.995226 37.191898) (xy 257.035 36.991939) (xy 257.035 36.788061) - (xy 256.995226 36.588102) (xy 256.917205 36.399744) (xy 256.803937 36.230226) (xy 256.659774 36.086063) (xy 256.490256 35.972795) - (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) (xy 255.509744 35.972795) - (xy 255.340226 36.086063) (xy 255.196063 36.230226) (xy 255.082795 36.399744) (xy 255.004774 36.588102) (xy 254.965 36.788061) - (xy 232.7112 36.788061) (xy 232.7112 34.752511) (xy 232.803937 34.659774) (xy 232.917205 34.490256) (xy 232.995226 34.301898) - (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.917205 33.509744) (xy 232.803937 33.340226) - (xy 232.659774 33.196063) (xy 232.490256 33.082795) (xy 232.301898 33.004774) (xy 232.101939 32.965) (xy 231.898061 32.965) - (xy 231.698102 33.004774) (xy 231.509744 33.082795) (xy 231.340226 33.196063) (xy 231.196063 33.340226) (xy 231.082795 33.509744) - (xy 231.004774 33.698102) (xy 231 33.722103) (xy 230.995226 33.698102) (xy 230.917205 33.509744) (xy 230.803937 33.340226) - (xy 230.659774 33.196063) (xy 230.490256 33.082795) (xy 230.301898 33.004774) (xy 230.101939 32.965) (xy 229.970789 32.965) - (xy 226.481538 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) (xy 229.972021 30.139466) - (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) (xy 230.88575 30.75) - (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) (xy 231.901031 30.329457) - (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) (xy 232.115422 29.25164) - (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) (xy 231.33836 28.474578) - (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) (xy 230.260543 28.688969) - (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) (xy 226.481538 29.47575) - (xy 225.066203 28.060416) (xy 225.043928 28.033274) (xy 224.935634 27.944399) (xy 224.812082 27.878359) (xy 224.678021 27.837692) - (xy 224.573537 27.827401) (xy 224.573527 27.827401) (xy 224.538601 27.823961) (xy 224.503675 27.827401) (xy 210.177753 27.827401) - (xy 210.181481 27.816891) (xy 210.060814 27.587) (xy 208.867 27.587) (xy 208.867 27.607) (xy 208.613 27.607) - (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) (xy 208.613 26.139845) - (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) (xy 210.084157 26.828748) - (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.244099 26.063175) (xy 209.09689 26.018524) - (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) (xy 207.97308 26.188359) - (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) (xy 207.146632 26.306525) - (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.05374 25.975) (xy 205.766842 26.032068) - (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) (xy 204.93 26.68776) (xy 204.813475 26.513368) - (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) (xy 203.80626 25.975) (xy 203.51374 25.975) - (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) (xy 202.506525 26.513368) (xy 202.39 26.68776) - (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) (xy 201.553158 26.032068) (xy 201.26626 25.975) - (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) (xy 200.173368 26.306525) (xy 199.966525 26.513368) - (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) (xy 199.283411 26.14401) (xy 199.013158 26.032068) - (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) (xy 197.876589 26.14401) (xy 197.633368 26.306525) - (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) (xy 196.986632 26.306525) (xy 196.743411 26.14401) - (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) (xy 195.606842 26.032068) (xy 195.336589 26.14401) - (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) (xy 194.653475 26.513368) (xy 194.446632 26.306525) - (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) (xy 193.35374 25.975) (xy 193.066842 26.032068) - (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) (xy 192.23 26.68776) (xy 192.113475 26.513368) - (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) (xy 191.10626 25.975) (xy 190.81374 25.975) - (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) (xy 189.806525 26.513368) (xy 189.69 26.68776) - (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) (xy 188.853158 26.032068) (xy 188.56626 25.975) - (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) (xy 187.473368 26.306525) (xy 187.266525 26.513368) - (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) (xy 186.583411 26.14401) (xy 186.313158 26.032068) - (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) (xy 185.176589 26.14401) (xy 184.933368 26.306525) - (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) (xy 184.286632 26.306525) (xy 184.043411 26.14401) - (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) (xy 182.906842 26.032068) (xy 182.636589 26.14401) - (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) (xy 181.953475 26.513368) (xy 181.746632 26.306525) - (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) (xy 180.65374 25.975) (xy 180.366842 26.032068) - (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) (xy 179.53 26.68776) (xy 179.413475 26.513368) - (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) (xy 178.40626 25.975) (xy 178.11374 25.975) - (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) (xy 177.106525 26.513368) (xy 176.99 26.68776) - (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) (xy 176.153158 26.032068) (xy 175.86626 25.975) - (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) (xy 174.566525 26.513368) - (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) (xy 173.883411 26.14401) (xy 173.613158 26.032068) - (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) (xy 172.476589 26.14401) (xy 172.233368 26.306525) - (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) (xy 171.640269 26.362412) (xy 171.40692 26.188359) - (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) (xy 170.767 27.333) (xy 170.787 27.333) - (xy 170.787 27.587) (xy 170.767 27.587) (xy 170.767 29.873) (xy 170.787 29.873) (xy 170.787 30.127) - (xy 170.767 30.127) (xy 170.767 31.320155) (xy 170.99689 31.441476) (xy 171.144099 31.396825) (xy 171.40692 31.271641) - (xy 171.640269 31.097588) (xy 171.835178 30.881355) (xy 171.904805 30.764466) (xy 172.026525 30.946632) (xy 172.233368 31.153475) - (xy 172.476589 31.31599) (xy 172.746842 31.427932) (xy 173.03374 31.485) (xy 173.32626 31.485) (xy 173.613158 31.427932) - (xy 173.883411 31.31599) (xy 174.126632 31.153475) (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) - (xy 174.773368 31.153475) (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) - (xy 176.153158 31.427932) (xy 176.423411 31.31599) (xy 176.666632 31.153475) (xy 176.873475 30.946632) (xy 176.99 30.77224) - (xy 177.106525 30.946632) (xy 177.313368 31.153475) (xy 177.556589 31.31599) (xy 177.826842 31.427932) (xy 178.11374 31.485) - (xy 178.40626 31.485) (xy 178.693158 31.427932) (xy 178.963411 31.31599) (xy 179.206632 31.153475) (xy 179.413475 30.946632) - (xy 179.53 30.77224) (xy 179.646525 30.946632) (xy 179.853368 31.153475) (xy 180.096589 31.31599) (xy 180.366842 31.427932) - (xy 180.65374 31.485) (xy 180.94626 31.485) (xy 181.233158 31.427932) (xy 181.503411 31.31599) (xy 181.746632 31.153475) - (xy 181.953475 30.946632) (xy 182.07 30.77224) (xy 182.186525 30.946632) (xy 182.393368 31.153475) (xy 182.636589 31.31599) - (xy 182.906842 31.427932) (xy 183.19374 31.485) (xy 183.48626 31.485) (xy 183.773158 31.427932) (xy 184.043411 31.31599) - (xy 184.286632 31.153475) (xy 184.493475 30.946632) (xy 184.61 30.77224) (xy 184.726525 30.946632) (xy 184.933368 31.153475) - (xy 185.176589 31.31599) (xy 185.446842 31.427932) (xy 185.73374 31.485) (xy 186.02626 31.485) (xy 186.313158 31.427932) - (xy 186.583411 31.31599) (xy 186.826632 31.153475) (xy 187.033475 30.946632) (xy 187.15 30.77224) (xy 187.266525 30.946632) - (xy 187.473368 31.153475) (xy 187.716589 31.31599) (xy 187.986842 31.427932) (xy 188.27374 31.485) (xy 188.56626 31.485) - (xy 188.853158 31.427932) (xy 189.123411 31.31599) (xy 189.366632 31.153475) (xy 189.573475 30.946632) (xy 189.69 30.77224) - (xy 189.806525 30.946632) (xy 190.013368 31.153475) (xy 190.256589 31.31599) (xy 190.526842 31.427932) (xy 190.81374 31.485) - (xy 191.10626 31.485) (xy 191.393158 31.427932) (xy 191.663411 31.31599) (xy 191.906632 31.153475) (xy 192.113475 30.946632) - (xy 192.23 30.77224) (xy 192.346525 30.946632) (xy 192.553368 31.153475) (xy 192.796589 31.31599) (xy 193.066842 31.427932) - (xy 193.35374 31.485) (xy 193.64626 31.485) (xy 193.933158 31.427932) (xy 194.203411 31.31599) (xy 194.446632 31.153475) - (xy 194.653475 30.946632) (xy 194.77 30.77224) (xy 194.886525 30.946632) (xy 195.093368 31.153475) (xy 195.336589 31.31599) - (xy 195.606842 31.427932) (xy 195.89374 31.485) (xy 196.18626 31.485) (xy 196.473158 31.427932) (xy 196.743411 31.31599) - (xy 196.986632 31.153475) (xy 197.193475 30.946632) (xy 197.31 30.77224) (xy 197.426525 30.946632) (xy 197.633368 31.153475) - (xy 197.876589 31.31599) (xy 198.146842 31.427932) (xy 198.43374 31.485) (xy 198.72626 31.485) (xy 199.013158 31.427932) - (xy 199.283411 31.31599) (xy 199.526632 31.153475) (xy 199.733475 30.946632) (xy 199.85 30.77224) (xy 199.966525 30.946632) - (xy 200.173368 31.153475) (xy 200.416589 31.31599) (xy 200.686842 31.427932) (xy 200.97374 31.485) (xy 201.26626 31.485) - (xy 201.553158 31.427932) (xy 201.823411 31.31599) (xy 202.066632 31.153475) (xy 202.273475 30.946632) (xy 202.39 30.77224) - (xy 202.506525 30.946632) (xy 202.713368 31.153475) (xy 202.956589 31.31599) (xy 203.226842 31.427932) (xy 203.51374 31.485) - (xy 203.80626 31.485) (xy 204.093158 31.427932) (xy 204.363411 31.31599) (xy 204.606632 31.153475) (xy 204.813475 30.946632) - (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) (xy 205.766842 31.427932) - (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) (xy 207.146632 31.153475) - (xy 207.353475 30.946632) (xy 207.475195 30.764466) (xy 207.544822 30.881355) (xy 207.739731 31.097588) (xy 207.97308 31.271641) - (xy 208.235901 31.396825) (xy 208.38311 31.441476) (xy 208.613 31.320155) (xy 208.613 30.127) (xy 208.867 30.127) - (xy 208.867 31.320155) (xy 209.09689 31.441476) (xy 209.244099 31.396825) (xy 209.50692 31.271641) (xy 209.740269 31.097588) - (xy 209.935178 30.881355) (xy 210.084157 30.631252) (xy 210.181481 30.356891) (xy 210.060814 30.127) (xy 208.867 30.127) - (xy 208.613 30.127) (xy 208.593 30.127) (xy 208.593 29.873) (xy 208.613 29.873) (xy 208.613 29.853) - (xy 208.867 29.853) (xy 208.867 29.873) (xy 210.060814 29.873) (xy 210.181481 29.643109) (xy 210.177753 29.632599) - (xy 217.726812 29.632599) (xy 220.322402 32.22819) (xy 220.344673 32.255327) (xy 220.452967 32.344202) (xy 220.576519 32.410242) - (xy 220.71058 32.450909) (xy 220.815064 32.4612) (xy 220.815071 32.4612) (xy 220.85 32.46464) (xy 220.884928 32.4612) - (xy 223.455413 32.4612) (xy 223.959212 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) (xy 223.509744 33.082795) - (xy 223.340226 33.196063) (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) (xy 222.965 33.898061) - (xy 222.965 34.029211) (xy 205.071815 51.922398) (xy 205.071809 51.922403) (xy 204.42181 52.572403) (xy 204.394674 52.594673) - (xy 204.372404 52.621809) (xy 204.372403 52.62181) (xy 204.352758 52.645748) (xy 204.305799 52.702967) (xy 204.239759 52.826519) - (xy 204.200686 52.955327) (xy 204.199092 52.960581) (xy 204.18536 53.1) (xy 204.188801 53.134936) (xy 204.1888 57.821684) - (xy 204.093158 57.782068) (xy 203.80626 57.725) (xy 203.51374 57.725) (xy 203.226842 57.782068) (xy 202.956589 57.89401) - (xy 202.713368 58.056525) (xy 202.506525 58.263368) (xy 202.39 58.43776) (xy 202.273475 58.263368) (xy 202.066632 58.056525) - (xy 201.823411 57.89401) (xy 201.553158 57.782068) (xy 201.26626 57.725) (xy 200.97374 57.725) (xy 200.686842 57.782068) - (xy 200.416589 57.89401) (xy 200.173368 58.056525) (xy 200.140341 58.089552) (xy 196.372602 54.321815) (xy 196.350327 54.294673) - (xy 196.242033 54.205798) (xy 196.118481 54.139758) (xy 195.98442 54.099091) (xy 195.879936 54.0888) (xy 195.879926 54.0888) - (xy 195.845 54.08536) (xy 195.810074 54.0888) (xy 190.104795 54.0888) (xy 190.128576 54.053209) (xy 190.206597 53.864851) - (xy 190.246371 53.664892) (xy 190.246371 53.461014) (xy 190.206597 53.261055) (xy 190.128576 53.072697) (xy 190.015308 52.903179) - (xy 189.871145 52.759016) (xy 189.701627 52.645748) (xy 189.513269 52.567727) (xy 189.31331 52.527953) (xy 189.109432 52.527953) - (xy 188.909473 52.567727) (xy 188.721115 52.645748) (xy 188.551597 52.759016) (xy 188.407434 52.903179) (xy 188.294166 53.072697) - (xy 188.216145 53.261055) (xy 188.176371 53.461014) (xy 188.176371 53.664892) (xy 188.21338 53.850952) (xy 188.101898 53.804774) - (xy 187.901939 53.765) (xy 187.698061 53.765) (xy 187.498102 53.804774) (xy 187.309744 53.882795) (xy 187.2 53.956123) - (xy 187.090256 53.882795) (xy 186.901898 53.804774) (xy 186.701939 53.765) (xy 186.498061 53.765) (xy 186.298102 53.804774) - (xy 186.109744 53.882795) (xy 186 53.956123) (xy 185.890256 53.882795) (xy 185.701898 53.804774) (xy 185.501939 53.765) - (xy 185.298061 53.765) (xy 185.098102 53.804774) (xy 184.909744 53.882795) (xy 184.8 53.956123) (xy 184.690256 53.882795) - (xy 184.501898 53.804774) (xy 184.301939 53.765) (xy 184.098061 53.765) (xy 183.898102 53.804774) (xy 183.709744 53.882795) - (xy 183.540226 53.996063) (xy 183.396063 54.140226) (xy 183.282795 54.309744) (xy 183.204774 54.498102) (xy 183.165 54.698061) - (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) (xy 183.524214 55.587925) - (xy 183.539758 55.639166) (xy 183.551205 55.660581) (xy 183.605798 55.762717) (xy 183.672403 55.843875) (xy 183.694674 55.871012) - (xy 183.707401 55.881457) (xy 183.707401 57.768988) (xy 183.48626 57.725) (xy 183.19374 57.725) (xy 182.906842 57.782068) - (xy 182.636589 57.89401) (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) (xy 181.953475 58.263368) - (xy 181.746632 58.056525) (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) (xy 180.65374 57.725) - (xy 180.366842 57.782068) (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) (xy 179.53 58.43776) - (xy 179.413475 58.263368) (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) (xy 178.40626 57.725) - (xy 178.11374 57.725) (xy 177.826842 57.782068) (xy 177.814611 57.787134) (xy 177.814611 49.198339) (xy 177.818051 49.163411) - (xy 177.814611 49.128482) (xy 177.814611 49.128475) (xy 177.80432 49.023991) (xy 177.763653 48.889929) (xy 177.697613 48.766378) - (xy 177.631009 48.68522) (xy 177.631004 48.685215) (xy 177.608738 48.658084) (xy 177.581607 48.635818) (xy 160.430787 31.485) - (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) (xy 161.426632 31.153475) (xy 161.633475 30.946632) - (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) (xy 162.316589 31.31599) (xy 162.586842 31.427932) - (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) (xy 163.723411 31.31599) (xy 163.966632 31.153475) - (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) (xy 164.613368 31.153475) (xy 164.856589 31.31599) - (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) (xy 165.993158 31.427932) (xy 166.263411 31.31599) - (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.83 30.77224) (xy 166.946525 30.946632) (xy 167.153368 31.153475) - (xy 167.396589 31.31599) (xy 167.666842 31.427932) (xy 167.95374 31.485) (xy 168.24626 31.485) (xy 168.533158 31.427932) - (xy 168.803411 31.31599) (xy 169.046632 31.153475) (xy 169.253475 30.946632) (xy 169.375195 30.764466) (xy 169.444822 30.881355) - (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) (xy 170.28311 31.441476) (xy 170.513 31.320155) - (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) (xy 170.513 29.873) (xy 170.513 27.587) - (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) (xy 170.513 26.139845) (xy 170.28311 26.018524) - (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) (xy 169.444822 26.578645) (xy 169.375195 26.695534) - (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) (xy 168.533158 26.032068) (xy 168.24626 25.975) - (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) (xy 167.153368 26.306525) (xy 166.946525 26.513368) - (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.263411 26.14401) (xy 165.993158 26.032068) - (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) (xy 164.856589 26.14401) (xy 164.613368 26.306525) - (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) (xy 163.966632 26.306525) (xy 163.723411 26.14401) - (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) (xy 162.586842 26.032068) (xy 162.316589 26.14401) - (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) (xy 161.633475 26.513368) (xy 161.426632 26.306525) - (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) (xy 160.33374 25.975) (xy 160.046842 26.032068) - (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) (xy 159.21 26.68776) (xy 159.093475 26.513368) - (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) (xy 158.08626 25.975) (xy 157.79374 25.975) - (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) (xy 156.786525 26.513368) (xy 156.67 26.68776) - (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) (xy 155.833158 26.032068) (xy 155.54626 25.975) - (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) (xy 154.453368 26.306525) (xy 154.246525 26.513368) - (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) (xy 153.563411 26.14401) (xy 153.293158 26.032068) - (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) (xy 152.156589 26.14401) (xy 151.913368 26.306525) - (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) (xy 151.266632 26.306525) (xy 151.023411 26.14401) - (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) (xy 149.616589 26.14401) - (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) (xy 148.726632 26.306525) - (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) (xy 147.346842 26.032068) - (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) (xy 146.393475 26.513368) - (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) (xy 145.09374 25.975) - (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) (xy 143.97 26.68776) - (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) (xy 142.84626 25.975) - (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) (xy 141.546525 26.513368) - (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) (xy 140.593158 26.032068) - (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) (xy 139.213368 26.306525) - (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) (xy 138.323411 26.14401) - (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) (xy 136.916589 26.14401) - (xy 136.869801 26.175273) (xy 136.869801 25.945986) (xy 137.380788 25.435) (xy 137.511939 25.435) (xy 137.711898 25.395226) - (xy 137.900256 25.317205) (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) (xy 138.405226 24.701898) - (xy 138.445 24.501939) (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) (xy 138.213937 23.740226) - (xy 138.069774 23.596063) (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) (xy 137.308061 23.365) - (xy 137.108102 23.404774) (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) (xy 136.492795 23.909744) - (xy 136.414774 24.098102) (xy 136.375 24.298061) (xy 136.375 24.429212) (xy 135.680411 25.123802) (xy 135.653274 25.146073) - (xy 135.564399 25.254367) (xy 135.498359 25.377919) (xy 135.457692 25.51198) (xy 135.447401 25.616464) (xy 135.447401 25.616473) - (xy 135.443961 25.651399) (xy 135.447401 25.686325) (xy 135.447401 26.018988) (xy 135.22626 25.975) (xy 134.93374 25.975) - (xy 134.712599 26.018988) (xy 134.712599 25.573189) (xy 134.850788 25.435) (xy 134.981939 25.435) (xy 135.181898 25.395226) - (xy 135.370256 25.317205) (xy 135.539774 25.203937) (xy 135.683937 25.059774) (xy 135.797205 24.890256) (xy 135.875226 24.701898) - (xy 135.915 24.501939) (xy 135.915 24.298061) (xy 135.875226 24.098102) (xy 135.797205 23.909744) (xy 135.683937 23.740226) - (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) (xy 134.981939 23.365) (xy 134.778061 23.365) - (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) (xy 134.076063 23.740226) (xy 133.962795 23.909744) - (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 133.845 24.429212) (xy 133.523209 24.751004) (xy 133.496073 24.773274) - (xy 133.473803 24.80041) (xy 133.473802 24.800411) (xy 133.409969 24.878192) (xy 133.407198 24.881568) (xy 133.341158 25.00512) - (xy 133.300491 25.139181) (xy 133.296807 25.176589) (xy 133.286759 25.278601) (xy 133.2902 25.313537) (xy 133.2902 26.180395) - (xy 133.044099 26.063175) (xy 132.89689 26.018524) (xy 132.667 26.139845) (xy 132.667 27.333) (xy 132.687 27.333) - (xy 132.687 27.587) (xy 132.667 27.587) (xy 132.667 29.873) (xy 132.687 29.873) (xy 132.687 30.127) - (xy 132.667 30.127) (xy 132.667 31.320155) (xy 132.89689 31.441476) (xy 133.044099 31.396825) (xy 133.2902 31.279605) - (xy 133.290199 55.556473) (xy 133.286759 55.591399) (xy 133.290199 55.626325) (xy 133.290199 55.626334) (xy 133.30049 55.730818) - (xy 133.341157 55.864879) (xy 133.407197 55.988431) (xy 133.496072 56.096725) (xy 133.523209 56.118997) (xy 135.129212 57.725) - (xy 134.93374 57.725) (xy 134.646842 57.782068) (xy 134.376589 57.89401) (xy 134.133368 58.056525) (xy 133.926525 58.263368) - (xy 133.804805 58.445534) (xy 133.735178 58.328645) (xy 133.540269 58.112412) (xy 133.30692 57.938359) (xy 133.044099 57.813175) - (xy 132.89689 57.768524) (xy 132.667 57.889845) (xy 132.667 59.083) (xy 132.687 59.083) (xy 132.687 59.337) - (xy 132.667 59.337) (xy 132.667 61.623) (xy 132.687 61.623) (xy 132.687 61.877) (xy 132.667 61.877) - (xy 132.667 63.070155) (xy 132.89689 63.191476) (xy 133.044099 63.146825) (xy 133.30692 63.021641) (xy 133.540269 62.847588) - (xy 133.735178 62.631355) (xy 133.804805 62.514466) (xy 133.926525 62.696632) (xy 134.133368 62.903475) (xy 134.376589 63.06599) - (xy 134.646842 63.177932) (xy 134.93374 63.235) (xy 135.22626 63.235) (xy 135.513158 63.177932) (xy 135.783411 63.06599) - (xy 136.026632 62.903475) (xy 136.233475 62.696632) (xy 136.35 62.52224) (xy 136.466525 62.696632) (xy 136.673368 62.903475) - (xy 136.903378 63.057163) (xy 125.095543 74.865) (xy 124.964391 74.865) (xy 124.764432 74.904774) (xy 124.576074 74.982795) - (xy 124.435 75.077057) (xy 124.435 68.271312) (xy 128.925347 63.781269) (xy 128.92535 63.781266) (xy 129.46858 63.238072) - (xy 130.85 63.238072) (xy 130.974482 63.225812) (xy 131.09418 63.189502) (xy 131.204494 63.130537) (xy 131.301185 63.051185) - (xy 131.380537 62.954494) (xy 131.439502 62.84418) (xy 131.463966 62.763534) (xy 131.539731 62.847588) (xy 131.77308 63.021641) - (xy 132.035901 63.146825) (xy 132.18311 63.191476) (xy 132.413 63.070155) (xy 132.413 61.877) (xy 132.393 61.877) - (xy 132.393 61.623) (xy 132.413 61.623) (xy 132.413 59.337) (xy 132.393 59.337) (xy 132.393 59.083) - (xy 132.413 59.083) (xy 132.413 57.889845) (xy 132.18311 57.768524) (xy 132.035901 57.813175) (xy 131.77308 57.938359) - (xy 131.539731 58.112412) (xy 131.344822 58.328645) (xy 131.275195 58.445534) (xy 131.153475 58.263368) (xy 130.946632 58.056525) - (xy 130.703411 57.89401) (xy 130.433158 57.782068) (xy 130.14626 57.725) (xy 129.85374 57.725) (xy 129.566842 57.782068) - (xy 129.296589 57.89401) (xy 129.053368 58.056525) (xy 129.04035 58.069543) (xy 129.04 58.069509) (xy 128.984249 58.075) - (xy 128.984248 58.075) (xy 128.817501 58.091423) (xy 128.603553 58.156324) (xy 128.406377 58.261716) (xy 128.233551 58.403551) - (xy 128.214617 58.426622) (xy 128.191552 58.445551) (xy 128.049717 58.618377) (xy 128.043349 58.630291) (xy 127.944324 58.815554) - (xy 127.879423 59.029502) (xy 127.857509 59.252) (xy 127.863001 59.307761) (xy 127.863 60.926303) (xy 126.96673 61.822516) - (xy 126.966728 61.822517) (xy 122.118784 66.670134) (xy 122.065919 66.71352) (xy 121.980585 66.8175) (xy 121.89287 66.924373) - (xy 121.892859 66.924393) (xy 121.892843 66.924413) (xy 121.827978 67.045767) (xy 121.764254 67.164976) (xy 121.764247 67.165) - (xy 121.764236 67.16502) (xy 121.722894 67.301305) (xy 121.68505 67.426047) (xy 121.685048 67.426068) (xy 121.68504 67.426094) - (xy 121.669008 67.588875) (xy 121.6583 67.697554) (xy 121.665 67.765606) (xy 121.665001 83.852334) (xy 121.501898 83.784774) - (xy 121.301939 83.745) (xy 121.098061 83.745) (xy 120.898102 83.784774) (xy 120.709744 83.862795) (xy 120.540226 83.976063) - (xy 120.396063 84.120226) (xy 120.282795 84.289744) (xy 120.204774 84.478102) (xy 120.165 84.678061) (xy 120.165 84.881939) - (xy 120.204774 85.081898) (xy 120.282795 85.270256) (xy 120.396063 85.439774) (xy 120.540226 85.583937) (xy 120.709744 85.697205) - (xy 120.898102 85.775226) (xy 121.098061 85.815) (xy 121.301939 85.815) (xy 121.501898 85.775226) (xy 121.665001 85.707666) - (xy 121.665001 89.681961) (xy 121.6583 89.75) (xy 121.68504 90.021507) (xy 121.764236 90.28258) (xy 121.892844 90.523188) - (xy 122.022548 90.681233) (xy 122.022551 90.681236) (xy 122.06592 90.734081) (xy 122.118765 90.77745) (xy 125.105001 93.763686) - (xy 125.105 94.230376) (xy 125.090475 94.252115) (xy 125.071799 94.297202) (xy 125.016268 94.19331) (xy 124.774849 94.124756) - (xy 123.979605 94.92) (xy 124.774849 95.715244) (xy 125.016268 95.64669) (xy 125.068725 95.535376) (xy 125.090475 95.587885) - (xy 125.244782 95.818822) (xy 125.441178 96.015218) (xy 125.672115 96.169525) (xy 125.717202 96.188201) (xy 125.61331 96.243732) - (xy 125.544756 96.485151) (xy 126.34 97.280395) (xy 127.135244 96.485151) (xy 127.06669 96.243732) (xy 126.955376 96.191275) - (xy 127.007885 96.169525) (xy 127.029623 96.155) (xy 127.495 96.155) (xy 127.495001 96.715912) (xy 127.314849 96.664756) - (xy 126.519605 97.46) (xy 127.314849 98.255244) (xy 127.495 98.204088) (xy 127.495001 99.190649) (xy 127.435218 99.101178) - (xy 127.238822 98.904782) (xy 127.007885 98.750475) (xy 126.962798 98.731799) (xy 127.06669 98.676268) (xy 127.135244 98.434849) - (xy 126.34 97.639605) (xy 125.544756 98.434849) (xy 125.61331 98.676268) (xy 125.724624 98.728725) (xy 125.672115 98.750475) - (xy 125.441178 98.904782) (xy 125.244782 99.101178) (xy 125.090475 99.332115) (xy 125.07 99.381546) (xy 125.049525 99.332115) - (xy 124.895218 99.101178) (xy 124.698822 98.904782) (xy 124.467885 98.750475) (xy 124.422798 98.731799) (xy 124.52669 98.676268) - (xy 124.595244 98.434849) (xy 123.8 97.639605) (xy 123.004756 98.434849) (xy 123.07331 98.676268) (xy 123.184624 98.728725) - (xy 123.132115 98.750475) (xy 122.901178 98.904782) (xy 122.704782 99.101178) (xy 122.550475 99.332115) (xy 122.53 99.381546) - (xy 122.509525 99.332115) (xy 122.355218 99.101178) (xy 122.158822 98.904782) (xy 122.045 98.828729) (xy 122.045 98.631271) - (xy 122.158822 98.555218) (xy 122.355218 98.358822) (xy 122.509525 98.127885) (xy 122.528201 98.082798) (xy 122.583732 98.18669) - (xy 122.825151 98.255244) (xy 123.620395 97.46) (xy 123.979605 97.46) (xy 124.774849 98.255244) (xy 125.016268 98.18669) - (xy 125.06662 98.079841) (xy 125.123732 98.18669) (xy 125.365151 98.255244) (xy 126.160395 97.46) (xy 125.365151 96.664756) - (xy 125.123732 96.73331) (xy 125.07338 96.840159) (xy 125.016268 96.73331) (xy 124.774849 96.664756) (xy 123.979605 97.46) - (xy 123.620395 97.46) (xy 122.825151 96.664756) (xy 122.583732 96.73331) (xy 122.531275 96.844624) (xy 122.509525 96.792115) - (xy 122.355218 96.561178) (xy 122.158822 96.364782) (xy 121.927885 96.210475) (xy 121.878454 96.19) (xy 121.927885 96.169525) - (xy 122.158822 96.015218) (xy 122.279191 95.894849) (xy 123.004756 95.894849) (xy 123.07331 96.136268) (xy 123.180159 96.18662) - (xy 123.07331 96.243732) (xy 123.004756 96.485151) (xy 123.8 97.280395) (xy 124.595244 96.485151) (xy 124.52669 96.243732) - (xy 124.419841 96.19338) (xy 124.52669 96.136268) (xy 124.595244 95.894849) (xy 123.8 95.099605) (xy 123.004756 95.894849) - (xy 122.279191 95.894849) (xy 122.355218 95.818822) (xy 122.509525 95.587885) (xy 122.528201 95.542798) (xy 122.583732 95.64669) - (xy 122.825151 95.715244) (xy 123.620395 94.92) (xy 122.825151 94.124756) (xy 122.583732 94.19331) (xy 122.531275 94.304624) - (xy 122.509525 94.252115) (xy 122.355218 94.021178) (xy 122.279191 93.945151) (xy 123.004756 93.945151) (xy 123.8 94.740395) - (xy 124.595244 93.945151) (xy 124.52669 93.703732) (xy 124.275444 93.585332) (xy 124.005929 93.518222) (xy 123.728498 93.504982) - (xy 123.453816 93.546121) (xy 123.192438 93.640057) (xy 123.07331 93.703732) (xy 123.004756 93.945151) (xy 122.279191 93.945151) - (xy 122.158822 93.824782) (xy 121.927885 93.670475) (xy 121.671282 93.564186) (xy 121.398873 93.51) (xy 121.121127 93.51) - (xy 120.848718 93.564186) (xy 120.592115 93.670475) (xy 120.361178 93.824782) (xy 120.164782 94.021178) (xy 120.010475 94.252115) - (xy 119.904186 94.508718) (xy 119.85 94.781127) (xy 119.85 95.058873) (xy 119.904186 95.331282) (xy 120.010475 95.587885) - (xy 120.164782 95.818822) (xy 120.361178 96.015218) (xy 120.592115 96.169525) (xy 120.641546 96.19) (xy 120.592115 96.210475) - (xy 120.361178 96.364782) (xy 120.164782 96.561178) (xy 120.010475 96.792115) (xy 119.904186 97.048718) (xy 119.85 97.321127) - (xy 119.85 97.598873) (xy 119.904186 97.871282) (xy 120.010475 98.127885) (xy 120.164782 98.358822) (xy 120.361178 98.555218) - (xy 120.475 98.631272) (xy 120.475001 98.828728) (xy 120.361178 98.904782) (xy 120.164782 99.101178) (xy 120.010475 99.332115) - (xy 119.904186 99.588718) (xy 119.85 99.861127) (xy 119.85 100.138873) (xy 119.904186 100.411282) (xy 120.010475 100.667885) - (xy 120.147528 100.873) (xy 117.393059 100.873) (xy 117.155776 100.714452) (xy 116.78088 100.559165) (xy 116.382892 100.48) - (xy 115.977108 100.48) (xy 115.57912 100.559165) (xy 115.204224 100.714452) (xy 114.966941 100.873) (xy 112.44 100.873) - (xy 112.44 82.398061) (xy 114.7775 82.398061) (xy 114.7775 82.601939) (xy 114.817274 82.801898) (xy 114.895295 82.990256) - (xy 115.008563 83.159774) (xy 115.152726 83.303937) (xy 115.322244 83.417205) (xy 115.510602 83.495226) (xy 115.710561 83.535) - (xy 115.914439 83.535) (xy 116.114398 83.495226) (xy 116.302756 83.417205) (xy 116.472274 83.303937) (xy 116.616437 83.159774) - (xy 116.729705 82.990256) (xy 116.807726 82.801898) (xy 116.8475 82.601939) (xy 116.8475 82.398061) (xy 116.807726 82.198102) - (xy 116.729705 82.009744) (xy 116.616437 81.840226) (xy 116.472274 81.696063) (xy 116.302756 81.582795) (xy 116.114398 81.504774) - (xy 115.914439 81.465) (xy 115.710561 81.465) (xy 115.510602 81.504774) (xy 115.322244 81.582795) (xy 115.152726 81.696063) - (xy 115.008563 81.840226) (xy 114.895295 82.009744) (xy 114.817274 82.198102) (xy 114.7775 82.398061) (xy 112.44 82.398061) - (xy 112.44 79.698061) (xy 117.265 79.698061) (xy 117.265 79.901939) (xy 117.304774 80.101898) (xy 117.382795 80.290256) - (xy 117.496063 80.459774) (xy 117.640226 80.603937) (xy 117.809744 80.717205) (xy 117.998102 80.795226) (xy 118.198061 80.835) - (xy 118.401939 80.835) (xy 118.601898 80.795226) (xy 118.790256 80.717205) (xy 118.959774 80.603937) (xy 119.103937 80.459774) - (xy 119.217205 80.290256) (xy 119.295226 80.101898) (xy 119.335 79.901939) (xy 119.335 79.698061) (xy 119.295226 79.498102) - (xy 119.217205 79.309744) (xy 119.103937 79.140226) (xy 118.959774 78.996063) (xy 118.790256 78.882795) (xy 118.601898 78.804774) - (xy 118.401939 78.765) (xy 118.198061 78.765) (xy 117.998102 78.804774) (xy 117.809744 78.882795) (xy 117.640226 78.996063) - (xy 117.496063 79.140226) (xy 117.382795 79.309744) (xy 117.304774 79.498102) (xy 117.265 79.698061) (xy 112.44 79.698061) - (xy 112.44 69.048061) (xy 115.465 69.048061) (xy 115.465 69.251939) (xy 115.504774 69.451898) (xy 115.582795 69.640256) - (xy 115.696063 69.809774) (xy 115.840226 69.953937) (xy 116.009744 70.067205) (xy 116.198102 70.145226) (xy 116.398061 70.185) - (xy 116.601939 70.185) (xy 116.801898 70.145226) (xy 116.990256 70.067205) (xy 117.159774 69.953937) (xy 117.303937 69.809774) - (xy 117.417205 69.640256) (xy 117.495226 69.451898) (xy 117.535 69.251939) (xy 117.535 69.048061) (xy 117.495226 68.848102) - (xy 117.417205 68.659744) (xy 117.303937 68.490226) (xy 117.159774 68.346063) (xy 116.990256 68.232795) (xy 116.801898 68.154774) - (xy 116.601939 68.115) (xy 116.398061 68.115) (xy 116.198102 68.154774) (xy 116.009744 68.232795) (xy 115.840226 68.346063) - (xy 115.696063 68.490226) (xy 115.582795 68.659744) (xy 115.504774 68.848102) (xy 115.465 69.048061) (xy 112.44 69.048061) - (xy 112.44 51.298061) (xy 117.230134 51.298061) (xy 117.230134 51.501939) (xy 117.269908 51.701898) (xy 117.347929 51.890256) - (xy 117.461197 52.059774) (xy 117.60536 52.203937) (xy 117.774878 52.317205) (xy 117.963236 52.395226) (xy 118.163195 52.435) - (xy 118.367073 52.435) (xy 118.567032 52.395226) (xy 118.75539 52.317205) (xy 118.924908 52.203937) (xy 119.069071 52.059774) - (xy 119.182339 51.890256) (xy 119.26036 51.701898) (xy 119.300134 51.501939) (xy 119.300134 51.298061) (xy 119.26036 51.098102) - (xy 119.182339 50.909744) (xy 119.069071 50.740226) (xy 118.924908 50.596063) (xy 118.75539 50.482795) (xy 118.567032 50.404774) - (xy 118.367073 50.365) (xy 118.163195 50.365) (xy 117.963236 50.404774) (xy 117.774878 50.482795) (xy 117.60536 50.596063) - (xy 117.461197 50.740226) (xy 117.347929 50.909744) (xy 117.269908 51.098102) (xy 117.230134 51.298061) (xy 112.44 51.298061) - (xy 112.44 45.298061) (xy 117.230134 45.298061) (xy 117.230134 45.501939) (xy 117.269908 45.701898) (xy 117.347929 45.890256) - (xy 117.461197 46.059774) (xy 117.60536 46.203937) (xy 117.774878 46.317205) (xy 117.963236 46.395226) (xy 118.163195 46.435) - (xy 118.367073 46.435) (xy 118.567032 46.395226) (xy 118.75539 46.317205) (xy 118.924908 46.203937) (xy 119.069071 46.059774) - (xy 119.182339 45.890256) (xy 119.26036 45.701898) (xy 119.300134 45.501939) (xy 119.300134 45.298061) (xy 119.26036 45.098102) - (xy 119.182339 44.909744) (xy 119.069071 44.740226) (xy 118.924908 44.596063) (xy 118.75539 44.482795) (xy 118.567032 44.404774) - (xy 118.367073 44.365) (xy 118.163195 44.365) (xy 117.963236 44.404774) (xy 117.774878 44.482795) (xy 117.60536 44.596063) - (xy 117.461197 44.740226) (xy 117.347929 44.909744) (xy 117.269908 45.098102) (xy 117.230134 45.298061) (xy 112.44 45.298061) - (xy 112.44 34.35) (xy 120.411928 34.35) (xy 120.424188 34.474482) (xy 120.460498 34.59418) (xy 120.519463 34.704494) - (xy 120.598815 34.801185) (xy 120.695506 34.880537) (xy 120.80582 34.939502) (xy 120.925518 34.975812) (xy 121.05 34.988072) - (xy 121.61425 34.985) (xy 121.773 34.82625) (xy 121.773 33.627) (xy 122.027 33.627) (xy 122.027 34.82625) - (xy 122.18575 34.985) (xy 122.75 34.988072) (xy 122.874482 34.975812) (xy 122.99418 34.939502) (xy 123.104494 34.880537) - (xy 123.201185 34.801185) (xy 123.280537 34.704494) (xy 123.339502 34.59418) (xy 123.375812 34.474482) (xy 123.388072 34.35) - (xy 123.385 33.78575) (xy 123.22625 33.627) (xy 122.027 33.627) (xy 121.773 33.627) (xy 120.57375 33.627) - (xy 120.415 33.78575) (xy 120.411928 34.35) (xy 112.44 34.35) (xy 112.44 32.65) (xy 120.411928 32.65) - (xy 120.415 33.21425) (xy 120.57375 33.373) (xy 121.773 33.373) (xy 121.773 33.353) (xy 122.027 33.353) - (xy 122.027 33.373) (xy 123.22625 33.373) (xy 123.385 33.21425) (xy 123.388072 32.65) (xy 123.375812 32.525518) - (xy 123.339502 32.40582) (xy 123.280537 32.295506) (xy 123.201185 32.198815) (xy 123.104494 32.119463) (xy 122.99418 32.060498) - (xy 122.92162 32.038487) (xy 123.053475 31.906632) (xy 123.21599 31.663411) (xy 123.327932 31.393158) (xy 123.385 31.10626) - (xy 123.385 30.81374) (xy 123.327932 30.526842) (xy 123.21599 30.256589) (xy 123.053475 30.013368) (xy 122.846632 29.806525) - (xy 122.67224 29.69) (xy 122.846632 29.573475) (xy 123.053475 29.366632) (xy 123.198223 29.15) (xy 128.511928 29.15) - (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) - (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) - (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) - (xy 131.439502 31.09418) (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) - (xy 132.18311 31.441476) (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) - (xy 132.413 29.873) (xy 132.413 27.587) (xy 132.393 27.587) (xy 132.393 27.333) (xy 132.413 27.333) - (xy 132.413 26.139845) (xy 132.18311 26.018524) (xy 132.035901 26.063175) (xy 131.77308 26.188359) (xy 131.539731 26.362412) - (xy 131.344822 26.578645) (xy 131.275195 26.695534) (xy 131.153475 26.513368) (xy 130.946632 26.306525) (xy 130.703411 26.14401) - (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) (xy 129.566842 26.032068) (xy 129.296589 26.14401) - (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) - (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) - (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) - (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 123.198223 29.15) (xy 123.21599 29.123411) (xy 123.327932 28.853158) - (xy 123.385 28.56626) (xy 123.385 28.27374) (xy 123.327932 27.986842) (xy 123.21599 27.716589) (xy 123.053475 27.473368) - (xy 122.846632 27.266525) (xy 122.67224 27.15) (xy 122.846632 27.033475) (xy 123.053475 26.826632) (xy 123.21599 26.583411) - (xy 123.327932 26.313158) (xy 123.385 26.02626) (xy 123.385 25.73374) (xy 123.327932 25.446842) (xy 123.21599 25.176589) - (xy 123.053475 24.933368) (xy 122.846632 24.726525) (xy 122.67224 24.61) (xy 122.846632 24.493475) (xy 123.053475 24.286632) - (xy 123.21599 24.043411) (xy 123.327932 23.773158) (xy 123.385 23.48626) (xy 123.385 23.19374) (xy 123.327932 22.906842) - (xy 123.21599 22.636589) (xy 123.053475 22.393368) (xy 122.846632 22.186525) (xy 122.67224 22.07) (xy 122.846632 21.953475) - (xy 123.053475 21.746632) (xy 123.167089 21.576596) (xy 175.850673 21.576596) (xy 175.850673 21.780474) (xy 175.890447 21.980433) - (xy 175.968468 22.168791) (xy 176.081736 22.338309) (xy 176.225899 22.482472) (xy 176.395417 22.59574) (xy 176.583775 22.673761) - (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) (xy 177.545447 22.482472) - (xy 177.68961 22.338309) (xy 177.802878 22.168791) (xy 177.880899 21.980433) (xy 177.920673 21.780474) (xy 177.920673 21.576596) - (xy 177.880899 21.376637) (xy 177.802878 21.188279) (xy 177.68961 21.018761) (xy 177.545447 20.874598) (xy 177.375929 20.76133) - (xy 177.187571 20.683309) (xy 176.987612 20.643535) (xy 176.783734 20.643535) (xy 176.583775 20.683309) (xy 176.395417 20.76133) - (xy 176.225899 20.874598) (xy 176.081736 21.018761) (xy 175.968468 21.188279) (xy 175.890447 21.376637) (xy 175.850673 21.576596) - (xy 123.167089 21.576596) (xy 123.21599 21.503411) (xy 123.327932 21.233158) (xy 123.385 20.94626) (xy 123.385 20.65374) - (xy 123.327932 20.366842) (xy 123.21599 20.096589) (xy 123.053475 19.853368) (xy 122.846632 19.646525) (xy 122.603411 19.48401) - (xy 122.333158 19.372068) (xy 122.04626 19.315) (xy 121.75374 19.315) (xy 121.466842 19.372068) (xy 121.196589 19.48401) - (xy 120.953368 19.646525) (xy 120.746525 19.853368) (xy 120.58401 20.096589) (xy 120.472068 20.366842) (xy 120.415 20.65374) - (xy 120.415 20.94626) (xy 120.472068 21.233158) (xy 120.58401 21.503411) (xy 120.746525 21.746632) (xy 120.953368 21.953475) - (xy 121.12776 22.07) (xy 120.953368 22.186525) (xy 120.746525 22.393368) (xy 120.58401 22.636589) (xy 120.472068 22.906842) - (xy 120.415 23.19374) (xy 120.415 23.48626) (xy 120.472068 23.773158) (xy 120.58401 24.043411) (xy 120.746525 24.286632) - (xy 120.953368 24.493475) (xy 121.12776 24.61) (xy 120.953368 24.726525) (xy 120.746525 24.933368) (xy 120.58401 25.176589) - (xy 120.472068 25.446842) (xy 120.415 25.73374) (xy 120.415 26.02626) (xy 120.472068 26.313158) (xy 120.58401 26.583411) - (xy 120.746525 26.826632) (xy 120.953368 27.033475) (xy 121.12776 27.15) (xy 120.953368 27.266525) (xy 120.746525 27.473368) - (xy 120.58401 27.716589) (xy 120.472068 27.986842) (xy 120.415 28.27374) (xy 120.415 28.56626) (xy 120.472068 28.853158) - (xy 120.58401 29.123411) (xy 120.746525 29.366632) (xy 120.953368 29.573475) (xy 121.12776 29.69) (xy 120.953368 29.806525) - (xy 120.746525 30.013368) (xy 120.58401 30.256589) (xy 120.472068 30.526842) (xy 120.415 30.81374) (xy 120.415 31.10626) - (xy 120.472068 31.393158) (xy 120.58401 31.663411) (xy 120.746525 31.906632) (xy 120.87838 32.038487) (xy 120.80582 32.060498) - (xy 120.695506 32.119463) (xy 120.598815 32.198815) (xy 120.519463 32.295506) (xy 120.460498 32.40582) (xy 120.424188 32.525518) - (xy 120.411928 32.65) (xy 112.44 32.65) (xy 112.44 19.098061) (xy 155.495 19.098061) (xy 155.495 19.301939) + (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 48.744267) + (xy 272.603937 48.720226) (xy 272.459774 48.576063) (xy 272.290256 48.462795) (xy 272.101898 48.384774) (xy 271.901939 48.345) + (xy 271.698061 48.345) (xy 271.498102 48.384774) (xy 271.309744 48.462795) (xy 271.140226 48.576063) (xy 270.996063 48.720226) + (xy 270.882795 48.889744) (xy 270.804774 49.078102) (xy 270.765 49.278061) (xy 270.765 49.481939) (xy 270.804774 49.681898) + (xy 270.882795 49.870256) (xy 270.996063 50.039774) (xy 271.140226 50.183937) (xy 271.309744 50.297205) (xy 271.498102 50.375226) + (xy 271.698061 50.415) (xy 271.901939 50.415) (xy 272.101898 50.375226) (xy 272.290256 50.297205) (xy 272.459774 50.183937) + (xy 272.603937 50.039774) (xy 272.620001 50.015733) (xy 272.620001 53.031509) (xy 272.574463 53.001082) (xy 272.276912 52.877832) + (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) (xy 271.025537 53.001082) (xy 270.757748 53.180013) + (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) (xy 270.165 54.288967) (xy 270.165 54.611033) + (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) (xy 270.757748 55.719987) (xy 271.025537 55.898918) + (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) (xy 272.276912 56.022168) (xy 272.574463 55.898918) + (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) (xy 272.276912 68.577832) (xy 271.961033 68.515) + (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) (xy 270.757748 68.880013) (xy 270.530013 69.107748) + (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) (xy 270.165 70.311033) (xy 270.227832 70.626912) + (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 271.025537 71.598918) (xy 271.323088 71.722168) + (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) (xy 272.574463 71.598918) (xy 272.62 71.568491) + (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) (xy 271.486835 74.335) (xy 271.305398 74.35287) + (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) (xy 270.515667 74.880551) (xy 270.400989 75.095099) + (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.33037 75.812102) (xy 270.333383 75.822035) (xy 270.253881 75.703051) + (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) (xy 269.331448 75.21) (xy 269.063552 75.21) + (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) (xy 267.992284 75.925799) + (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) (xy 267.889764 76.966697) (xy 267.992284 77.214201) + (xy 268.141119 77.436949) (xy 268.330551 77.626381) (xy 268.553299 77.775216) (xy 268.800803 77.877736) (xy 269.063552 77.93) + (xy 269.331448 77.93) (xy 269.594197 77.877736) (xy 269.841701 77.775216) (xy 270.064449 77.626381) (xy 270.253881 77.436949) + (xy 270.402716 77.214201) (xy 270.505236 76.966697) (xy 270.5575 76.703948) (xy 270.5575 76.436052) (xy 270.524516 76.270232) + (xy 270.669998 76.447502) (xy 270.858051 76.601833) (xy 271.072599 76.716511) (xy 271.305398 76.78713) (xy 271.486835 76.805) + (xy 272.308165 76.805) (xy 272.489602 76.78713) (xy 272.62 76.747574) (xy 272.62 81.392426) (xy 272.489602 81.35287) + (xy 272.308165 81.335) (xy 271.486835 81.335) (xy 271.305398 81.35287) (xy 271.072599 81.423489) (xy 270.858051 81.538167) + (xy 270.669998 81.692498) (xy 270.524516 81.869768) (xy 270.5575 81.703948) (xy 270.5575 81.436052) (xy 270.505236 81.173303) + (xy 270.402716 80.925799) (xy 270.253881 80.703051) (xy 270.064449 80.513619) (xy 269.841701 80.364784) (xy 269.594197 80.262264) + (xy 269.331448 80.21) (xy 269.063552 80.21) (xy 268.800803 80.262264) (xy 268.553299 80.364784) (xy 268.330551 80.513619) + (xy 268.141119 80.703051) (xy 267.992284 80.925799) (xy 267.889764 81.173303) (xy 267.8375 81.436052) (xy 267.8375 81.703948) + (xy 267.889764 81.966697) (xy 267.992284 82.214201) (xy 268.141119 82.436949) (xy 268.330551 82.626381) (xy 268.553299 82.775216) + (xy 268.800803 82.877736) (xy 269.063552 82.93) (xy 269.331448 82.93) (xy 269.594197 82.877736) (xy 269.841701 82.775216) + (xy 270.064449 82.626381) (xy 270.253881 82.436949) (xy 270.333383 82.317965) (xy 270.33037 82.327898) (xy 270.306525 82.57) + (xy 270.33037 82.812102) (xy 270.400989 83.044901) (xy 270.515667 83.259449) (xy 270.669998 83.447502) (xy 270.858051 83.601833) + (xy 271.072599 83.716511) (xy 271.305398 83.78713) (xy 271.486835 83.805) (xy 272.308165 83.805) (xy 272.489602 83.78713) + (xy 272.62 83.747574) (xy 272.62 97.635909) (xy 272.085909 98.17) (xy 262.465123 98.17) (xy 262.430023 98.173457) + (xy 262.420938 98.173457) (xy 262.411079 98.174493) (xy 262.275316 98.189722) (xy 262.21241 98.203094) (xy 262.149252 98.215599) + (xy 262.139782 98.218531) (xy 262.009561 98.259839) (xy 261.950438 98.285179) (xy 261.890914 98.309713) (xy 261.882194 98.314428) + (xy 261.762476 98.380244) (xy 261.709412 98.416579) (xy 261.655782 98.45221) (xy 261.648144 98.458529) (xy 261.54349 98.546344) + (xy 261.498477 98.59231) (xy 261.452804 98.637665) (xy 261.446539 98.645347) (xy 261.360935 98.751818) (xy 261.325733 98.805613) + (xy 261.289716 98.85901) (xy 261.285062 98.867763) (xy 261.221768 98.988832) (xy 261.197669 99.048477) (xy 261.172722 99.107825) + (xy 261.169857 99.117315) (xy 261.131285 99.248373) (xy 261.119231 99.311565) (xy 261.106288 99.374618) (xy 261.105321 99.384484) + (xy 261.092939 99.520537) (xy 261.093388 99.584853) (xy 261.092939 99.649235) (xy 261.093906 99.659101) (xy 261.108186 99.794968) + (xy 261.121121 99.857983) (xy 261.133185 99.921221) (xy 261.13605 99.930711) (xy 261.176449 100.061217) (xy 261.201391 100.12055) + (xy 261.225492 100.180202) (xy 261.230146 100.188955) (xy 261.295124 100.309129) (xy 261.331086 100.362444) (xy 261.366342 100.416322) + (xy 261.372608 100.424004) (xy 261.459689 100.529268) (xy 261.505328 100.57459) (xy 261.550378 100.620593) (xy 261.558017 100.626912) + (xy 261.663887 100.713257) (xy 261.717474 100.748859) (xy 261.770583 100.785224) (xy 261.779303 100.789939) (xy 261.899928 100.854076) + (xy 261.945842 100.873) (xy 206.293059 100.873) (xy 206.055776 100.714452) (xy 205.68088 100.559165) (xy 205.282892 100.48) + (xy 204.877108 100.48) (xy 204.550082 100.54505) (xy 205.990132 99.105) (xy 243.484249 99.105) (xy 243.54 99.110491) + (xy 243.595751 99.105) (xy 243.595752 99.105) (xy 243.762499 99.088577) (xy 243.976447 99.023676) (xy 244.173623 98.918284) + (xy 244.346449 98.776449) (xy 244.381996 98.733135) (xy 248.285565 94.829566) (xy 265.717303 94.829566) (xy 265.717303 95.330434) + (xy 265.815017 95.821677) (xy 266.006691 96.284419) (xy 266.284958 96.700875) (xy 266.639125 97.055042) (xy 267.055581 97.333309) + (xy 267.518323 97.524983) (xy 268.009566 97.622697) (xy 268.510434 97.622697) (xy 269.001677 97.524983) (xy 269.464419 97.333309) + (xy 269.880875 97.055042) (xy 270.235042 96.700875) (xy 270.513309 96.284419) (xy 270.704983 95.821677) (xy 270.802697 95.330434) + (xy 270.802697 94.829566) (xy 270.704983 94.338323) (xy 270.513309 93.875581) (xy 270.235042 93.459125) (xy 269.880875 93.104958) + (xy 269.464419 92.826691) (xy 269.001677 92.635017) (xy 268.510434 92.537303) (xy 268.009566 92.537303) (xy 267.518323 92.635017) + (xy 267.055581 92.826691) (xy 266.639125 93.104958) (xy 266.284958 93.459125) (xy 266.006691 93.875581) (xy 265.815017 94.338323) + (xy 265.717303 94.829566) (xy 248.285565 94.829566) (xy 253.894354 89.220777) (xy 266.3625 89.220777) (xy 266.3625 89.779223) + (xy 266.471448 90.326939) (xy 266.685156 90.842876) (xy 266.995412 91.307207) (xy 267.390293 91.702088) (xy 267.854624 92.012344) + (xy 268.370561 92.226052) (xy 268.918277 92.335) (xy 269.476723 92.335) (xy 270.024439 92.226052) (xy 270.540376 92.012344) + (xy 271.004707 91.702088) (xy 271.399588 91.307207) (xy 271.709844 90.842876) (xy 271.923552 90.326939) (xy 272.0325 89.779223) + (xy 272.0325 89.220777) (xy 271.923552 88.673061) (xy 271.709844 88.157124) (xy 271.399588 87.692793) (xy 271.004707 87.297912) + (xy 270.540376 86.987656) (xy 270.024439 86.773948) (xy 269.476723 86.665) (xy 268.918277 86.665) (xy 268.370561 86.773948) + (xy 267.854624 86.987656) (xy 267.390293 87.297912) (xy 266.995412 87.692793) (xy 266.685156 88.157124) (xy 266.471448 88.673061) + (xy 266.3625 89.220777) (xy 253.894354 89.220777) (xy 254.691988 88.423144) (xy 254.798284 88.293623) (xy 254.903676 88.096447) + (xy 254.968577 87.882499) (xy 254.990491 87.66) (xy 254.968577 87.437501) (xy 254.903676 87.223554) (xy 254.798284 87.026378) + (xy 254.656449 86.853551) (xy 254.483622 86.711716) (xy 254.286446 86.606324) (xy 254.072499 86.541423) (xy 253.85 86.519509) + (xy 253.627501 86.541423) (xy 253.413553 86.606324) (xy 253.216377 86.711716) (xy 253.086856 86.818012) (xy 243.069869 96.835) + (xy 221.8 96.835) (xy 221.8 92.609841) (xy 221.849345 92.569345) (xy 222.037417 92.340179) (xy 222.052302 92.312331) + (xy 222.141744 92.448903) (xy 222.349506 92.660536) (xy 222.594563 92.827571) (xy 222.867498 92.943588) (xy 222.959969 92.961302) + (xy 223.193 92.840246) (xy 223.193 91.397) (xy 223.447 91.397) (xy 223.447 92.840246) (xy 223.680031 92.961302) + (xy 223.772502 92.943588) (xy 224.045437 92.827571) (xy 224.290494 92.660536) (xy 224.498256 92.448903) (xy 224.660738 92.200804) + (xy 224.771696 91.925773) (xy 224.826866 91.63438) (xy 224.670586 91.397) (xy 223.447 91.397) (xy 223.193 91.397) + (xy 223.173 91.397) (xy 223.173 91.143) (xy 223.193 91.143) (xy 223.193 89.699754) (xy 223.447 89.699754) + (xy 223.447 91.143) (xy 224.670586 91.143) (xy 224.826866 90.90562) (xy 224.771696 90.614227) (xy 224.660738 90.339196) + (xy 224.498256 90.091097) (xy 224.290494 89.879464) (xy 224.045437 89.712429) (xy 223.772502 89.596412) (xy 223.680031 89.578698) + (xy 223.447 89.699754) (xy 223.193 89.699754) (xy 222.959969 89.578698) (xy 222.867498 89.596412) (xy 222.594563 89.712429) + (xy 222.349506 89.879464) (xy 222.141744 90.091097) (xy 222.052302 90.227669) (xy 222.037417 90.199821) (xy 221.849345 89.970655) + (xy 221.620178 89.782583) (xy 221.358724 89.642834) (xy 221.075031 89.556776) (xy 220.78 89.527718) (xy 220.484968 89.556776) + (xy 220.201275 89.642834) (xy 219.939821 89.782583) (xy 219.712615 89.969047) (xy 219.699502 89.92582) (xy 219.640537 89.815506) + (xy 219.561185 89.718815) (xy 219.464494 89.639463) (xy 219.35418 89.580498) (xy 219.234482 89.544188) (xy 219.11 89.531928) + (xy 218.52575 89.535) (xy 218.367 89.69375) (xy 218.367 91.143) (xy 218.387 91.143) (xy 218.387 91.397) + (xy 218.367 91.397) (xy 218.367 92.84625) (xy 218.52575 93.005) (xy 219.11 93.008072) (xy 219.234482 92.995812) + (xy 219.35418 92.959502) (xy 219.464494 92.900537) (xy 219.561185 92.821185) (xy 219.640537 92.724494) (xy 219.699502 92.61418) + (xy 219.712615 92.570953) (xy 219.880001 92.708323) (xy 219.88 96.835) (xy 208.11871 96.835) (xy 212.58371 92.37) + (xy 216.731928 92.37) (xy 216.744188 92.494482) (xy 216.780498 92.61418) (xy 216.839463 92.724494) (xy 216.918815 92.821185) + (xy 217.015506 92.900537) (xy 217.12582 92.959502) (xy 217.245518 92.995812) (xy 217.37 93.008072) (xy 217.95425 93.005) + (xy 218.113 92.84625) (xy 218.113 91.397) (xy 216.89375 91.397) (xy 216.735 91.55575) (xy 216.731928 92.37) + (xy 212.58371 92.37) (xy 214.78371 90.17) (xy 216.731928 90.17) (xy 216.735 90.98425) (xy 216.89375 91.143) + (xy 218.113 91.143) (xy 218.113 89.69375) (xy 217.95425 89.535) (xy 217.37 89.531928) (xy 217.245518 89.544188) + (xy 217.12582 89.580498) (xy 217.015506 89.639463) (xy 216.918815 89.718815) (xy 216.839463 89.815506) (xy 216.780498 89.92582) + (xy 216.744188 90.045518) (xy 216.731928 90.17) (xy 214.78371 90.17) (xy 235.564743 69.388967) (xy 265.215 69.388967) + (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) + (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) + (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) + (xy 268.485 69.711033) (xy 268.485 69.388967) (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) + (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) + (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) + (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 235.564743 69.388967) (xy 241.305649 63.648061) (xy 247.045 63.648061) + (xy 247.045 63.851939) (xy 247.084774 64.051898) (xy 247.162795 64.240256) (xy 247.276063 64.409774) (xy 247.420226 64.553937) + (xy 247.589744 64.667205) (xy 247.778102 64.745226) (xy 247.978061 64.785) (xy 248.181939 64.785) (xy 248.381898 64.745226) + (xy 248.570256 64.667205) (xy 248.739774 64.553937) (xy 248.883937 64.409774) (xy 248.997205 64.240256) (xy 249.075226 64.051898) + (xy 249.115 63.851939) (xy 249.115 63.648061) (xy 249.075226 63.448102) (xy 248.997205 63.259744) (xy 248.969354 63.218061) + (xy 254.885 63.218061) (xy 254.885 63.421939) (xy 254.924774 63.621898) (xy 255.002795 63.810256) (xy 255.116063 63.979774) + (xy 255.260226 64.123937) (xy 255.429744 64.237205) (xy 255.618102 64.315226) (xy 255.818061 64.355) (xy 255.880199 64.355) + (xy 257.818701 66.293503) (xy 257.842499 66.322501) (xy 257.871497 66.346299) (xy 257.958223 66.417474) (xy 258.038234 66.460241) + (xy 258.090253 66.488046) (xy 258.233514 66.531503) (xy 258.345167 66.5425) (xy 258.345177 66.5425) (xy 258.3825 66.546176) + (xy 258.419823 66.5425) (xy 261.056289 66.5425) (xy 261.100226 66.586437) (xy 261.269744 66.699705) (xy 261.458102 66.777726) + (xy 261.658061 66.8175) (xy 261.861939 66.8175) (xy 262.061898 66.777726) (xy 262.250256 66.699705) (xy 262.419774 66.586437) + (xy 262.563937 66.442274) (xy 262.677205 66.272756) (xy 262.755226 66.084398) (xy 262.795 65.884439) (xy 262.795 65.680561) + (xy 262.755226 65.480602) (xy 262.677205 65.292244) (xy 262.563937 65.122726) (xy 262.419774 64.978563) (xy 262.250256 64.865295) + (xy 262.061898 64.787274) (xy 261.861939 64.7475) (xy 261.658061 64.7475) (xy 261.458102 64.787274) (xy 261.269744 64.865295) + (xy 261.100226 64.978563) (xy 261.056289 65.0225) (xy 258.697302 65.0225) (xy 256.955 63.280199) (xy 256.955 63.218061) + (xy 256.915226 63.018102) (xy 256.837205 62.829744) (xy 256.723937 62.660226) (xy 256.579774 62.516063) (xy 256.410256 62.402795) + (xy 256.221898 62.324774) (xy 256.021939 62.285) (xy 255.818061 62.285) (xy 255.618102 62.324774) (xy 255.429744 62.402795) + (xy 255.260226 62.516063) (xy 255.116063 62.660226) (xy 255.002795 62.829744) (xy 254.924774 63.018102) (xy 254.885 63.218061) + (xy 248.969354 63.218061) (xy 248.883937 63.090226) (xy 248.739774 62.946063) (xy 248.570256 62.832795) (xy 248.381898 62.754774) + (xy 248.181939 62.715) (xy 247.978061 62.715) (xy 247.778102 62.754774) (xy 247.589744 62.832795) (xy 247.420226 62.946063) + (xy 247.276063 63.090226) (xy 247.162795 63.259744) (xy 247.084774 63.448102) (xy 247.045 63.648061) (xy 241.305649 63.648061) + (xy 242.046211 62.9075) (xy 246.331939 62.9075) (xy 246.382057 62.897531) (xy 246.432895 62.892524) (xy 246.481777 62.877696) + (xy 246.531898 62.867726) (xy 246.579113 62.848169) (xy 246.627993 62.833341) (xy 246.673042 62.809262) (xy 246.720256 62.789705) + (xy 246.762746 62.761314) (xy 246.807797 62.737234) (xy 246.847284 62.704828) (xy 246.889774 62.676437) (xy 246.925908 62.640303) + (xy 246.965396 62.607896) (xy 246.997803 62.568408) (xy 247.033937 62.532274) (xy 247.062328 62.489784) (xy 247.094734 62.450297) + (xy 247.118814 62.405246) (xy 247.147205 62.362756) (xy 247.166762 62.315542) (xy 247.190841 62.270493) (xy 247.205669 62.221613) + (xy 247.225226 62.174398) (xy 247.235196 62.124277) (xy 247.250024 62.075395) (xy 247.255031 62.024557) (xy 247.265 61.974439) + (xy 247.265 61.923338) (xy 247.270007 61.8725) (xy 247.265 61.821662) (xy 247.265 61.770561) (xy 247.255031 61.720443) + (xy 247.250024 61.669605) (xy 247.235196 61.620723) (xy 247.225226 61.570602) (xy 247.205669 61.523387) (xy 247.190841 61.474507) + (xy 247.166762 61.429458) (xy 247.147205 61.382244) (xy 247.118814 61.339754) (xy 247.094734 61.294703) (xy 247.062328 61.255216) + (xy 247.033937 61.212726) (xy 246.997803 61.176592) (xy 246.965396 61.137104) (xy 246.925908 61.104697) (xy 246.889774 61.068563) + (xy 246.847284 61.040172) (xy 246.807797 61.007766) (xy 246.762746 60.983686) (xy 246.720256 60.955295) (xy 246.673042 60.935738) + (xy 246.627993 60.911659) (xy 246.579113 60.896831) (xy 246.531898 60.877274) (xy 246.481777 60.867304) (xy 246.432895 60.852476) + (xy 246.382057 60.847469) (xy 246.331939 60.8375) (xy 241.668327 60.8375) (xy 241.617499 60.832494) (xy 241.566671 60.8375) + (xy 241.566662 60.8375) (xy 241.414605 60.852476) (xy 241.219507 60.911659) (xy 241.138197 60.95512) (xy 241.039702 61.007766) + (xy 240.940498 61.089181) (xy 240.882104 61.137104) (xy 240.849697 61.176592) (xy 205.121467 96.904823) (xy 205.083553 96.916324) + (xy 204.886377 97.021716) (xy 204.713551 97.163551) (xy 204.678011 97.206857) (xy 201.413072 100.471797) (xy 201.413072 99.474998) + (xy 201.396008 99.301744) (xy 201.345472 99.135148) (xy 201.263405 98.981613) (xy 201.152962 98.847038) (xy 201.018387 98.736595) + (xy 200.864852 98.654528) (xy 200.785994 98.630607) (xy 200.898822 98.555218) (xy 201.095218 98.358822) (xy 201.249525 98.127885) + (xy 201.355814 97.871282) (xy 201.41 97.598873) (xy 201.41 97.321127) (xy 201.355814 97.048718) (xy 201.249525 96.792115) + (xy 201.095218 96.561178) (xy 200.898822 96.364782) (xy 200.667885 96.210475) (xy 200.618454 96.19) (xy 200.667885 96.169525) + (xy 200.898822 96.015218) (xy 201.095218 95.818822) (xy 201.249525 95.587885) (xy 201.355814 95.331282) (xy 201.41 95.058873) + (xy 201.41 94.781127) (xy 201.355814 94.508718) (xy 201.249525 94.252115) (xy 201.095218 94.021178) (xy 200.898822 93.824782) + (xy 200.7112 93.699417) (xy 200.7112 63.182777) (xy 200.97374 63.235) (xy 201.26626 63.235) (xy 201.553158 63.177932) + (xy 201.823411 63.06599) (xy 202.066632 62.903475) (xy 202.273475 62.696632) (xy 202.39 62.52224) (xy 202.506525 62.696632) + (xy 202.713368 62.903475) (xy 202.956589 63.06599) (xy 203.226842 63.177932) (xy 203.51374 63.235) (xy 203.80626 63.235) + (xy 204.093158 63.177932) (xy 204.363411 63.06599) (xy 204.606632 62.903475) (xy 204.813475 62.696632) (xy 204.93 62.52224) + (xy 205.046525 62.696632) (xy 205.253368 62.903475) (xy 205.496589 63.06599) (xy 205.766842 63.177932) (xy 206.05374 63.235) + (xy 206.34626 63.235) (xy 206.633158 63.177932) (xy 206.903411 63.06599) (xy 207.146632 62.903475) (xy 207.353475 62.696632) + (xy 207.47 62.52224) (xy 207.586525 62.696632) (xy 207.793368 62.903475) (xy 208.036589 63.06599) (xy 208.306842 63.177932) + (xy 208.59374 63.235) (xy 208.88626 63.235) (xy 209.173158 63.177932) (xy 209.443411 63.06599) (xy 209.686632 62.903475) + (xy 209.893475 62.696632) (xy 210.05599 62.453411) (xy 210.167932 62.183158) (xy 210.225 61.89626) (xy 210.225 61.60374) + (xy 210.169759 61.326028) (xy 213.622726 57.873061) (xy 244.515 57.873061) (xy 244.515 58.076939) (xy 244.554774 58.276898) + (xy 244.632795 58.465256) (xy 244.746063 58.634774) (xy 244.890226 58.778937) (xy 245.059744 58.892205) (xy 245.248102 58.970226) + (xy 245.448061 59.01) (xy 245.651939 59.01) (xy 245.851898 58.970226) (xy 246.040256 58.892205) (xy 246.209774 58.778937) + (xy 246.353937 58.634774) (xy 246.467205 58.465256) (xy 246.545226 58.276898) (xy 246.585 58.076939) (xy 246.585 57.873061) + (xy 246.545226 57.673102) (xy 246.467205 57.484744) (xy 246.353937 57.315226) (xy 246.209774 57.171063) (xy 246.040256 57.057795) + (xy 245.851898 56.979774) (xy 245.651939 56.94) (xy 245.448061 56.94) (xy 245.248102 56.979774) (xy 245.059744 57.057795) + (xy 244.890226 57.171063) (xy 244.746063 57.315226) (xy 244.632795 57.484744) (xy 244.554774 57.673102) (xy 244.515 57.873061) + (xy 213.622726 57.873061) (xy 216.210226 55.285561) (xy 256.515 55.285561) (xy 256.515 55.489439) (xy 256.554774 55.689398) + (xy 256.632795 55.877756) (xy 256.746063 56.047274) (xy 256.890226 56.191437) (xy 257.059744 56.304705) (xy 257.248102 56.382726) + (xy 257.448061 56.4225) (xy 257.651939 56.4225) (xy 257.851898 56.382726) (xy 258.040256 56.304705) (xy 258.209774 56.191437) + (xy 258.353937 56.047274) (xy 258.467205 55.877756) (xy 258.545226 55.689398) (xy 258.585 55.489439) (xy 258.585 55.285561) + (xy 258.545226 55.085602) (xy 258.467205 54.897244) (xy 258.461675 54.888967) (xy 265.215 54.888967) (xy 265.215 55.211033) + (xy 265.277832 55.526912) (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) + (xy 266.373088 56.622168) (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) + (xy 267.892252 56.319987) (xy 268.119987 56.092252) (xy 268.298918 55.824463) (xy 268.422168 55.526912) (xy 268.485 55.211033) + (xy 268.485 54.888967) (xy 268.422168 54.573088) (xy 268.298918 54.275537) (xy 268.119987 54.007748) (xy 267.892252 53.780013) + (xy 267.624463 53.601082) (xy 267.326912 53.477832) (xy 267.011033 53.415) (xy 266.688967 53.415) (xy 266.373088 53.477832) + (xy 266.075537 53.601082) (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) (xy 265.277832 54.573088) + (xy 265.215 54.888967) (xy 258.461675 54.888967) (xy 258.353937 54.727726) (xy 258.209774 54.583563) (xy 258.040256 54.470295) + (xy 257.851898 54.392274) (xy 257.651939 54.3525) (xy 257.448061 54.3525) (xy 257.248102 54.392274) (xy 257.059744 54.470295) + (xy 256.890226 54.583563) (xy 256.746063 54.727726) (xy 256.632795 54.897244) (xy 256.554774 55.085602) (xy 256.515 55.285561) + (xy 216.210226 55.285561) (xy 228.757597 42.738192) (xy 228.757606 42.738181) (xy 232.478191 39.017597) (xy 232.505327 38.995327) + (xy 232.594202 38.887033) (xy 232.660242 38.763481) (xy 232.700909 38.62942) (xy 232.7112 38.524936) (xy 232.7112 38.524927) + (xy 232.71464 38.490001) (xy 232.7112 38.455075) (xy 232.7112 36.788061) (xy 254.965 36.788061) (xy 254.965 36.991939) + (xy 255.004774 37.191898) (xy 255.082795 37.380256) (xy 255.196063 37.549774) (xy 255.340226 37.693937) (xy 255.509744 37.807205) + (xy 255.698102 37.885226) (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) + (xy 256.659774 37.693937) (xy 256.803937 37.549774) (xy 256.917205 37.380256) (xy 256.995226 37.191898) (xy 257.035 36.991939) + (xy 257.035 36.788061) (xy 256.995226 36.588102) (xy 256.917205 36.399744) (xy 256.803937 36.230226) (xy 256.659774 36.086063) + (xy 256.490256 35.972795) (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) + (xy 255.509744 35.972795) (xy 255.340226 36.086063) (xy 255.196063 36.230226) (xy 255.082795 36.399744) (xy 255.004774 36.588102) + (xy 254.965 36.788061) (xy 232.7112 36.788061) (xy 232.7112 34.752511) (xy 232.803937 34.659774) (xy 232.917205 34.490256) + (xy 232.995226 34.301898) (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.917205 33.509744) + (xy 232.803937 33.340226) (xy 232.659774 33.196063) (xy 232.490256 33.082795) (xy 232.301898 33.004774) (xy 232.101939 32.965) + (xy 231.898061 32.965) (xy 231.698102 33.004774) (xy 231.509744 33.082795) (xy 231.340226 33.196063) (xy 231.196063 33.340226) + (xy 231.082795 33.509744) (xy 231.004774 33.698102) (xy 231 33.722103) (xy 230.995226 33.698102) (xy 230.917205 33.509744) + (xy 230.803937 33.340226) (xy 230.659774 33.196063) (xy 230.490256 33.082795) (xy 230.301898 33.004774) (xy 230.101939 32.965) + (xy 229.970789 32.965) (xy 226.481538 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) + (xy 229.972021 30.139466) (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) + (xy 230.88575 30.75) (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) + (xy 231.901031 30.329457) (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) + (xy 232.115422 29.25164) (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) + (xy 231.33836 28.474578) (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) + (xy 230.260543 28.688969) (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) + (xy 226.481538 29.47575) (xy 225.066203 28.060416) (xy 225.043928 28.033274) (xy 224.935634 27.944399) (xy 224.812082 27.878359) + (xy 224.678021 27.837692) (xy 224.573537 27.827401) (xy 224.573527 27.827401) (xy 224.538601 27.823961) (xy 224.503675 27.827401) + (xy 210.177753 27.827401) (xy 210.181481 27.816891) (xy 210.060814 27.587) (xy 208.867 27.587) (xy 208.867 27.607) + (xy 208.613 27.607) (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) + (xy 208.613 26.139845) (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) + (xy 210.084157 26.828748) (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.244099 26.063175) + (xy 209.09689 26.018524) (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) + (xy 207.97308 26.188359) (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) + (xy 207.146632 26.306525) (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.05374 25.975) + (xy 205.766842 26.032068) (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) (xy 204.93 26.68776) + (xy 204.813475 26.513368) (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) (xy 203.80626 25.975) + (xy 203.51374 25.975) (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) (xy 202.506525 26.513368) + (xy 202.39 26.68776) (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) (xy 201.553158 26.032068) + (xy 201.26626 25.975) (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) (xy 200.173368 26.306525) + (xy 199.966525 26.513368) (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) (xy 199.283411 26.14401) + (xy 199.013158 26.032068) (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) (xy 197.876589 26.14401) + (xy 197.633368 26.306525) (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) (xy 196.986632 26.306525) + (xy 196.743411 26.14401) (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) (xy 195.606842 26.032068) + (xy 195.336589 26.14401) (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) (xy 194.653475 26.513368) + (xy 194.446632 26.306525) (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) (xy 193.35374 25.975) + (xy 193.066842 26.032068) (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) (xy 192.23 26.68776) + (xy 192.113475 26.513368) (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) (xy 191.10626 25.975) + (xy 190.81374 25.975) (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) (xy 189.806525 26.513368) + (xy 189.69 26.68776) (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) (xy 188.853158 26.032068) + (xy 188.56626 25.975) (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) (xy 187.473368 26.306525) + (xy 187.266525 26.513368) (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) (xy 186.583411 26.14401) + (xy 186.313158 26.032068) (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) (xy 185.176589 26.14401) + (xy 184.933368 26.306525) (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) (xy 184.286632 26.306525) + (xy 184.043411 26.14401) (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) (xy 182.906842 26.032068) + (xy 182.636589 26.14401) (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) (xy 181.953475 26.513368) + (xy 181.746632 26.306525) (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) (xy 180.65374 25.975) + (xy 180.366842 26.032068) (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) (xy 179.53 26.68776) + (xy 179.413475 26.513368) (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) (xy 178.40626 25.975) + (xy 178.11374 25.975) (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) (xy 177.106525 26.513368) + (xy 176.99 26.68776) (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) (xy 176.153158 26.032068) + (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) + (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) (xy 173.883411 26.14401) + (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) (xy 172.476589 26.14401) + (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) (xy 171.640269 26.362412) + (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) (xy 170.767 27.333) + (xy 170.787 27.333) (xy 170.787 27.587) (xy 170.767 27.587) (xy 170.767 29.873) (xy 170.787 29.873) + (xy 170.787 30.127) (xy 170.767 30.127) (xy 170.767 31.320155) (xy 170.99689 31.441476) (xy 171.144099 31.396825) + (xy 171.40692 31.271641) (xy 171.640269 31.097588) (xy 171.835178 30.881355) (xy 171.904805 30.764466) (xy 172.026525 30.946632) + (xy 172.233368 31.153475) (xy 172.476589 31.31599) (xy 172.746842 31.427932) (xy 173.03374 31.485) (xy 173.32626 31.485) + (xy 173.613158 31.427932) (xy 173.883411 31.31599) (xy 174.126632 31.153475) (xy 174.333475 30.946632) (xy 174.45 30.77224) + (xy 174.566525 30.946632) (xy 174.773368 31.153475) (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) + (xy 175.86626 31.485) (xy 176.153158 31.427932) (xy 176.423411 31.31599) (xy 176.666632 31.153475) (xy 176.873475 30.946632) + (xy 176.99 30.77224) (xy 177.106525 30.946632) (xy 177.313368 31.153475) (xy 177.556589 31.31599) (xy 177.826842 31.427932) + (xy 178.11374 31.485) (xy 178.40626 31.485) (xy 178.693158 31.427932) (xy 178.963411 31.31599) (xy 179.206632 31.153475) + (xy 179.413475 30.946632) (xy 179.53 30.77224) (xy 179.646525 30.946632) (xy 179.853368 31.153475) (xy 180.096589 31.31599) + (xy 180.366842 31.427932) (xy 180.65374 31.485) (xy 180.94626 31.485) (xy 181.233158 31.427932) (xy 181.503411 31.31599) + (xy 181.746632 31.153475) (xy 181.953475 30.946632) (xy 182.07 30.77224) (xy 182.186525 30.946632) (xy 182.393368 31.153475) + (xy 182.636589 31.31599) (xy 182.906842 31.427932) (xy 183.19374 31.485) (xy 183.48626 31.485) (xy 183.773158 31.427932) + (xy 184.043411 31.31599) (xy 184.286632 31.153475) (xy 184.493475 30.946632) (xy 184.61 30.77224) (xy 184.726525 30.946632) + (xy 184.933368 31.153475) (xy 185.176589 31.31599) (xy 185.446842 31.427932) (xy 185.73374 31.485) (xy 186.02626 31.485) + (xy 186.313158 31.427932) (xy 186.583411 31.31599) (xy 186.826632 31.153475) (xy 187.033475 30.946632) (xy 187.15 30.77224) + (xy 187.266525 30.946632) (xy 187.473368 31.153475) (xy 187.716589 31.31599) (xy 187.986842 31.427932) (xy 188.27374 31.485) + (xy 188.56626 31.485) (xy 188.853158 31.427932) (xy 189.123411 31.31599) (xy 189.366632 31.153475) (xy 189.573475 30.946632) + (xy 189.69 30.77224) (xy 189.806525 30.946632) (xy 190.013368 31.153475) (xy 190.256589 31.31599) (xy 190.526842 31.427932) + (xy 190.81374 31.485) (xy 191.10626 31.485) (xy 191.393158 31.427932) (xy 191.663411 31.31599) (xy 191.906632 31.153475) + (xy 192.113475 30.946632) (xy 192.23 30.77224) (xy 192.346525 30.946632) (xy 192.553368 31.153475) (xy 192.796589 31.31599) + (xy 193.066842 31.427932) (xy 193.35374 31.485) (xy 193.64626 31.485) (xy 193.933158 31.427932) (xy 194.203411 31.31599) + (xy 194.446632 31.153475) (xy 194.653475 30.946632) (xy 194.77 30.77224) (xy 194.886525 30.946632) (xy 195.093368 31.153475) + (xy 195.336589 31.31599) (xy 195.606842 31.427932) (xy 195.89374 31.485) (xy 196.18626 31.485) (xy 196.473158 31.427932) + (xy 196.743411 31.31599) (xy 196.986632 31.153475) (xy 197.193475 30.946632) (xy 197.31 30.77224) (xy 197.426525 30.946632) + (xy 197.633368 31.153475) (xy 197.876589 31.31599) (xy 198.146842 31.427932) (xy 198.43374 31.485) (xy 198.72626 31.485) + (xy 199.013158 31.427932) (xy 199.283411 31.31599) (xy 199.526632 31.153475) (xy 199.733475 30.946632) (xy 199.85 30.77224) + (xy 199.966525 30.946632) (xy 200.173368 31.153475) (xy 200.416589 31.31599) (xy 200.686842 31.427932) (xy 200.97374 31.485) + (xy 201.26626 31.485) (xy 201.553158 31.427932) (xy 201.823411 31.31599) (xy 202.066632 31.153475) (xy 202.273475 30.946632) + (xy 202.39 30.77224) (xy 202.506525 30.946632) (xy 202.713368 31.153475) (xy 202.956589 31.31599) (xy 203.226842 31.427932) + (xy 203.51374 31.485) (xy 203.80626 31.485) (xy 204.093158 31.427932) (xy 204.363411 31.31599) (xy 204.606632 31.153475) + (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) + (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) + (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.475195 30.764466) (xy 207.544822 30.881355) (xy 207.739731 31.097588) + (xy 207.97308 31.271641) (xy 208.235901 31.396825) (xy 208.38311 31.441476) (xy 208.613 31.320155) (xy 208.613 30.127) + (xy 208.867 30.127) (xy 208.867 31.320155) (xy 209.09689 31.441476) (xy 209.244099 31.396825) (xy 209.50692 31.271641) + (xy 209.740269 31.097588) (xy 209.935178 30.881355) (xy 210.084157 30.631252) (xy 210.181481 30.356891) (xy 210.060814 30.127) + (xy 208.867 30.127) (xy 208.613 30.127) (xy 208.593 30.127) (xy 208.593 29.873) (xy 208.613 29.873) + (xy 208.613 29.853) (xy 208.867 29.853) (xy 208.867 29.873) (xy 210.060814 29.873) (xy 210.181481 29.643109) + (xy 210.177753 29.632599) (xy 217.726812 29.632599) (xy 220.322402 32.22819) (xy 220.344673 32.255327) (xy 220.452967 32.344202) + (xy 220.576519 32.410242) (xy 220.71058 32.450909) (xy 220.815064 32.4612) (xy 220.815071 32.4612) (xy 220.85 32.46464) + (xy 220.884928 32.4612) (xy 223.455413 32.4612) (xy 223.959212 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) + (xy 223.509744 33.082795) (xy 223.340226 33.196063) (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) + (xy 222.965 33.898061) (xy 222.965 34.029211) (xy 205.071815 51.922398) (xy 205.071809 51.922403) (xy 204.42181 52.572403) + (xy 204.394674 52.594673) (xy 204.372404 52.621809) (xy 204.372403 52.62181) (xy 204.352758 52.645748) (xy 204.305799 52.702967) + (xy 204.239759 52.826519) (xy 204.200686 52.955327) (xy 204.199092 52.960581) (xy 204.18536 53.1) (xy 204.188801 53.134936) + (xy 204.1888 57.821684) (xy 204.093158 57.782068) (xy 203.80626 57.725) (xy 203.51374 57.725) (xy 203.226842 57.782068) + (xy 202.956589 57.89401) (xy 202.713368 58.056525) (xy 202.506525 58.263368) (xy 202.39 58.43776) (xy 202.273475 58.263368) + (xy 202.066632 58.056525) (xy 201.823411 57.89401) (xy 201.553158 57.782068) (xy 201.26626 57.725) (xy 200.97374 57.725) + (xy 200.686842 57.782068) (xy 200.416589 57.89401) (xy 200.173368 58.056525) (xy 200.140341 58.089552) (xy 196.372602 54.321815) + (xy 196.350327 54.294673) (xy 196.242033 54.205798) (xy 196.118481 54.139758) (xy 195.98442 54.099091) (xy 195.879936 54.0888) + (xy 195.879926 54.0888) (xy 195.845 54.08536) (xy 195.810074 54.0888) (xy 190.104795 54.0888) (xy 190.128576 54.053209) + (xy 190.206597 53.864851) (xy 190.246371 53.664892) (xy 190.246371 53.461014) (xy 190.206597 53.261055) (xy 190.128576 53.072697) + (xy 190.015308 52.903179) (xy 189.871145 52.759016) (xy 189.701627 52.645748) (xy 189.513269 52.567727) (xy 189.31331 52.527953) + (xy 189.109432 52.527953) (xy 188.909473 52.567727) (xy 188.721115 52.645748) (xy 188.551597 52.759016) (xy 188.407434 52.903179) + (xy 188.294166 53.072697) (xy 188.216145 53.261055) (xy 188.176371 53.461014) (xy 188.176371 53.664892) (xy 188.21338 53.850952) + (xy 188.101898 53.804774) (xy 187.901939 53.765) (xy 187.698061 53.765) (xy 187.498102 53.804774) (xy 187.309744 53.882795) + (xy 187.2 53.956123) (xy 187.090256 53.882795) (xy 186.901898 53.804774) (xy 186.701939 53.765) (xy 186.498061 53.765) + (xy 186.298102 53.804774) (xy 186.109744 53.882795) (xy 186 53.956123) (xy 185.890256 53.882795) (xy 185.701898 53.804774) + (xy 185.501939 53.765) (xy 185.298061 53.765) (xy 185.098102 53.804774) (xy 184.909744 53.882795) (xy 184.8 53.956123) + (xy 184.690256 53.882795) (xy 184.501898 53.804774) (xy 184.301939 53.765) (xy 184.098061 53.765) (xy 183.898102 53.804774) + (xy 183.709744 53.882795) (xy 183.540226 53.996063) (xy 183.396063 54.140226) (xy 183.282795 54.309744) (xy 183.204774 54.498102) + (xy 183.165 54.698061) (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) + (xy 183.524214 55.587925) (xy 183.539758 55.639166) (xy 183.551205 55.660581) (xy 183.605798 55.762717) (xy 183.672403 55.843875) + (xy 183.694674 55.871012) (xy 183.707401 55.881457) (xy 183.707401 57.768988) (xy 183.48626 57.725) (xy 183.19374 57.725) + (xy 182.906842 57.782068) (xy 182.636589 57.89401) (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) + (xy 181.953475 58.263368) (xy 181.746632 58.056525) (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) + (xy 180.65374 57.725) (xy 180.366842 57.782068) (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) + (xy 179.53 58.43776) (xy 179.413475 58.263368) (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) + (xy 178.40626 57.725) (xy 178.11374 57.725) (xy 177.826842 57.782068) (xy 177.814611 57.787134) (xy 177.814611 49.198339) + (xy 177.818051 49.163411) (xy 177.814611 49.128482) (xy 177.814611 49.128475) (xy 177.80432 49.023991) (xy 177.763653 48.88993) + (xy 177.763653 48.889929) (xy 177.697613 48.766378) (xy 177.631009 48.68522) (xy 177.631004 48.685215) (xy 177.608738 48.658084) + (xy 177.581607 48.635818) (xy 160.430787 31.485) (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) + (xy 161.426632 31.153475) (xy 161.633475 30.946632) (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) + (xy 162.316589 31.31599) (xy 162.586842 31.427932) (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) + (xy 163.723411 31.31599) (xy 163.966632 31.153475) (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) + (xy 164.613368 31.153475) (xy 164.856589 31.31599) (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) + (xy 165.993158 31.427932) (xy 166.263411 31.31599) (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.83 30.77224) + (xy 166.946525 30.946632) (xy 167.153368 31.153475) (xy 167.396589 31.31599) (xy 167.666842 31.427932) (xy 167.95374 31.485) + (xy 168.24626 31.485) (xy 168.533158 31.427932) (xy 168.803411 31.31599) (xy 169.046632 31.153475) (xy 169.253475 30.946632) + (xy 169.375195 30.764466) (xy 169.444822 30.881355) (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) + (xy 170.28311 31.441476) (xy 170.513 31.320155) (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) + (xy 170.513 29.873) (xy 170.513 27.587) (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) + (xy 170.513 26.139845) (xy 170.28311 26.018524) (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) + (xy 169.444822 26.578645) (xy 169.375195 26.695534) (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) + (xy 168.533158 26.032068) (xy 168.24626 25.975) (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) + (xy 167.153368 26.306525) (xy 166.946525 26.513368) (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) + (xy 166.263411 26.14401) (xy 165.993158 26.032068) (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) + (xy 164.856589 26.14401) (xy 164.613368 26.306525) (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) + (xy 163.966632 26.306525) (xy 163.723411 26.14401) (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) + (xy 162.586842 26.032068) (xy 162.316589 26.14401) (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) + (xy 161.633475 26.513368) (xy 161.426632 26.306525) (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) + (xy 160.33374 25.975) (xy 160.046842 26.032068) (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) + (xy 159.21 26.68776) (xy 159.093475 26.513368) (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) + (xy 158.08626 25.975) (xy 157.79374 25.975) (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) + (xy 156.786525 26.513368) (xy 156.67 26.68776) (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) + (xy 155.833158 26.032068) (xy 155.54626 25.975) (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) + (xy 154.453368 26.306525) (xy 154.246525 26.513368) (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) + (xy 153.563411 26.14401) (xy 153.293158 26.032068) (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) + (xy 152.156589 26.14401) (xy 151.913368 26.306525) (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) + (xy 151.266632 26.306525) (xy 151.023411 26.14401) (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) + (xy 149.886842 26.032068) (xy 149.616589 26.14401) (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) + (xy 148.933475 26.513368) (xy 148.726632 26.306525) (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) + (xy 147.63374 25.975) (xy 147.346842 26.032068) (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) + (xy 146.51 26.68776) (xy 146.393475 26.513368) (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) + (xy 145.38626 25.975) (xy 145.09374 25.975) (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) + (xy 144.086525 26.513368) (xy 143.97 26.68776) (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) + (xy 143.133158 26.032068) (xy 142.84626 25.975) (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) + (xy 141.753368 26.306525) (xy 141.546525 26.513368) (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) + (xy 140.863411 26.14401) (xy 140.593158 26.032068) (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) + (xy 139.456589 26.14401) (xy 139.213368 26.306525) (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) + (xy 138.566632 26.306525) (xy 138.323411 26.14401) (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) + (xy 137.186842 26.032068) (xy 136.916589 26.14401) (xy 136.869801 26.175273) (xy 136.869801 25.945986) (xy 137.380788 25.435) + (xy 137.511939 25.435) (xy 137.711898 25.395226) (xy 137.900256 25.317205) (xy 138.069774 25.203937) (xy 138.213937 25.059774) + (xy 138.327205 24.890256) (xy 138.405226 24.701898) (xy 138.445 24.501939) (xy 138.445 24.298061) (xy 138.405226 24.098102) + (xy 138.327205 23.909744) (xy 138.213937 23.740226) (xy 138.069774 23.596063) (xy 137.900256 23.482795) (xy 137.711898 23.404774) + (xy 137.511939 23.365) (xy 137.308061 23.365) (xy 137.108102 23.404774) (xy 136.919744 23.482795) (xy 136.750226 23.596063) + (xy 136.606063 23.740226) (xy 136.492795 23.909744) (xy 136.414774 24.098102) (xy 136.375 24.298061) (xy 136.375 24.429212) + (xy 135.680411 25.123802) (xy 135.653274 25.146073) (xy 135.564399 25.254367) (xy 135.498359 25.377919) (xy 135.457692 25.51198) + (xy 135.447401 25.616464) (xy 135.447401 25.616473) (xy 135.443961 25.651399) (xy 135.447401 25.686325) (xy 135.447401 26.018988) + (xy 135.22626 25.975) (xy 134.93374 25.975) (xy 134.712599 26.018988) (xy 134.712599 25.573189) (xy 134.850788 25.435) + (xy 134.981939 25.435) (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) (xy 135.683937 25.059774) + (xy 135.797205 24.890256) (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) (xy 135.875226 24.098102) + (xy 135.797205 23.909744) (xy 135.683937 23.740226) (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) + (xy 134.981939 23.365) (xy 134.778061 23.365) (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) + (xy 134.076063 23.740226) (xy 133.962795 23.909744) (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 133.845 24.429212) + (xy 133.523209 24.751004) (xy 133.496073 24.773274) (xy 133.473803 24.80041) (xy 133.473802 24.800411) (xy 133.409969 24.878192) + (xy 133.407198 24.881568) (xy 133.341158 25.00512) (xy 133.300491 25.139181) (xy 133.294113 25.203937) (xy 133.286759 25.278601) + (xy 133.2902 25.313537) (xy 133.2902 26.180395) (xy 133.044099 26.063175) (xy 132.89689 26.018524) (xy 132.667 26.139845) + (xy 132.667 27.333) (xy 132.687 27.333) (xy 132.687 27.587) (xy 132.667 27.587) (xy 132.667 29.873) + (xy 132.687 29.873) (xy 132.687 30.127) (xy 132.667 30.127) (xy 132.667 31.320155) (xy 132.89689 31.441476) + (xy 133.044099 31.396825) (xy 133.2902 31.279605) (xy 133.290199 55.556473) (xy 133.286759 55.591399) (xy 133.290199 55.626325) + (xy 133.290199 55.626334) (xy 133.30049 55.730818) (xy 133.341157 55.864879) (xy 133.407197 55.988431) (xy 133.496072 56.096725) + (xy 133.523209 56.118997) (xy 135.129212 57.725) (xy 134.93374 57.725) (xy 134.646842 57.782068) (xy 134.376589 57.89401) + (xy 134.133368 58.056525) (xy 133.926525 58.263368) (xy 133.804805 58.445534) (xy 133.735178 58.328645) (xy 133.540269 58.112412) + (xy 133.30692 57.938359) (xy 133.044099 57.813175) (xy 132.89689 57.768524) (xy 132.667 57.889845) (xy 132.667 59.083) + (xy 132.687 59.083) (xy 132.687 59.337) (xy 132.667 59.337) (xy 132.667 61.623) (xy 132.687 61.623) + (xy 132.687 61.877) (xy 132.667 61.877) (xy 132.667 63.070155) (xy 132.89689 63.191476) (xy 133.044099 63.146825) + (xy 133.30692 63.021641) (xy 133.540269 62.847588) (xy 133.735178 62.631355) (xy 133.804805 62.514466) (xy 133.926525 62.696632) + (xy 134.133368 62.903475) (xy 134.376589 63.06599) (xy 134.646842 63.177932) (xy 134.93374 63.235) (xy 135.22626 63.235) + (xy 135.513158 63.177932) (xy 135.783411 63.06599) (xy 136.026632 62.903475) (xy 136.233475 62.696632) (xy 136.35 62.52224) + (xy 136.466525 62.696632) (xy 136.673368 62.903475) (xy 136.903378 63.057163) (xy 125.095543 74.865) (xy 124.964391 74.865) + (xy 124.764432 74.904774) (xy 124.576074 74.982795) (xy 124.435 75.077057) (xy 124.435 68.271312) (xy 128.925347 63.781269) + (xy 128.92535 63.781266) (xy 129.46858 63.238072) (xy 130.85 63.238072) (xy 130.974482 63.225812) (xy 131.09418 63.189502) + (xy 131.204494 63.130537) (xy 131.301185 63.051185) (xy 131.380537 62.954494) (xy 131.439502 62.84418) (xy 131.463966 62.763534) + (xy 131.539731 62.847588) (xy 131.77308 63.021641) (xy 132.035901 63.146825) (xy 132.18311 63.191476) (xy 132.413 63.070155) + (xy 132.413 61.877) (xy 132.393 61.877) (xy 132.393 61.623) (xy 132.413 61.623) (xy 132.413 59.337) + (xy 132.393 59.337) (xy 132.393 59.083) (xy 132.413 59.083) (xy 132.413 57.889845) (xy 132.18311 57.768524) + (xy 132.035901 57.813175) (xy 131.77308 57.938359) (xy 131.539731 58.112412) (xy 131.344822 58.328645) (xy 131.275195 58.445534) + (xy 131.153475 58.263368) (xy 130.946632 58.056525) (xy 130.703411 57.89401) (xy 130.433158 57.782068) (xy 130.14626 57.725) + (xy 129.85374 57.725) (xy 129.566842 57.782068) (xy 129.296589 57.89401) (xy 129.053368 58.056525) (xy 129.04035 58.069543) + (xy 129.04 58.069509) (xy 128.984249 58.075) (xy 128.984248 58.075) (xy 128.817501 58.091423) (xy 128.603553 58.156324) + (xy 128.406377 58.261716) (xy 128.233551 58.403551) (xy 128.214617 58.426622) (xy 128.191552 58.445551) (xy 128.049717 58.618377) + (xy 128.043349 58.630291) (xy 127.944324 58.815554) (xy 127.879423 59.029502) (xy 127.857509 59.252) (xy 127.863001 59.307761) + (xy 127.863 60.926303) (xy 126.96673 61.822516) (xy 126.966728 61.822517) (xy 122.118784 66.670134) (xy 122.065919 66.71352) + (xy 121.980585 66.8175) (xy 121.89287 66.924373) (xy 121.892859 66.924393) (xy 121.892843 66.924413) (xy 121.827978 67.045767) + (xy 121.764254 67.164976) (xy 121.764247 67.165) (xy 121.764236 67.16502) (xy 121.722894 67.301305) (xy 121.68505 67.426047) + (xy 121.685048 67.426068) (xy 121.68504 67.426094) (xy 121.669008 67.588875) (xy 121.6583 67.697554) (xy 121.665 67.765606) + (xy 121.665001 83.852334) (xy 121.501898 83.784774) (xy 121.301939 83.745) (xy 121.098061 83.745) (xy 120.898102 83.784774) + (xy 120.709744 83.862795) (xy 120.540226 83.976063) (xy 120.396063 84.120226) (xy 120.282795 84.289744) (xy 120.204774 84.478102) + (xy 120.165 84.678061) (xy 120.165 84.881939) (xy 120.204774 85.081898) (xy 120.282795 85.270256) (xy 120.396063 85.439774) + (xy 120.540226 85.583937) (xy 120.709744 85.697205) (xy 120.898102 85.775226) (xy 121.098061 85.815) (xy 121.301939 85.815) + (xy 121.501898 85.775226) (xy 121.665001 85.707666) (xy 121.665001 89.681961) (xy 121.6583 89.75) (xy 121.68504 90.021507) + (xy 121.764236 90.28258) (xy 121.892844 90.523188) (xy 122.022548 90.681233) (xy 122.022551 90.681236) (xy 122.06592 90.734081) + (xy 122.118765 90.77745) (xy 125.105001 93.763686) (xy 125.105 94.230376) (xy 125.090475 94.252115) (xy 125.071799 94.297202) + (xy 125.016268 94.19331) (xy 124.774849 94.124756) (xy 123.979605 94.92) (xy 124.774849 95.715244) (xy 125.016268 95.64669) + (xy 125.068725 95.535376) (xy 125.090475 95.587885) (xy 125.244782 95.818822) (xy 125.441178 96.015218) (xy 125.672115 96.169525) + (xy 125.717202 96.188201) (xy 125.61331 96.243732) (xy 125.544756 96.485151) (xy 126.34 97.280395) (xy 127.135244 96.485151) + (xy 127.06669 96.243732) (xy 126.955376 96.191275) (xy 127.007885 96.169525) (xy 127.029623 96.155) (xy 127.495 96.155) + (xy 127.495001 96.715912) (xy 127.314849 96.664756) (xy 126.519605 97.46) (xy 127.314849 98.255244) (xy 127.495 98.204088) + (xy 127.495001 99.190649) (xy 127.435218 99.101178) (xy 127.238822 98.904782) (xy 127.007885 98.750475) (xy 126.962798 98.731799) + (xy 127.06669 98.676268) (xy 127.135244 98.434849) (xy 126.34 97.639605) (xy 125.544756 98.434849) (xy 125.61331 98.676268) + (xy 125.724624 98.728725) (xy 125.672115 98.750475) (xy 125.441178 98.904782) (xy 125.244782 99.101178) (xy 125.090475 99.332115) + (xy 125.07 99.381546) (xy 125.049525 99.332115) (xy 124.895218 99.101178) (xy 124.698822 98.904782) (xy 124.467885 98.750475) + (xy 124.422798 98.731799) (xy 124.52669 98.676268) (xy 124.595244 98.434849) (xy 123.8 97.639605) (xy 123.004756 98.434849) + (xy 123.07331 98.676268) (xy 123.184624 98.728725) (xy 123.132115 98.750475) (xy 122.901178 98.904782) (xy 122.704782 99.101178) + (xy 122.550475 99.332115) (xy 122.53 99.381546) (xy 122.509525 99.332115) (xy 122.355218 99.101178) (xy 122.158822 98.904782) + (xy 122.045 98.828729) (xy 122.045 98.631271) (xy 122.158822 98.555218) (xy 122.355218 98.358822) (xy 122.509525 98.127885) + (xy 122.528201 98.082798) (xy 122.583732 98.18669) (xy 122.825151 98.255244) (xy 123.620395 97.46) (xy 123.979605 97.46) + (xy 124.774849 98.255244) (xy 125.016268 98.18669) (xy 125.06662 98.079841) (xy 125.123732 98.18669) (xy 125.365151 98.255244) + (xy 126.160395 97.46) (xy 125.365151 96.664756) (xy 125.123732 96.73331) (xy 125.07338 96.840159) (xy 125.016268 96.73331) + (xy 124.774849 96.664756) (xy 123.979605 97.46) (xy 123.620395 97.46) (xy 122.825151 96.664756) (xy 122.583732 96.73331) + (xy 122.531275 96.844624) (xy 122.509525 96.792115) (xy 122.355218 96.561178) (xy 122.158822 96.364782) (xy 121.927885 96.210475) + (xy 121.878454 96.19) (xy 121.927885 96.169525) (xy 122.158822 96.015218) (xy 122.279191 95.894849) (xy 123.004756 95.894849) + (xy 123.07331 96.136268) (xy 123.180159 96.18662) (xy 123.07331 96.243732) (xy 123.004756 96.485151) (xy 123.8 97.280395) + (xy 124.595244 96.485151) (xy 124.52669 96.243732) (xy 124.419841 96.19338) (xy 124.52669 96.136268) (xy 124.595244 95.894849) + (xy 123.8 95.099605) (xy 123.004756 95.894849) (xy 122.279191 95.894849) (xy 122.355218 95.818822) (xy 122.509525 95.587885) + (xy 122.528201 95.542798) (xy 122.583732 95.64669) (xy 122.825151 95.715244) (xy 123.620395 94.92) (xy 122.825151 94.124756) + (xy 122.583732 94.19331) (xy 122.531275 94.304624) (xy 122.509525 94.252115) (xy 122.355218 94.021178) (xy 122.279191 93.945151) + (xy 123.004756 93.945151) (xy 123.8 94.740395) (xy 124.595244 93.945151) (xy 124.52669 93.703732) (xy 124.275444 93.585332) + (xy 124.005929 93.518222) (xy 123.728498 93.504982) (xy 123.453816 93.546121) (xy 123.192438 93.640057) (xy 123.07331 93.703732) + (xy 123.004756 93.945151) (xy 122.279191 93.945151) (xy 122.158822 93.824782) (xy 121.927885 93.670475) (xy 121.671282 93.564186) + (xy 121.398873 93.51) (xy 121.121127 93.51) (xy 120.848718 93.564186) (xy 120.592115 93.670475) (xy 120.361178 93.824782) + (xy 120.164782 94.021178) (xy 120.010475 94.252115) (xy 119.904186 94.508718) (xy 119.85 94.781127) (xy 119.85 95.058873) + (xy 119.904186 95.331282) (xy 120.010475 95.587885) (xy 120.164782 95.818822) (xy 120.361178 96.015218) (xy 120.592115 96.169525) + (xy 120.641546 96.19) (xy 120.592115 96.210475) (xy 120.361178 96.364782) (xy 120.164782 96.561178) (xy 120.010475 96.792115) + (xy 119.904186 97.048718) (xy 119.85 97.321127) (xy 119.85 97.598873) (xy 119.904186 97.871282) (xy 120.010475 98.127885) + (xy 120.164782 98.358822) (xy 120.361178 98.555218) (xy 120.475 98.631272) (xy 120.475001 98.828728) (xy 120.361178 98.904782) + (xy 120.164782 99.101178) (xy 120.010475 99.332115) (xy 119.904186 99.588718) (xy 119.85 99.861127) (xy 119.85 100.138873) + (xy 119.904186 100.411282) (xy 120.010475 100.667885) (xy 120.147528 100.873) (xy 117.393059 100.873) (xy 117.155776 100.714452) + (xy 116.78088 100.559165) (xy 116.382892 100.48) (xy 115.977108 100.48) (xy 115.57912 100.559165) (xy 115.204224 100.714452) + (xy 114.966941 100.873) (xy 112.44 100.873) (xy 112.44 82.398061) (xy 114.7775 82.398061) (xy 114.7775 82.601939) + (xy 114.817274 82.801898) (xy 114.895295 82.990256) (xy 115.008563 83.159774) (xy 115.152726 83.303937) (xy 115.322244 83.417205) + (xy 115.510602 83.495226) (xy 115.710561 83.535) (xy 115.914439 83.535) (xy 116.114398 83.495226) (xy 116.302756 83.417205) + (xy 116.472274 83.303937) (xy 116.616437 83.159774) (xy 116.729705 82.990256) (xy 116.807726 82.801898) (xy 116.8475 82.601939) + (xy 116.8475 82.398061) (xy 116.807726 82.198102) (xy 116.729705 82.009744) (xy 116.616437 81.840226) (xy 116.472274 81.696063) + (xy 116.302756 81.582795) (xy 116.114398 81.504774) (xy 115.914439 81.465) (xy 115.710561 81.465) (xy 115.510602 81.504774) + (xy 115.322244 81.582795) (xy 115.152726 81.696063) (xy 115.008563 81.840226) (xy 114.895295 82.009744) (xy 114.817274 82.198102) + (xy 114.7775 82.398061) (xy 112.44 82.398061) (xy 112.44 79.698061) (xy 117.265 79.698061) (xy 117.265 79.901939) + (xy 117.304774 80.101898) (xy 117.382795 80.290256) (xy 117.496063 80.459774) (xy 117.640226 80.603937) (xy 117.809744 80.717205) + (xy 117.998102 80.795226) (xy 118.198061 80.835) (xy 118.401939 80.835) (xy 118.601898 80.795226) (xy 118.790256 80.717205) + (xy 118.959774 80.603937) (xy 119.103937 80.459774) (xy 119.217205 80.290256) (xy 119.295226 80.101898) (xy 119.335 79.901939) + (xy 119.335 79.698061) (xy 119.295226 79.498102) (xy 119.217205 79.309744) (xy 119.103937 79.140226) (xy 118.959774 78.996063) + (xy 118.790256 78.882795) (xy 118.601898 78.804774) (xy 118.401939 78.765) (xy 118.198061 78.765) (xy 117.998102 78.804774) + (xy 117.809744 78.882795) (xy 117.640226 78.996063) (xy 117.496063 79.140226) (xy 117.382795 79.309744) (xy 117.304774 79.498102) + (xy 117.265 79.698061) (xy 112.44 79.698061) (xy 112.44 69.048061) (xy 115.465 69.048061) (xy 115.465 69.251939) + (xy 115.504774 69.451898) (xy 115.582795 69.640256) (xy 115.696063 69.809774) (xy 115.840226 69.953937) (xy 116.009744 70.067205) + (xy 116.198102 70.145226) (xy 116.398061 70.185) (xy 116.601939 70.185) (xy 116.801898 70.145226) (xy 116.990256 70.067205) + (xy 117.159774 69.953937) (xy 117.303937 69.809774) (xy 117.417205 69.640256) (xy 117.495226 69.451898) (xy 117.535 69.251939) + (xy 117.535 69.048061) (xy 117.495226 68.848102) (xy 117.417205 68.659744) (xy 117.303937 68.490226) (xy 117.159774 68.346063) + (xy 116.990256 68.232795) (xy 116.801898 68.154774) (xy 116.601939 68.115) (xy 116.398061 68.115) (xy 116.198102 68.154774) + (xy 116.009744 68.232795) (xy 115.840226 68.346063) (xy 115.696063 68.490226) (xy 115.582795 68.659744) (xy 115.504774 68.848102) + (xy 115.465 69.048061) (xy 112.44 69.048061) (xy 112.44 51.298061) (xy 117.230134 51.298061) (xy 117.230134 51.501939) + (xy 117.269908 51.701898) (xy 117.347929 51.890256) (xy 117.461197 52.059774) (xy 117.60536 52.203937) (xy 117.774878 52.317205) + (xy 117.963236 52.395226) (xy 118.163195 52.435) (xy 118.367073 52.435) (xy 118.567032 52.395226) (xy 118.75539 52.317205) + (xy 118.924908 52.203937) (xy 119.069071 52.059774) (xy 119.182339 51.890256) (xy 119.26036 51.701898) (xy 119.300134 51.501939) + (xy 119.300134 51.298061) (xy 119.26036 51.098102) (xy 119.182339 50.909744) (xy 119.069071 50.740226) (xy 118.924908 50.596063) + (xy 118.75539 50.482795) (xy 118.567032 50.404774) (xy 118.367073 50.365) (xy 118.163195 50.365) (xy 117.963236 50.404774) + (xy 117.774878 50.482795) (xy 117.60536 50.596063) (xy 117.461197 50.740226) (xy 117.347929 50.909744) (xy 117.269908 51.098102) + (xy 117.230134 51.298061) (xy 112.44 51.298061) (xy 112.44 45.298061) (xy 117.230134 45.298061) (xy 117.230134 45.501939) + (xy 117.269908 45.701898) (xy 117.347929 45.890256) (xy 117.461197 46.059774) (xy 117.60536 46.203937) (xy 117.774878 46.317205) + (xy 117.963236 46.395226) (xy 118.163195 46.435) (xy 118.367073 46.435) (xy 118.567032 46.395226) (xy 118.75539 46.317205) + (xy 118.924908 46.203937) (xy 119.069071 46.059774) (xy 119.182339 45.890256) (xy 119.26036 45.701898) (xy 119.300134 45.501939) + (xy 119.300134 45.298061) (xy 119.26036 45.098102) (xy 119.182339 44.909744) (xy 119.069071 44.740226) (xy 118.924908 44.596063) + (xy 118.75539 44.482795) (xy 118.567032 44.404774) (xy 118.367073 44.365) (xy 118.163195 44.365) (xy 117.963236 44.404774) + (xy 117.774878 44.482795) (xy 117.60536 44.596063) (xy 117.461197 44.740226) (xy 117.347929 44.909744) (xy 117.269908 45.098102) + (xy 117.230134 45.298061) (xy 112.44 45.298061) (xy 112.44 29.15) (xy 128.511928 29.15) (xy 128.511928 30.85) + (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) (xy 128.795506 31.380537) + (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) (xy 130.974482 31.475812) + (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) (xy 131.439502 31.09418) + (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) (xy 132.18311 31.441476) + (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) (xy 132.413 29.873) + (xy 132.413 27.587) (xy 132.393 27.587) (xy 132.393 27.333) (xy 132.413 27.333) (xy 132.413 26.139845) + (xy 132.18311 26.018524) (xy 132.035901 26.063175) (xy 131.77308 26.188359) (xy 131.539731 26.362412) (xy 131.344822 26.578645) + (xy 131.275195 26.695534) (xy 131.153475 26.513368) (xy 130.946632 26.306525) (xy 130.703411 26.14401) (xy 130.433158 26.032068) + (xy 130.14626 25.975) (xy 129.85374 25.975) (xy 129.566842 26.032068) (xy 129.296589 26.14401) (xy 129.053368 26.306525) + (xy 128.846525 26.513368) (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) (xy 128.515 27.60626) + (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) (xy 128.90582 28.560498) + (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) (xy 128.524188 29.025518) + (xy 128.511928 29.15) (xy 112.44 29.15) (xy 112.44 21.576596) (xy 175.850673 21.576596) (xy 175.850673 21.780474) + (xy 175.890447 21.980433) (xy 175.968468 22.168791) (xy 176.081736 22.338309) (xy 176.225899 22.482472) (xy 176.395417 22.59574) + (xy 176.583775 22.673761) (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) + (xy 177.545447 22.482472) (xy 177.68961 22.338309) (xy 177.802878 22.168791) (xy 177.880899 21.980433) (xy 177.920673 21.780474) + (xy 177.920673 21.576596) (xy 177.880899 21.376637) (xy 177.802878 21.188279) (xy 177.68961 21.018761) (xy 177.545447 20.874598) + (xy 177.375929 20.76133) (xy 177.187571 20.683309) (xy 176.987612 20.643535) (xy 176.783734 20.643535) (xy 176.583775 20.683309) + (xy 176.395417 20.76133) (xy 176.225899 20.874598) (xy 176.081736 21.018761) (xy 175.968468 21.188279) (xy 175.890447 21.376637) + (xy 175.850673 21.576596) (xy 112.44 21.576596) (xy 112.44 19.098061) (xy 155.495 19.098061) (xy 155.495 19.301939) (xy 155.534774 19.501898) (xy 155.612795 19.690256) (xy 155.726063 19.859774) (xy 155.870226 20.003937) (xy 156.039744 20.117205) (xy 156.228102 20.195226) (xy 156.428061 20.235) (xy 156.631939 20.235) (xy 156.831898 20.195226) (xy 157.020256 20.117205) (xy 157.189774 20.003937) (xy 157.333937 19.859774) (xy 157.447205 19.690256) (xy 157.525226 19.501898) (xy 157.565 19.301939) @@ -15236,6 +14962,41 @@ (xy 198.709525 95.587885) (xy 198.73 95.538454) ) ) + (filled_polygon + (pts + (xy 197.426525 62.696632) (xy 197.633368 62.903475) (xy 197.876589 63.06599) (xy 198.146842 63.177932) (xy 198.43374 63.235) + (xy 198.72626 63.235) (xy 199.013158 63.177932) (xy 199.283411 63.06599) (xy 199.288801 63.062389) (xy 199.2888 93.699417) + (xy 199.101178 93.824782) (xy 198.904782 94.021178) (xy 198.750475 94.252115) (xy 198.73 94.301546) (xy 198.709525 94.252115) + (xy 198.555218 94.021178) (xy 198.358822 93.824782) (xy 198.127885 93.670475) (xy 197.871282 93.564186) (xy 197.598873 93.51) + (xy 197.321127 93.51) (xy 197.048718 93.564186) (xy 196.792115 93.670475) (xy 196.561178 93.824782) (xy 196.364782 94.021178) + (xy 196.210475 94.252115) (xy 196.19 94.301546) (xy 196.169525 94.252115) (xy 196.015218 94.021178) (xy 195.818822 93.824782) + (xy 195.587885 93.670475) (xy 195.331282 93.564186) (xy 195.058873 93.51) (xy 194.781127 93.51) (xy 194.508718 93.564186) + (xy 194.252115 93.670475) (xy 194.230377 93.685) (xy 193.069623 93.685) (xy 193.047885 93.670475) (xy 192.791282 93.564186) + (xy 192.518873 93.51) (xy 192.241127 93.51) (xy 191.968718 93.564186) (xy 191.712115 93.670475) (xy 191.481178 93.824782) + (xy 191.326199 93.979761) (xy 191.326199 89.849927) (xy 191.329639 89.814999) (xy 191.326199 89.78007) (xy 191.326199 89.780063) + (xy 191.315908 89.675579) (xy 191.275241 89.541518) (xy 191.209201 89.417966) (xy 191.120326 89.309672) (xy 191.09319 89.287402) + (xy 186.5112 84.705413) (xy 186.5112 84.627511) (xy 186.603937 84.534774) (xy 186.717205 84.365256) (xy 186.795226 84.176898) + (xy 186.835 83.976939) (xy 186.835 83.773061) (xy 186.795226 83.573102) (xy 186.717205 83.384744) (xy 186.603937 83.215226) + (xy 186.459774 83.071063) (xy 186.290256 82.957795) (xy 186.101898 82.879774) (xy 185.901939 82.84) (xy 185.698061 82.84) + (xy 185.498102 82.879774) (xy 185.309744 82.957795) (xy 185.140226 83.071063) (xy 184.996063 83.215226) (xy 184.882795 83.384744) + (xy 184.843448 83.479737) (xy 184.809774 83.446063) (xy 184.718148 83.384841) (xy 187.741606 80.361384) (xy 187.768737 80.339118) + (xy 187.791004 80.311986) (xy 187.791008 80.311982) (xy 187.857613 80.230824) (xy 187.882243 80.184744) (xy 187.923653 80.107272) + (xy 187.96432 79.973211) (xy 187.974611 79.868727) (xy 187.974611 79.868718) (xy 187.978051 79.833792) (xy 187.974611 79.798866) + (xy 187.974611 74.687999) (xy 188.148061 74.7225) (xy 188.351939 74.7225) (xy 188.551898 74.682726) (xy 188.740256 74.604705) + (xy 188.909774 74.491437) (xy 189.053937 74.347274) (xy 189.167205 74.177756) (xy 189.245226 73.989398) (xy 189.285 73.789439) + (xy 189.285 73.585561) (xy 189.245226 73.385602) (xy 189.167205 73.197244) (xy 189.053937 73.027726) (xy 188.909774 72.883563) + (xy 188.740256 72.770295) (xy 188.551898 72.692274) (xy 188.351939 72.6525) (xy 188.148061 72.6525) (xy 187.974611 72.687001) + (xy 187.974611 63.172866) (xy 187.986842 63.177932) (xy 188.27374 63.235) (xy 188.56626 63.235) (xy 188.853158 63.177932) + (xy 189.123411 63.06599) (xy 189.366632 62.903475) (xy 189.573475 62.696632) (xy 189.69 62.52224) (xy 189.806525 62.696632) + (xy 190.013368 62.903475) (xy 190.256589 63.06599) (xy 190.526842 63.177932) (xy 190.81374 63.235) (xy 191.10626 63.235) + (xy 191.393158 63.177932) (xy 191.663411 63.06599) (xy 191.906632 62.903475) (xy 192.113475 62.696632) (xy 192.23 62.52224) + (xy 192.346525 62.696632) (xy 192.553368 62.903475) (xy 192.796589 63.06599) (xy 193.066842 63.177932) (xy 193.35374 63.235) + (xy 193.64626 63.235) (xy 193.933158 63.177932) (xy 194.203411 63.06599) (xy 194.446632 62.903475) (xy 194.653475 62.696632) + (xy 194.77 62.52224) (xy 194.886525 62.696632) (xy 195.093368 62.903475) (xy 195.336589 63.06599) (xy 195.606842 63.177932) + (xy 195.89374 63.235) (xy 196.18626 63.235) (xy 196.473158 63.177932) (xy 196.743411 63.06599) (xy 196.986632 62.903475) + (xy 197.193475 62.696632) (xy 197.31 62.52224) + ) + ) (filled_polygon (pts (xy 142.55374 63.235) (xy 142.84626 63.235) (xy 142.949398 63.214485) (xy 135.400411 70.763472) (xy 135.373275 70.785742) @@ -15305,41 +15066,6 @@ (xy 142.247034 63.194201) (xy 142.266855 63.177935) ) ) - (filled_polygon - (pts - (xy 197.426525 62.696632) (xy 197.633368 62.903475) (xy 197.876589 63.06599) (xy 198.146842 63.177932) (xy 198.43374 63.235) - (xy 198.72626 63.235) (xy 199.013158 63.177932) (xy 199.283411 63.06599) (xy 199.288801 63.062389) (xy 199.2888 93.699417) - (xy 199.101178 93.824782) (xy 198.904782 94.021178) (xy 198.750475 94.252115) (xy 198.73 94.301546) (xy 198.709525 94.252115) - (xy 198.555218 94.021178) (xy 198.358822 93.824782) (xy 198.127885 93.670475) (xy 197.871282 93.564186) (xy 197.598873 93.51) - (xy 197.321127 93.51) (xy 197.048718 93.564186) (xy 196.792115 93.670475) (xy 196.561178 93.824782) (xy 196.364782 94.021178) - (xy 196.210475 94.252115) (xy 196.19 94.301546) (xy 196.169525 94.252115) (xy 196.015218 94.021178) (xy 195.818822 93.824782) - (xy 195.587885 93.670475) (xy 195.331282 93.564186) (xy 195.058873 93.51) (xy 194.781127 93.51) (xy 194.508718 93.564186) - (xy 194.252115 93.670475) (xy 194.230377 93.685) (xy 193.069623 93.685) (xy 193.047885 93.670475) (xy 192.791282 93.564186) - (xy 192.518873 93.51) (xy 192.241127 93.51) (xy 191.968718 93.564186) (xy 191.712115 93.670475) (xy 191.481178 93.824782) - (xy 191.326199 93.979761) (xy 191.326199 89.849927) (xy 191.329639 89.814999) (xy 191.326199 89.78007) (xy 191.326199 89.780063) - (xy 191.315908 89.675579) (xy 191.275241 89.541518) (xy 191.209201 89.417966) (xy 191.120326 89.309672) (xy 191.09319 89.287402) - (xy 186.5112 84.705413) (xy 186.5112 84.627511) (xy 186.603937 84.534774) (xy 186.717205 84.365256) (xy 186.795226 84.176898) - (xy 186.835 83.976939) (xy 186.835 83.773061) (xy 186.795226 83.573102) (xy 186.717205 83.384744) (xy 186.603937 83.215226) - (xy 186.459774 83.071063) (xy 186.290256 82.957795) (xy 186.101898 82.879774) (xy 185.901939 82.84) (xy 185.698061 82.84) - (xy 185.498102 82.879774) (xy 185.309744 82.957795) (xy 185.140226 83.071063) (xy 184.996063 83.215226) (xy 184.882795 83.384744) - (xy 184.843448 83.479737) (xy 184.809774 83.446063) (xy 184.718148 83.384841) (xy 187.741606 80.361384) (xy 187.768737 80.339118) - (xy 187.791004 80.311986) (xy 187.791008 80.311982) (xy 187.857613 80.230824) (xy 187.882243 80.184744) (xy 187.923653 80.107272) - (xy 187.96432 79.973211) (xy 187.974611 79.868727) (xy 187.974611 79.868718) (xy 187.978051 79.833792) (xy 187.974611 79.798866) - (xy 187.974611 74.687999) (xy 188.148061 74.7225) (xy 188.351939 74.7225) (xy 188.551898 74.682726) (xy 188.740256 74.604705) - (xy 188.909774 74.491437) (xy 189.053937 74.347274) (xy 189.167205 74.177756) (xy 189.245226 73.989398) (xy 189.285 73.789439) - (xy 189.285 73.585561) (xy 189.245226 73.385602) (xy 189.167205 73.197244) (xy 189.053937 73.027726) (xy 188.909774 72.883563) - (xy 188.740256 72.770295) (xy 188.551898 72.692274) (xy 188.351939 72.6525) (xy 188.148061 72.6525) (xy 187.974611 72.687001) - (xy 187.974611 63.172866) (xy 187.986842 63.177932) (xy 188.27374 63.235) (xy 188.56626 63.235) (xy 188.853158 63.177932) - (xy 189.123411 63.06599) (xy 189.366632 62.903475) (xy 189.573475 62.696632) (xy 189.69 62.52224) (xy 189.806525 62.696632) - (xy 190.013368 62.903475) (xy 190.256589 63.06599) (xy 190.526842 63.177932) (xy 190.81374 63.235) (xy 191.10626 63.235) - (xy 191.393158 63.177932) (xy 191.663411 63.06599) (xy 191.906632 62.903475) (xy 192.113475 62.696632) (xy 192.23 62.52224) - (xy 192.346525 62.696632) (xy 192.553368 62.903475) (xy 192.796589 63.06599) (xy 193.066842 63.177932) (xy 193.35374 63.235) - (xy 193.64626 63.235) (xy 193.933158 63.177932) (xy 194.203411 63.06599) (xy 194.446632 62.903475) (xy 194.653475 62.696632) - (xy 194.77 62.52224) (xy 194.886525 62.696632) (xy 195.093368 62.903475) (xy 195.336589 63.06599) (xy 195.606842 63.177932) - (xy 195.89374 63.235) (xy 196.18626 63.235) (xy 196.473158 63.177932) (xy 196.743411 63.06599) (xy 196.986632 62.903475) - (xy 197.193475 62.696632) (xy 197.31 62.52224) - ) - ) (filled_polygon (pts (xy 176.087402 51.693191) (xy 176.087401 57.768988) (xy 175.86626 57.725) (xy 175.57374 57.725) (xy 175.286842 57.782068) @@ -15378,7 +15104,7 @@ (xy 167.973 61.623) (xy 167.973 59.337) (xy 167.953 59.337) (xy 167.953 59.083) (xy 167.973 59.083) (xy 167.973 57.889845) (xy 167.74311 57.768524) (xy 167.595901 57.813175) (xy 167.349801 57.930395) (xy 167.349801 43.813526) (xy 167.353241 43.7786) (xy 167.349801 43.743674) (xy 167.349801 43.743665) (xy 167.33951 43.639181) (xy 167.298843 43.50512) - (xy 167.232803 43.381568) (xy 167.211424 43.355518) (xy 167.166198 43.300409) (xy 167.166194 43.300405) (xy 167.143928 43.273274) + (xy 167.232803 43.381568) (xy 167.2223 43.36877) (xy 167.166198 43.300409) (xy 167.166194 43.300405) (xy 167.143928 43.273274) (xy 167.116797 43.251008) (xy 155.350787 31.485) (xy 155.54626 31.485) (xy 155.823972 31.429759) ) ) diff --git a/nubus-to-ztex/nubus-to-ztex.kicad_pcb-bak b/nubus-to-ztex/nubus-to-ztex.kicad_pcb-bak index 1f53728..9136a00 100644 --- a/nubus-to-ztex/nubus-to-ztex.kicad_pcb-bak +++ b/nubus-to-ztex/nubus-to-ztex.kicad_pcb-bak @@ -3,7 +3,7 @@ (general (thickness 1.6) (drawings 125) - (tracks 3438) + (tracks 3453) (zones 0) (modules 98) (nets 208) @@ -57,8 +57,8 @@ (mod_edge_width 0.15) (mod_text_size 1 1) (mod_text_width 0.15) - (pad_size 1.06 0.65) - (pad_drill 0) + (pad_size 4.4 4.4) + (pad_drill 2.2) (pad_to_mask_clearance 0.051) (solder_mask_min_width 0.25) (aux_axis_origin 0 0) @@ -530,6 +530,123 @@ (add_net SHIELD) ) + (module Resistor_SMD:R_2512_6332Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 6375A00E) + (at 268.8375 46.93 180) + (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61B62C00/641A2763) + (attr smd) + (fp_text reference R9 (at 0 -2.62) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value "1M 500V 2512" (at 0 2.62) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -2.177064 -1.71) (end 2.177064 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.177064 1.71) (end 2.177064 1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.82 1.92) (end -3.82 -1.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.82 -1.92) (end 3.82 -1.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.82 -1.92) (end 3.82 1.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.82 1.92) (end -3.82 1.92) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 2 smd roundrect (at 2.9625 0 180) (size 1.225 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.204082) + (net 1 GND)) + (pad 1 smd roundrect (at -2.9625 0 180) (size 1.225 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.204082) + (net 116 SHIELD)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric (layer F.Cu) (tedit 5F68FEEE) (tstamp 637593F7) + (at 270.85 51 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags capacitor) + (path /61B62C00/641A275A) + (attr smd) + (fp_text reference C7 (at 0 -1.68) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value "10nF 1kV 0805" (at 0 1.68) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.7 0.98) (end -1.7 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -0.98) (end 1.7 -0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -0.98) (end 1.7 0.98) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 0.98) (end -1.7 0.98) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 2 smd roundrect (at 0.95 0 180) (size 1 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.95 0 180) (size 1 1.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 116 SHIELD)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module For_SeeedStudio:SAMTEC_TSM-106-01-L-SH (layer F.Cu) (tedit 6370A91F) (tstamp 618E3CB2) + (at 117.856 27.178 90) + (path /618E8C75/5F69129B) + (fp_text reference J3 (at -5.445 -8.995 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x06_Male (at 5.35 8.735 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -8.27 5.28) (end -8.17 5.28) (layer F.Fab) (width 0.2)) + (fp_circle (center -8.27 5.28) (end -8.17 5.28) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.87 7.12) (end -7.87 7.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.87 -7.36) (end 7.87 7.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.87 -7.36) (end 7.87 -7.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.87 7.12) (end -7.87 -7.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.62 1.27) (end -7.62 1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 -1.27) (end -7.62 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 -1.27) (end 7.62 1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start -7.62 1.27) (end -7.62 -1.27) (layer F.SilkS) (width 0.2)) + (fp_line (start 7.62 -7.11) (end 7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 -7.11) (end 7.62 -7.11) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 1.27) (end -7.62 -7.11) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 1.27) (end -7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 -1.27) (end 7.62 1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 -1.27) (end 7.62 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 1.27) (end -7.62 -1.27) (layer F.Fab) (width 0.1)) + (pad 6 smd rect (at 6.35 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 176 "Net-(J3-Pad6)")) + (pad 5 smd rect (at 3.81 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 129 LED0)) + (pad 4 smd rect (at 1.27 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 132 LED1)) + (pad 3 smd rect (at -1.27 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 177 "Net-(J3-Pad3)")) + (pad 2 smd rect (at -3.81 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 178 "Net-(J3-Pad2)")) + (pad 1 smd rect (at -6.35 5.28 90) (size 1.27 3.18) (layers F.Cu F.Paste F.Mask) + (net 1 GND)) + (model ${KIPRJMOD}/TSM-106-01-L-SH.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 180)) + ) + ) + (module For_SeeedStudio:litex_logo (layer F.Cu) (tedit 0) (tstamp 63737A6E) (at 230.73 77) (path /637CDFF9) @@ -539,74 +656,207 @@ (fp_text value LogoLitex (at 0.75 0) (layer F.SilkS) hide (effects (font (size 1.524 1.524) (thickness 0.3))) ) - (fp_poly (pts (xy -5.283306 -6.415448) (xy -5.212593 -6.404197) (xy -5.130192 -6.380056) (xy -5.026892 -6.338406) - (xy -4.89348 -6.274629) (xy -4.720746 -6.184106) (xy -4.499476 -6.062217) (xy -4.220461 -5.904346) - (xy -3.874487 -5.705872) (xy -3.504557 -5.492359) (xy -3.090181 -5.252306) (xy -2.752654 -5.055163) - (xy -2.483863 -4.895614) (xy -2.275697 -4.768345) (xy -2.120045 -4.668041) (xy -2.008794 -4.589387) - (xy -1.933833 -4.527069) (xy -1.887051 -4.47577) (xy -1.860335 -4.430177) (xy -1.852669 -4.410016) - (xy -1.826374 -4.280572) (xy -1.846708 -4.165571) (xy -1.923262 -4.053106) (xy -2.065627 -3.931267) - (xy -2.283391 -3.788148) (xy -2.417885 -3.708181) (xy -2.658369 -3.572326) (xy -2.838975 -3.484371) - (xy -2.981511 -3.438635) (xy -3.107786 -3.429437) (xy -3.239609 -3.451095) (xy -3.319618 -3.473272) - (xy -3.427043 -3.498358) (xy -3.5195 -3.493073) (xy -3.630554 -3.449944) (xy -3.775703 -3.371712) - (xy -3.922727 -3.28593) (xy -3.998056 -3.228218) (xy -4.016007 -3.180327) (xy -3.9909 -3.124008) - (xy -3.981503 -3.109412) (xy -3.941789 -3.017007) (xy -3.953945 -2.926333) (xy -4.025984 -2.828847) - (xy -4.165919 -2.716008) (xy -4.381761 -2.579273) (xy -4.590288 -2.46033) (xy -4.876994 -2.307719) - (xy -5.102732 -2.207478) (xy -5.287208 -2.156882) (xy -5.450128 -2.153204) (xy -5.611197 -2.193718) - (xy -5.790122 -2.275699) (xy -5.818028 -2.290497) (xy -5.924814 -2.349518) (xy -6.103624 -2.450355) - (xy -6.341944 -2.585866) (xy -6.62726 -2.748906) (xy -6.947059 -2.932332) (xy -7.288827 -3.129) - (xy -7.472287 -3.23483) (xy -7.854324 -3.455933) (xy -8.160093 -3.634613) (xy -8.39827 -3.7767) - (xy -8.577531 -3.888022) (xy -8.706552 -3.974411) (xy -8.794008 -4.041694) (xy -8.848575 -4.095703) - (xy -8.87893 -4.142267) (xy -8.893748 -4.187216) (xy -8.89442 -4.190415) (xy -8.899658 -4.3359) - (xy -8.87012 -4.454242) (xy -8.810354 -4.511487) (xy -8.667349 -4.613073) (xy -8.443978 -4.757207) - (xy -8.143114 -4.942096) (xy -7.76763 -5.165944) (xy -7.3204 -5.42696) (xy -7.206644 -5.492665) - (xy -6.792923 -5.730835) (xy -6.454424 -5.924418) (xy -6.181956 -6.078002) (xy -5.966326 -6.196174) - (xy -5.798344 -6.283521) (xy -5.668816 -6.344629) (xy -5.568552 -6.384088) (xy -5.488359 -6.406482) - (xy -5.419045 -6.416399) (xy -5.351543 -6.418428) (xy -5.283306 -6.415448)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.34064 -3.736295) (xy 0.341923 -3.697654) (xy 0.362245 -3.596048) (xy 0.4323 -3.56578) - (xy 0.434389 -3.565769) (xy 0.532936 -3.52795) (xy 0.579659 -3.433533) (xy 0.570606 -3.31108) - (xy 0.501825 -3.189152) (xy 0.488461 -3.175) (xy 0.388525 -3.108872) (xy 0.27404 -3.077111) - (xy 0.174014 -3.08067) (xy 0.117451 -3.120501) (xy 0.116615 -3.162788) (xy 0.138491 -3.244274) - (xy 0.24423 -3.244274) (xy 0.275076 -3.184031) (xy 0.34466 -3.184039) (xy 0.418551 -3.239816) - (xy 0.437896 -3.269481) (xy 0.484681 -3.392575) (xy 0.458163 -3.455915) (xy 0.395995 -3.468077) - (xy 0.300269 -3.425051) (xy 0.249266 -3.308456) (xy 0.24423 -3.244274) (xy 0.138491 -3.244274) - (xy 0.142455 -3.259036) (xy 0.179463 -3.407593) (xy 0.199817 -3.4925) (xy 0.246895 -3.661533) - (xy 0.289993 -3.76419) (xy 0.323209 -3.791951) (xy 0.34064 -3.736295)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.770125 -3.516205) (xy 0.791697 -3.382596) (xy 0.807754 -3.199423) (xy 0.901385 -3.382596) - (xy 0.967847 -3.495843) (xy 1.022235 -3.560194) (xy 1.034816 -3.565769) (xy 1.065703 -3.557073) - (xy 1.066149 -3.518543) (xy 1.030936 -3.431516) (xy 0.95485 -3.27733) (xy 0.9534 -3.274469) - (xy 0.844083 -3.094411) (xy 0.729649 -2.964698) (xy 0.623932 -2.896287) (xy 0.540765 -2.900134) - (xy 0.515042 -2.927277) (xy 0.533139 -2.967567) (xy 0.59764 -2.988335) (xy 0.659604 -3.005201) - (xy 0.690345 -3.049441) (xy 0.697631 -3.145651) (xy 0.691291 -3.284904) (xy 0.692017 -3.445331) - (xy 0.711219 -3.543021) (xy 0.740165 -3.569477) (xy 0.770125 -3.516205)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.390769 -2.466731) (xy 0.366346 -2.442308) (xy 0.341923 -2.466731) (xy 0.366346 -2.491154) - (xy 0.390769 -2.466731)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.514231 -2.173654) (xy -1.538654 -2.149231) (xy -1.563077 -2.173654) (xy -1.538654 -2.198077) - (xy -1.514231 -2.173654)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 1.948085 -2.402639) (xy 1.953846 -2.367505) (xy 1.993416 -2.286311) (xy 2.028362 -2.264109) - (xy 2.075702 -2.223475) (xy 2.04733 -2.168584) (xy 2.017486 -2.095563) (xy 2.023742 -1.977305) - (xy 2.067717 -1.793843) (xy 2.081572 -1.74625) (xy 2.084653 -1.673522) (xy 2.046367 -1.665332) - (xy 1.991247 -1.714105) (xy 1.952637 -1.786064) (xy 1.915947 -1.916965) (xy 1.905 -2.005872) - (xy 1.874646 -2.080472) (xy 1.782884 -2.100385) (xy 1.683482 -2.11981) (xy 1.668209 -2.163043) - (xy 1.741991 -2.207514) (xy 1.758461 -2.21223) (xy 1.841154 -2.277694) (xy 1.856153 -2.340042) - (xy 1.875786 -2.421866) (xy 1.905 -2.442308) (xy 1.948085 -2.402639)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 1.506497 -1.962427) (xy 1.542341 -1.811184) (xy 1.549565 -1.71149) (xy 1.530405 -1.676678) - (xy 1.487097 -1.720081) (xy 1.470642 -1.748637) (xy 1.432407 -1.878914) (xy 1.427441 -2.017161) - (xy 1.444197 -2.173654) (xy 1.506497 -1.962427)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 0.470228 -2.095282) (xy 0.512137 -1.997756) (xy 0.533861 -1.922875) (xy 0.568593 -1.756033) - (xy 0.56603 -1.679462) (xy 0.526168 -1.693138) (xy 0.502295 -1.720646) (xy 0.456318 -1.81426) - (xy 0.425054 -1.938559) (xy 0.415098 -2.053855) (xy 0.433042 -2.120459) (xy 0.435569 -2.122307) - (xy 0.470228 -2.095282)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.099072 -2.029991) (xy -1.033039 -1.888805) (xy -1.049792 -1.765863) (xy -1.140015 -1.68317) - (xy -1.255347 -1.660769) (xy -1.342926 -1.68485) (xy -1.367674 -1.773441) (xy -1.367693 -1.777473) - (xy -1.354581 -1.828848) (xy -1.27 -1.828848) (xy -1.249704 -1.729659) (xy -1.193283 -1.720377) - (xy -1.123462 -1.782885) (xy -1.087368 -1.861344) (xy -1.123016 -1.928885) (xy -1.197337 -1.978575) - (xy -1.250703 -1.939845) (xy -1.27 -1.828848) (xy -1.354581 -1.828848) (xy -1.336544 -1.899517) - (xy -1.270033 -2.009492) (xy -1.198997 -2.085859) (xy -1.153893 -2.091064) (xy -1.099072 -2.029991)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.974164 -1.892996) (xy -1.86199 -1.860403) (xy -1.781063 -1.805294) (xy -1.723 -1.714484) - (xy -1.738771 -1.674262) (xy -1.811361 -1.69929) (xy -1.85986 -1.737392) (xy -1.936016 -1.798164) - (xy -1.978471 -1.791923) (xy -2.009125 -1.745233) (xy -2.07029 -1.676694) (xy -2.127698 -1.663704) - (xy -2.149231 -1.70562) (xy -2.165345 -1.78882) (xy -2.175812 -1.81974) (xy -2.161135 -1.873764) - (xy -2.083899 -1.897816) (xy -1.974164 -1.892996)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.081857 -0.378527) (xy -5.08 -0.374254) (xy -5.090827 -0.318845) (xy -5.120105 -0.189413) + (xy -5.163028 -0.006819) (xy -5.202053 0.155638) (xy -5.252274 0.366172) (xy -5.292476 0.540873) + (xy -5.317821 0.658325) (xy -5.324168 0.696058) (xy -5.278434 0.709936) (xy -5.153507 0.721401) + (xy -4.96788 0.729345) (xy -4.740045 0.732658) (xy -4.713654 0.732692) (xy -4.45055 0.732863) + (xy -4.271755 0.739258) (xy -4.163922 0.760701) (xy -4.113702 0.806018) (xy -4.107749 0.884031) + (xy -4.132714 1.003567) (xy -4.157375 1.100452) (xy -4.211672 1.316087) (xy -4.850745 1.329678) + (xy -5.489817 1.343269) (xy -5.724047 2.320192) (xy -5.817431 2.718251) (xy -5.885667 3.033933) + (xy -5.929651 3.279018) (xy -5.950277 3.465286) (xy -5.948442 3.604517) (xy -5.925041 3.708491) + (xy -5.88097 3.788988) (xy -5.839336 3.836643) (xy -5.752512 3.906786) (xy -5.64968 3.943186) + (xy -5.496247 3.955857) (xy -5.425132 3.956539) (xy -5.224249 3.942602) (xy -5.028252 3.906882) + (xy -4.932424 3.877155) (xy -4.806129 3.834649) (xy -4.721308 3.820925) (xy -4.706351 3.825445) + (xy -4.689512 3.886179) (xy -4.676354 4.011837) (xy -4.671743 4.109861) (xy -4.664808 4.366605) + (xy -4.885379 4.449535) (xy -5.133711 4.516708) (xy -5.425771 4.556652) (xy -5.716484 4.565497) + (xy -5.95251 4.54113) (xy -6.225739 4.442195) (xy -6.431123 4.274259) (xy -6.56666 4.04049) + (xy -6.630347 3.744056) (xy -6.628821 3.470945) (xy -6.611243 3.346227) (xy -6.574578 3.148238) + (xy -6.521854 2.889808) (xy -6.456097 2.583768) (xy -6.380334 2.242949) (xy -6.297591 1.880181) + (xy -6.210897 1.508296) (xy -6.123278 1.140124) (xy -6.03776 0.788495) (xy -5.95737 0.46624) + (xy -5.885136 0.186191) (xy -5.824085 -0.038822) (xy -5.777242 -0.195969) (xy -5.747636 -0.272418) + (xy -5.744846 -0.276155) (xy -5.679934 -0.305484) (xy -5.557645 -0.335682) (xy -5.407336 -0.362417) + (xy -5.258364 -0.381357) (xy -5.140086 -0.388171) (xy -5.081857 -0.378527)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.539454 0.705398) (xy -1.223134 0.781352) (xy -0.969655 0.919896) (xy -0.785049 1.115875) + (xy -0.675349 1.364133) (xy -0.646584 1.659514) (xy -0.656471 1.770961) (xy -0.733311 2.081528) + (xy -0.877538 2.34412) (xy -1.093166 2.561097) (xy -1.384209 2.734822) (xy -1.754682 2.867654) + (xy -2.2086 2.961955) (xy -2.749975 3.020086) (xy -2.784231 3.022404) (xy -3.24827 3.052885) + (xy -3.261629 3.248269) (xy -3.233122 3.493587) (xy -3.122302 3.710939) (xy -2.942642 3.87453) + (xy -2.93077 3.881608) (xy -2.755178 3.944691) (xy -2.516231 3.978324) (xy -2.243659 3.982708) + (xy -1.967189 3.958046) (xy -1.71655 3.904539) (xy -1.624121 3.872714) (xy -1.481757 3.822483) + (xy -1.380297 3.79884) (xy -1.347671 3.802879) (xy -1.333326 3.866767) (xy -1.319003 3.993626) + (xy -1.312642 4.079057) (xy -1.308883 4.22822) (xy -1.328894 4.312243) (xy -1.384028 4.362883) + (xy -1.420999 4.382129) (xy -1.654147 4.467212) (xy -1.941842 4.532451) (xy -2.253336 4.574618) + (xy -2.557884 4.590482) (xy -2.824738 4.576813) (xy -2.979616 4.545861) (xy -3.321657 4.400713) + (xy -3.586364 4.196875) (xy -3.774874 3.932733) (xy -3.888325 3.606672) (xy -3.927853 3.217079) + (xy -3.927912 3.199423) (xy -3.888306 2.700987) (xy -3.835689 2.488294) (xy -3.16346 2.488294) + (xy -3.14956 2.519727) (xy -3.090601 2.531023) (xy -2.968238 2.526406) (xy -2.800498 2.51311) + (xy -2.558985 2.486801) (xy -2.302722 2.449109) (xy -2.100385 2.410908) (xy -1.774354 2.30738) + (xy -1.535083 2.160574) (xy -1.396753 1.997389) (xy -1.332554 1.816017) (xy -1.322468 1.612984) + (xy -1.349189 1.491996) (xy -1.436979 1.388591) (xy -1.593443 1.315568) (xy -1.793131 1.277141) + (xy -2.010594 1.277523) (xy -2.220383 1.320928) (xy -2.269966 1.339544) (xy -2.502702 1.480174) + (xy -2.728658 1.694427) (xy -2.929037 1.958975) (xy -3.085042 2.250493) (xy -3.150647 2.432501) + (xy -3.16346 2.488294) (xy -3.835689 2.488294) (xy -3.774079 2.239257) (xy -3.59179 1.822376) + (xy -3.347997 1.458486) (xy -3.049257 1.155732) (xy -2.702131 0.922256) (xy -2.313176 0.7662) + (xy -1.912586 0.69719) (xy -1.539454 0.705398)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 12.135815 -6.997212) (xy 11.990886 -6.783775) (xy 11.791618 -6.505798) (xy 11.546236 -6.173771) + (xy 11.262966 -5.798183) (xy 10.950034 -5.389524) (xy 10.615664 -4.958283) (xy 10.268082 -4.514951) + (xy 9.915513 -4.070017) (xy 9.566184 -3.633971) (xy 9.228318 -3.217302) (xy 8.910143 -2.830501) + (xy 8.619882 -2.484056) (xy 8.365762 -2.188458) (xy 8.359147 -2.180902) (xy 8.171157 -1.964072) + (xy 8.007745 -1.771393) (xy 7.87907 -1.615193) (xy 7.795293 -1.507796) (xy 7.766538 -1.461964) + (xy 7.785379 -1.407576) (xy 7.838474 -1.275968) (xy 7.920685 -1.079315) (xy 8.026871 -0.829796) + (xy 8.151892 -0.539586) (xy 8.285055 -0.233562) (xy 8.446412 0.138854) (xy 8.61445 0.532556) + (xy 8.77894 0.923161) (xy 8.929651 1.286286) (xy 9.056353 1.597549) (xy 9.11062 1.734039) + (xy 9.203974 1.975983) (xy 9.311182 2.260989) (xy 9.427466 2.575622) (xy 9.548048 2.906447) + (xy 9.66815 3.240029) (xy 9.782995 3.562934) (xy 9.887805 3.861726) (xy 9.977803 4.122971) + (xy 10.048211 4.333233) (xy 10.094251 4.479078) (xy 10.111146 4.547071) (xy 10.111153 4.547578) + (xy 10.063703 4.56025) (xy 9.926869 4.570239) (xy 9.70893 4.577352) (xy 9.418163 4.581399) + (xy 9.062846 4.582188) (xy 8.707782 4.580076) (xy 7.304411 4.567115) (xy 7.113841 4.029808) + (xy 6.91749 3.477785) (xy 6.748485 3.006456) (xy 6.602448 2.604116) (xy 6.475002 2.259062) + (xy 6.361767 1.959587) (xy 6.258367 1.693989) (xy 6.160422 1.450564) (xy 6.063556 1.217605) + (xy 6.04956 1.184519) (xy 5.945957 0.945346) (xy 5.85347 0.741643) (xy 5.779434 0.588811) + (xy 5.73118 0.502249) (xy 5.718132 0.488462) (xy 5.681198 0.525167) (xy 5.588283 0.630692) + (xy 5.445151 0.798153) (xy 5.257569 1.020665) (xy 5.031299 1.291342) (xy 4.772108 1.6033) + (xy 4.485758 1.949654) (xy 4.178016 2.323519) (xy 4.000963 2.539311) (xy 2.320192 4.59016) + (xy 0.83737 4.590849) (xy 0.409842 4.590426) (xy 0.070833 4.588436) (xy -0.188824 4.584466) + (xy -0.378294 4.578098) (xy -0.506744 4.568917) (xy -0.583342 4.556507) (xy -0.617252 4.540452) + (xy -0.619823 4.524748) (xy -0.586486 4.480731) (xy -0.496146 4.368575) (xy -0.354465 4.195088) + (xy -0.167104 3.967075) (xy 0.060274 3.691343) (xy 0.322007 3.374699) (xy 0.612432 3.023949) + (xy 0.925887 2.645899) (xy 1.256711 2.247357) (xy 1.59924 1.835128) (xy 1.947812 1.41602) + (xy 2.296766 0.996837) (xy 2.640438 0.584388) (xy 2.973167 0.185479) (xy 3.28929 -0.193084) + (xy 3.583145 -0.544495) (xy 3.84907 -0.861947) (xy 4.081402 -1.138633) (xy 4.274479 -1.367747) + (xy 4.42264 -1.542482) (xy 4.52022 -1.656032) (xy 4.54857 -1.688062) (xy 4.566786 -1.710701) + (xy 4.578641 -1.73811) (xy 4.58138 -1.777211) (xy 4.572252 -1.834924) (xy 4.548503 -1.91817) + (xy 4.507382 -2.033868) (xy 4.446135 -2.18894) (xy 4.36201 -2.390305) (xy 4.252254 -2.644885) + (xy 4.114115 -2.9596) (xy 3.94484 -3.341371) (xy 3.741676 -3.797117) (xy 3.50187 -4.33376) + (xy 3.450851 -4.44787) (xy 2.26184 -7.107115) (xy 3.702745 -7.120065) (xy 4.067833 -7.122675) + (xy 4.400265 -7.123765) (xy 4.687772 -7.123398) (xy 4.918087 -7.121635) (xy 5.078943 -7.118539) + (xy 5.158073 -7.114173) (xy 5.164046 -7.11262) (xy 5.18572 -7.064057) (xy 5.238731 -6.934406) + (xy 5.319118 -6.733669) (xy 5.422915 -6.47185) (xy 5.546162 -6.158952) (xy 5.684894 -5.804977) + (xy 5.83515 -5.419929) (xy 5.863759 -5.346432) (xy 6.041514 -4.89004) (xy 6.187398 -4.516807) + (xy 6.304754 -4.218768) (xy 6.396924 -3.987955) (xy 6.467249 -3.816404) (xy 6.51907 -3.696147) + (xy 6.55573 -3.619218) (xy 6.580571 -3.577651) (xy 6.596932 -3.563479) (xy 6.608158 -3.568737) + (xy 6.608993 -3.56984) (xy 6.644182 -3.613895) (xy 6.727503 -3.716727) (xy 6.845265 -3.861471) + (xy 6.942708 -3.980961) (xy 7.391543 -4.541205) (xy 7.878215 -5.167849) (xy 8.389656 -5.843913) + (xy 8.737682 -6.313365) (xy 9.33943 -7.131538) (xy 12.222774 -7.131538) (xy 12.135815 -6.997212)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -10.720287 -0.478918) (xy -10.710481 -0.478509) (xy -10.369965 -0.464038) (xy -10.87305 1.611923) + (xy -10.978643 2.049322) (xy -11.076822 2.459241) (xy -11.165216 2.831544) (xy -11.241452 3.156089) + (xy -11.30316 3.42274) (xy -11.347968 3.621356) (xy -11.373504 3.7418) (xy -11.378645 3.773365) + (xy -11.373038 3.801311) (xy -11.346458 3.822432) (xy -11.287052 3.837675) (xy -11.182969 3.847982) + (xy -11.022357 3.854301) (xy -10.793364 3.857575) (xy -10.484138 3.85875) (xy -10.306539 3.858846) + (xy -9.938172 3.860115) (xy -9.658086 3.864277) (xy -9.456897 3.871865) (xy -9.325224 3.883414) + (xy -9.253682 3.899456) (xy -9.232866 3.919904) (xy -9.245032 3.999919) (xy -9.27503 4.136434) + (xy -9.297098 4.225192) (xy -9.360388 4.469423) (xy -10.810386 4.482362) (xy -11.175453 4.484987) + (xy -11.50681 4.486157) (xy -11.792456 4.485926) (xy -12.020391 4.484348) (xy -12.178611 4.481478) + (xy -12.255116 4.477371) (xy -12.260385 4.47575) (xy -12.249339 4.422357) (xy -12.217933 4.285356) + (xy -12.168769 4.075544) (xy -12.104447 3.803717) (xy -12.027568 3.48067) (xy -11.940731 3.1172) + (xy -11.846539 2.724102) (xy -11.747591 2.312173) (xy -11.646488 1.892209) (xy -11.545831 1.475006) + (xy -11.44822 1.07136) (xy -11.356256 0.692066) (xy -11.27254 0.347921) (xy -11.199671 0.049722) + (xy -11.140251 -0.191737) (xy -11.09688 -0.365659) (xy -11.072159 -0.461247) (xy -11.067464 -0.476511) + (xy -11.014178 -0.48276) (xy -10.889675 -0.483612) (xy -10.720287 -0.478918)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -7.256069 0.734992) (xy -7.133946 0.741043) (xy -7.08296 0.749576) (xy -7.082693 0.750273) + (xy -7.093933 0.806429) (xy -7.125595 0.94444) (xy -7.174586 1.151694) (xy -7.237818 1.415582) + (xy -7.312199 1.723495) (xy -7.394639 2.062822) (xy -7.482048 2.420954) (xy -7.571334 2.785282) + (xy -7.659409 3.143194) (xy -7.74318 3.482082) (xy -7.819558 3.789335) (xy -7.885453 4.052345) + (xy -7.937773 4.258501) (xy -7.973429 4.395193) (xy -7.989329 4.449811) (xy -7.989436 4.449998) + (xy -8.047032 4.472868) (xy -8.165949 4.487192) (xy -8.315645 4.492873) (xy -8.465579 4.489816) + (xy -8.585211 4.477925) (xy -8.643999 4.457104) (xy -8.64577 4.452055) (xy -8.63467 4.396743) + (xy -8.603033 4.257068) (xy -8.553356 4.043607) (xy -8.488133 3.766934) (xy -8.409859 3.437626) + (xy -8.321031 3.066259) (xy -8.224142 2.663409) (xy -8.206154 2.588846) (xy -8.108221 2.182651) + (xy -8.017876 1.807087) (xy -7.937605 1.472548) (xy -7.869891 1.189426) (xy -7.81722 0.968115) + (xy -7.782077 0.819008) (xy -7.766946 0.752498) (xy -7.766539 0.75006) (xy -7.721815 0.741499) + (xy -7.60412 0.735296) (xy -7.438169 0.732706) (xy -7.424616 0.732692) (xy -7.256069 0.734992)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 3.321538 -1.081122) (xy 3.279478 -1.015456) (xy 3.167124 -0.925405) (xy 3.005217 -0.822033) + (xy 2.814497 -0.716405) (xy 2.615704 -0.619584) (xy 2.42958 -0.542635) (xy 2.276865 -0.49662) + (xy 2.210535 -0.488461) (xy 2.113707 -0.466182) (xy 1.98014 -0.410714) (xy 1.941088 -0.390769) + (xy 1.802491 -0.32857) (xy 1.683777 -0.29499) (xy 1.660946 -0.293077) (xy 1.581464 -0.273442) + (xy 1.563076 -0.246134) (xy 1.523404 -0.1687) (xy 1.422143 -0.0717) (xy 1.28593 0.022478) + (xy 1.178553 0.077079) (xy 1.01828 0.135555) (xy 0.938423 0.141618) (xy 0.928076 0.124401) + (xy 0.968436 0.093431) (xy 1.070789 0.040105) (xy 1.135673 0.010224) (xy 1.323402 -0.090905) + (xy 1.427036 -0.197129) (xy 1.4596 -0.324103) (xy 1.456702 -0.375604) (xy 1.437266 -0.434389) + (xy 1.611923 -0.434389) (xy 1.650217 -0.398877) (xy 1.732538 -0.393268) (xy 1.810046 -0.417149) + (xy 1.829644 -0.43624) (xy 1.82463 -0.499355) (xy 1.812512 -0.509668) (xy 1.73371 -0.518576) + (xy 1.649335 -0.486174) (xy 1.611923 -0.434389) (xy 1.437266 -0.434389) (xy 1.423488 -0.476058) + (xy 1.335447 -0.559075) (xy 1.223015 -0.622788) (xy 1.042401 -0.707755) (xy 0.926376 -0.736986) + (xy 0.861858 -0.700671) (xy 0.835763 -0.588998) (xy 0.835006 -0.392154) (xy 0.837058 -0.333346) + (xy 0.839083 -0.099966) (xy 0.823732 0.039067) (xy 0.796303 0.08463) (xy 0.759536 0.05233) + (xy 0.726881 -0.053631) (xy 0.701937 -0.209224) (xy 0.688305 -0.39042) (xy 0.689583 -0.573189) + (xy 0.692169 -0.610577) (xy 0.708269 -0.805961) (xy 0.928076 -0.789398) (xy 1.113539 -0.756519) + (xy 1.311173 -0.694354) (xy 1.367692 -0.670305) (xy 1.536367 -0.596608) (xy 1.633589 -0.569004) + (xy 1.67288 -0.58539) (xy 1.67298 -0.622788) (xy 1.691902 -0.675791) (xy 1.762485 -0.676034) + (xy 1.856998 -0.62768) (xy 1.902879 -0.588274) (xy 1.963783 -0.536009) (xy 2.028295 -0.516812) + (xy 2.127574 -0.528622) (xy 2.271694 -0.563874) (xy 2.529183 -0.643258) (xy 2.753185 -0.744902) + (xy 2.985556 -0.889233) (xy 3.089519 -0.96298) (xy 3.211805 -1.044288) (xy 3.29587 -1.085539) + (xy 3.321538 -1.081122)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -6.977282 -0.785783) (xy -6.84909 -0.696518) (xy -6.767299 -0.561043) (xy -6.743749 -0.396313) + (xy -6.790278 -0.219287) (xy -6.867227 -0.10144) (xy -6.972836 0.000275) (xy -7.089239 0.042556) + (xy -7.20158 0.048846) (xy -7.388831 0.023012) (xy -7.484537 -0.036635) (xy -7.576605 -0.207614) + (xy -7.58688 -0.396081) (xy -7.521078 -0.576102) (xy -7.384919 -0.721744) (xy -7.325511 -0.757846) + (xy -7.140035 -0.811878) (xy -6.977282 -0.785783)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.229567 -1.253855) (xy -0.296835 -1.176065) (xy -0.395344 -1.052623) (xy -0.435125 -0.91686) + (xy -0.439616 -0.818233) (xy -0.403761 -0.611209) (xy -0.296003 -0.469696) (xy -0.116053 -0.393546) + (xy 0.136377 -0.382609) (xy 0.346093 -0.41167) (xy 0.447249 -0.427575) (xy 0.463364 -0.416741) + (xy 0.424989 -0.389115) (xy 0.283876 -0.338562) (xy 0.090164 -0.313507) (xy -0.114022 -0.315549) + (xy -0.286557 -0.346286) (xy -0.32441 -0.360683) (xy -0.456616 -0.469526) (xy -0.536911 -0.63396) + (xy -0.563566 -0.825757) (xy -0.534853 -1.01669) (xy -0.449042 -1.17853) (xy -0.3785 -1.243789) + (xy -0.263011 -1.309912) (xy -0.210558 -1.310938) (xy -0.229567 -1.253855)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.905989 -2.024087) (xy -0.83269 -1.944563) (xy -0.746067 -1.837587) (xy -0.687127 -1.947717) + (xy -0.629467 -2.021365) (xy -0.570206 -2.00953) (xy -0.564413 -2.004918) (xy -0.507282 -1.918153) + (xy -0.453417 -1.776133) (xy -0.414086 -1.619312) (xy -0.400559 -1.488145) (xy -0.407785 -1.442652) + (xy -0.466022 -1.38478) (xy -0.551093 -1.36996) (xy -0.619708 -1.398807) (xy -0.635 -1.439428) + (xy -0.602637 -1.488707) (xy -0.561731 -1.483049) (xy -0.50642 -1.496196) (xy -0.48766 -1.573296) + (xy -0.5075 -1.686905) (xy -0.540715 -1.764827) (xy -0.593887 -1.830245) (xy -0.65496 -1.810817) + (xy -0.662586 -1.804683) (xy -0.735236 -1.774853) (xy -0.829677 -1.811364) (xy -0.854564 -1.827079) + (xy -0.942532 -1.906841) (xy -0.976924 -1.979395) (xy -0.95987 -2.044411) (xy -0.905989 -2.024087)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.007435 -2.269541) (xy 1.155683 -2.168496) (xy 1.243335 -1.992348) (xy 1.269279 -1.773443) + (xy 1.236638 -1.584506) (xy 1.139654 -1.4683) (xy 0.982103 -1.427531) (xy 0.818173 -1.450209) + (xy 0.739597 -1.48587) (xy 0.745536 -1.517391) (xy 0.823436 -1.529593) (xy 0.879678 -1.524584) + (xy 1.041025 -1.531456) (xy 1.135295 -1.609331) (xy 1.162552 -1.75828) (xy 1.157057 -1.821527) + (xy 1.129704 -1.972877) (xy 1.093701 -2.033271) (xy 1.038033 -2.009416) (xy 0.97197 -1.934221) + (xy 0.85543 -1.833606) (xy 0.76369 -1.816861) (xy 0.672117 -1.866992) (xy 0.647679 -1.96756) + (xy 0.653652 -1.985352) (xy 0.781538 -1.985352) (xy 0.786902 -1.921062) (xy 0.819517 -1.926826) + (xy 0.87923 -1.978269) (xy 0.952702 -2.067276) (xy 0.976923 -2.132378) (xy 0.953638 -2.19244) + (xy 0.937846 -2.198077) (xy 0.857365 -2.156602) (xy 0.796242 -2.060277) (xy 0.781538 -1.985352) + (xy 0.653652 -1.985352) (xy 0.691217 -2.097241) (xy 0.749392 -2.17908) (xy 0.839322 -2.26887) + (xy 0.916939 -2.29212) (xy 1.007435 -2.269541)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -2.488343 -2.23016) (xy -2.391581 -2.203421) (xy -2.327389 -2.173704) (xy -2.33768 -2.158921) + (xy -2.432544 -2.153171) (xy -2.478943 -2.152327) (xy -2.611855 -2.1429) (xy -2.672666 -2.111774) + (xy -2.686539 -2.051538) (xy -2.664162 -1.981038) (xy -2.580386 -1.952098) (xy -2.527789 -1.94865) + (xy -2.369039 -1.943454) (xy -2.503366 -1.890374) (xy -2.601136 -1.829856) (xy -2.635756 -1.730381) + (xy -2.637693 -1.678834) (xy -2.63185 -1.576369) (xy -2.595272 -1.536925) (xy -2.49939 -1.539747) + (xy -2.45452 -1.54577) (xy -2.343964 -1.556098) (xy -2.319216 -1.542139) (xy -2.344616 -1.519024) + (xy -2.44381 -1.481793) (xy -2.576635 -1.466133) (xy -2.735385 -1.465385) (xy -2.742712 -1.782885) + (xy -2.747231 -1.956653) (xy -2.751743 -2.094726) (xy -2.754924 -2.161442) (xy -2.717914 -2.212495) + (xy -2.61829 -2.236644) (xy -2.488343 -2.23016)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.462053 -1.921206) (xy -1.431977 -1.841948) (xy -1.423554 -1.711846) (xy -1.425418 -1.679418) + (xy -1.447221 -1.550112) (xy -1.495713 -1.489856) (xy -1.550866 -1.474199) (xy -1.635893 -1.481358) + (xy -1.66077 -1.510833) (xy -1.621261 -1.557163) (xy -1.5875 -1.563077) (xy -1.537677 -1.593812) + (xy -1.516198 -1.696854) (xy -1.514231 -1.768231) (xy -1.506553 -1.895436) (xy -1.481823 -1.933492) + (xy -1.462053 -1.921206)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.04747 -2.523053) (xy 0.051551 -2.489706) (xy 0.171864 -2.377222) (xy 0.251761 -2.211782) + (xy 0.272942 -2.035589) (xy 0.266665 -1.993637) (xy 0.222402 -1.889664) (xy 0.139297 -1.758673) + (xy 0.038061 -1.62706) (xy -0.060593 -1.521226) (xy -0.135951 -1.467569) (xy -0.147809 -1.465385) + (xy -0.173923 -1.509698) (xy -0.20559 -1.626058) (xy -0.236087 -1.789591) (xy -0.236933 -1.795096) + (xy -0.267243 -2.002636) (xy -0.294595 -2.205411) (xy -0.305893 -2.298748) (xy -0.228821 -2.298748) + (xy -0.224047 -2.259135) (xy -0.185544 -1.986319) (xy -0.152286 -1.802656) (xy -0.118237 -1.700262) + (xy -0.077359 -1.671252) (xy -0.023612 -1.707741) (xy 0.04904 -1.801843) (xy 0.08548 -1.854931) + (xy 0.174306 -2.021812) (xy 0.180968 -2.157977) (xy 0.103325 -2.288745) (xy 0.04368 -2.349441) + (xy -0.095376 -2.462582) (xy -0.18471 -2.492668) (xy -0.227975 -2.438467) (xy -0.228821 -2.298748) + (xy -0.305893 -2.298748) (xy -0.309968 -2.332404) (xy -0.320157 -2.462221) (xy -0.302169 -2.52213) + (xy -0.240069 -2.53914) (xy -0.187526 -2.54) (xy -0.04747 -2.523053)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.698165 -2.19296) (xy 2.76483 -2.163923) (xy 2.807113 -2.090433) (xy 2.840599 -1.951957) + (xy 2.860331 -1.843942) (xy 2.867896 -1.715301) (xy 2.842971 -1.661354) (xy 2.801035 -1.689691) + (xy 2.7607 -1.795096) (xy 2.726579 -1.929423) (xy 2.632123 -1.74625) (xy 2.534527 -1.612327) + (xy 2.431759 -1.564356) (xy 2.336741 -1.605361) (xy 2.292575 -1.666737) (xy 2.264862 -1.791101) + (xy 2.395086 -1.791101) (xy 2.396711 -1.636346) (xy 2.492778 -1.751661) (xy 2.563199 -1.873721) + (xy 2.588846 -1.983681) (xy 2.559616 -2.075561) (xy 2.495592 -2.098278) (xy 2.432272 -2.043386) + (xy 2.42311 -2.02312) (xy 2.402275 -1.919965) (xy 2.395086 -1.791101) (xy 2.264862 -1.791101) + (xy 2.261762 -1.805012) (xy 2.27895 -1.971803) (xy 2.337562 -2.114597) (xy 2.350886 -2.132364) + (xy 2.432579 -2.175467) (xy 2.56395 -2.197382) (xy 2.591535 -2.198077) (xy 2.698165 -2.19296)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 3.053395 -2.349159) (xy 3.088929 -2.232546) (xy 3.123326 -2.068068) (xy 3.125765 -2.053841) + (xy 3.154416 -1.849197) (xy 3.165422 -1.690248) (xy 3.15904 -1.591444) (xy 3.135525 -1.567236) + (xy 3.117499 -1.5875) (xy 3.091921 -1.661935) (xy 3.05918 -1.800159) (xy 3.025615 -1.969206) + (xy 2.997563 -2.13611) (xy 2.981361 -2.267904) (xy 2.979615 -2.30474) (xy 2.999915 -2.379138) + (xy 3.024048 -2.393461) (xy 3.053395 -2.349159)) (layer F.SilkS) (width 0.01)) (fp_poly (pts (xy -5.3123 -6.839105) (xy -5.178624 -6.771134) (xy -4.97885 -6.664023) (xy -4.722115 -6.522825) (xy -4.417555 -6.352591) (xy -4.074307 -6.158374) (xy -3.70151 -5.945227) (xy -3.474882 -5.814648) (xy -3.021981 -5.552179) (xy -2.647805 -5.333389) (xy -2.34587 -5.15417) (xy -2.109695 -5.010415) @@ -648,468 +898,335 @@ (xy -6.90457 -6.022326) (xy -6.551797 -6.220868) (xy -6.2277 -6.40222) (xy -5.941774 -6.560976) (xy -5.703515 -6.691733) (xy -5.522418 -6.789085) (xy -5.40798 -6.847626) (xy -5.370739 -6.862885) (xy -5.3123 -6.839105)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 3.053395 -2.349159) (xy 3.088929 -2.232546) (xy 3.123326 -2.068068) (xy 3.125765 -2.053841) - (xy 3.154416 -1.849197) (xy 3.165422 -1.690248) (xy 3.15904 -1.591444) (xy 3.135525 -1.567236) - (xy 3.117499 -1.5875) (xy 3.091921 -1.661935) (xy 3.05918 -1.800159) (xy 3.025615 -1.969206) - (xy 2.997563 -2.13611) (xy 2.981361 -2.267904) (xy 2.979615 -2.30474) (xy 2.999915 -2.379138) - (xy 3.024048 -2.393461) (xy 3.053395 -2.349159)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 2.698165 -2.19296) (xy 2.76483 -2.163923) (xy 2.807113 -2.090433) (xy 2.840599 -1.951957) - (xy 2.860331 -1.843942) (xy 2.867896 -1.715301) (xy 2.842971 -1.661354) (xy 2.801035 -1.689691) - (xy 2.7607 -1.795096) (xy 2.726579 -1.929423) (xy 2.632123 -1.74625) (xy 2.534527 -1.612327) - (xy 2.431759 -1.564356) (xy 2.336741 -1.605361) (xy 2.292575 -1.666737) (xy 2.264862 -1.791101) - (xy 2.395086 -1.791101) (xy 2.396711 -1.636346) (xy 2.492778 -1.751661) (xy 2.563199 -1.873721) - (xy 2.588846 -1.983681) (xy 2.559616 -2.075561) (xy 2.495592 -2.098278) (xy 2.432272 -2.043386) - (xy 2.42311 -2.02312) (xy 2.402275 -1.919965) (xy 2.395086 -1.791101) (xy 2.264862 -1.791101) - (xy 2.261762 -1.805012) (xy 2.27895 -1.971803) (xy 2.337562 -2.114597) (xy 2.350886 -2.132364) - (xy 2.432579 -2.175467) (xy 2.56395 -2.197382) (xy 2.591535 -2.198077) (xy 2.698165 -2.19296)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -0.04747 -2.523053) (xy 0.051551 -2.489706) (xy 0.171864 -2.377222) (xy 0.251761 -2.211782) - (xy 0.272942 -2.035589) (xy 0.266665 -1.993637) (xy 0.222402 -1.889664) (xy 0.139297 -1.758673) - (xy 0.038061 -1.62706) (xy -0.060593 -1.521226) (xy -0.135951 -1.467569) (xy -0.147809 -1.465385) - (xy -0.173923 -1.509698) (xy -0.20559 -1.626058) (xy -0.236087 -1.789591) (xy -0.236933 -1.795096) - (xy -0.267243 -2.002636) (xy -0.294595 -2.205411) (xy -0.305893 -2.298748) (xy -0.228821 -2.298748) - (xy -0.224047 -2.259135) (xy -0.185544 -1.986319) (xy -0.152286 -1.802656) (xy -0.118237 -1.700262) - (xy -0.077359 -1.671252) (xy -0.023612 -1.707741) (xy 0.04904 -1.801843) (xy 0.08548 -1.854931) - (xy 0.174306 -2.021812) (xy 0.180968 -2.157977) (xy 0.103325 -2.288745) (xy 0.04368 -2.349441) - (xy -0.095376 -2.462582) (xy -0.18471 -2.492668) (xy -0.227975 -2.438467) (xy -0.228821 -2.298748) - (xy -0.305893 -2.298748) (xy -0.309968 -2.332404) (xy -0.320157 -2.462221) (xy -0.302169 -2.52213) - (xy -0.240069 -2.53914) (xy -0.187526 -2.54) (xy -0.04747 -2.523053)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.462053 -1.921206) (xy -1.431977 -1.841948) (xy -1.423554 -1.711846) (xy -1.425418 -1.679418) - (xy -1.447221 -1.550112) (xy -1.495713 -1.489856) (xy -1.550866 -1.474199) (xy -1.635893 -1.481358) - (xy -1.66077 -1.510833) (xy -1.621261 -1.557163) (xy -1.5875 -1.563077) (xy -1.537677 -1.593812) - (xy -1.516198 -1.696854) (xy -1.514231 -1.768231) (xy -1.506553 -1.895436) (xy -1.481823 -1.933492) - (xy -1.462053 -1.921206)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -2.488343 -2.23016) (xy -2.391581 -2.203421) (xy -2.327389 -2.173704) (xy -2.33768 -2.158921) - (xy -2.432544 -2.153171) (xy -2.478943 -2.152327) (xy -2.611855 -2.1429) (xy -2.672666 -2.111774) - (xy -2.686539 -2.051538) (xy -2.664162 -1.981038) (xy -2.580386 -1.952098) (xy -2.527789 -1.94865) - (xy -2.369039 -1.943454) (xy -2.503366 -1.890374) (xy -2.601136 -1.829856) (xy -2.635756 -1.730381) - (xy -2.637693 -1.678834) (xy -2.63185 -1.576369) (xy -2.595272 -1.536925) (xy -2.49939 -1.539747) - (xy -2.45452 -1.54577) (xy -2.343964 -1.556098) (xy -2.319216 -1.542139) (xy -2.344616 -1.519024) - (xy -2.44381 -1.481793) (xy -2.576635 -1.466133) (xy -2.735385 -1.465385) (xy -2.742712 -1.782885) - (xy -2.747231 -1.956653) (xy -2.751743 -2.094726) (xy -2.754924 -2.161442) (xy -2.717914 -2.212495) - (xy -2.61829 -2.236644) (xy -2.488343 -2.23016)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 1.007435 -2.269541) (xy 1.155683 -2.168496) (xy 1.243335 -1.992348) (xy 1.269279 -1.773443) - (xy 1.236638 -1.584506) (xy 1.139654 -1.4683) (xy 0.982103 -1.427531) (xy 0.818173 -1.450209) - (xy 0.739597 -1.48587) (xy 0.745536 -1.517391) (xy 0.823436 -1.529593) (xy 0.879678 -1.524584) - (xy 1.041025 -1.531456) (xy 1.135295 -1.609331) (xy 1.162552 -1.75828) (xy 1.157057 -1.821527) - (xy 1.129704 -1.972877) (xy 1.093701 -2.033271) (xy 1.038033 -2.009416) (xy 0.97197 -1.934221) - (xy 0.85543 -1.833606) (xy 0.76369 -1.816861) (xy 0.672117 -1.866992) (xy 0.647679 -1.96756) - (xy 0.653652 -1.985352) (xy 0.781538 -1.985352) (xy 0.786902 -1.921062) (xy 0.819517 -1.926826) - (xy 0.87923 -1.978269) (xy 0.952702 -2.067276) (xy 0.976923 -2.132378) (xy 0.953638 -2.19244) - (xy 0.937846 -2.198077) (xy 0.857365 -2.156602) (xy 0.796242 -2.060277) (xy 0.781538 -1.985352) - (xy 0.653652 -1.985352) (xy 0.691217 -2.097241) (xy 0.749392 -2.17908) (xy 0.839322 -2.26887) - (xy 0.916939 -2.29212) (xy 1.007435 -2.269541)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -0.905989 -2.024087) (xy -0.83269 -1.944563) (xy -0.746067 -1.837587) (xy -0.687127 -1.947717) - (xy -0.629467 -2.021365) (xy -0.570206 -2.00953) (xy -0.564413 -2.004918) (xy -0.507282 -1.918153) - (xy -0.453417 -1.776133) (xy -0.414086 -1.619312) (xy -0.400559 -1.488145) (xy -0.407785 -1.442652) - (xy -0.466022 -1.38478) (xy -0.551093 -1.36996) (xy -0.619708 -1.398807) (xy -0.635 -1.439428) - (xy -0.602637 -1.488707) (xy -0.561731 -1.483049) (xy -0.50642 -1.496196) (xy -0.48766 -1.573296) - (xy -0.5075 -1.686905) (xy -0.540715 -1.764827) (xy -0.593887 -1.830245) (xy -0.65496 -1.810817) - (xy -0.662586 -1.804683) (xy -0.735236 -1.774853) (xy -0.829677 -1.811364) (xy -0.854564 -1.827079) - (xy -0.942532 -1.906841) (xy -0.976924 -1.979395) (xy -0.95987 -2.044411) (xy -0.905989 -2.024087)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -0.229567 -1.253855) (xy -0.296835 -1.176065) (xy -0.395344 -1.052623) (xy -0.435125 -0.91686) - (xy -0.439616 -0.818233) (xy -0.403761 -0.611209) (xy -0.296003 -0.469696) (xy -0.116053 -0.393546) - (xy 0.136377 -0.382609) (xy 0.346093 -0.41167) (xy 0.447249 -0.427575) (xy 0.463364 -0.416741) - (xy 0.424989 -0.389115) (xy 0.283876 -0.338562) (xy 0.090164 -0.313507) (xy -0.114022 -0.315549) - (xy -0.286557 -0.346286) (xy -0.32441 -0.360683) (xy -0.456616 -0.469526) (xy -0.536911 -0.63396) - (xy -0.563566 -0.825757) (xy -0.534853 -1.01669) (xy -0.449042 -1.17853) (xy -0.3785 -1.243789) - (xy -0.263011 -1.309912) (xy -0.210558 -1.310938) (xy -0.229567 -1.253855)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -6.977282 -0.785783) (xy -6.84909 -0.696518) (xy -6.767299 -0.561043) (xy -6.743749 -0.396313) - (xy -6.790278 -0.219287) (xy -6.867227 -0.10144) (xy -6.972836 0.000275) (xy -7.089239 0.042556) - (xy -7.20158 0.048846) (xy -7.388831 0.023012) (xy -7.484537 -0.036635) (xy -7.576605 -0.207614) - (xy -7.58688 -0.396081) (xy -7.521078 -0.576102) (xy -7.384919 -0.721744) (xy -7.325511 -0.757846) - (xy -7.140035 -0.811878) (xy -6.977282 -0.785783)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 3.321538 -1.081122) (xy 3.279478 -1.015456) (xy 3.167124 -0.925405) (xy 3.005217 -0.822033) - (xy 2.814497 -0.716405) (xy 2.615704 -0.619584) (xy 2.42958 -0.542635) (xy 2.276865 -0.49662) - (xy 2.210535 -0.488461) (xy 2.113707 -0.466182) (xy 1.98014 -0.410714) (xy 1.941088 -0.390769) - (xy 1.802491 -0.32857) (xy 1.683777 -0.29499) (xy 1.660946 -0.293077) (xy 1.581464 -0.273442) - (xy 1.563076 -0.246134) (xy 1.523404 -0.1687) (xy 1.422143 -0.0717) (xy 1.28593 0.022478) - (xy 1.178553 0.077079) (xy 1.01828 0.135555) (xy 0.938423 0.141618) (xy 0.928076 0.124401) - (xy 0.968436 0.093431) (xy 1.070789 0.040105) (xy 1.135673 0.010224) (xy 1.323402 -0.090905) - (xy 1.427036 -0.197129) (xy 1.4596 -0.324103) (xy 1.456702 -0.375604) (xy 1.437266 -0.434389) - (xy 1.611923 -0.434389) (xy 1.650217 -0.398877) (xy 1.732538 -0.393268) (xy 1.810046 -0.417149) - (xy 1.829644 -0.43624) (xy 1.82463 -0.499355) (xy 1.812512 -0.509668) (xy 1.73371 -0.518576) - (xy 1.649335 -0.486174) (xy 1.611923 -0.434389) (xy 1.437266 -0.434389) (xy 1.423488 -0.476058) - (xy 1.335447 -0.559075) (xy 1.223015 -0.622788) (xy 1.042401 -0.707755) (xy 0.926376 -0.736986) - (xy 0.861858 -0.700671) (xy 0.835763 -0.588998) (xy 0.835006 -0.392154) (xy 0.837058 -0.333346) - (xy 0.839083 -0.099966) (xy 0.823732 0.039067) (xy 0.796303 0.08463) (xy 0.759536 0.05233) - (xy 0.726881 -0.053631) (xy 0.701937 -0.209224) (xy 0.688305 -0.39042) (xy 0.689583 -0.573189) - (xy 0.692169 -0.610577) (xy 0.708269 -0.805961) (xy 0.928076 -0.789398) (xy 1.113539 -0.756519) - (xy 1.311173 -0.694354) (xy 1.367692 -0.670305) (xy 1.536367 -0.596608) (xy 1.633589 -0.569004) - (xy 1.67288 -0.58539) (xy 1.67298 -0.622788) (xy 1.691902 -0.675791) (xy 1.762485 -0.676034) - (xy 1.856998 -0.62768) (xy 1.902879 -0.588274) (xy 1.963783 -0.536009) (xy 2.028295 -0.516812) - (xy 2.127574 -0.528622) (xy 2.271694 -0.563874) (xy 2.529183 -0.643258) (xy 2.753185 -0.744902) - (xy 2.985556 -0.889233) (xy 3.089519 -0.96298) (xy 3.211805 -1.044288) (xy 3.29587 -1.085539) - (xy 3.321538 -1.081122)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -7.256069 0.734992) (xy -7.133946 0.741043) (xy -7.08296 0.749576) (xy -7.082693 0.750273) - (xy -7.093933 0.806429) (xy -7.125595 0.94444) (xy -7.174586 1.151694) (xy -7.237818 1.415582) - (xy -7.312199 1.723495) (xy -7.394639 2.062822) (xy -7.482048 2.420954) (xy -7.571334 2.785282) - (xy -7.659409 3.143194) (xy -7.74318 3.482082) (xy -7.819558 3.789335) (xy -7.885453 4.052345) - (xy -7.937773 4.258501) (xy -7.973429 4.395193) (xy -7.989329 4.449811) (xy -7.989436 4.449998) - (xy -8.047032 4.472868) (xy -8.165949 4.487192) (xy -8.315645 4.492873) (xy -8.465579 4.489816) - (xy -8.585211 4.477925) (xy -8.643999 4.457104) (xy -8.64577 4.452055) (xy -8.63467 4.396743) - (xy -8.603033 4.257068) (xy -8.553356 4.043607) (xy -8.488133 3.766934) (xy -8.409859 3.437626) - (xy -8.321031 3.066259) (xy -8.224142 2.663409) (xy -8.206154 2.588846) (xy -8.108221 2.182651) - (xy -8.017876 1.807087) (xy -7.937605 1.472548) (xy -7.869891 1.189426) (xy -7.81722 0.968115) - (xy -7.782077 0.819008) (xy -7.766946 0.752498) (xy -7.766539 0.75006) (xy -7.721815 0.741499) - (xy -7.60412 0.735296) (xy -7.438169 0.732706) (xy -7.424616 0.732692) (xy -7.256069 0.734992)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -10.720287 -0.478918) (xy -10.710481 -0.478509) (xy -10.369965 -0.464038) (xy -10.87305 1.611923) - (xy -10.978643 2.049322) (xy -11.076822 2.459241) (xy -11.165216 2.831544) (xy -11.241452 3.156089) - (xy -11.30316 3.42274) (xy -11.347968 3.621356) (xy -11.373504 3.7418) (xy -11.378645 3.773365) - (xy -11.373038 3.801311) (xy -11.346458 3.822432) (xy -11.287052 3.837675) (xy -11.182969 3.847982) - (xy -11.022357 3.854301) (xy -10.793364 3.857575) (xy -10.484138 3.85875) (xy -10.306539 3.858846) - (xy -9.938172 3.860115) (xy -9.658086 3.864277) (xy -9.456897 3.871865) (xy -9.325224 3.883414) - (xy -9.253682 3.899456) (xy -9.232866 3.919904) (xy -9.245032 3.999919) (xy -9.27503 4.136434) - (xy -9.297098 4.225192) (xy -9.360388 4.469423) (xy -10.810386 4.482362) (xy -11.175453 4.484987) - (xy -11.50681 4.486157) (xy -11.792456 4.485926) (xy -12.020391 4.484348) (xy -12.178611 4.481478) - (xy -12.255116 4.477371) (xy -12.260385 4.47575) (xy -12.249339 4.422357) (xy -12.217933 4.285356) - (xy -12.168769 4.075544) (xy -12.104447 3.803717) (xy -12.027568 3.48067) (xy -11.940731 3.1172) - (xy -11.846539 2.724102) (xy -11.747591 2.312173) (xy -11.646488 1.892209) (xy -11.545831 1.475006) - (xy -11.44822 1.07136) (xy -11.356256 0.692066) (xy -11.27254 0.347921) (xy -11.199671 0.049722) - (xy -11.140251 -0.191737) (xy -11.09688 -0.365659) (xy -11.072159 -0.461247) (xy -11.067464 -0.476511) - (xy -11.014178 -0.48276) (xy -10.889675 -0.483612) (xy -10.720287 -0.478918)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy 12.135815 -6.997212) (xy 11.990886 -6.783775) (xy 11.791618 -6.505798) (xy 11.546236 -6.173771) - (xy 11.262966 -5.798183) (xy 10.950034 -5.389524) (xy 10.615664 -4.958283) (xy 10.268082 -4.514951) - (xy 9.915513 -4.070017) (xy 9.566184 -3.633971) (xy 9.228318 -3.217302) (xy 8.910143 -2.830501) - (xy 8.619882 -2.484056) (xy 8.365762 -2.188458) (xy 8.359147 -2.180902) (xy 8.171157 -1.964072) - (xy 8.007745 -1.771393) (xy 7.87907 -1.615193) (xy 7.795293 -1.507796) (xy 7.766538 -1.461964) - (xy 7.785379 -1.407576) (xy 7.838474 -1.275968) (xy 7.920685 -1.079315) (xy 8.026871 -0.829796) - (xy 8.151892 -0.539586) (xy 8.285055 -0.233562) (xy 8.446412 0.138854) (xy 8.61445 0.532556) - (xy 8.77894 0.923161) (xy 8.929651 1.286286) (xy 9.056353 1.597549) (xy 9.11062 1.734039) - (xy 9.203974 1.975983) (xy 9.311182 2.260989) (xy 9.427466 2.575622) (xy 9.548048 2.906447) - (xy 9.66815 3.240029) (xy 9.782995 3.562934) (xy 9.887805 3.861726) (xy 9.977803 4.122971) - (xy 10.048211 4.333233) (xy 10.094251 4.479078) (xy 10.111146 4.547071) (xy 10.111153 4.547578) - (xy 10.063703 4.56025) (xy 9.926869 4.570239) (xy 9.70893 4.577352) (xy 9.418163 4.581399) - (xy 9.062846 4.582188) (xy 8.707782 4.580076) (xy 7.304411 4.567115) (xy 7.113841 4.029808) - (xy 6.91749 3.477785) (xy 6.748485 3.006456) (xy 6.602448 2.604116) (xy 6.475002 2.259062) - (xy 6.361767 1.959587) (xy 6.258367 1.693989) (xy 6.160422 1.450564) (xy 6.063556 1.217605) - (xy 6.04956 1.184519) (xy 5.945957 0.945346) (xy 5.85347 0.741643) (xy 5.779434 0.588811) - (xy 5.73118 0.502249) (xy 5.718132 0.488462) (xy 5.681198 0.525167) (xy 5.588283 0.630692) - (xy 5.445151 0.798153) (xy 5.257569 1.020665) (xy 5.031299 1.291342) (xy 4.772108 1.6033) - (xy 4.485758 1.949654) (xy 4.178016 2.323519) (xy 4.000963 2.539311) (xy 2.320192 4.59016) - (xy 0.83737 4.590849) (xy 0.409842 4.590426) (xy 0.070833 4.588436) (xy -0.188824 4.584466) - (xy -0.378294 4.578098) (xy -0.506744 4.568917) (xy -0.583342 4.556507) (xy -0.617252 4.540452) - (xy -0.619823 4.524748) (xy -0.586486 4.480731) (xy -0.496146 4.368575) (xy -0.354465 4.195088) - (xy -0.167104 3.967075) (xy 0.060274 3.691343) (xy 0.322007 3.374699) (xy 0.612432 3.023949) - (xy 0.925887 2.645899) (xy 1.256711 2.247357) (xy 1.59924 1.835128) (xy 1.947812 1.41602) - (xy 2.296766 0.996837) (xy 2.640438 0.584388) (xy 2.973167 0.185479) (xy 3.28929 -0.193084) - (xy 3.583145 -0.544495) (xy 3.84907 -0.861947) (xy 4.081402 -1.138633) (xy 4.274479 -1.367747) - (xy 4.42264 -1.542482) (xy 4.52022 -1.656032) (xy 4.54857 -1.688062) (xy 4.566786 -1.710701) - (xy 4.578641 -1.73811) (xy 4.58138 -1.777211) (xy 4.572252 -1.834924) (xy 4.548503 -1.91817) - (xy 4.507382 -2.033868) (xy 4.446135 -2.18894) (xy 4.36201 -2.390305) (xy 4.252254 -2.644885) - (xy 4.114115 -2.9596) (xy 3.94484 -3.341371) (xy 3.741676 -3.797117) (xy 3.50187 -4.33376) - (xy 3.450851 -4.44787) (xy 2.26184 -7.107115) (xy 3.702745 -7.120065) (xy 4.067833 -7.122675) - (xy 4.400265 -7.123765) (xy 4.687772 -7.123398) (xy 4.918087 -7.121635) (xy 5.078943 -7.118539) - (xy 5.158073 -7.114173) (xy 5.164046 -7.11262) (xy 5.18572 -7.064057) (xy 5.238731 -6.934406) - (xy 5.319118 -6.733669) (xy 5.422915 -6.47185) (xy 5.546162 -6.158952) (xy 5.684894 -5.804977) - (xy 5.83515 -5.419929) (xy 5.863759 -5.346432) (xy 6.041514 -4.89004) (xy 6.187398 -4.516807) - (xy 6.304754 -4.218768) (xy 6.396924 -3.987955) (xy 6.467249 -3.816404) (xy 6.51907 -3.696147) - (xy 6.55573 -3.619218) (xy 6.580571 -3.577651) (xy 6.596932 -3.563479) (xy 6.608158 -3.568737) - (xy 6.608993 -3.56984) (xy 6.644182 -3.613895) (xy 6.727503 -3.716727) (xy 6.845265 -3.861471) - (xy 6.942708 -3.980961) (xy 7.391543 -4.541205) (xy 7.878215 -5.167849) (xy 8.389656 -5.843913) - (xy 8.737682 -6.313365) (xy 9.33943 -7.131538) (xy 12.222774 -7.131538) (xy 12.135815 -6.997212)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -1.539454 0.705398) (xy -1.223134 0.781352) (xy -0.969655 0.919896) (xy -0.785049 1.115875) - (xy -0.675349 1.364133) (xy -0.646584 1.659514) (xy -0.656471 1.770961) (xy -0.733311 2.081528) - (xy -0.877538 2.34412) (xy -1.093166 2.561097) (xy -1.384209 2.734822) (xy -1.754682 2.867654) - (xy -2.2086 2.961955) (xy -2.749975 3.020086) (xy -2.784231 3.022404) (xy -3.24827 3.052885) - (xy -3.261629 3.248269) (xy -3.233122 3.493587) (xy -3.122302 3.710939) (xy -2.942642 3.87453) - (xy -2.93077 3.881608) (xy -2.755178 3.944691) (xy -2.516231 3.978324) (xy -2.243659 3.982708) - (xy -1.967189 3.958046) (xy -1.71655 3.904539) (xy -1.624121 3.872714) (xy -1.481757 3.822483) - (xy -1.380297 3.79884) (xy -1.347671 3.802879) (xy -1.333326 3.866767) (xy -1.319003 3.993626) - (xy -1.312642 4.079057) (xy -1.308883 4.22822) (xy -1.328894 4.312243) (xy -1.384028 4.362883) - (xy -1.420999 4.382129) (xy -1.654147 4.467212) (xy -1.941842 4.532451) (xy -2.253336 4.574618) - (xy -2.557884 4.590482) (xy -2.824738 4.576813) (xy -2.979616 4.545861) (xy -3.321657 4.400713) - (xy -3.586364 4.196875) (xy -3.774874 3.932733) (xy -3.888325 3.606672) (xy -3.927853 3.217079) - (xy -3.927912 3.199423) (xy -3.888306 2.700987) (xy -3.835689 2.488294) (xy -3.16346 2.488294) - (xy -3.14956 2.519727) (xy -3.090601 2.531023) (xy -2.968238 2.526406) (xy -2.800498 2.51311) - (xy -2.558985 2.486801) (xy -2.302722 2.449109) (xy -2.100385 2.410908) (xy -1.774354 2.30738) - (xy -1.535083 2.160574) (xy -1.396753 1.997389) (xy -1.332554 1.816017) (xy -1.322468 1.612984) - (xy -1.349189 1.491996) (xy -1.436979 1.388591) (xy -1.593443 1.315568) (xy -1.793131 1.277141) - (xy -2.010594 1.277523) (xy -2.220383 1.320928) (xy -2.269966 1.339544) (xy -2.502702 1.480174) - (xy -2.728658 1.694427) (xy -2.929037 1.958975) (xy -3.085042 2.250493) (xy -3.150647 2.432501) - (xy -3.16346 2.488294) (xy -3.835689 2.488294) (xy -3.774079 2.239257) (xy -3.59179 1.822376) - (xy -3.347997 1.458486) (xy -3.049257 1.155732) (xy -2.702131 0.922256) (xy -2.313176 0.7662) - (xy -1.912586 0.69719) (xy -1.539454 0.705398)) (layer F.SilkS) (width 0.01)) - (fp_poly (pts (xy -5.081857 -0.378527) (xy -5.08 -0.374254) (xy -5.090827 -0.318845) (xy -5.120105 -0.189413) - (xy -5.163028 -0.006819) (xy -5.202053 0.155638) (xy -5.252274 0.366172) (xy -5.292476 0.540873) - (xy -5.317821 0.658325) (xy -5.324168 0.696058) (xy -5.278434 0.709936) (xy -5.153507 0.721401) - (xy -4.96788 0.729345) (xy -4.740045 0.732658) (xy -4.713654 0.732692) (xy -4.45055 0.732863) - (xy -4.271755 0.739258) (xy -4.163922 0.760701) (xy -4.113702 0.806018) (xy -4.107749 0.884031) - (xy -4.132714 1.003567) (xy -4.157375 1.100452) (xy -4.211672 1.316087) (xy -4.850745 1.329678) - (xy -5.489817 1.343269) (xy -5.724047 2.320192) (xy -5.817431 2.718251) (xy -5.885667 3.033933) - (xy -5.929651 3.279018) (xy -5.950277 3.465286) (xy -5.948442 3.604517) (xy -5.925041 3.708491) - (xy -5.88097 3.788988) (xy -5.839336 3.836643) (xy -5.752512 3.906786) (xy -5.64968 3.943186) - (xy -5.496247 3.955857) (xy -5.425132 3.956539) (xy -5.224249 3.942602) (xy -5.028252 3.906882) - (xy -4.932424 3.877155) (xy -4.806129 3.834649) (xy -4.721308 3.820925) (xy -4.706351 3.825445) - (xy -4.689512 3.886179) (xy -4.676354 4.011837) (xy -4.671743 4.109861) (xy -4.664808 4.366605) - (xy -4.885379 4.449535) (xy -5.133711 4.516708) (xy -5.425771 4.556652) (xy -5.716484 4.565497) - (xy -5.95251 4.54113) (xy -6.225739 4.442195) (xy -6.431123 4.274259) (xy -6.56666 4.04049) - (xy -6.630347 3.744056) (xy -6.628821 3.470945) (xy -6.611243 3.346227) (xy -6.574578 3.148238) - (xy -6.521854 2.889808) (xy -6.456097 2.583768) (xy -6.380334 2.242949) (xy -6.297591 1.880181) - (xy -6.210897 1.508296) (xy -6.123278 1.140124) (xy -6.03776 0.788495) (xy -5.95737 0.46624) - (xy -5.885136 0.186191) (xy -5.824085 -0.038822) (xy -5.777242 -0.195969) (xy -5.747636 -0.272418) - (xy -5.744846 -0.276155) (xy -5.679934 -0.305484) (xy -5.557645 -0.335682) (xy -5.407336 -0.362417) - (xy -5.258364 -0.381357) (xy -5.140086 -0.388171) (xy -5.081857 -0.378527)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.974164 -1.892996) (xy -1.86199 -1.860403) (xy -1.781063 -1.805294) (xy -1.723 -1.714484) + (xy -1.738771 -1.674262) (xy -1.811361 -1.69929) (xy -1.85986 -1.737392) (xy -1.936016 -1.798164) + (xy -1.978471 -1.791923) (xy -2.009125 -1.745233) (xy -2.07029 -1.676694) (xy -2.127698 -1.663704) + (xy -2.149231 -1.70562) (xy -2.165345 -1.78882) (xy -2.175812 -1.81974) (xy -2.161135 -1.873764) + (xy -2.083899 -1.897816) (xy -1.974164 -1.892996)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.099072 -2.029991) (xy -1.033039 -1.888805) (xy -1.049792 -1.765863) (xy -1.140015 -1.68317) + (xy -1.255347 -1.660769) (xy -1.342926 -1.68485) (xy -1.367674 -1.773441) (xy -1.367693 -1.777473) + (xy -1.354581 -1.828848) (xy -1.27 -1.828848) (xy -1.249704 -1.729659) (xy -1.193283 -1.720377) + (xy -1.123462 -1.782885) (xy -1.087368 -1.861344) (xy -1.123016 -1.928885) (xy -1.197337 -1.978575) + (xy -1.250703 -1.939845) (xy -1.27 -1.828848) (xy -1.354581 -1.828848) (xy -1.336544 -1.899517) + (xy -1.270033 -2.009492) (xy -1.198997 -2.085859) (xy -1.153893 -2.091064) (xy -1.099072 -2.029991)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.470228 -2.095282) (xy 0.512137 -1.997756) (xy 0.533861 -1.922875) (xy 0.568593 -1.756033) + (xy 0.56603 -1.679462) (xy 0.526168 -1.693138) (xy 0.502295 -1.720646) (xy 0.456318 -1.81426) + (xy 0.425054 -1.938559) (xy 0.415098 -2.053855) (xy 0.433042 -2.120459) (xy 0.435569 -2.122307) + (xy 0.470228 -2.095282)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.506497 -1.962427) (xy 1.542341 -1.811184) (xy 1.549565 -1.71149) (xy 1.530405 -1.676678) + (xy 1.487097 -1.720081) (xy 1.470642 -1.748637) (xy 1.432407 -1.878914) (xy 1.427441 -2.017161) + (xy 1.444197 -2.173654) (xy 1.506497 -1.962427)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.948085 -2.402639) (xy 1.953846 -2.367505) (xy 1.993416 -2.286311) (xy 2.028362 -2.264109) + (xy 2.075702 -2.223475) (xy 2.04733 -2.168584) (xy 2.017486 -2.095563) (xy 2.023742 -1.977305) + (xy 2.067717 -1.793843) (xy 2.081572 -1.74625) (xy 2.084653 -1.673522) (xy 2.046367 -1.665332) + (xy 1.991247 -1.714105) (xy 1.952637 -1.786064) (xy 1.915947 -1.916965) (xy 1.905 -2.005872) + (xy 1.874646 -2.080472) (xy 1.782884 -2.100385) (xy 1.683482 -2.11981) (xy 1.668209 -2.163043) + (xy 1.741991 -2.207514) (xy 1.758461 -2.21223) (xy 1.841154 -2.277694) (xy 1.856153 -2.340042) + (xy 1.875786 -2.421866) (xy 1.905 -2.442308) (xy 1.948085 -2.402639)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.514231 -2.173654) (xy -1.538654 -2.149231) (xy -1.563077 -2.173654) (xy -1.538654 -2.198077) + (xy -1.514231 -2.173654)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.390769 -2.466731) (xy 0.366346 -2.442308) (xy 0.341923 -2.466731) (xy 0.366346 -2.491154) + (xy 0.390769 -2.466731)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.770125 -3.516205) (xy 0.791697 -3.382596) (xy 0.807754 -3.199423) (xy 0.901385 -3.382596) + (xy 0.967847 -3.495843) (xy 1.022235 -3.560194) (xy 1.034816 -3.565769) (xy 1.065703 -3.557073) + (xy 1.066149 -3.518543) (xy 1.030936 -3.431516) (xy 0.95485 -3.27733) (xy 0.9534 -3.274469) + (xy 0.844083 -3.094411) (xy 0.729649 -2.964698) (xy 0.623932 -2.896287) (xy 0.540765 -2.900134) + (xy 0.515042 -2.927277) (xy 0.533139 -2.967567) (xy 0.59764 -2.988335) (xy 0.659604 -3.005201) + (xy 0.690345 -3.049441) (xy 0.697631 -3.145651) (xy 0.691291 -3.284904) (xy 0.692017 -3.445331) + (xy 0.711219 -3.543021) (xy 0.740165 -3.569477) (xy 0.770125 -3.516205)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.34064 -3.736295) (xy 0.341923 -3.697654) (xy 0.362245 -3.596048) (xy 0.4323 -3.56578) + (xy 0.434389 -3.565769) (xy 0.532936 -3.52795) (xy 0.579659 -3.433533) (xy 0.570606 -3.31108) + (xy 0.501825 -3.189152) (xy 0.488461 -3.175) (xy 0.388525 -3.108872) (xy 0.27404 -3.077111) + (xy 0.174014 -3.08067) (xy 0.117451 -3.120501) (xy 0.116615 -3.162788) (xy 0.138491 -3.244274) + (xy 0.24423 -3.244274) (xy 0.275076 -3.184031) (xy 0.34466 -3.184039) (xy 0.418551 -3.239816) + (xy 0.437896 -3.269481) (xy 0.484681 -3.392575) (xy 0.458163 -3.455915) (xy 0.395995 -3.468077) + (xy 0.300269 -3.425051) (xy 0.249266 -3.308456) (xy 0.24423 -3.244274) (xy 0.138491 -3.244274) + (xy 0.142455 -3.259036) (xy 0.179463 -3.407593) (xy 0.199817 -3.4925) (xy 0.246895 -3.661533) + (xy 0.289993 -3.76419) (xy 0.323209 -3.791951) (xy 0.34064 -3.736295)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -5.283306 -6.415448) (xy -5.212593 -6.404197) (xy -5.130192 -6.380056) (xy -5.026892 -6.338406) + (xy -4.89348 -6.274629) (xy -4.720746 -6.184106) (xy -4.499476 -6.062217) (xy -4.220461 -5.904346) + (xy -3.874487 -5.705872) (xy -3.504557 -5.492359) (xy -3.090181 -5.252306) (xy -2.752654 -5.055163) + (xy -2.483863 -4.895614) (xy -2.275697 -4.768345) (xy -2.120045 -4.668041) (xy -2.008794 -4.589387) + (xy -1.933833 -4.527069) (xy -1.887051 -4.47577) (xy -1.860335 -4.430177) (xy -1.852669 -4.410016) + (xy -1.826374 -4.280572) (xy -1.846708 -4.165571) (xy -1.923262 -4.053106) (xy -2.065627 -3.931267) + (xy -2.283391 -3.788148) (xy -2.417885 -3.708181) (xy -2.658369 -3.572326) (xy -2.838975 -3.484371) + (xy -2.981511 -3.438635) (xy -3.107786 -3.429437) (xy -3.239609 -3.451095) (xy -3.319618 -3.473272) + (xy -3.427043 -3.498358) (xy -3.5195 -3.493073) (xy -3.630554 -3.449944) (xy -3.775703 -3.371712) + (xy -3.922727 -3.28593) (xy -3.998056 -3.228218) (xy -4.016007 -3.180327) (xy -3.9909 -3.124008) + (xy -3.981503 -3.109412) (xy -3.941789 -3.017007) (xy -3.953945 -2.926333) (xy -4.025984 -2.828847) + (xy -4.165919 -2.716008) (xy -4.381761 -2.579273) (xy -4.590288 -2.46033) (xy -4.876994 -2.307719) + (xy -5.102732 -2.207478) (xy -5.287208 -2.156882) (xy -5.450128 -2.153204) (xy -5.611197 -2.193718) + (xy -5.790122 -2.275699) (xy -5.818028 -2.290497) (xy -5.924814 -2.349518) (xy -6.103624 -2.450355) + (xy -6.341944 -2.585866) (xy -6.62726 -2.748906) (xy -6.947059 -2.932332) (xy -7.288827 -3.129) + (xy -7.472287 -3.23483) (xy -7.854324 -3.455933) (xy -8.160093 -3.634613) (xy -8.39827 -3.7767) + (xy -8.577531 -3.888022) (xy -8.706552 -3.974411) (xy -8.794008 -4.041694) (xy -8.848575 -4.095703) + (xy -8.87893 -4.142267) (xy -8.893748 -4.187216) (xy -8.89442 -4.190415) (xy -8.899658 -4.3359) + (xy -8.87012 -4.454242) (xy -8.810354 -4.511487) (xy -8.667349 -4.613073) (xy -8.443978 -4.757207) + (xy -8.143114 -4.942096) (xy -7.76763 -5.165944) (xy -7.3204 -5.42696) (xy -7.206644 -5.492665) + (xy -6.792923 -5.730835) (xy -6.454424 -5.924418) (xy -6.181956 -6.078002) (xy -5.966326 -6.196174) + (xy -5.798344 -6.283521) (xy -5.668816 -6.344629) (xy -5.568552 -6.384088) (xy -5.488359 -6.406482) + (xy -5.419045 -6.416399) (xy -5.351543 -6.418428) (xy -5.283306 -6.415448)) (layer F.SilkS) (width 0.01)) ) (module For_SeeedStudio:SAMTEC-SMH-108-02-X-D locked (layer F.Cu) (tedit 636E21A4) (tstamp 63377FF9) (at 270.138 28 270) (descr "translated Allegro footprint") (path /62CC4C0A/63467144) - (fp_text reference J8 (at -12.9325 10.4075 90) (layer F.SilkS) + (fp_text reference J8 (at -12.95 5.698 180) (layer F.SilkS) (effects (font (size 1.5 1.5) (thickness 0.15))) ) (fp_text value "SMH-108-02-L-D (Pmod 2x8 F)" (at 0 9.58949 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.15))) ) - (fp_line (start -11.75 13.65) (end -11.75 -5.75) (layer F.CrtYd) (width 0.12)) - (fp_line (start 11.75 13.65) (end -11.75 13.65) (layer F.CrtYd) (width 0.12)) - (fp_line (start 11.75 -5.75) (end 11.75 13.65) (layer F.CrtYd) (width 0.12)) - (fp_line (start -11.75 -5.75) (end 11.75 -5.75) (layer F.CrtYd) (width 0.12)) - (fp_poly (pts (xy 8.17999 10.018) (xy 9.60001 10.018) (xy 9.60001 12.258) (xy 8.17999 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 8.12899 9.96701) (xy 9.65098 9.96701) (xy 9.65098 12.309) (xy 8.12899 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 8.17999 6.107) (xy 9.60001 6.107) (xy 9.60001 8.447) (xy 8.17999 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 8.12899 6.05599) (xy 9.65098 6.05599) (xy 9.65098 8.498) (xy 8.12899 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 5.63999 10.018) (xy 7.06001 10.018) (xy 7.06001 12.258) (xy 5.63999 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 5.58899 9.96701) (xy 7.11098 9.96701) (xy 7.11098 12.309) (xy 5.58899 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 5.63999 6.107) (xy 7.06001 6.107) (xy 7.06001 8.447) (xy 5.63999 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 5.58899 6.05599) (xy 7.11098 6.05599) (xy 7.11098 8.498) (xy 5.58899 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 3.09999 10.018) (xy 4.52001 10.018) (xy 4.52001 12.258) (xy 3.09999 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 3.04899 9.96701) (xy 4.57098 9.96701) (xy 4.57098 12.309) (xy 3.04899 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 3.09999 6.107) (xy 4.52001 6.107) (xy 4.52001 8.447) (xy 3.09999 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 3.04899 6.05599) (xy 4.57098 6.05599) (xy 4.57098 8.498) (xy 3.04899 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 0.559994 10.018) (xy 1.98001 10.018) (xy 1.98001 12.258) (xy 0.559994 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 0.508991 9.96701) (xy 2.03098 9.96701) (xy 2.03098 12.309) (xy 0.508991 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy 0.559994 6.107) (xy 1.98001 6.107) (xy 1.98001 8.447) (xy 0.559994 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy 0.508991 6.05599) (xy 2.03098 6.05599) (xy 2.03098 8.498) (xy 0.508991 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -1.98001 10.018) (xy -0.559994 10.018) (xy -0.559994 12.258) (xy -1.98001 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -2.03101 9.96701) (xy -0.509016 9.96701) (xy -0.509016 12.309) (xy -2.03101 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -1.98001 6.107) (xy -0.559994 6.107) (xy -0.559994 8.447) (xy -1.98001 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -2.03101 6.05599) (xy -0.509016 6.05599) (xy -0.509016 8.498) (xy -2.03101 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -4.52001 10.018) (xy -3.09999 10.018) (xy -3.09999 12.258) (xy -4.52001 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -4.57101 9.96701) (xy -3.04902 9.96701) (xy -3.04902 12.309) (xy -4.57101 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -4.52001 6.107) (xy -3.09999 6.107) (xy -3.09999 8.447) (xy -4.52001 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -4.57101 6.05599) (xy -3.04902 6.05599) (xy -3.04902 8.498) (xy -4.57101 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -7.06001 10.018) (xy -5.63999 10.018) (xy -5.63999 12.258) (xy -7.06001 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -7.11101 9.96701) (xy -5.58902 9.96701) (xy -5.58902 12.309) (xy -7.11101 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -7.06001 6.107) (xy -5.63999 6.107) (xy -5.63999 8.447) (xy -7.06001 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -7.11101 6.05599) (xy -5.58902 6.05599) (xy -5.58902 8.498) (xy -7.11101 8.498)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -9.60001 10.018) (xy -8.17999 10.018) (xy -8.17999 12.258) (xy -9.60001 12.258)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -9.65101 9.96701) (xy -8.12902 9.96701) (xy -8.12902 12.309) (xy -9.65101 12.309)) (layer F.Mask) (width 0.01)) - (fp_poly (pts (xy -9.60001 6.107) (xy -8.17999 6.107) (xy -8.17999 8.447) (xy -9.60001 8.447)) (layer F.Paste) (width 0.01)) - (fp_poly (pts (xy -9.65101 6.05599) (xy -8.12902 6.05599) (xy -8.12902 8.498) (xy -9.65101 8.498)) (layer F.Mask) (width 0.01)) - (fp_line (start 10.414 3.553) (end 10.414 -6.44101) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.84199 -5.661) (end 9.779 -5.58201) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.414 -5.73999) (end 9.84199 -5.661) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.906 -5.73999) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.84199 -5.82) (end 9.906 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.414 -5.73999) (end 9.84199 -5.82) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.906 -5.73999) (end 9.779 -5.58201) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.779 -5.899) (end 9.906 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.414 -5.73999) (end 9.779 -5.899) (layer Dwgs.User) (width 0.1)) - (fp_line (start 9.779 -5.58201) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 7.62 -4.25399) (end 10.414 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 10.414 -4.25399) (end 10.414 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 9.84199 3.74599) (end 9.84199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 9.84199 4.25399) (end 10.414 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 7.93801 3.74599) (end 9.84199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 7.93801 4.25399) (end 7.93801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 7.62 4.25399) (end 7.93801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 7.30199 3.74599) (end 7.30199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 7.62 4.25399) (end 7.30199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 9.28401 11.494) (end 9.28401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 8.496 11.494) (end 8.496 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 8.496 11.494) (end 9.28401 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 6.744 3.74599) (end 6.744 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 6.744 11.494) (end 6.744 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 8.31799 14.875) (end 8.255 14.954) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.89 14.796) (end 8.31799 14.875) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.382 14.796) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.31799 14.716) (end 8.382 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.89 14.796) (end 8.31799 14.716) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.382 14.796) (end 8.255 14.954) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.255 14.637) (end 8.382 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.89 14.796) (end 8.255 14.637) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.255 14.954) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start 8.89 11.839) (end 8.89 15.497) (layer Dwgs.User) (width 0.1)) - (fp_line (start 7.62 -4.25399) (end 5.08 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 -4.25399) (end 2.54 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 4.25399) (end 5.39801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 5.39801 4.25399) (end 5.39801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 5.39801 3.74599) (end 7.30199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 4.76199 3.74599) (end 4.76199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 4.25399) (end 4.76199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 2.54 4.25399) (end 2.85801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 2.85801 4.25399) (end 2.85801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 2.85801 3.74599) (end 4.76199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 5.95599 11.494) (end 5.95599 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 5.95599 11.494) (end 5.95599 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 5.95599 11.494) (end 6.744 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 5.95599 11.494) (end 6.744 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 4.20401 3.74599) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 4.20401 11.494) (end 4.20401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 3.416 11.494) (end 3.416 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 3.416 11.494) (end 3.416 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 3.416 11.494) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 3.416 11.494) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 0.991006 -5.73999) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start 2.54 -4.25399) (end 0 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 2.22199 3.74599) (end 2.22199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 2.54 4.25399) (end 2.22199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 0 4.25399) (end 0.318008 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 0.318008 4.25399) (end 0.318008 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 0.318008 3.74599) (end 2.22199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -0.318008 3.74599) (end -0.318008 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 0 4.25399) (end -0.318008 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start 1.664 3.74599) (end 1.664 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 1.664 11.494) (end 1.664 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 0.875995 11.494) (end 0.875995 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 0.875995 11.494) (end 0.875995 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 0.875995 11.494) (end 1.664 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start 0.875995 11.494) (end 1.664 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -0.875995 3.74599) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -0.875995 11.494) (end -0.875995 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start 0.991006 14.796) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start 0 -4.25399) (end -2.54 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -2.54 -4.25399) (end -5.08 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -2.54 4.25399) (end -2.22199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -2.22199 4.25399) (end -2.22199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -2.22199 3.74599) (end -0.318008 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -2.85801 3.74599) (end -2.85801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -2.54 4.25399) (end -2.85801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 4.25399) (end -4.76199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -4.76199 4.25399) (end -4.76199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -4.76199 3.74599) (end -2.85801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -5.39801 3.74599) (end -5.39801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 4.25399) (end -5.39801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -1.664 11.494) (end -1.664 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -1.664 11.494) (end -1.664 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -1.664 11.494) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -1.664 11.494) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -3.416 3.74599) (end -3.416 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -3.416 11.494) (end -3.416 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -4.20401 11.494) (end -4.20401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -4.20401 11.494) (end -4.20401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -4.20401 11.494) (end -3.416 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -4.20401 11.494) (end -3.416 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 -4.25399) (end -7.62 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -7.62 4.25399) (end -7.30199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -7.30199 4.25399) (end -7.30199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -7.30199 3.74599) (end -5.39801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -7.93801 3.74599) (end -7.93801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -7.62 4.25399) (end -7.93801 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -6.92201 13.859) (end -6.985 13.938) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.35 13.78) (end -6.92201 13.859) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.858 13.78) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.92201 13.7) (end -6.858 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.35 13.78) (end -6.92201 13.7) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.858 13.78) (end -6.985 13.938) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.985 13.621) (end -6.858 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.35 13.78) (end -6.985 13.621) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.985 13.938) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 13.78) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.31799 13.7) (end -8.255 13.621) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 13.78) (end -8.31799 13.7) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.382 13.78) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.31799 13.859) (end -8.382 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 13.78) (end -8.31799 13.859) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.382 13.78) (end -8.255 13.621) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.255 13.938) (end -8.382 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 13.78) (end -8.255 13.938) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.255 13.621) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -6.35 11.839) (end -6.35 14.481) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 11.839) (end -8.89 14.481) (layer Dwgs.User) (width 0.1)) - (fp_line (start -5.95599 3.74599) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -5.95599 11.494) (end -5.95599 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -6.744 11.494) (end -6.744 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -6.744 11.494) (end -6.744 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -6.744 11.494) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -6.744 11.494) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -8.496 3.74599) (end -8.496 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -8.496 11.494) (end -8.496 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -9.28401 11.494) (end -9.28401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -9.28401 11.494) (end -9.28401 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -9.28401 11.494) (end -8.496 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -9.28401 11.494) (end -8.496 11.494) (layer F.Fab) (width 0.1)) - (fp_line (start -8.31799 14.716) (end -8.255 14.637) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 14.796) (end -8.31799 14.716) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.382 14.796) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.31799 14.875) (end -8.382 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 14.796) (end -8.31799 14.875) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.382 14.796) (end -8.255 14.637) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.255 14.954) (end -8.382 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 14.796) (end -8.255 14.954) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.255 14.637) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -0.991006 14.796) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.89 11.839) (end -8.89 15.497) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.84199 -5.82) (end -9.779 -5.899) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.414 -5.73999) (end -9.84199 -5.82) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.906 -5.73999) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.84199 -5.661) (end -9.906 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.414 -5.73999) (end -9.84199 -5.661) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.906 -5.73999) (end -9.779 -5.899) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.779 -5.58201) (end -9.906 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.414 -5.73999) (end -9.779 -5.58201) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.779 -5.899) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -0.991006 -5.73999) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.049 -3.683) (end -11.97 -3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -4.25399) (end -12.049 -3.683) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -3.74599) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.208 -3.683) (end -12.128 -3.74599) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -4.25399) (end -12.208 -3.683) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -3.74599) (end -11.97 -3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.287 -3.62001) (end -12.128 -3.74599) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 -4.25399) (end -12.287 -3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.97 -3.62001) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.115 -4.25399) (end -12.83 -4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -7.62 -4.25399) (end -10.414 -4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -12.128 -0.318008) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.208 3.683) (end -12.287 3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 4.25399) (end -12.208 3.683) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 3.74599) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.049 3.683) (end -12.128 3.74599) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 4.25399) (end -12.049 3.683) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 3.74599) (end -12.287 3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.97 3.62001) (end -12.128 3.74599) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 4.25399) (end -11.97 3.62001) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.287 3.62001) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 0.318008) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.115 4.25399) (end -12.83 4.25399) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.414 3.553) (end -10.414 -6.44101) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.84199 3.74599) (end -7.93801 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -9.84199 4.25399) (end -9.84199 3.74599) (layer F.Fab) (width 0.1)) - (fp_line (start -10.414 -4.25399) (end -10.414 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -10.414 4.25399) (end -9.84199 4.25399) (layer F.Fab) (width 0.1)) - (fp_line (start -12.049 7.84901) (end -11.97 7.912) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.277) (end -12.049 7.84901) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.785) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.208 7.84901) (end -12.128 7.785) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.277) (end -12.208 7.84901) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.785) (end -11.97 7.912) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.287 7.912) (end -12.128 7.785) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 7.277) (end -12.287 7.912) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.97 7.912) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 8.89) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.59099 7.277) (end -12.83 7.277) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.008 13.78) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.208 10.566) (end -12.287 10.503) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 11.138) (end -12.208 10.566) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 10.63) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.049 10.566) (end -12.128 10.63) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 11.138) (end -12.049 10.566) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 10.63) (end -12.287 10.503) (layer Dwgs.User) (width 0.1)) - (fp_line (start -11.97 10.503) (end -12.128 10.63) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 11.138) (end -11.97 10.503) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.287 10.503) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) - (fp_line (start -12.128 9.525) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) - (fp_line (start -9.59099 11.138) (end -12.83 11.138) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.864 -4.70499) (end -10.864 -4.70499) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.864 -4.70499) (end -10.864 -4.70499) (layer F.SilkS) (width 0.2)) - (fp_line (start 10.864 12.709) (end 10.864 -4.70499) (layer Dwgs.User) (width 0.1)) - (fp_line (start 10.864 12.709) (end 10.864 -4.70499) (layer F.SilkS) (width 0.2)) - (fp_line (start -10.864 12.709) (end 10.864 12.709) (layer Dwgs.User) (width 0.1)) - (fp_line (start -10.864 12.709) (end 10.864 12.709) (layer F.SilkS) (width 0.2)) - (fp_line (start -10.864 -4.70499) (end -10.864 12.709) (layer Dwgs.User) (width 0.1)) (fp_line (start -10.864 -4.70499) (end -10.864 12.709) (layer F.SilkS) (width 0.2)) + (fp_line (start -10.864 -4.70499) (end -10.864 12.709) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.864 12.709) (end 10.864 12.709) (layer F.SilkS) (width 0.2)) + (fp_line (start -10.864 12.709) (end 10.864 12.709) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.864 12.709) (end 10.864 -4.70499) (layer F.SilkS) (width 0.2)) + (fp_line (start 10.864 12.709) (end 10.864 -4.70499) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.864 -4.70499) (end -10.864 -4.70499) (layer F.SilkS) (width 0.2)) + (fp_line (start 10.864 -4.70499) (end -10.864 -4.70499) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.59099 11.138) (end -12.83 11.138) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 9.525) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.287 10.503) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 11.138) (end -11.97 10.503) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.97 10.503) (end -12.128 10.63) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 10.63) (end -12.287 10.503) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 11.138) (end -12.049 10.566) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.049 10.566) (end -12.128 10.63) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 10.63) (end -12.128 11.138) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 11.138) (end -12.208 10.566) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.208 10.566) (end -12.287 10.503) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.008 13.78) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.59099 7.277) (end -12.83 7.277) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 8.89) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.97 7.912) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.277) (end -12.287 7.912) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.287 7.912) (end -12.128 7.785) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.785) (end -11.97 7.912) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.277) (end -12.208 7.84901) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.208 7.84901) (end -12.128 7.785) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.785) (end -12.128 7.277) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 7.277) (end -12.049 7.84901) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.049 7.84901) (end -11.97 7.912) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.414 4.25399) (end -9.84199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -10.414 -4.25399) (end -10.414 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -9.84199 4.25399) (end -9.84199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -9.84199 3.74599) (end -7.93801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -10.414 3.553) (end -10.414 -6.44101) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.115 4.25399) (end -12.83 4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 0.318008) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.287 3.62001) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 4.25399) (end -11.97 3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.97 3.62001) (end -12.128 3.74599) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 3.74599) (end -12.287 3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 4.25399) (end -12.049 3.683) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.049 3.683) (end -12.128 3.74599) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 3.74599) (end -12.128 4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 4.25399) (end -12.208 3.683) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.208 3.683) (end -12.287 3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -0.318008) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -7.62 -4.25399) (end -10.414 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -11.115 -4.25399) (end -12.83 -4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -11.97 -3.62001) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -4.25399) (end -12.287 -3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.287 -3.62001) (end -12.128 -3.74599) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -3.74599) (end -11.97 -3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -4.25399) (end -12.208 -3.683) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.208 -3.683) (end -12.128 -3.74599) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -3.74599) (end -12.128 -4.25399) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.128 -4.25399) (end -12.049 -3.683) (layer Dwgs.User) (width 0.1)) + (fp_line (start -12.049 -3.683) (end -11.97 -3.62001) (layer Dwgs.User) (width 0.1)) + (fp_line (start -0.991006 -5.73999) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.779 -5.899) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.414 -5.73999) (end -9.779 -5.58201) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.779 -5.58201) (end -9.906 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.906 -5.73999) (end -9.779 -5.899) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.414 -5.73999) (end -9.84199 -5.661) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.84199 -5.661) (end -9.906 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.906 -5.73999) (end -10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start -10.414 -5.73999) (end -9.84199 -5.82) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.84199 -5.82) (end -9.779 -5.899) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 11.839) (end -8.89 15.497) (layer Dwgs.User) (width 0.1)) + (fp_line (start -0.991006 14.796) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.255 14.637) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 14.796) (end -8.255 14.954) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.255 14.954) (end -8.382 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.382 14.796) (end -8.255 14.637) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 14.796) (end -8.31799 14.875) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.31799 14.875) (end -8.382 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.382 14.796) (end -8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 14.796) (end -8.31799 14.716) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.31799 14.716) (end -8.255 14.637) (layer Dwgs.User) (width 0.1)) + (fp_line (start -9.28401 11.494) (end -8.496 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -9.28401 11.494) (end -8.496 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -9.28401 11.494) (end -9.28401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -9.28401 11.494) (end -9.28401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -8.496 11.494) (end -8.496 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -8.496 3.74599) (end -8.496 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -6.744 11.494) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -6.744 11.494) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -6.744 11.494) (end -6.744 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -6.744 11.494) (end -6.744 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -5.95599 11.494) (end -5.95599 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -5.95599 3.74599) (end -5.95599 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -8.89 11.839) (end -8.89 14.481) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.35 11.839) (end -6.35 14.481) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.255 13.621) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 13.78) (end -8.255 13.938) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.255 13.938) (end -8.382 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.382 13.78) (end -8.255 13.621) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 13.78) (end -8.31799 13.859) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.31799 13.859) (end -8.382 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.382 13.78) (end -8.89 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 13.78) (end -8.31799 13.7) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.31799 13.7) (end -8.255 13.621) (layer Dwgs.User) (width 0.1)) + (fp_line (start -8.89 13.78) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.985 13.938) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.35 13.78) (end -6.985 13.621) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.985 13.621) (end -6.858 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.858 13.78) (end -6.985 13.938) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.35 13.78) (end -6.92201 13.7) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.92201 13.7) (end -6.858 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.858 13.78) (end -6.35 13.78) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.35 13.78) (end -6.92201 13.859) (layer Dwgs.User) (width 0.1)) + (fp_line (start -6.92201 13.859) (end -6.985 13.938) (layer Dwgs.User) (width 0.1)) + (fp_line (start -7.62 4.25399) (end -7.93801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -7.93801 3.74599) (end -7.93801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -7.30199 3.74599) (end -5.39801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -7.30199 4.25399) (end -7.30199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -7.62 4.25399) (end -7.30199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -5.08 -4.25399) (end -7.62 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -4.20401 11.494) (end -3.416 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -4.20401 11.494) (end -3.416 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -4.20401 11.494) (end -4.20401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -4.20401 11.494) (end -4.20401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -3.416 11.494) (end -3.416 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -3.416 3.74599) (end -3.416 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -1.664 11.494) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -1.664 11.494) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start -1.664 11.494) (end -1.664 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -1.664 11.494) (end -1.664 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -5.08 4.25399) (end -5.39801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -5.39801 3.74599) (end -5.39801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -4.76199 3.74599) (end -2.85801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -4.76199 4.25399) (end -4.76199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -5.08 4.25399) (end -4.76199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -2.54 4.25399) (end -2.85801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -2.85801 3.74599) (end -2.85801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -2.22199 3.74599) (end -0.318008 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -2.22199 4.25399) (end -2.22199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -2.54 4.25399) (end -2.22199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -2.54 -4.25399) (end -5.08 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -4.25399) (end -2.54 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 0.991006 14.796) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start -0.875995 11.494) (end -0.875995 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start -0.875995 3.74599) (end -0.875995 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 0.875995 11.494) (end 1.664 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 0.875995 11.494) (end 1.664 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 0.875995 11.494) (end 0.875995 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 0.875995 11.494) (end 0.875995 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 1.664 11.494) (end 1.664 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 1.664 3.74599) (end 1.664 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 0 4.25399) (end -0.318008 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start -0.318008 3.74599) (end -0.318008 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 0.318008 3.74599) (end 2.22199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 0.318008 4.25399) (end 0.318008 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 0 4.25399) (end 0.318008 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 4.25399) (end 2.22199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 2.22199 3.74599) (end 2.22199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 -4.25399) (end 0 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 0.991006 -5.73999) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 3.416 11.494) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 3.416 11.494) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 3.416 11.494) (end 3.416 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 3.416 11.494) (end 3.416 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 4.20401 11.494) (end 4.20401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 4.20401 3.74599) (end 4.20401 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 5.95599 11.494) (end 6.744 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 5.95599 11.494) (end 6.744 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 5.95599 11.494) (end 5.95599 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 5.95599 11.494) (end 5.95599 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 2.85801 3.74599) (end 4.76199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 2.85801 4.25399) (end 2.85801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 4.25399) (end 2.85801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 5.08 4.25399) (end 4.76199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 4.76199 3.74599) (end 4.76199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 5.39801 3.74599) (end 7.30199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 5.39801 4.25399) (end 5.39801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 5.08 4.25399) (end 5.39801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 5.08 -4.25399) (end 2.54 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 -4.25399) (end 5.08 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 8.89 11.839) (end 8.89 15.497) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.255 14.954) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.89 14.796) (end 8.255 14.637) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.255 14.637) (end 8.382 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.382 14.796) (end 8.255 14.954) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.89 14.796) (end 8.31799 14.716) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.31799 14.716) (end 8.382 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.382 14.796) (end 8.89 14.796) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.89 14.796) (end 8.31799 14.875) (layer Dwgs.User) (width 0.1)) + (fp_line (start 8.31799 14.875) (end 8.255 14.954) (layer Dwgs.User) (width 0.1)) + (fp_line (start 6.744 11.494) (end 6.744 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 6.744 3.74599) (end 6.744 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 8.496 11.494) (end 9.28401 11.494) (layer F.Fab) (width 0.1)) + (fp_line (start 8.496 11.494) (end 8.496 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 9.28401 11.494) (end 9.28401 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 4.25399) (end 7.30199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 7.30199 3.74599) (end 7.30199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 4.25399) (end 7.93801 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 7.93801 4.25399) (end 7.93801 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 7.93801 3.74599) (end 9.84199 3.74599) (layer F.Fab) (width 0.1)) + (fp_line (start 9.84199 4.25399) (end 10.414 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 9.84199 3.74599) (end 9.84199 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 10.414 -4.25399) (end 10.414 4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 -4.25399) (end 10.414 -4.25399) (layer F.Fab) (width 0.1)) + (fp_line (start 9.779 -5.58201) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.414 -5.73999) (end 9.779 -5.899) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.779 -5.899) (end 9.906 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.906 -5.73999) (end 9.779 -5.58201) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.414 -5.73999) (end 9.84199 -5.82) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.84199 -5.82) (end 9.906 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.906 -5.73999) (end 10.414 -5.73999) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.414 -5.73999) (end 9.84199 -5.661) (layer Dwgs.User) (width 0.1)) + (fp_line (start 9.84199 -5.661) (end 9.779 -5.58201) (layer Dwgs.User) (width 0.1)) + (fp_line (start 10.414 3.553) (end 10.414 -6.44101) (layer Dwgs.User) (width 0.1)) + (fp_poly (pts (xy -9.65101 6.05599) (xy -8.12902 6.05599) (xy -8.12902 8.498) (xy -9.65101 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -9.60001 6.107) (xy -8.17999 6.107) (xy -8.17999 8.447) (xy -9.60001 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -9.65101 9.96701) (xy -8.12902 9.96701) (xy -8.12902 12.309) (xy -9.65101 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -9.60001 10.018) (xy -8.17999 10.018) (xy -8.17999 12.258) (xy -9.60001 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -7.11101 6.05599) (xy -5.58902 6.05599) (xy -5.58902 8.498) (xy -7.11101 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -7.06001 6.107) (xy -5.63999 6.107) (xy -5.63999 8.447) (xy -7.06001 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -7.11101 9.96701) (xy -5.58902 9.96701) (xy -5.58902 12.309) (xy -7.11101 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -7.06001 10.018) (xy -5.63999 10.018) (xy -5.63999 12.258) (xy -7.06001 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -4.57101 6.05599) (xy -3.04902 6.05599) (xy -3.04902 8.498) (xy -4.57101 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -4.52001 6.107) (xy -3.09999 6.107) (xy -3.09999 8.447) (xy -4.52001 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -4.57101 9.96701) (xy -3.04902 9.96701) (xy -3.04902 12.309) (xy -4.57101 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -4.52001 10.018) (xy -3.09999 10.018) (xy -3.09999 12.258) (xy -4.52001 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -2.03101 6.05599) (xy -0.509016 6.05599) (xy -0.509016 8.498) (xy -2.03101 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -1.98001 6.107) (xy -0.559994 6.107) (xy -0.559994 8.447) (xy -1.98001 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy -2.03101 9.96701) (xy -0.509016 9.96701) (xy -0.509016 12.309) (xy -2.03101 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy -1.98001 10.018) (xy -0.559994 10.018) (xy -0.559994 12.258) (xy -1.98001 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 0.508991 6.05599) (xy 2.03098 6.05599) (xy 2.03098 8.498) (xy 0.508991 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 0.559994 6.107) (xy 1.98001 6.107) (xy 1.98001 8.447) (xy 0.559994 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 0.508991 9.96701) (xy 2.03098 9.96701) (xy 2.03098 12.309) (xy 0.508991 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 0.559994 10.018) (xy 1.98001 10.018) (xy 1.98001 12.258) (xy 0.559994 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 3.04899 6.05599) (xy 4.57098 6.05599) (xy 4.57098 8.498) (xy 3.04899 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 3.09999 6.107) (xy 4.52001 6.107) (xy 4.52001 8.447) (xy 3.09999 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 3.04899 9.96701) (xy 4.57098 9.96701) (xy 4.57098 12.309) (xy 3.04899 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 3.09999 10.018) (xy 4.52001 10.018) (xy 4.52001 12.258) (xy 3.09999 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 5.58899 6.05599) (xy 7.11098 6.05599) (xy 7.11098 8.498) (xy 5.58899 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 5.63999 6.107) (xy 7.06001 6.107) (xy 7.06001 8.447) (xy 5.63999 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 5.58899 9.96701) (xy 7.11098 9.96701) (xy 7.11098 12.309) (xy 5.58899 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 5.63999 10.018) (xy 7.06001 10.018) (xy 7.06001 12.258) (xy 5.63999 12.258)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 8.12899 6.05599) (xy 9.65098 6.05599) (xy 9.65098 8.498) (xy 8.12899 8.498)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 8.17999 6.107) (xy 9.60001 6.107) (xy 9.60001 8.447) (xy 8.17999 8.447)) (layer F.Paste) (width 0.01)) + (fp_poly (pts (xy 8.12899 9.96701) (xy 9.65098 9.96701) (xy 9.65098 12.309) (xy 8.12899 12.309)) (layer F.Mask) (width 0.01)) + (fp_poly (pts (xy 8.17999 10.018) (xy 9.60001 10.018) (xy 9.60001 12.258) (xy 8.17999 12.258)) (layer F.Paste) (width 0.01)) + (fp_line (start -11.75 -5.75) (end 11.75 -5.75) (layer F.CrtYd) (width 0.12)) + (fp_line (start 11.75 -5.75) (end 11.75 13.65) (layer F.CrtYd) (width 0.12)) + (fp_line (start 11.75 13.65) (end -11.75 13.65) (layer F.CrtYd) (width 0.12)) + (fp_line (start -11.75 13.65) (end -11.75 -5.75) (layer F.CrtYd) (width 0.12)) (fp_text user 15 (at 11.205 7.912 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -1197,15 +1314,15 @@ (fp_text value "87832-1420 (2x7 M 2mm)" (at 6.36354 9.520345 90) (layer F.Fab) (effects (font (size 1.000559 1.000559) (thickness 0.15))) ) - (fp_line (start 3.15 -8.325) (end 3.15 8.325) (layer F.Fab) (width 0.127)) - (fp_line (start 3.15 8.325) (end -3.15 8.325) (layer F.SilkS) (width 0.127)) - (fp_line (start -3.15 8.325) (end -3.15 -8.325) (layer F.Fab) (width 0.127)) - (fp_line (start -3.15 -8.325) (end 3.15 -8.325) (layer F.SilkS) (width 0.127)) - (fp_line (start 3.75 -8.6) (end 3.75 8.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start 3.75 8.6) (end -3.75 8.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start -3.75 8.6) (end -3.75 -8.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start -3.75 -8.6) (end 3.75 -8.6) (layer F.CrtYd) (width 0.127)) (fp_circle (center -4.2 -6.05) (end -4.09 -6.05) (layer F.SilkS) (width 0.6096)) + (fp_line (start -3.75 -8.6) (end 3.75 -8.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start -3.75 8.6) (end -3.75 -8.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start 3.75 8.6) (end -3.75 8.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start 3.75 -8.6) (end 3.75 8.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start -3.15 -8.325) (end 3.15 -8.325) (layer F.SilkS) (width 0.127)) + (fp_line (start -3.15 8.325) (end -3.15 -8.325) (layer F.Fab) (width 0.127)) + (fp_line (start 3.15 8.325) (end -3.15 8.325) (layer F.SilkS) (width 0.127)) + (fp_line (start 3.15 -8.325) (end 3.15 8.325) (layer F.Fab) (width 0.127)) (pad None np_thru_hole circle (at 0 5 270) (size 1.05 1.05) (drill 1.05) (layers *.Cu *.Mask)) (pad None np_thru_hole circle (at 0 -5 270) (size 1.05 1.05) (drill 1.05) (layers *.Cu *.Mask)) (pad 14 smd rect (at 2.13 6 180) (size 1 2.75) (layers F.Cu F.Paste F.Mask) @@ -1255,16 +1372,16 @@ (fp_text value 100nF (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1291,17 +1408,17 @@ (fp_text value SN74CB3T3245PWR (at 0 4.3 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -1363,16 +1480,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1399,17 +1516,17 @@ (fp_text value SN74CB3T3245PWR (at 0 4.3 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -1460,19 +1577,19 @@ ) (module MountingHole:MountingHole_2.2mm_M2_Pad (layer F.Cu) (tedit 56D1B4CB) (tstamp 6337B584) - (at 257.35 47.09) + (at 269.1975 89.5) (descr "Mounting Hole 2.2mm, M2") (tags "mounting hole 2.2mm m2") (path /61B62C00/63467FFE) (attr virtual) - (fp_text reference H1 (at 0 -3.2) (layer F.SilkS) + (fp_text reference H1 (at -3.6075 -0.11) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value MountingHole_Pad (at 0 3.2) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05)) (fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15)) + (fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0.3 0) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -1492,16 +1609,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1528,18 +1645,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1566,18 +1683,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1604,18 +1721,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1642,18 +1759,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1680,18 +1797,18 @@ (fp_text value "YELLOW Led" (at 0 1.65 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -1718,16 +1835,16 @@ (fp_text value 590 (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1754,16 +1871,16 @@ (fp_text value 590 (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1790,16 +1907,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1826,16 +1943,16 @@ (fp_text value 590 (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1862,16 +1979,16 @@ (fp_text value 590 (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -1898,17 +2015,17 @@ (fp_text value SN74CB3T3245PWR (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -1970,16 +2087,16 @@ (fp_text value 100nF (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2006,16 +2123,16 @@ (fp_text value "47uF 10V 0805" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -2039,25 +2156,25 @@ (fp_text value "47219-2001 (micro-sd)" (at 8.61729 -4.41119) (layer F.Fab) (effects (font (size 1.000268 1.000268) (thickness 0.015))) ) - (fp_line (start -7.9 7.6) (end -7.9 -7.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start 7.9 7.6) (end -7.9 7.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start 7.9 -7.6) (end 7.9 7.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start -7.9 -7.6) (end 7.9 -7.6) (layer F.CrtYd) (width 0.127)) - (fp_line (start -6.8 -4.8) (end 6.8 -4.8) (layer F.SilkS) (width 0.127)) - (fp_line (start 4.2 5.5) (end 6 6.5) (layer F.SilkS) (width 0.127)) - (fp_line (start 1.6 4.9) (end 4.2 5.5) (layer F.SilkS) (width 0.127)) - (fp_line (start -1.9 4.9) (end 1.6 4.9) (layer F.SilkS) (width 0.127)) - (fp_line (start -4.3 5.5) (end -1.9 4.9) (layer F.SilkS) (width 0.127)) - (fp_line (start -6 6.5) (end -4.3 5.5) (layer F.SilkS) (width 0.127)) - (fp_line (start 6 7.2) (end 6 6.5) (layer F.SilkS) (width 0.127)) - (fp_line (start -6 7.2) (end -6 6.5) (layer F.SilkS) (width 0.127)) - (fp_line (start 6.8 -4.8) (end 6.8 5.9) (layer F.Fab) (width 0.127)) - (fp_line (start 6.8 -7.2) (end 6.8 -4.8) (layer F.SilkS) (width 0.127)) - (fp_line (start -6.8 -2.3) (end -6.8 -4.8) (layer F.Fab) (width 0.127)) - (fp_line (start -6.8 5.9) (end -6.8 3.5) (layer F.Fab) (width 0.127)) - (fp_line (start -6.8 -7.2) (end -6.8 -4.8) (layer F.SilkS) (width 0.127)) - (fp_line (start -6.8 -7.25) (end 6.8 -7.25) (layer F.SilkS) (width 0.127)) (fp_line (start -6.8 7.25) (end 6.8 7.25) (layer F.SilkS) (width 0.127)) + (fp_line (start -6.8 -7.25) (end 6.8 -7.25) (layer F.SilkS) (width 0.127)) + (fp_line (start -6.8 -7.2) (end -6.8 -4.8) (layer F.SilkS) (width 0.127)) + (fp_line (start -6.8 5.9) (end -6.8 3.5) (layer F.Fab) (width 0.127)) + (fp_line (start -6.8 -2.3) (end -6.8 -4.8) (layer F.Fab) (width 0.127)) + (fp_line (start 6.8 -7.2) (end 6.8 -4.8) (layer F.SilkS) (width 0.127)) + (fp_line (start 6.8 -4.8) (end 6.8 5.9) (layer F.Fab) (width 0.127)) + (fp_line (start -6 7.2) (end -6 6.5) (layer F.SilkS) (width 0.127)) + (fp_line (start 6 7.2) (end 6 6.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -6 6.5) (end -4.3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.3 5.5) (end -1.9 4.9) (layer F.SilkS) (width 0.127)) + (fp_line (start -1.9 4.9) (end 1.6 4.9) (layer F.SilkS) (width 0.127)) + (fp_line (start 1.6 4.9) (end 4.2 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start 4.2 5.5) (end 6 6.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -6.8 -4.8) (end 6.8 -4.8) (layer F.SilkS) (width 0.127)) + (fp_line (start -7.9 -7.6) (end 7.9 -7.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start 7.9 -7.6) (end 7.9 7.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start 7.9 7.6) (end -7.9 7.6) (layer F.CrtYd) (width 0.127)) + (fp_line (start -7.9 7.6) (end -7.9 -7.6) (layer F.CrtYd) (width 0.127)) (pad 1 smd rect (at 3.2 2.1 180) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) (net 183 SD_D2)) (pad 2 smd rect (at 2.1 2.1 180) (size 0.8 1.5) (layers F.Cu F.Paste F.Mask) @@ -2101,16 +2218,16 @@ (fp_text value 100nF (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2137,16 +2254,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2173,16 +2290,16 @@ (fp_text value 10k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2209,16 +2326,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2245,16 +2362,16 @@ (fp_text value 10k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2281,16 +2398,16 @@ (fp_text value 10k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2317,16 +2434,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2353,16 +2470,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2389,16 +2506,16 @@ (fp_text value 10k (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2425,16 +2542,16 @@ (fp_text value 10k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2461,16 +2578,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2497,16 +2614,16 @@ (fp_text value "47uF 10V 0805" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -2533,18 +2650,18 @@ (fp_text value "BLUE Led" (at 0 1.65 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -2571,16 +2688,16 @@ (fp_text value 590 (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2607,16 +2724,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -2643,22 +2760,22 @@ (fp_text value 74LVT125PW,118 (at 0 3.55 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -2.325 -2.5) (end -3.675 -2.5) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -2.5) (end 2.2 -2.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.5) (end -3.675 -2.5) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -2709,17 +2826,17 @@ (fp_text value 74LVT245BPW,118 (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -2782,17 +2899,17 @@ (fp_text value 74LVT245BPW,118 (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -2855,17 +2972,17 @@ (fp_text value 74LVT245BPW,118 (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -2928,17 +3045,17 @@ (fp_text value 74LVT245BPW,118 (at 0 4.3 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -3001,16 +3118,16 @@ (fp_text value 100nF (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3037,22 +3154,22 @@ (fp_text value 74LVT125PW,118 (at 0 3.55) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -2.325 -2.5) (end -3.675 -2.5) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -2.5) (end 2.2 -2.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.5) (end -3.675 -2.5) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -3103,16 +3220,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3139,22 +3256,22 @@ (fp_text value 74LVT125PW,118 (at 0 3.55 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -2.325 -2.5) (end -3.675 -2.5) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) - (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) - (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) (fp_line (start -1.2 -2.5) (end 2.2 -2.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 -2.5) (end 2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start 2.2 2.5) (end -2.2 2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 2.5) (end -2.2 -1.5) (layer F.Fab) (width 0.15)) + (fp_line (start -2.2 -1.5) (end -1.2 -2.5) (layer F.Fab) (width 0.15)) + (fp_line (start -3.95 -2.8) (end -3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.95 -2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 -2.8) (end 3.95 -2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.95 2.8) (end 3.95 2.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.325 -2.625) (end -2.325 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 -2.625) (end 2.325 -2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.325 2.625) (end 2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end -2.325 2.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.625) (end 2.325 -2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 2.625) (end 2.325 2.625) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.325 -2.5) (end -3.675 -2.5) (layer F.SilkS) (width 0.15)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.15))) ) @@ -3202,18 +3319,18 @@ (fp_text value TPD12S016PWR (at 6.975 4.912) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 3.905 -4.15) (end 3.905 4.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.905 -4.15) (end -3.905 4.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.905 4.15) (end 3.905 4.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.905 -4.15) (end 3.905 -4.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.2 -3.9) (end 2.2 3.9) (layer F.Fab) (width 0.127)) - (fp_line (start -2.2 -3.9) (end -2.2 3.9) (layer F.Fab) (width 0.127)) - (fp_line (start -2.2 4.1) (end 2.2 4.1) (layer F.SilkS) (width 0.127)) - (fp_line (start -2.2 -4.1) (end 2.2 -4.1) (layer F.SilkS) (width 0.127)) - (fp_line (start -2.2 3.9) (end 2.2 3.9) (layer F.Fab) (width 0.127)) - (fp_line (start -2.2 -3.9) (end 2.2 -3.9) (layer F.Fab) (width 0.127)) - (fp_circle (center -4.44 -3.985) (end -4.34 -3.985) (layer F.Fab) (width 0.2)) (fp_circle (center -4.44 -3.985) (end -4.34 -3.985) (layer F.SilkS) (width 0.2)) + (fp_circle (center -4.44 -3.985) (end -4.34 -3.985) (layer F.Fab) (width 0.2)) + (fp_line (start -2.2 -3.9) (end 2.2 -3.9) (layer F.Fab) (width 0.127)) + (fp_line (start -2.2 3.9) (end 2.2 3.9) (layer F.Fab) (width 0.127)) + (fp_line (start -2.2 -4.1) (end 2.2 -4.1) (layer F.SilkS) (width 0.127)) + (fp_line (start -2.2 4.1) (end 2.2 4.1) (layer F.SilkS) (width 0.127)) + (fp_line (start -2.2 -3.9) (end -2.2 3.9) (layer F.Fab) (width 0.127)) + (fp_line (start 2.2 -3.9) (end 2.2 3.9) (layer F.Fab) (width 0.127)) + (fp_line (start -3.905 -4.15) (end 3.905 -4.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.905 4.15) (end 3.905 4.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.905 -4.15) (end -3.905 4.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.905 -4.15) (end 3.905 4.15) (layer F.CrtYd) (width 0.05)) (pad 1 smd rect (at -2.87 -3.575) (size 1.57 0.41) (layers F.Cu F.Paste F.Mask) (net 117 HDMI_CEC_A)) (pad 2 smd rect (at -2.87 -2.925) (size 1.57 0.41) (layers F.Cu F.Paste F.Mask) @@ -3281,18 +3398,18 @@ (fp_text value "GREEN Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3319,18 +3436,18 @@ (fp_text value "GREEN Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3357,18 +3474,18 @@ (fp_text value "GREEN Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3395,18 +3512,18 @@ (fp_text value "GREEN Led" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3433,16 +3550,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3469,16 +3586,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3505,16 +3622,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3541,16 +3658,16 @@ (fp_text value 590 (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3565,7 +3682,7 @@ ) ) - (module Connector_USB:USB_Micro-B_Molex-105017-0001 (layer F.Cu) (tedit 61BF441E) (tstamp 61BFD883) + (module Connector_USB:USB_Micro-B_Molex-105017-0001 (layer F.Cu) (tedit 6370C4E8) (tstamp 61BFD883) (at 270.66 79.07 90) (descr http://www.molex.com/pdm_docs/sd/1050170001_sd.pdf) (tags "Micro-USB SMD Typ-B") @@ -3577,28 +3694,28 @@ (fp_text value "105017-0001 (USB micro-B)" (at 0.3 4.3375 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -1.1 -2.1225) (end -1.1 -1.9125) (layer F.Fab) (width 0.1)) - (fp_line (start -1.5 -2.1225) (end -1.5 -1.9125) (layer F.Fab) (width 0.1)) - (fp_line (start -1.5 -2.1225) (end -1.1 -2.1225) (layer F.Fab) (width 0.1)) - (fp_line (start -1.1 -1.9125) (end -1.3 -1.7125) (layer F.Fab) (width 0.1)) - (fp_line (start -1.3 -1.7125) (end -1.5 -1.9125) (layer F.Fab) (width 0.1)) - (fp_line (start -1.7 -2.3125) (end -1.7 -1.8625) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.7 -2.3125) (end -1.25 -2.3125) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.9 -1.7625) (end 3.45 -1.7625) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.9 0.0875) (end 3.9 -1.7625) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.9 2.6375) (end -3.9 2.3875) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.75 3.3875) (end -3.75 -1.6125) (layer F.Fab) (width 0.1)) - (fp_line (start -3.75 -1.6125) (end 3.75 -1.6125) (layer F.Fab) (width 0.1)) - (fp_line (start -3.75 3.389204) (end 3.75 3.389204) (layer F.Fab) (width 0.1)) - (fp_line (start -3 2.689204) (end 3 2.689204) (layer F.Fab) (width 0.1)) - (fp_line (start 3.75 3.3875) (end 3.75 -1.6125) (layer F.Fab) (width 0.1)) - (fp_line (start 3.9 2.6375) (end 3.9 2.3875) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.9 0.0875) (end -3.9 -1.7625) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.9 -1.7625) (end -3.45 -1.7625) (layer F.SilkS) (width 0.12)) - (fp_line (start -4.4 3.64) (end -4.4 -2.46) (layer F.CrtYd) (width 0.05)) - (fp_line (start -4.4 -2.46) (end 4.4 -2.46) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.4 -2.46) (end 4.4 3.64) (layer F.CrtYd) (width 0.05)) (fp_line (start -4.4 3.64) (end 4.4 3.64) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.4 -2.46) (end 4.4 3.64) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 -2.46) (end 4.4 -2.46) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 3.64) (end -4.4 -2.46) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.9 -1.7625) (end -3.45 -1.7625) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.9 0.0875) (end -3.9 -1.7625) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.9 2.6375) (end 3.9 2.3875) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.75 3.3875) (end 3.75 -1.6125) (layer F.Fab) (width 0.1)) + (fp_line (start -3 2.689204) (end 3 2.689204) (layer F.Fab) (width 0.1)) + (fp_line (start -3.75 3.389204) (end 3.75 3.389204) (layer F.Fab) (width 0.1)) + (fp_line (start -3.75 -1.6125) (end 3.75 -1.6125) (layer F.Fab) (width 0.1)) + (fp_line (start -3.75 3.3875) (end -3.75 -1.6125) (layer F.Fab) (width 0.1)) + (fp_line (start -3.9 2.6375) (end -3.9 2.3875) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.9 0.0875) (end 3.9 -1.7625) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.9 -1.7625) (end 3.45 -1.7625) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.7 -2.3125) (end -1.25 -2.3125) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.7 -2.3125) (end -1.7 -1.8625) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.3 -1.7125) (end -1.5 -1.9125) (layer F.Fab) (width 0.1)) + (fp_line (start -1.1 -1.9125) (end -1.3 -1.7125) (layer F.Fab) (width 0.1)) + (fp_line (start -1.5 -2.1225) (end -1.1 -2.1225) (layer F.Fab) (width 0.1)) + (fp_line (start -1.5 -2.1225) (end -1.5 -1.9125) (layer F.Fab) (width 0.1)) + (fp_line (start -1.1 -2.1225) (end -1.1 -1.9125) (layer F.Fab) (width 0.1)) (fp_text user "PCB Edge" (at 0 2.6875 90) (layer Dwgs.User) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3650,16 +3767,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3686,16 +3803,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3722,16 +3839,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3758,16 +3875,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3794,16 +3911,16 @@ (fp_text value "47uF 10V 0805" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -3829,38 +3946,38 @@ (fp_text value "640456-3 (Fan)" (at 2.54 4.08) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 6.85 -3.42) (end -1.77 -3.42) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.85 3.38) (end 6.85 -3.42) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.77 3.38) (end 6.85 3.38) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.77 -3.42) (end -1.77 3.38) (layer F.CrtYd) (width 0.05)) - (fp_line (start 5.88 -2.43) (end 5.88 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.28 -2.43) (end 5.88 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.28 -3.03) (end 4.28 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.34 -2.43) (end 3.34 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.74 -2.43) (end 3.34 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.74 -3.03) (end 1.74 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 -2.43) (end 0.8 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.8 -2.43) (end 0.8 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.8 -3.03) (end -0.8 -2.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.83 2.99) (end 4.83 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.25 2.99) (end 0.25 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.83 1.46) (end 5.08 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.25 1.46) (end 4.83 1.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 0 1.99) (end 0.25 1.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.08 1.99) (end 5.08 2.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 0 1.99) (end 5.08 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 0 2.99) (end 0 1.99) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.562893 0) (end -1.27 0.5) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 -0.5) (end -0.562893 0) (layer F.Fab) (width 0.1)) - (fp_line (start -1.67 -2) (end -1.67 2) (layer F.SilkS) (width 0.12)) - (fp_line (start 6.46 -3.03) (end -1.38 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 6.46 2.99) (end 6.46 -3.03) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.38 2.99) (end 6.46 2.99) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.38 -3.03) (end -1.38 2.99) (layer F.SilkS) (width 0.12)) - (fp_line (start 6.35 -2.92) (end -1.27 -2.92) (layer F.Fab) (width 0.1)) - (fp_line (start 6.35 2.88) (end 6.35 -2.92) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 2.88) (end 6.35 2.88) (layer F.Fab) (width 0.1)) (fp_line (start -1.27 -2.92) (end -1.27 2.88) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 2.88) (end 6.35 2.88) (layer F.Fab) (width 0.1)) + (fp_line (start 6.35 2.88) (end 6.35 -2.92) (layer F.Fab) (width 0.1)) + (fp_line (start 6.35 -2.92) (end -1.27 -2.92) (layer F.Fab) (width 0.1)) + (fp_line (start -1.38 -3.03) (end -1.38 2.99) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.38 2.99) (end 6.46 2.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.46 2.99) (end 6.46 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.46 -3.03) (end -1.38 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.67 -2) (end -1.67 2) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 -0.5) (end -0.562893 0) (layer F.Fab) (width 0.1)) + (fp_line (start -0.562893 0) (end -1.27 0.5) (layer F.Fab) (width 0.1)) + (fp_line (start 0 2.99) (end 0 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.99) (end 5.08 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.08 1.99) (end 5.08 2.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.99) (end 0.25 1.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.25 1.46) (end 4.83 1.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.83 1.46) (end 5.08 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.25 2.99) (end 0.25 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.83 2.99) (end 4.83 1.99) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.8 -3.03) (end -0.8 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.8 -2.43) (end 0.8 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 -2.43) (end 0.8 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.74 -3.03) (end 1.74 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.74 -2.43) (end 3.34 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.34 -2.43) (end 3.34 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.28 -3.03) (end 4.28 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.28 -2.43) (end 5.88 -2.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.88 -2.43) (end 5.88 -3.03) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.77 -3.42) (end -1.77 3.38) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.77 3.38) (end 6.85 3.38) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.85 3.38) (end 6.85 -3.42) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.85 -3.42) (end -1.77 -3.42) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 2.54 -2.22) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -3889,16 +4006,16 @@ (fp_text value 10k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3925,16 +4042,16 @@ (fp_text value 10k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3961,16 +4078,16 @@ (fp_text value 10k (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -3997,16 +4114,16 @@ (fp_text value 10k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4033,16 +4150,16 @@ (fp_text value 10nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4068,23 +4185,23 @@ (fp_text value "77313-101-64LF (2x32 M 2.54mm)" (at 1.27 81.07 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.35 80.55) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 80.55) (end 4.35 80.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 -1.8) (end -1.8 80.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.87 -1.33) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end -1.33 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 80.07) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 80.01) (end -1.27 0) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 80.01) (end -1.27 80.01) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 -1.27) (end 3.81 80.01) (layer F.Fab) (width 0.1)) (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 -1.27) (end 3.81 80.01) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 80.01) (end -1.27 80.01) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 80.01) (end -1.27 0) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 80.07) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.87 -1.33) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 80.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 80.55) (end 4.35 80.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.35 80.55) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 1.27 39.37 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -4235,16 +4352,16 @@ (fp_text value 100nF (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4271,16 +4388,16 @@ (fp_text value 100nF (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4307,16 +4424,16 @@ (fp_text value 100nF (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4343,16 +4460,16 @@ (fp_text value "100uF 1206 (officially 150 uF ? could be >= 100 uF or even less, probably)" (at 5 1.82 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 2.28 1.12) (end -2.28 1.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.28 1.12) (end -2.28 1.12) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.8 0.8) (thickness 0.12))) ) @@ -4379,16 +4496,16 @@ (fp_text value Ferrite_Bead_Small (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -4415,17 +4532,17 @@ (fp_text value TPS2051CDBV (at 0 2.9 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.075))) ) @@ -4458,17 +4575,17 @@ (fp_text value SN65220DBV (at 0 2.9 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) - (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) - (fp_line (start -1.9 -1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.9 1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.9 -1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.9 -1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.9 1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.9 -1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.075))) ) @@ -4503,16 +4620,16 @@ (fp_text value 27 (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4539,16 +4656,16 @@ (fp_text value 27 (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4575,16 +4692,16 @@ (fp_text value 15k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4611,16 +4728,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4647,16 +4764,16 @@ (fp_text value 15k (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4683,16 +4800,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4719,18 +4836,18 @@ (fp_text value "RED Led" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -4757,18 +4874,18 @@ (fp_text value "RED Led" (at 0 1.65) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -4795,16 +4912,16 @@ (fp_text value 590 (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4831,16 +4948,16 @@ (fp_text value 590 (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4867,16 +4984,16 @@ (fp_text value 100nF (at 0 1.43 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 270) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4903,16 +5020,16 @@ (fp_text value 100nF (at 0 1.43 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4939,16 +5056,16 @@ (fp_text value 100nF (at -3.27 -1.99 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 90) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -4975,16 +5092,16 @@ (fp_text value "47uF 10V 0805" (at 0 1.65 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.258578 -0.71) (end 0.258578 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.258578 0.71) (end 0.258578 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.5 0.5) (thickness 0.08))) ) @@ -5011,27 +5128,27 @@ (fp_text value "10029449-111RLF (HDMI A)" (at 0 8.45 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -8.2 1.7) (end -8.2 -1.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -8.2 -3.4) (end -5 -3.4) (layer F.SilkS) (width 0.12)) - (fp_line (start -8.2 4.2) (end -8.2 5.2) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.2 5.2) (end 8.2 4.2) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.2 -3.4) (end 8.2 -2.8) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.2 -3.4) (end 5.5 -3.4) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.5 -3.4) (end 5.5 -4.05) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.1 -3.3) (end 8.1 6.42) (layer F.Fab) (width 0.1)) - (fp_line (start 8.1 6.42) (end -8.1 6.42) (layer F.Fab) (width 0.1)) - (fp_line (start -8.1 6.42) (end -8.1 -3.3) (layer F.Fab) (width 0.1)) - (fp_line (start -8.1 -3.3) (end 8.1 -3.3) (layer F.Fab) (width 0.1)) - (fp_line (start -9 -4.4) (end 9 -4.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 9 -4.4) (end 9 6.92) (layer F.CrtYd) (width 0.05)) - (fp_line (start 9 6.92) (end -9 6.92) (layer F.CrtYd) (width 0.05)) - (fp_line (start -9 6.92) (end -9 -4.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.75 -1.8) (end 4.5 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start 4.5 -1.3) (end 5 -1.3) (layer F.Fab) (width 0.1)) - (fp_line (start 5 -1.3) (end 4.75 -1.8) (layer F.Fab) (width 0.1)) - (fp_line (start -3 5.45) (end 3 5.45) (layer Dwgs.User) (width 0.1)) - (fp_line (start -8.2 -3.4) (end -8.2 -2.8) (layer F.SilkS) (width 0.12)) (fp_line (start 8.2 1.7) (end 8.2 -1.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.2 -3.4) (end -8.2 -2.8) (layer F.SilkS) (width 0.12)) + (fp_line (start -3 5.45) (end 3 5.45) (layer Dwgs.User) (width 0.1)) + (fp_line (start 5 -1.3) (end 4.75 -1.8) (layer F.Fab) (width 0.1)) + (fp_line (start 4.5 -1.3) (end 5 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start 4.75 -1.8) (end 4.5 -1.3) (layer F.Fab) (width 0.1)) + (fp_line (start -9 6.92) (end -9 -4.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 9 6.92) (end -9 6.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start 9 -4.4) (end 9 6.92) (layer F.CrtYd) (width 0.05)) + (fp_line (start -9 -4.4) (end 9 -4.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.1 -3.3) (end 8.1 -3.3) (layer F.Fab) (width 0.1)) + (fp_line (start -8.1 6.42) (end -8.1 -3.3) (layer F.Fab) (width 0.1)) + (fp_line (start 8.1 6.42) (end -8.1 6.42) (layer F.Fab) (width 0.1)) + (fp_line (start 8.1 -3.3) (end 8.1 6.42) (layer F.Fab) (width 0.1)) + (fp_line (start 5.5 -3.4) (end 5.5 -4.05) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.2 -3.4) (end 5.5 -3.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.2 -3.4) (end 8.2 -2.8) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.2 5.2) (end 8.2 4.2) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.2 4.2) (end -8.2 5.2) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.2 -3.4) (end -5 -3.4) (layer F.SilkS) (width 0.12)) + (fp_line (start -8.2 1.7) (end -8.2 -1.3) (layer F.SilkS) (width 0.12)) (fp_text user "PCB Edge" (at 0 4.7 90) (layer Dwgs.User) (effects (font (size 0.5 0.5) (thickness 0.1))) ) @@ -5091,208 +5208,6 @@ ) ) - (module Capacitor_THT:CP_Radial_D6.3mm_P2.50mm (layer F.Cu) (tedit 61BF3186) (tstamp 61904511) - (at 266.85 44.28 180) - (descr "CP, Radial series, Radial, pin pitch=2.50mm, , diameter=6.3mm, Electrolytic Capacitor") - (tags "CP Radial series Radial pin pitch 2.50mm diameter 6.3mm Electrolytic Capacitor") - (path /61B62C00/63467FEB) - (fp_text reference C39 (at 5.58 0.01 -90) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value "1uF 250V Radial 6.3x2.5" (at 1.25 4.4 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -1.935241 -2.154) (end -1.935241 -1.524) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.250241 -1.839) (end -1.620241 -1.839) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.491 -0.402) (end 4.491 0.402) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.451 -0.633) (end 4.451 0.633) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.411 -0.802) (end 4.411 0.802) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.371 -0.94) (end 4.371 0.94) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.331 -1.059) (end 4.331 1.059) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.291 -1.165) (end 4.291 1.165) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.251 -1.262) (end 4.251 1.262) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.211 -1.35) (end 4.211 1.35) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.171 -1.432) (end 4.171 1.432) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.131 -1.509) (end 4.131 1.509) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.091 -1.581) (end 4.091 1.581) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.051 -1.65) (end 4.051 1.65) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.011 -1.714) (end 4.011 1.714) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.971 -1.776) (end 3.971 1.776) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.931 -1.834) (end 3.931 1.834) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.891 -1.89) (end 3.891 1.89) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.851 -1.944) (end 3.851 1.944) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.811 -1.995) (end 3.811 1.995) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.771 -2.044) (end 3.771 2.044) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.731 -2.092) (end 3.731 2.092) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.691 -2.137) (end 3.691 2.137) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.651 -2.182) (end 3.651 2.182) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.611 -2.224) (end 3.611 2.224) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.571 -2.265) (end 3.571 2.265) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.531 1.04) (end 3.531 2.305) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.531 -2.305) (end 3.531 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.491 1.04) (end 3.491 2.343) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.491 -2.343) (end 3.491 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.451 1.04) (end 3.451 2.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.451 -2.38) (end 3.451 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.411 1.04) (end 3.411 2.416) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.411 -2.416) (end 3.411 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.371 1.04) (end 3.371 2.45) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.371 -2.45) (end 3.371 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.331 1.04) (end 3.331 2.484) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.331 -2.484) (end 3.331 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.291 1.04) (end 3.291 2.516) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.291 -2.516) (end 3.291 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.251 1.04) (end 3.251 2.548) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.251 -2.548) (end 3.251 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.211 1.04) (end 3.211 2.578) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.211 -2.578) (end 3.211 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.171 1.04) (end 3.171 2.607) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.171 -2.607) (end 3.171 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.131 1.04) (end 3.131 2.636) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.131 -2.636) (end 3.131 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.091 1.04) (end 3.091 2.664) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.091 -2.664) (end 3.091 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.051 1.04) (end 3.051 2.69) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.051 -2.69) (end 3.051 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.011 1.04) (end 3.011 2.716) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.011 -2.716) (end 3.011 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.971 1.04) (end 2.971 2.742) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.971 -2.742) (end 2.971 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.931 1.04) (end 2.931 2.766) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.931 -2.766) (end 2.931 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.891 1.04) (end 2.891 2.79) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.891 -2.79) (end 2.891 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.851 1.04) (end 2.851 2.812) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.851 -2.812) (end 2.851 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.811 1.04) (end 2.811 2.834) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.811 -2.834) (end 2.811 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.771 1.04) (end 2.771 2.856) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.771 -2.856) (end 2.771 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.731 1.04) (end 2.731 2.876) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.731 -2.876) (end 2.731 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.691 1.04) (end 2.691 2.896) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.691 -2.896) (end 2.691 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.651 1.04) (end 2.651 2.916) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.651 -2.916) (end 2.651 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.611 1.04) (end 2.611 2.934) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.611 -2.934) (end 2.611 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.571 1.04) (end 2.571 2.952) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.571 -2.952) (end 2.571 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.531 1.04) (end 2.531 2.97) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.531 -2.97) (end 2.531 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.491 1.04) (end 2.491 2.986) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.491 -2.986) (end 2.491 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.451 1.04) (end 2.451 3.002) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.451 -3.002) (end 2.451 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.411 1.04) (end 2.411 3.018) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.411 -3.018) (end 2.411 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.371 1.04) (end 2.371 3.033) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.371 -3.033) (end 2.371 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.331 1.04) (end 2.331 3.047) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.331 -3.047) (end 2.331 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.291 1.04) (end 2.291 3.061) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.291 -3.061) (end 2.291 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.251 1.04) (end 2.251 3.074) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.251 -3.074) (end 2.251 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.211 1.04) (end 2.211 3.086) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.211 -3.086) (end 2.211 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.171 1.04) (end 2.171 3.098) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.171 -3.098) (end 2.171 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.131 1.04) (end 2.131 3.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.131 -3.11) (end 2.131 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.091 1.04) (end 2.091 3.121) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.091 -3.121) (end 2.091 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.051 1.04) (end 2.051 3.131) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.051 -3.131) (end 2.051 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.011 1.04) (end 2.011 3.141) (layer F.SilkS) (width 0.12)) - (fp_line (start 2.011 -3.141) (end 2.011 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.971 1.04) (end 1.971 3.15) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.971 -3.15) (end 1.971 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.93 1.04) (end 1.93 3.159) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.93 -3.159) (end 1.93 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.89 1.04) (end 1.89 3.167) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.89 -3.167) (end 1.89 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.85 1.04) (end 1.85 3.175) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.85 -3.175) (end 1.85 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.81 1.04) (end 1.81 3.182) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.81 -3.182) (end 1.81 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.77 1.04) (end 1.77 3.189) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.77 -3.189) (end 1.77 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.73 1.04) (end 1.73 3.195) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.73 -3.195) (end 1.73 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.69 1.04) (end 1.69 3.201) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.69 -3.201) (end 1.69 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.65 1.04) (end 1.65 3.206) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.65 -3.206) (end 1.65 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.61 1.04) (end 1.61 3.211) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.61 -3.211) (end 1.61 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.57 1.04) (end 1.57 3.215) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.57 -3.215) (end 1.57 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.53 1.04) (end 1.53 3.218) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.53 -3.218) (end 1.53 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.49 1.04) (end 1.49 3.222) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.49 -3.222) (end 1.49 -1.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.45 -3.224) (end 1.45 3.224) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.41 -3.227) (end 1.41 3.227) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.37 -3.228) (end 1.37 3.228) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.33 -3.23) (end 1.33 3.23) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.29 -3.23) (end 1.29 3.23) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.25 -3.23) (end 1.25 3.23) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.128972 -1.6885) (end -1.128972 -1.0585) (layer F.Fab) (width 0.1)) - (fp_line (start -1.443972 -1.3735) (end -0.813972 -1.3735) (layer F.Fab) (width 0.1)) - (fp_circle (center 1.25 0) (end 4.65 0) (layer F.CrtYd) (width 0.05)) - (fp_circle (center 1.25 0) (end 4.52 0) (layer F.SilkS) (width 0.12)) - (fp_circle (center 1.25 0) (end 4.4 0) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 1.25 0 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad 1 thru_hole rect (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) - (net 116 SHIELD)) - (pad 2 thru_hole circle (at 2.5 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D6.3mm_P2.50mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module Resistor_SMD:R_1210_3225Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 61903D4B) - (at 265.45 50.32 180) - (descr "Resistor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") - (tags resistor) - (path /61B62C00/63467FF7) - (attr smd) - (fp_text reference R33 (at -0.07 2.26 180) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value "1M 1210" (at 0 2.28 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 2.28 1.58) (end -2.28 1.58) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.28 -1.58) (end 2.28 1.58) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.28 -1.58) (end 2.28 -1.58) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.28 1.58) (end -2.28 -1.58) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.602064 1.36) (end 0.602064 1.36) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.602064 -1.36) (end 0.602064 -1.36) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.6 1.25) (end -1.6 1.25) (layer F.Fab) (width 0.1)) - (fp_line (start 1.6 -1.25) (end 1.6 1.25) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 -1.25) (end 1.6 -1.25) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 1.25) (end -1.6 -1.25) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8 0.8) (thickness 0.12))) - ) - (pad 1 smd roundrect (at -1.4 0 180) (size 1.25 2.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 116 SHIELD)) - (pad 2 smd roundrect (at 1.4 0 180) (size 1.25 2.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 1 GND)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1210_3225Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (module For_SeeedStudio:DIN41612_C_3x32_Male_Horizontal_THT locked (layer F.Cu) (tedit 5EAFCB7F) (tstamp 619923C0) (at 200 100 180) (descr "DIN41612 connector, type C, Horizontal, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf") @@ -5301,78 +5216,78 @@ (fp_text reference J4 (at -5.08 1 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value "0850030567 (DIN 41612C 3x32 pins)" (at 39.37 7.62 180) (layer F.Fab) + (fp_text value "09031966921 (DIN 41612C 3x32 pins)" (at 39.37 7.62 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 39.37 -5.4) (end 39.37 -6.7) (layer Cmts.User) (width 0.1)) - (fp_line (start 39.37 -5.4) (end 39.57 -5.9) (layer Cmts.User) (width 0.1)) - (fp_line (start 39.17 -5.9) (end 39.37 -5.4) (layer Cmts.User) (width 0.1)) - (fp_line (start -7.63 -5.3) (end 86.37 -5.3) (layer Dwgs.User) (width 0.08)) - (fp_line (start 86.87 -13.23) (end -8.13 -13.23) (layer F.CrtYd) (width 0.05)) - (fp_line (start 86.87 0.5) (end 86.87 -13.23) (layer F.CrtYd) (width 0.05)) - (fp_line (start 80.02 0.5) (end 86.87 0.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 80.02 6.36) (end 80.02 0.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.27 6.36) (end 80.02 6.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.27 0.5) (end -1.27 6.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.13 0.5) (end -1.27 0.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.13 -13.23) (end -8.13 0.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.5 -1.9) (end 0 -1.2) (layer F.Fab) (width 0.1)) - (fp_line (start -0.5 -1.9) (end 0.5 -1.9) (layer F.Fab) (width 0.1)) - (fp_line (start 0 -1.2) (end -0.5 -1.9) (layer F.Fab) (width 0.1)) - (fp_line (start -1.695 0.3) (end -1.095 0) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.695 -0.3) (end -1.695 0.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.095 0) (end -1.695 -0.3) (layer F.SilkS) (width 0.12)) - (fp_line (start 79.61 -0.74) (end 81.11 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 77.07 -0.74) (end 77.871 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 74.53 -0.74) (end 75.331 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 71.99 -0.74) (end 72.791 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 69.45 -0.74) (end 70.251 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 66.91 -0.74) (end 67.711 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 64.37 -0.74) (end 65.171 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 61.83 -0.74) (end 62.631 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 59.29 -0.74) (end 60.091 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 56.75 -0.74) (end 57.551 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 54.21 -0.74) (end 55.011 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 51.67 -0.74) (end 52.471 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 49.13 -0.74) (end 49.931 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 46.59 -0.74) (end 47.391 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 44.05 -0.74) (end 44.851 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 41.51 -0.74) (end 42.311 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 38.97 -0.74) (end 39.771 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 36.43 -0.74) (end 37.231 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 33.89 -0.74) (end 34.691 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 31.35 -0.74) (end 32.151 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 28.81 -0.74) (end 29.611 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 26.27 -0.74) (end 27.071 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 23.73 -0.74) (end 24.531 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 21.19 -0.74) (end 21.991 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 18.65 -0.74) (end 19.451 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 16.11 -0.74) (end 16.911 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 13.57 -0.74) (end 14.371 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 11.03 -0.74) (end 11.831 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 8.49 -0.74) (end 9.291 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.95 -0.74) (end 6.751 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.41 -0.74) (end 4.211 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.095 -0.74) (end 1.671 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.371 -0.74) (end -1.095 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 81.11 0.26) (end 81.11 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start 86.63 0.26) (end 81.11 0.26) (layer F.SilkS) (width 0.12)) - (fp_line (start 86.63 -5.3) (end 86.63 0.26) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.37 0.26) (end -2.37 -0.74) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.89 0.26) (end -2.37 0.26) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.89 -5.3) (end -7.89 0.26) (layer F.SilkS) (width 0.12)) - (fp_line (start 83.12 -12.74) (end -4.38 -12.74) (layer F.Fab) (width 0.1)) - (fp_line (start 83.12 -6.74) (end 83.12 -12.74) (layer F.Fab) (width 0.1)) - (fp_line (start 86.37 -6.74) (end 83.12 -6.74) (layer F.Fab) (width 0.1)) - (fp_line (start 86.37 0) (end 86.37 -6.74) (layer F.Fab) (width 0.1)) - (fp_line (start 81.37 0) (end 86.37 0) (layer F.Fab) (width 0.1)) - (fp_line (start 81.37 -1) (end 81.37 0) (layer F.Fab) (width 0.1)) - (fp_line (start -2.63 -1) (end 81.37 -1) (layer F.Fab) (width 0.1)) - (fp_line (start -2.63 0) (end -2.63 -1) (layer F.Fab) (width 0.1)) - (fp_line (start -7.63 0) (end -2.63 0) (layer F.Fab) (width 0.1)) - (fp_line (start -7.63 -6.74) (end -7.63 0) (layer F.Fab) (width 0.1)) - (fp_line (start -4.38 -6.74) (end -7.63 -6.74) (layer F.Fab) (width 0.1)) (fp_line (start -4.38 -12.74) (end -4.38 -6.74) (layer F.Fab) (width 0.1)) + (fp_line (start -4.38 -6.74) (end -7.63 -6.74) (layer F.Fab) (width 0.1)) + (fp_line (start -7.63 -6.74) (end -7.63 0) (layer F.Fab) (width 0.1)) + (fp_line (start -7.63 0) (end -2.63 0) (layer F.Fab) (width 0.1)) + (fp_line (start -2.63 0) (end -2.63 -1) (layer F.Fab) (width 0.1)) + (fp_line (start -2.63 -1) (end 81.37 -1) (layer F.Fab) (width 0.1)) + (fp_line (start 81.37 -1) (end 81.37 0) (layer F.Fab) (width 0.1)) + (fp_line (start 81.37 0) (end 86.37 0) (layer F.Fab) (width 0.1)) + (fp_line (start 86.37 0) (end 86.37 -6.74) (layer F.Fab) (width 0.1)) + (fp_line (start 86.37 -6.74) (end 83.12 -6.74) (layer F.Fab) (width 0.1)) + (fp_line (start 83.12 -6.74) (end 83.12 -12.74) (layer F.Fab) (width 0.1)) + (fp_line (start 83.12 -12.74) (end -4.38 -12.74) (layer F.Fab) (width 0.1)) + (fp_line (start -7.89 -5.3) (end -7.89 0.26) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.89 0.26) (end -2.37 0.26) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.37 0.26) (end -2.37 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 86.63 -5.3) (end 86.63 0.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 86.63 0.26) (end 81.11 0.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 81.11 0.26) (end 81.11 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.371 -0.74) (end -1.095 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.095 -0.74) (end 1.671 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.41 -0.74) (end 4.211 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.95 -0.74) (end 6.751 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.49 -0.74) (end 9.291 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 11.03 -0.74) (end 11.831 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.57 -0.74) (end 14.371 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.11 -0.74) (end 16.911 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 18.65 -0.74) (end 19.451 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 21.19 -0.74) (end 21.991 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 23.73 -0.74) (end 24.531 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 26.27 -0.74) (end 27.071 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 28.81 -0.74) (end 29.611 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 31.35 -0.74) (end 32.151 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 33.89 -0.74) (end 34.691 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 36.43 -0.74) (end 37.231 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 38.97 -0.74) (end 39.771 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 41.51 -0.74) (end 42.311 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 44.05 -0.74) (end 44.851 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 46.59 -0.74) (end 47.391 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 49.13 -0.74) (end 49.931 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 51.67 -0.74) (end 52.471 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 54.21 -0.74) (end 55.011 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 56.75 -0.74) (end 57.551 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 59.29 -0.74) (end 60.091 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 61.83 -0.74) (end 62.631 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 64.37 -0.74) (end 65.171 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 66.91 -0.74) (end 67.711 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 69.45 -0.74) (end 70.251 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 71.99 -0.74) (end 72.791 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 74.53 -0.74) (end 75.331 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 77.07 -0.74) (end 77.871 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 79.61 -0.74) (end 81.11 -0.74) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.095 0) (end -1.695 -0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.695 -0.3) (end -1.695 0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.695 0.3) (end -1.095 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -1.2) (end -0.5 -1.9) (layer F.Fab) (width 0.1)) + (fp_line (start -0.5 -1.9) (end 0.5 -1.9) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 -1.9) (end 0 -1.2) (layer F.Fab) (width 0.1)) + (fp_line (start -8.13 -13.23) (end -8.13 0.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.13 0.5) (end -1.27 0.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.27 0.5) (end -1.27 6.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.27 6.36) (end 80.02 6.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start 80.02 6.36) (end 80.02 0.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 80.02 0.5) (end 86.87 0.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 86.87 0.5) (end 86.87 -13.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 86.87 -13.23) (end -8.13 -13.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.63 -5.3) (end 86.37 -5.3) (layer Dwgs.User) (width 0.08)) + (fp_line (start 39.17 -5.9) (end 39.37 -5.4) (layer Cmts.User) (width 0.1)) + (fp_line (start 39.37 -5.4) (end 39.57 -5.9) (layer Cmts.User) (width 0.1)) + (fp_line (start 39.37 -5.4) (end 39.37 -6.7) (layer Cmts.User) (width 0.1)) (fp_text user %R (at 39.37 -2.54 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -5592,16 +5507,16 @@ (fp_text value 100nF (at 0 1.43) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -5628,16 +5543,16 @@ (fp_text value 10k (at 0 1.43 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) (fp_text user %R (at 0 0 180) (layer F.Fab) (effects (font (size 0.4 0.4) (thickness 0.06))) ) @@ -5652,131 +5567,6 @@ ) ) - (module For_SeeedStudio:PinHeader_1x06_P2.54mm_Horizontal_For_SeeedStudio (layer F.Cu) (tedit 59FED5CB) (tstamp 618E3CB2) - (at 121.9 33.5 180) - (descr "Through hole angled pin header, 1x06, 2.54mm pitch, 6mm pin length, single row") - (tags "Through hole angled pin header THT 1x06 2.54mm single row") - (path /618E8C75/5F69129B) - (fp_text reference J3 (at 4.385 -2.27 180) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value Conn_01x06_Male (at 4.385 14.97 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 -1.27) (end 4.04 13.97) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 13.97) (end 1.5 13.97) (layer F.Fab) (width 0.1)) - (fp_line (start 1.5 13.97) (end 1.5 -0.635) (layer F.Fab) (width 0.1)) - (fp_line (start 1.5 -0.635) (end 2.135 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 -0.32) (end -0.32 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 0.32) (end 1.5 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 -0.32) (end 10.04 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 0.32) (end 10.04 0.32) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 2.22) (end 1.5 2.22) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 2.22) (end -0.32 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 2.86) (end 1.5 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 2.22) (end 10.04 2.22) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 2.22) (end 10.04 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 2.86) (end 10.04 2.86) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 4.76) (end 1.5 4.76) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 4.76) (end -0.32 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 5.4) (end 1.5 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 4.76) (end 10.04 4.76) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 4.76) (end 10.04 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 5.4) (end 10.04 5.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 7.3) (end 1.5 7.3) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 7.3) (end -0.32 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 7.94) (end 1.5 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 7.3) (end 10.04 7.3) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 7.3) (end 10.04 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 7.94) (end 10.04 7.94) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 9.84) (end 1.5 9.84) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 9.84) (end -0.32 10.48) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 10.48) (end 1.5 10.48) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 9.84) (end 10.04 9.84) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 9.84) (end 10.04 10.48) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 10.48) (end 10.04 10.48) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 12.38) (end 1.5 12.38) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 12.38) (end -0.32 13.02) (layer F.Fab) (width 0.1)) - (fp_line (start -0.32 13.02) (end 1.5 13.02) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 12.38) (end 10.04 12.38) (layer F.Fab) (width 0.1)) - (fp_line (start 10.04 12.38) (end 10.04 13.02) (layer F.Fab) (width 0.1)) - (fp_line (start 4.04 13.02) (end 10.04 13.02) (layer F.Fab) (width 0.1)) - (fp_line (start 1.44 -1.33) (end 1.44 14.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 14.03) (end 4.1 14.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 14.03) (end 4.1 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -1.33) (end 1.44 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.38) (end 10.1 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 -0.38) (end 10.1 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 0.38) (end 4.1 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.32) (end 10.1 -0.32) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.2) (end 10.1 -0.2) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 -0.08) (end 10.1 -0.08) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 0.04) (end 10.1 0.04) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 0.16) (end 10.1 0.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 0.28) (end 10.1 0.28) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.11 0.38) (end 1.44 0.38) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 1.27) (end 4.1 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 2.16) (end 10.1 2.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 2.16) (end 10.1 2.92) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 2.92) (end 4.1 2.92) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 2.16) (end 1.44 2.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 2.92) (end 1.44 2.92) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 3.81) (end 4.1 3.81) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 4.7) (end 10.1 4.7) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 4.7) (end 10.1 5.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 5.46) (end 4.1 5.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 4.7) (end 1.44 4.7) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 5.46) (end 1.44 5.46) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 6.35) (end 4.1 6.35) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 7.24) (end 10.1 7.24) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 7.24) (end 10.1 8) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 8) (end 4.1 8) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 7.24) (end 1.44 7.24) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 8) (end 1.44 8) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 8.89) (end 4.1 8.89) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 9.78) (end 10.1 9.78) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 9.78) (end 10.1 10.54) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 10.54) (end 4.1 10.54) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 9.78) (end 1.44 9.78) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 10.54) (end 1.44 10.54) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.44 11.43) (end 4.1 11.43) (layer F.SilkS) (width 0.12)) - (fp_line (start 4.1 12.32) (end 10.1 12.32) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 12.32) (end 10.1 13.08) (layer F.SilkS) (width 0.12)) - (fp_line (start 10.1 13.08) (end 4.1 13.08) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 12.32) (end 1.44 12.32) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.042929 13.08) (end 1.44 13.08) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 0) (end -1.27 -1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 -1.27) (end 0 -1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.8 -1.8) (end -1.8 14.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 14.5) (end 10.55 14.5) (layer F.CrtYd) (width 0.05)) - (fp_line (start 10.55 14.5) (end 10.55 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 2.77 6.35 -90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 176 "Net-(J3-Pad6)")) - (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 129 LED0)) - (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 132 LED1)) - (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 177 "Net-(J3-Pad3)")) - (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 178 "Net-(J3-Pad2)")) - (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) - (net 1 GND)) - (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x06_P2.54mm_Horizontal.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (module For_SeeedStudio:PinHeader_2x32_P2.54mm_Vertical_For_SeeedStudio (layer F.Cu) (tedit 59FED5CC) (tstamp 618E3B6D) (at 130 61.75 90) (descr "Through hole straight pin header, 2x32, 2.54mm pitch, double rows") @@ -5788,23 +5578,23 @@ (fp_text value "77313-101-64LF (2x32 M 2.54mm)" (at 1.27 81.07 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 -1.27) (end 3.81 80.01) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 80.01) (end -1.27 80.01) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 80.01) (end -1.27 0) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start -1.33 80.07) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end -1.33 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.87 -1.33) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.8 -1.8) (end -1.8 80.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 80.55) (end 4.35 80.55) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.35 80.55) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.35 80.55) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 80.55) (end 4.35 80.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end -1.8 80.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.87 -1.33) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 80.07) (end 3.87 80.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 80.01) (end -1.27 0) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 80.01) (end -1.27 80.01) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 -1.27) (end 3.81 80.01) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) (fp_text user %R (at 1.27 39.37 180) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) @@ -5957,42 +5747,42 @@ (gr_text 1 (at 137.05 78.4) (layer F.SilkS) (tstamp 633A7D74) (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text Shield (at 252.28 47.03) (layer F.SilkS) + (gr_text Shield (at 264.25 91.07) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.2))) ) (gr_line (start 252 38.16) (end 252 17.84) (layer F.SilkS) (width 0.1)) - (gr_text Ext (at 253.2 20.265 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text Ext (at 254.75 20.28) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text Pmod (at 253.2 28.645 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text "Pmod (Ext)" (at 253.83 27.98 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_line (start 257 17.84) (end 252 17.84) (layer F.SilkS) (width 0.15) (tstamp 6337934F)) (gr_line (start 257 38.16) (end 252 38.16) (layer F.SilkS) (width 0.15) (tstamp 6337934F)) (gr_line (start 257 22.92) (end 252 22.92) (layer F.SilkS) (width 0.15)) - (gr_text "LED 0..1\nUser/Serial" (at 127.61 34.96 90) (layer F.SilkS) - (effects (font (size 1.2 1.2) (thickness 0.24) italic) (justify right)) + (gr_text "LED 0..1\nUser/Serial" (at 127.5 34.47 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2) italic) (justify right)) ) (gr_text +3V3 (at 253.35 38.47) (layer F.SilkS) (tstamp 63339CB5) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text GND (at 253.93 33.79) (layer F.SilkS) (tstamp 63339CB4) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text RQST (at 120.81 71.72) (layer F.SilkS) (tstamp 63327884) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text RQST (at 122.55 71.52) (layer F.SilkS) (tstamp 63327884) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text "ACK\nSTART" (at 133.85 18.24) (layer F.SilkS) (tstamp 63322667) - (effects (font (size 1.5 1.5) (thickness 0.3) italic) (justify right)) + (effects (font (size 1 1) (thickness 0.2) italic) (justify right)) ) - (gr_text TM1/0 (at 174.21 15.88) (layer F.SilkS) (tstamp 63322667) - (effects (font (size 1.5 1.5) (thickness 0.3) italic) (justify right)) + (gr_text TM1..0 (at 174.21 15.88) (layer F.SilkS) (tstamp 63322667) + (effects (font (size 1 1) (thickness 0.2) italic) (justify right)) ) (gr_text micro-sd (at 147.01 14.02 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text "3.3V\nPower ON" (at 120.25 13.64) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.25) italic)) + (gr_text "3.3V\nPower ON" (at 116.18 8.3) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2) italic)) ) (gr_text 1 (at 182.3 83.19) (layer F.SilkS) (tstamp 61FAEECE) (effects (font (size 1 1) (thickness 0.2))) @@ -6055,8 +5845,8 @@ ) (gr_circle (center 169.33 12.48) (end 170.93 12.48) (layer Edge.Cuts) (width 0.2) (tstamp 61D7CA31)) (gr_circle (center 199.33 12.48) (end 200.93 12.48) (layer Edge.Cuts) (width 0.2) (tstamp 61D7CA31)) - (gr_text "Slot ID" (at 113.2 48.5 270) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3) italic)) + (gr_text "Slot ID" (at 113.2 48.5 -90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2) italic)) ) (dimension 4.84 (width 0.3) (layer Dwgs.User) (gr_text "4.840 mm" (at 271.75 144.51) (layer Dwgs.User) @@ -6214,50 +6004,50 @@ (effects (font (size 1 1) (thickness 0.2))) ) (gr_text +5V (at 220.56 86.32 90) (layer F.SilkS) (tstamp 61BED83D) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text GND (at 226.12 91.26 90) (layer F.SilkS) (tstamp 61BED83D) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text GND (at 215.72 91.26 90) (layer F.SilkS) (tstamp 61BED83D) - (effects (font (size 1 1) (thickness 0.25))) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text "Fan\n5V\n1W" (at 229.28 94.08) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text "Fan\n5V\n1W" (at 228.97 90.78) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_line (start 211.27 74.45) (end 211.27 23.65) (layer F.SilkS) (width 0.2)) (gr_text "NuBusFPGA\nV1.2" (at 232.1 42.3) (layer F.SilkS) (effects (font (size 3 3) (thickness 0.45))) ) - (gr_text USB (at 270.14 85.97) (layer F.SilkS) + (gr_text USB (at 269.68 73.62) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (gr_text HDMI (at 261.94 70.39) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (gr_text "ZTex 2.13 outline" (at 212.92 64.54 90) (layer F.SilkS) (effects (font (size 1.5 1.5) (thickness 0.3))) ) - (gr_text HDMI (at 260.6 70) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text "USB\npwr" (at 247.86 79.16) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text "ZTex 2.13 outline" (at 201.14 73.25) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) - ) - (gr_text "USB\npwr" (at 247.92 79.89) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) - ) - (gr_text "USB\nI/O" (at 256 75.7) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text "USB\nI/O" (at 259.99 73.89) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text "HDMI\nctrl" (at 250.5 66.85) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text TX (at 124.79 23.17 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text TX (at 126.03 23.41) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text RX (at 124.86 25.98 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text RX (at 126.1 25.93) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) - (gr_text GND (at 125.11 33.4 90) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (gr_text GND (at 126.54 32.81) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text JTAG (at 226.28 24.5) (layer F.SilkS) - (effects (font (size 1.5 1.5) (thickness 0.3))) + (effects (font (size 1 1) (thickness 0.2))) ) (gr_text "No Connector above this" (at 288.99 14.01) (layer Cmts.User) (effects (font (size 1.5 1.5) (thickness 0.3))) @@ -6372,6 +6162,8 @@ (gr_line (start 127.47 23.65) (end 211.27 23.65) (layer F.SilkS) (width 0.2)) (gr_line (start 111.73 105.08) (end 273.33 105.08) (layer Edge.Cuts) (width 0.15)) + (via (at 265.875 51) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (via (at 222.74 36.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (via (at 234 34) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (segment (start 174.5 72.1125) (end 174.5 71) (width 0.25) (layer F.Cu) (net 1) (status 10)) (via (at 174.5 71) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) @@ -6498,7 +6290,6 @@ (segment (start 115.2 90.73) (end 115.2 89.43) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 163.175 97.46) (end 164.44 97.46) (width 1.5) (layer In1.Cu) (net 1)) (segment (start 163.175 97.46) (end 163.175 91.975) (width 0.5) (layer In1.Cu) (net 1)) - (segment (start 121.9 34.18) (end 121.9 33.5) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 168.82 42.18) (end 152.88 42.18) (width 0.5) (layer In1.Cu) (net 1)) (segment (start 132.95 42.18) (end 132.54 42.59) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 182.236371 46.662953) (end 182.886371 46.662953) (width 0.1524) (layer F.Cu) (net 1)) @@ -6547,7 +6338,6 @@ (via (at 135.25 70.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (segment (start 253.82 58.625) (end 255.5 58.625) (width 0.3) (layer F.Cu) (net 1) (status 10)) (segment (start 256.22 61.875) (end 256.22 59.345) (width 0.1524) (layer In1.Cu) (net 1)) - (segment (start 121.9 33.5) (end 132.44 33.5) (width 0.1524) (layer In1.Cu) (net 1) (status 10)) (segment (start 161.9 97.46) (end 163.175 97.46) (width 1.5) (layer In1.Cu) (net 1)) (segment (start 168.425 81.3) (end 168.425 79.8175) (width 0.25) (layer F.Cu) (net 1) (status 10)) (segment (start 168.425 79.8175) (end 168.3575 79.75) (width 0.1524) (layer F.Cu) (net 1)) @@ -6557,7 +6347,6 @@ (segment (start 123.8 97.46) (end 122.53 96.19) (width 0.5) (layer In1.Cu) (net 1) (status 10)) (segment (start 158.1 97.46) (end 158.1 92) (width 0.5) (layer In1.Cu) (net 1)) (segment (start 148.2 86.8) (end 139.95 86.8) (width 0.1524) (layer In1.Cu) (net 1)) - (segment (start 264.05 50.32) (end 264.05 44.58) (width 0.8) (layer F.Cu) (net 1)) (segment (start 156.82 97.46) (end 158.1 96.18) (width 0.5) (layer F.Cu) (net 1) (status 10)) (segment (start 132.54 30) (end 132.54 33.6) (width 1.5) (layer In1.Cu) (net 1) (status 10)) (segment (start 196.2 82.5925) (end 196.2 81.0925) (width 0.1524) (layer In1.Cu) (net 1)) @@ -6581,7 +6370,6 @@ (via (at 203.51 96.19) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (segment (start 118.53467 98.72) (end 118.53467 98.72) (width 0.5) (layer In1.Cu) (net 1)) (segment (start 116.9925 39.61) (end 116.9925 38.158334) (width 0.1524) (layer F.Cu) (net 1)) - (segment (start 264.35 44.28) (end 264.35 42.33) (width 1) (layer In1.Cu) (net 1)) (segment (start 132.54 42.59) (end 132.54 47.6) (width 1.5) (layer In1.Cu) (net 1)) (segment (start 254.395 52.2325) (end 253.82 52.2325) (width 0.25) (layer F.Cu) (net 1) (status 20)) (segment (start 146.66 94.92) (end 146.66 92) (width 1.5) (layer In1.Cu) (net 1) (status 10)) @@ -6757,10 +6545,8 @@ (segment (start 159.2275 18.1) (end 163.305 18.1) (width 0.1524) (layer In1.Cu) (net 1)) (via (at 168.3575 79.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (via (at 228.09 60.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 115.67 40.41) (end 121.9 34.18) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 168.25 97.46) (end 168.25 92.25) (width 0.5) (layer In1.Cu) (net 1)) (segment (start 166.98 97.46) (end 168.25 97.46) (width 1.5) (layer In1.Cu) (net 1)) - (segment (start 264.05 44.58) (end 264.35 44.28) (width 0.8) (layer F.Cu) (net 1)) (segment (start 149.555 18.1) (end 159.2275 18.1) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 244.9125 60.25) (end 246.0625 59.1) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 123.8 94.92) (end 123.8 93) (width 0.5) (layer B.Cu) (net 1) (status 10)) @@ -6856,9 +6642,6 @@ (segment (start 256.965 34.35) (end 256 35.315) (width 0.5) (layer F.Cu) (net 1)) (segment (start 259 34.35) (end 256.965 34.35) (width 0.5) (layer F.Cu) (net 1)) (segment (start 262.861 34.35) (end 259 34.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 256 35.315) (end 260.445 35.315) (width 0.1524) (layer In1.Cu) (net 1)) - (segment (start 264.35 39.22) (end 264.35 42.33) (width 0.1524) (layer In1.Cu) (net 1)) - (segment (start 260.445 35.315) (end 264.35 39.22) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 192.75 33.63) (end 194.88 35.76) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 176.48 33.63) (end 192.75 33.63) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 190.611371 40.028629) (end 194.88 35.76) (width 0.1524) (layer In1.Cu) (net 1)) @@ -6870,6 +6653,29 @@ (segment (start 234 34) (end 234 14) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 234 14) (end 256 14) (width 0.1524) (layer In1.Cu) (net 1)) (segment (start 256 14) (end 256 35.315) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 123.136 33.528) (end 125.722 33.528) (width 0.25) (layer F.Cu) (net 1)) + (via (at 125.722 33.528) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 118.84 40.41) (end 125.722 33.528) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 115.67 40.41) (end 118.84 40.41) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 132.468 33.528) (end 132.54 33.6) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 125.722 33.528) (end 132.468 33.528) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 208.74 50.8) (end 222.74 36.8) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 231.2 36.8) (end 234 34) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 222.74 36.8) (end 228.67 36.8) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 228.67 36.8) (end 231.2 36.8) (width 0.1524) (layer In1.Cu) (net 1) (tstamp 637321A2)) + (via (at 228.67 36.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 140.07 71.65) (end 143.04 68.68) (width 0.1524) (layer In1.Cu) (net 1)) + (via (at 143.04 68.68) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 269.9 51) (end 268.56 51) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 265.875 51) (end 265.875 49.425) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 268.56 51) (end 265.875 51) (width 0.8) (layer F.Cu) (net 1) (tstamp 6375B202)) + (via (at 268.56 51) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 265.875 49.425) (end 265.875 46.93) (width 0.8) (layer F.Cu) (net 1) (tstamp 6375B204)) + (via (at 265.875 49.425) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 259.4275 49.425) (end 255.04 53.8125) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 265.875 49.425) (end 259.4275 49.425) (width 0.1524) (layer In1.Cu) (net 1)) + (segment (start 265.875 46.93) (end 265.875 44.295) (width 0.5) (layer F.Cu) (net 1)) + (via (at 265.875 44.295) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) (segment (start 208.74 61.75) (end 228.23 42.26) (width 0.1524) (layer B.Cu) (net 2)) (via (at 232 34) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) (segment (start 232 34) (end 232 31.72) (width 0.1524) (layer F.Cu) (net 2)) @@ -7778,12 +7584,12 @@ (segment (start 266.84 55.04) (end 266.85 55.05) (width 0.1524) (layer In1.Cu) (net 116)) (segment (start 271.8975 70.2475) (end 271.8 70.15) (width 1) (layer In1.Cu) (net 116)) (segment (start 271.8975 75.57) (end 271.8975 70.2475) (width 1) (layer In1.Cu) (net 116)) - (segment (start 266.85 55.05) (end 266.85 50.32) (width 0.8) (layer F.Cu) (net 116)) - (segment (start 266.85 50.32) (end 266.85 44.28) (width 0.8) (layer F.Cu) (net 116)) - (segment (start 266.85 47.08) (end 266.85 44.28) (width 1) (layer In1.Cu) (net 116)) - (segment (start 266.85 55.05) (end 266.85 47.08) (width 1) (layer In1.Cu) (net 116)) - (segment (start 266.84 47.09) (end 257.35 47.09) (width 1) (layer In1.Cu) (net 116)) - (segment (start 266.85 47.08) (end 266.84 47.09) (width 0.1524) (layer In1.Cu) (net 116)) + (segment (start 271.8 54.45) (end 271.8 51) (width 0.6) (layer F.Cu) (net 116)) + (segment (start 271.8 46.93) (end 271.8 49.38) (width 0.6) (layer F.Cu) (net 116)) + (segment (start 271.8 49.38) (end 271.8 51) (width 0.6) (layer F.Cu) (net 116) (tstamp 6375AE73)) + (via (at 271.8 49.38) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 116)) + (segment (start 271.8 54.45) (end 271.8 49.38) (width 0.6) (layer In1.Cu) (net 116)) + (segment (start 269.1975 89.5) (end 269.1975 81.57) (width 1) (layer In1.Cu) (net 116)) (segment (start 208.715 55.375) (end 183.34 30) (width 0.1524) (layer F.Cu) (net 117) (status 20)) (segment (start 248.08 55.375) (end 208.715 55.375) (width 0.1524) (layer F.Cu) (net 117) (status 10)) (segment (start 206.65 55.85) (end 180.8 30) (width 0.1524) (layer F.Cu) (net 118) (status 20)) @@ -8987,27 +8793,26 @@ (segment (start 210.251772 37.663512) (end 210.317266 37.67846) (width 0.1524) (layer F.Cu) (net 128)) (segment (start 210.430315 37.749493) (end 210.472201 37.802016) (width 0.1524) (layer F.Cu) (net 128)) (segment (start 262.433128 57.8976) (end 264.177399 57.8976) (width 0.1524) (layer F.Cu) (net 128)) - (segment (start 134.9451 27.3251) (end 135.08 27.46) (width 0.1524) (layer F.Cu) (net 129) (status 30)) - (segment (start 130.96 23.34) (end 135.08 27.46) (width 0.1524) (layer F.Cu) (net 129) (status 20)) - (segment (start 121.9 23.34) (end 130.96 23.34) (width 0.1524) (layer F.Cu) (net 129) (status 10)) - (segment (start 121.53 39.6325) (end 122.3075 40.41) (width 0.1524) (layer F.Cu) (net 129)) - (segment (start 121.9 23.34) (end 120.14 25.1) (width 0.1524) (layer F.Cu) (net 129)) - (segment (start 121.53 36.69) (end 121.53 39.6325) (width 0.1524) (layer F.Cu) (net 129)) - (segment (start 120.14 25.1) (end 120.14 35.3) (width 0.1524) (layer F.Cu) (net 129)) - (segment (start 120.14 35.3) (end 121.53 36.69) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 130.988 23.368) (end 123.136 23.368) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 135.08 27.46) (end 130.988 23.368) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 122.3075 40.41) (end 121.55 39.6525) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 121.55 39.6525) (end 121.55 36.61) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 121.55 36.61) (end 120.3 35.36) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 120.3 35.36) (end 120.3 24.28) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 121.212 23.368) (end 123.136 23.368) (width 0.1524) (layer F.Cu) (net 129)) + (segment (start 120.3 24.28) (end 121.212 23.368) (width 0.1524) (layer F.Cu) (net 129)) (segment (start 120.195 38.158334) (end 118.8675 38.158334) (width 0.1524) (layer F.Cu) (net 130)) (segment (start 120.7325 38.158334) (end 120.195 38.158334) (width 0.1524) (layer F.Cu) (net 130)) (segment (start 114.8 14.5625) (end 114.8 12.7875) (width 0.1524) (layer F.Cu) (net 131) (status 30)) - (segment (start 134.945 29.865) (end 135.08 30) (width 0.1524) (layer F.Cu) (net 132) (status 30)) - (segment (start 134.0011 28.9211) (end 135.08 30) (width 0.1524) (layer F.Cu) (net 132) (status 20)) - (segment (start 126.02 28.9211) (end 134.0011 28.9211) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 122.9789 25.88) (end 126.02 28.9211) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 121.9 25.88) (end 122.9789 25.88) (width 0.1524) (layer F.Cu) (net 132) (status 10)) - (segment (start 122.3075 38.158334) (end 122.3075 36.6275) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 121.050001 26.729999) (end 121.9 25.88) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 122.3075 36.6275) (end 120.48 34.8) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 120.48 34.8) (end 120.48 27.3) (width 0.1524) (layer F.Cu) (net 132)) - (segment (start 120.48 27.3) (end 121.050001 26.729999) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 135.08 30) (end 133.78 28.7) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 133.78 28.7) (end 133.78 26.73) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 132.958 25.908) (end 123.136 25.908) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 133.78 26.73) (end 132.958 25.908) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 121.3936 25.908) (end 120.77 26.5316) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 123.136 25.908) (end 121.3936 25.908) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 120.77 26.5316) (end 120.77 35.24) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 122.3075 36.7775) (end 122.3075 38.158334) (width 0.1524) (layer F.Cu) (net 132)) + (segment (start 120.77 35.24) (end 122.3075 36.7775) (width 0.1524) (layer F.Cu) (net 132)) (segment (start 250.0275 84.11) (end 251.95 84.11) (width 0.1524) (layer F.Cu) (net 133) (status 30)) (segment (start 264.3 76.5625) (end 264.2975 76.56) (width 0.1524) (layer F.Cu) (net 134) (status 30)) (segment (start 264.3 78.405) (end 264.3 76.5625) (width 0.1524) (layer F.Cu) (net 134) (status 30)) @@ -9841,53 +9646,41 @@ (xy 261.550378 13.370593) (xy 261.558017 13.376912) (xy 261.663887 13.463257) (xy 261.717474 13.498859) (xy 261.770583 13.535224) (xy 261.779303 13.539939) (xy 261.899928 13.604076) (xy 261.959402 13.628589) (xy 262.018565 13.653947) (xy 262.028035 13.656878) (xy 262.15882 13.696365) (xy 262.221939 13.708863) (xy 262.284895 13.722245) (xy 262.294754 13.723281) (xy 262.430718 13.736612) - (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 53.031509) - (xy 272.574463 53.001082) (xy 272.276912 52.877832) (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) - (xy 271.025537 53.001082) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.251893 53.915) - (xy 268.027239 53.915) (xy 267.985 53.872761) (xy 267.985 45.620957) (xy 268.004494 45.610537) (xy 268.101185 45.531185) - (xy 268.180537 45.434494) (xy 268.239502 45.32418) (xy 268.275812 45.204482) (xy 268.288072 45.08) (xy 268.288072 43.48) - (xy 268.275812 43.355518) (xy 268.239502 43.23582) (xy 268.180537 43.125506) (xy 268.101185 43.028815) (xy 268.004494 42.949463) - (xy 267.89418 42.890498) (xy 267.774482 42.854188) (xy 267.65 42.841928) (xy 266.05 42.841928) (xy 265.925518 42.854188) - (xy 265.80582 42.890498) (xy 265.695506 42.949463) (xy 265.598815 43.028815) (xy 265.519463 43.125506) (xy 265.460498 43.23582) - (xy 265.424188 43.355518) (xy 265.411928 43.48) (xy 265.411928 43.487215) (xy 265.342702 43.466903) (xy 264.529605 44.28) - (xy 265.342702 45.093097) (xy 265.411928 45.072785) (xy 265.411928 45.08) (xy 265.424188 45.204482) (xy 265.460498 45.32418) - (xy 265.519463 45.434494) (xy 265.598815 45.531185) (xy 265.695506 45.610537) (xy 265.715001 45.620957) (xy 265.715 45.955) - (xy 259.948449 45.955) (xy 259.862344 45.747124) (xy 259.552088 45.282793) (xy 259.541997 45.272702) (xy 263.536903 45.272702) - (xy 263.608486 45.516671) (xy 263.863996 45.637571) (xy 264.138184 45.7063) (xy 264.420512 45.720217) (xy 264.70013 45.678787) - (xy 264.966292 45.583603) (xy 265.091514 45.516671) (xy 265.163097 45.272702) (xy 264.35 44.459605) (xy 263.536903 45.272702) - (xy 259.541997 45.272702) (xy 259.157207 44.887912) (xy 258.692876 44.577656) (xy 258.176939 44.363948) (xy 258.109392 44.350512) - (xy 262.909783 44.350512) (xy 262.951213 44.63013) (xy 263.046397 44.896292) (xy 263.113329 45.021514) (xy 263.357298 45.093097) - (xy 264.170395 44.28) (xy 263.357298 43.466903) (xy 263.113329 43.538486) (xy 262.992429 43.793996) (xy 262.9237 44.068184) - (xy 262.909783 44.350512) (xy 258.109392 44.350512) (xy 257.629223 44.255) (xy 257.070777 44.255) (xy 256.523061 44.363948) - (xy 256.007124 44.577656) (xy 255.542793 44.887912) (xy 255.147912 45.282793) (xy 254.837656 45.747124) (xy 254.623948 46.263061) - (xy 254.515 46.810777) (xy 254.515 47.369223) (xy 254.623948 47.916939) (xy 254.837656 48.432876) (xy 255.147912 48.897207) - (xy 255.542793 49.292088) (xy 256.007124 49.602344) (xy 256.523061 49.816052) (xy 257.070777 49.925) (xy 257.629223 49.925) - (xy 258.176939 49.816052) (xy 258.692876 49.602344) (xy 259.157207 49.292088) (xy 259.552088 48.897207) (xy 259.862344 48.432876) - (xy 259.948449 48.225) (xy 265.715001 48.225) (xy 265.715 53.872761) (xy 265.580013 54.007748) (xy 265.401082 54.275537) - (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) (xy 265.401082 55.824463) - (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) (xy 266.688967 56.685) - (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) (xy 268.027239 56.185) - (xy 270.665 56.185) (xy 270.665001 68.415) (xy 268.027239 68.415) (xy 267.892252 68.280013) (xy 267.624463 68.101082) - (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) (xy 266.373088 67.977832) (xy 266.075537 68.101082) - (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) (xy 265.277832 69.073088) (xy 265.215 69.388967) - (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) - (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) - (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.027239 70.685) (xy 270.251893 70.685) (xy 270.351082 70.924463) - (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 270.762501 71.423163) (xy 270.7625 74.435) (xy 270.253252 74.435) - (xy 270.1975 74.429509) (xy 269.975001 74.451423) (xy 269.761053 74.516324) (xy 269.563877 74.621716) (xy 269.434356 74.728011) - (xy 269.434354 74.728013) (xy 269.391051 74.763551) (xy 269.355513 74.806855) (xy 268.924761 75.237607) (xy 268.800803 75.262264) - (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) (xy 267.992284 75.925799) (xy 267.889764 76.173303) - (xy 267.8375 76.436052) (xy 267.8375 76.703948) (xy 267.889764 76.966697) (xy 267.992284 77.214201) (xy 268.141119 77.436949) - (xy 268.330551 77.626381) (xy 268.553299 77.775216) (xy 268.800803 77.877736) (xy 269.063552 77.93) (xy 269.331448 77.93) - (xy 269.594197 77.877736) (xy 269.841701 77.775216) (xy 270.064449 77.626381) (xy 270.253881 77.436949) (xy 270.402716 77.214201) - (xy 270.505236 76.966697) (xy 270.529893 76.842739) (xy 270.667632 76.705) (xy 270.762501 76.705) (xy 270.7625 81.435) - (xy 270.667632 81.435) (xy 270.529893 81.297261) (xy 270.505236 81.173303) (xy 270.402716 80.925799) (xy 270.253881 80.703051) - (xy 270.064449 80.513619) (xy 269.841701 80.364784) (xy 269.594197 80.262264) (xy 269.331448 80.21) (xy 269.063552 80.21) - (xy 268.800803 80.262264) (xy 268.553299 80.364784) (xy 268.330551 80.513619) (xy 268.141119 80.703051) (xy 267.992284 80.925799) - (xy 267.889764 81.173303) (xy 267.8375 81.436052) (xy 267.8375 81.703948) (xy 267.889764 81.966697) (xy 267.992284 82.214201) - (xy 268.141119 82.436949) (xy 268.330551 82.626381) (xy 268.553299 82.775216) (xy 268.800803 82.877736) (xy 268.924761 82.902393) - (xy 269.355513 83.333145) (xy 269.391051 83.376449) (xy 269.434354 83.411987) (xy 269.434356 83.411989) (xy 269.563877 83.518284) - (xy 269.761053 83.623676) (xy 269.975001 83.688577) (xy 270.1975 83.710491) (xy 270.253252 83.705) (xy 271.051063 83.705) + (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 48.744267) + (xy 272.603937 48.720226) (xy 272.459774 48.576063) (xy 272.290256 48.462795) (xy 272.101898 48.384774) (xy 271.901939 48.345) + (xy 271.698061 48.345) (xy 271.498102 48.384774) (xy 271.309744 48.462795) (xy 271.140226 48.576063) (xy 270.996063 48.720226) + (xy 270.882795 48.889744) (xy 270.804774 49.078102) (xy 270.765 49.278061) (xy 270.765 49.481939) (xy 270.804774 49.681898) + (xy 270.865001 49.827298) (xy 270.865 53.108349) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) + (xy 270.251893 53.915) (xy 268.027239 53.915) (xy 267.892252 53.780013) (xy 267.624463 53.601082) (xy 267.326912 53.477832) + (xy 267.011033 53.415) (xy 266.688967 53.415) (xy 266.373088 53.477832) (xy 266.075537 53.601082) (xy 265.807748 53.780013) + (xy 265.580013 54.007748) (xy 265.401082 54.275537) (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 265.215 55.211033) + (xy 265.277832 55.526912) (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) + (xy 266.373088 56.622168) (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) + (xy 267.892252 56.319987) (xy 268.027239 56.185) (xy 270.665 56.185) (xy 270.665001 68.415) (xy 268.027239 68.415) + (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) + (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) + (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) + (xy 265.580013 70.592252) (xy 265.807748 70.819987) (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) + (xy 267.011033 71.185) (xy 267.326912 71.122168) (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.027239 70.685) + (xy 270.251893 70.685) (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 270.762501 71.423163) + (xy 270.7625 74.435) (xy 270.253252 74.435) (xy 270.1975 74.429509) (xy 269.975001 74.451423) (xy 269.761053 74.516324) + (xy 269.563877 74.621716) (xy 269.434356 74.728011) (xy 269.434354 74.728013) (xy 269.391051 74.763551) (xy 269.355513 74.806855) + (xy 268.924761 75.237607) (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) + (xy 267.992284 75.925799) (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) (xy 267.889764 76.966697) + (xy 267.992284 77.214201) (xy 268.141119 77.436949) (xy 268.330551 77.626381) (xy 268.553299 77.775216) (xy 268.800803 77.877736) + (xy 269.063552 77.93) (xy 269.331448 77.93) (xy 269.594197 77.877736) (xy 269.841701 77.775216) (xy 270.064449 77.626381) + (xy 270.253881 77.436949) (xy 270.402716 77.214201) (xy 270.505236 76.966697) (xy 270.529893 76.842739) (xy 270.667632 76.705) + (xy 270.762501 76.705) (xy 270.7625 81.435) (xy 270.667632 81.435) (xy 270.529893 81.297261) (xy 270.505236 81.173303) + (xy 270.402716 80.925799) (xy 270.253881 80.703051) (xy 270.064449 80.513619) (xy 269.841701 80.364784) (xy 269.594197 80.262264) + (xy 269.331448 80.21) (xy 269.063552 80.21) (xy 268.800803 80.262264) (xy 268.553299 80.364784) (xy 268.330551 80.513619) + (xy 268.141119 80.703051) (xy 267.992284 80.925799) (xy 267.889764 81.173303) (xy 267.8375 81.436052) (xy 267.8375 81.703948) + (xy 267.889764 81.966697) (xy 267.992284 82.214201) (xy 268.062501 82.319288) (xy 268.0625 86.901551) (xy 267.854624 86.987656) + (xy 267.390293 87.297912) (xy 266.995412 87.692793) (xy 266.685156 88.157124) (xy 266.471448 88.673061) (xy 266.3625 89.220777) + (xy 266.3625 89.779223) (xy 266.471448 90.326939) (xy 266.685156 90.842876) (xy 266.995412 91.307207) (xy 267.390293 91.702088) + (xy 267.854624 92.012344) (xy 268.370561 92.226052) (xy 268.918277 92.335) (xy 269.476723 92.335) (xy 270.024439 92.226052) + (xy 270.540376 92.012344) (xy 271.004707 91.702088) (xy 271.399588 91.307207) (xy 271.709844 90.842876) (xy 271.923552 90.326939) + (xy 272.0325 89.779223) (xy 272.0325 89.220777) (xy 271.923552 88.673061) (xy 271.709844 88.157124) (xy 271.399588 87.692793) + (xy 271.004707 87.297912) (xy 270.540376 86.987656) (xy 270.3325 86.901551) (xy 270.3325 83.705) (xy 271.051063 83.705) (xy 271.072599 83.716511) (xy 271.305398 83.78713) (xy 271.486835 83.805) (xy 272.308165 83.805) (xy 272.489602 83.78713) (xy 272.62 83.747574) (xy 272.62 97.635909) (xy 272.085909 98.17) (xy 262.465123 98.17) (xy 262.430023 98.173457) (xy 262.420938 98.173457) (xy 262.411079 98.174493) (xy 262.275316 98.189722) (xy 262.21241 98.203094) (xy 262.149252 98.215599) @@ -10783,278 +10576,241 @@ (xy 136.782795 44.299385) (xy 136.704774 44.487743) (xy 136.665 44.687702) (xy 119.016547 44.687702) (xy 118.924908 44.596063) (xy 118.75539 44.482795) (xy 118.567032 44.404774) (xy 118.367073 44.365) (xy 118.163195 44.365) (xy 117.963236 44.404774) (xy 117.774878 44.482795) (xy 117.60536 44.596063) (xy 117.461197 44.740226) (xy 117.347929 44.909744) (xy 117.269908 45.098102) - (xy 117.230134 45.298061) (xy 112.44 45.298061) (xy 112.44 43.287298) (xy 263.536903 43.287298) (xy 264.35 44.100395) - (xy 265.163097 43.287298) (xy 265.091514 43.043329) (xy 264.836004 42.922429) (xy 264.561816 42.8537) (xy 264.279488 42.839783) - (xy 263.99987 42.881213) (xy 263.733708 42.976397) (xy 263.608486 43.043329) (xy 263.536903 43.287298) (xy 112.44 43.287298) - (xy 112.44 36.788061) (xy 254.965 36.788061) (xy 254.965 36.991939) (xy 255.004774 37.191898) (xy 255.082795 37.380256) - (xy 255.196063 37.549774) (xy 255.340226 37.693937) (xy 255.509744 37.807205) (xy 255.698102 37.885226) (xy 255.898061 37.925) - (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) (xy 256.659774 37.693937) (xy 256.803937 37.549774) - (xy 256.917205 37.380256) (xy 256.995226 37.191898) (xy 257.035 36.991939) (xy 257.035 36.788061) (xy 256.995226 36.588102) - (xy 256.917205 36.399744) (xy 256.803937 36.230226) (xy 256.659774 36.086063) (xy 256.490256 35.972795) (xy 256.301898 35.894774) - (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) (xy 255.509744 35.972795) (xy 255.340226 36.086063) - (xy 255.196063 36.230226) (xy 255.082795 36.399744) (xy 255.004774 36.588102) (xy 254.965 36.788061) (xy 112.44 36.788061) - (xy 112.44 34.35) (xy 120.411928 34.35) (xy 120.424188 34.474482) (xy 120.460498 34.59418) (xy 120.519463 34.704494) - (xy 120.598815 34.801185) (xy 120.695506 34.880537) (xy 120.80582 34.939502) (xy 120.925518 34.975812) (xy 121.05 34.988072) - (xy 121.61425 34.985) (xy 121.773 34.82625) (xy 121.773 33.627) (xy 122.027 33.627) (xy 122.027 34.82625) - (xy 122.18575 34.985) (xy 122.75 34.988072) (xy 122.874482 34.975812) (xy 122.99418 34.939502) (xy 123.104494 34.880537) - (xy 123.201185 34.801185) (xy 123.280537 34.704494) (xy 123.339502 34.59418) (xy 123.375812 34.474482) (xy 123.388072 34.35) - (xy 123.385612 33.898061) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) (xy 223.082795 34.490256) - (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) (xy 223.898061 35.035) - (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) (xy 224.803937 34.659774) - (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) (xy 225.082795 34.490256) - (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) (xy 225.898061 35.035) - (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) (xy 226.803937 34.659774) - (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) (xy 227.082795 34.490256) - (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) (xy 227.898061 35.035) - (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) (xy 228.803937 34.659774) - (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) (xy 229.082795 34.490256) - (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) (xy 229.898061 35.035) - (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) (xy 230.803937 34.659774) - (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) (xy 231.082795 34.490256) - (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) (xy 231.898061 35.035) - (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.659774 34.803937) (xy 232.803937 34.659774) - (xy 232.917205 34.490256) (xy 232.995226 34.301898) (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) - (xy 232.917205 33.509744) (xy 232.803937 33.340226) (xy 232.659774 33.196063) (xy 232.490256 33.082795) (xy 232.301898 33.004774) - (xy 232.101939 32.965) (xy 231.898061 32.965) (xy 231.698102 33.004774) (xy 231.509744 33.082795) (xy 231.340226 33.196063) - (xy 231.196063 33.340226) (xy 231.082795 33.509744) (xy 231.004774 33.698102) (xy 231 33.722103) (xy 230.995226 33.698102) - (xy 230.917205 33.509744) (xy 230.803937 33.340226) (xy 230.659774 33.196063) (xy 230.490256 33.082795) (xy 230.301898 33.004774) - (xy 230.101939 32.965) (xy 229.898061 32.965) (xy 229.698102 33.004774) (xy 229.509744 33.082795) (xy 229.340226 33.196063) - (xy 229.196063 33.340226) (xy 229.082795 33.509744) (xy 229.004774 33.698102) (xy 229 33.722103) (xy 228.995226 33.698102) - (xy 228.917205 33.509744) (xy 228.803937 33.340226) (xy 228.659774 33.196063) (xy 228.490256 33.082795) (xy 228.301898 33.004774) - (xy 228.101939 32.965) (xy 227.898061 32.965) (xy 227.698102 33.004774) (xy 227.509744 33.082795) (xy 227.340226 33.196063) - (xy 227.196063 33.340226) (xy 227.082795 33.509744) (xy 227.004774 33.698102) (xy 227 33.722103) (xy 226.995226 33.698102) - (xy 226.917205 33.509744) (xy 226.803937 33.340226) (xy 226.659774 33.196063) (xy 226.490256 33.082795) (xy 226.301898 33.004774) - (xy 226.101939 32.965) (xy 225.898061 32.965) (xy 225.698102 33.004774) (xy 225.509744 33.082795) (xy 225.340226 33.196063) - (xy 225.196063 33.340226) (xy 225.082795 33.509744) (xy 225.004774 33.698102) (xy 225 33.722103) (xy 224.995226 33.698102) - (xy 224.917205 33.509744) (xy 224.803937 33.340226) (xy 224.659774 33.196063) (xy 224.490256 33.082795) (xy 224.301898 33.004774) - (xy 224.101939 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) (xy 223.509744 33.082795) (xy 223.340226 33.196063) - (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) (xy 222.965 33.898061) (xy 123.385612 33.898061) - (xy 123.385 33.78575) (xy 123.22625 33.627) (xy 122.027 33.627) (xy 121.773 33.627) (xy 120.57375 33.627) - (xy 120.415 33.78575) (xy 120.411928 34.35) (xy 112.44 34.35) (xy 112.44 32.65) (xy 120.411928 32.65) - (xy 120.415 33.21425) (xy 120.57375 33.373) (xy 121.773 33.373) (xy 121.773 33.353) (xy 122.027 33.353) - (xy 122.027 33.373) (xy 123.22625 33.373) (xy 123.385 33.21425) (xy 123.388072 32.65) (xy 123.375812 32.525518) - (xy 123.339502 32.40582) (xy 123.280537 32.295506) (xy 123.201185 32.198815) (xy 123.104494 32.119463) (xy 122.99418 32.060498) - (xy 122.92162 32.038487) (xy 123.053475 31.906632) (xy 123.21599 31.663411) (xy 123.327932 31.393158) (xy 123.385 31.10626) - (xy 123.385 30.81374) (xy 123.327932 30.526842) (xy 123.21599 30.256589) (xy 123.053475 30.013368) (xy 122.846632 29.806525) - (xy 122.67224 29.69) (xy 122.846632 29.573475) (xy 123.053475 29.366632) (xy 123.198223 29.15) (xy 128.511928 29.15) - (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) - (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) - (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) - (xy 131.439502 31.09418) (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) - (xy 132.18311 31.441476) (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) - (xy 132.413 29.873) (xy 132.413 27.587) (xy 132.393 27.587) (xy 132.393 27.333) (xy 132.413 27.333) - (xy 132.413 26.139845) (xy 132.667 26.139845) (xy 132.667 27.333) (xy 132.687 27.333) (xy 132.687 27.587) - (xy 132.667 27.587) (xy 132.667 29.873) (xy 132.687 29.873) (xy 132.687 30.127) (xy 132.667 30.127) - (xy 132.667 31.320155) (xy 132.89689 31.441476) (xy 133.044099 31.396825) (xy 133.30692 31.271641) (xy 133.540269 31.097588) - (xy 133.735178 30.881355) (xy 133.804805 30.764466) (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) - (xy 134.646842 31.427932) (xy 134.93374 31.485) (xy 135.22626 31.485) (xy 135.513158 31.427932) (xy 135.783411 31.31599) - (xy 136.026632 31.153475) (xy 136.233475 30.946632) (xy 136.35 30.77224) (xy 136.466525 30.946632) (xy 136.673368 31.153475) - (xy 136.916589 31.31599) (xy 137.186842 31.427932) (xy 137.47374 31.485) (xy 137.76626 31.485) (xy 138.053158 31.427932) - (xy 138.323411 31.31599) (xy 138.566632 31.153475) (xy 138.773475 30.946632) (xy 138.89 30.77224) (xy 139.006525 30.946632) - (xy 139.213368 31.153475) (xy 139.456589 31.31599) (xy 139.726842 31.427932) (xy 140.01374 31.485) (xy 140.30626 31.485) - (xy 140.593158 31.427932) (xy 140.863411 31.31599) (xy 141.106632 31.153475) (xy 141.313475 30.946632) (xy 141.43 30.77224) - (xy 141.546525 30.946632) (xy 141.753368 31.153475) (xy 141.996589 31.31599) (xy 142.266842 31.427932) (xy 142.55374 31.485) - (xy 142.84626 31.485) (xy 143.133158 31.427932) (xy 143.403411 31.31599) (xy 143.646632 31.153475) (xy 143.853475 30.946632) - (xy 143.97 30.77224) (xy 144.086525 30.946632) (xy 144.293368 31.153475) (xy 144.536589 31.31599) (xy 144.806842 31.427932) - (xy 145.09374 31.485) (xy 145.38626 31.485) (xy 145.673158 31.427932) (xy 145.943411 31.31599) (xy 146.186632 31.153475) - (xy 146.393475 30.946632) (xy 146.51 30.77224) (xy 146.626525 30.946632) (xy 146.833368 31.153475) (xy 147.076589 31.31599) - (xy 147.346842 31.427932) (xy 147.63374 31.485) (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) - (xy 148.726632 31.153475) (xy 148.933475 30.946632) (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) - (xy 149.616589 31.31599) (xy 149.886842 31.427932) (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) - (xy 151.023411 31.31599) (xy 151.266632 31.153475) (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) - (xy 151.913368 31.153475) (xy 152.156589 31.31599) (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) - (xy 153.293158 31.427932) (xy 153.563411 31.31599) (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) - (xy 154.246525 30.946632) (xy 154.453368 31.153475) (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) - (xy 155.54626 31.485) (xy 155.833158 31.427932) (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) - (xy 156.67 30.77224) (xy 156.786525 30.946632) (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) - (xy 157.79374 31.485) (xy 158.08626 31.485) (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) - (xy 159.093475 30.946632) (xy 159.21 30.77224) (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) - (xy 160.046842 31.427932) (xy 160.33374 31.485) (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) - (xy 161.426632 31.153475) (xy 161.633475 30.946632) (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) - (xy 162.316589 31.31599) (xy 162.586842 31.427932) (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) - (xy 163.723411 31.31599) (xy 163.966632 31.153475) (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) - (xy 164.613368 31.153475) (xy 164.856589 31.31599) (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) - (xy 165.993158 31.427932) (xy 166.263411 31.31599) (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.83 30.77224) - (xy 166.946525 30.946632) (xy 167.153368 31.153475) (xy 167.396589 31.31599) (xy 167.666842 31.427932) (xy 167.95374 31.485) - (xy 168.24626 31.485) (xy 168.533158 31.427932) (xy 168.803411 31.31599) (xy 169.046632 31.153475) (xy 169.253475 30.946632) - (xy 169.375195 30.764466) (xy 169.444822 30.881355) (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) - (xy 170.28311 31.441476) (xy 170.513 31.320155) (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) - (xy 170.513 29.873) (xy 170.513 27.587) (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) - (xy 170.513 26.139845) (xy 170.767 26.139845) (xy 170.767 27.333) (xy 170.787 27.333) (xy 170.787 27.587) - (xy 170.767 27.587) (xy 170.767 29.873) (xy 170.787 29.873) (xy 170.787 30.127) (xy 170.767 30.127) - (xy 170.767 31.320155) (xy 170.99689 31.441476) (xy 171.144099 31.396825) (xy 171.40692 31.271641) (xy 171.640269 31.097588) - (xy 171.835178 30.881355) (xy 171.904805 30.764466) (xy 172.026525 30.946632) (xy 172.233368 31.153475) (xy 172.476589 31.31599) - (xy 172.746842 31.427932) (xy 173.03374 31.485) (xy 173.32626 31.485) (xy 173.613158 31.427932) (xy 173.883411 31.31599) - (xy 174.126632 31.153475) (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) (xy 174.773368 31.153475) - (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.153158 31.427932) - (xy 176.423411 31.31599) (xy 176.666632 31.153475) (xy 176.873475 30.946632) (xy 176.99 30.77224) (xy 177.106525 30.946632) - (xy 177.313368 31.153475) (xy 177.556589 31.31599) (xy 177.826842 31.427932) (xy 178.11374 31.485) (xy 178.40626 31.485) - (xy 178.693158 31.427932) (xy 178.963411 31.31599) (xy 179.206632 31.153475) (xy 179.413475 30.946632) (xy 179.53 30.77224) - (xy 179.646525 30.946632) (xy 179.853368 31.153475) (xy 180.096589 31.31599) (xy 180.366842 31.427932) (xy 180.65374 31.485) - (xy 180.94626 31.485) (xy 181.233158 31.427932) (xy 181.503411 31.31599) (xy 181.746632 31.153475) (xy 181.953475 30.946632) - (xy 182.07 30.77224) (xy 182.186525 30.946632) (xy 182.393368 31.153475) (xy 182.636589 31.31599) (xy 182.906842 31.427932) - (xy 183.19374 31.485) (xy 183.48626 31.485) (xy 183.773158 31.427932) (xy 184.043411 31.31599) (xy 184.286632 31.153475) - (xy 184.493475 30.946632) (xy 184.61 30.77224) (xy 184.726525 30.946632) (xy 184.933368 31.153475) (xy 185.176589 31.31599) - (xy 185.446842 31.427932) (xy 185.73374 31.485) (xy 186.02626 31.485) (xy 186.313158 31.427932) (xy 186.583411 31.31599) - (xy 186.826632 31.153475) (xy 187.033475 30.946632) (xy 187.15 30.77224) (xy 187.266525 30.946632) (xy 187.473368 31.153475) - (xy 187.716589 31.31599) (xy 187.986842 31.427932) (xy 188.27374 31.485) (xy 188.56626 31.485) (xy 188.853158 31.427932) - (xy 189.123411 31.31599) (xy 189.366632 31.153475) (xy 189.573475 30.946632) (xy 189.69 30.77224) (xy 189.806525 30.946632) - (xy 190.013368 31.153475) (xy 190.256589 31.31599) (xy 190.526842 31.427932) (xy 190.81374 31.485) (xy 191.10626 31.485) - (xy 191.393158 31.427932) (xy 191.663411 31.31599) (xy 191.906632 31.153475) (xy 192.113475 30.946632) (xy 192.23 30.77224) - (xy 192.346525 30.946632) (xy 192.553368 31.153475) (xy 192.796589 31.31599) (xy 193.066842 31.427932) (xy 193.35374 31.485) - (xy 193.64626 31.485) (xy 193.933158 31.427932) (xy 194.203411 31.31599) (xy 194.446632 31.153475) (xy 194.653475 30.946632) - (xy 194.77 30.77224) (xy 194.886525 30.946632) (xy 195.093368 31.153475) (xy 195.336589 31.31599) (xy 195.606842 31.427932) - (xy 195.89374 31.485) (xy 196.18626 31.485) (xy 196.473158 31.427932) (xy 196.743411 31.31599) (xy 196.986632 31.153475) - (xy 197.193475 30.946632) (xy 197.31 30.77224) (xy 197.426525 30.946632) (xy 197.633368 31.153475) (xy 197.876589 31.31599) - (xy 198.146842 31.427932) (xy 198.43374 31.485) (xy 198.72626 31.485) (xy 199.013158 31.427932) (xy 199.283411 31.31599) - (xy 199.526632 31.153475) (xy 199.733475 30.946632) (xy 199.85 30.77224) (xy 199.966525 30.946632) (xy 200.173368 31.153475) - (xy 200.416589 31.31599) (xy 200.686842 31.427932) (xy 200.97374 31.485) (xy 201.26626 31.485) (xy 201.553158 31.427932) - (xy 201.823411 31.31599) (xy 202.066632 31.153475) (xy 202.273475 30.946632) (xy 202.39 30.77224) (xy 202.506525 30.946632) - (xy 202.713368 31.153475) (xy 202.956589 31.31599) (xy 203.226842 31.427932) (xy 203.51374 31.485) (xy 203.80626 31.485) - (xy 204.093158 31.427932) (xy 204.363411 31.31599) (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) - (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) - (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) - (xy 207.475195 30.764466) (xy 207.544822 30.881355) (xy 207.739731 31.097588) (xy 207.97308 31.271641) (xy 208.235901 31.396825) - (xy 208.38311 31.441476) (xy 208.613 31.320155) (xy 208.613 30.127) (xy 208.867 30.127) (xy 208.867 31.320155) - (xy 209.09689 31.441476) (xy 209.244099 31.396825) (xy 209.50692 31.271641) (xy 209.740269 31.097588) (xy 209.935178 30.881355) - (xy 210.084157 30.631252) (xy 210.181481 30.356891) (xy 210.060814 30.127) (xy 208.867 30.127) (xy 208.613 30.127) - (xy 208.593 30.127) (xy 208.593 29.873) (xy 208.613 29.873) (xy 208.613 27.587) (xy 208.867 27.587) - (xy 208.867 29.873) (xy 210.060814 29.873) (xy 210.181481 29.643109) (xy 210.122114 29.47575) (xy 219.84 29.47575) - (xy 219.84 29.70425) (xy 219.884578 29.92836) (xy 219.972021 30.139466) (xy 220.098969 30.329457) (xy 220.260543 30.491031) - (xy 220.450534 30.617979) (xy 220.66164 30.705422) (xy 220.88575 30.75) (xy 221.11425 30.75) (xy 221.33836 30.705422) - (xy 221.549466 30.617979) (xy 221.739457 30.491031) (xy 221.901031 30.329457) (xy 222.027979 30.139466) (xy 222.115422 29.92836) - (xy 222.16 29.70425) (xy 222.16 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) - (xy 229.972021 30.139466) (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) - (xy 230.88575 30.75) (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) - (xy 231.901031 30.329457) (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) - (xy 232.115422 29.25164) (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) - (xy 231.33836 28.474578) (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) - (xy 230.260543 28.688969) (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) - (xy 222.16 29.47575) (xy 222.115422 29.25164) (xy 222.027979 29.040534) (xy 221.901031 28.850543) (xy 221.739457 28.688969) - (xy 221.549466 28.562021) (xy 221.33836 28.474578) (xy 221.11425 28.43) (xy 220.88575 28.43) (xy 220.66164 28.474578) - (xy 220.450534 28.562021) (xy 220.260543 28.688969) (xy 220.098969 28.850543) (xy 219.972021 29.040534) (xy 219.884578 29.25164) - (xy 219.84 29.47575) (xy 210.122114 29.47575) (xy 210.084157 29.368748) (xy 209.935178 29.118645) (xy 209.740269 28.902412) - (xy 209.50912 28.73) (xy 209.740269 28.557588) (xy 209.935178 28.341355) (xy 210.084157 28.091252) (xy 210.181481 27.816891) - (xy 210.060814 27.587) (xy 208.867 27.587) (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) - (xy 208.613 27.333) (xy 208.613 26.139845) (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) - (xy 210.181481 27.103109) (xy 210.084157 26.828748) (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) - (xy 209.244099 26.063175) (xy 209.09689 26.018524) (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) - (xy 208.235901 26.063175) (xy 207.97308 26.188359) (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) - (xy 207.353475 26.513368) (xy 207.146632 26.306525) (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) - (xy 206.05374 25.975) (xy 205.766842 26.032068) (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) - (xy 204.93 26.68776) (xy 204.813475 26.513368) (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) - (xy 203.80626 25.975) (xy 203.51374 25.975) (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) - (xy 202.506525 26.513368) (xy 202.39 26.68776) (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) - (xy 201.553158 26.032068) (xy 201.26626 25.975) (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) - (xy 200.173368 26.306525) (xy 199.966525 26.513368) (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) - (xy 199.283411 26.14401) (xy 199.013158 26.032068) (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) - (xy 197.876589 26.14401) (xy 197.633368 26.306525) (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) - (xy 196.986632 26.306525) (xy 196.743411 26.14401) (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) - (xy 195.606842 26.032068) (xy 195.336589 26.14401) (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) - (xy 194.653475 26.513368) (xy 194.446632 26.306525) (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) - (xy 193.35374 25.975) (xy 193.066842 26.032068) (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) - (xy 192.23 26.68776) (xy 192.113475 26.513368) (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) - (xy 191.10626 25.975) (xy 190.81374 25.975) (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) - (xy 189.806525 26.513368) (xy 189.69 26.68776) (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) - (xy 188.853158 26.032068) (xy 188.56626 25.975) (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) - (xy 187.473368 26.306525) (xy 187.266525 26.513368) (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) - (xy 186.583411 26.14401) (xy 186.313158 26.032068) (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) - (xy 185.176589 26.14401) (xy 184.933368 26.306525) (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) - (xy 184.286632 26.306525) (xy 184.043411 26.14401) (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) - (xy 182.906842 26.032068) (xy 182.636589 26.14401) (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) - (xy 181.953475 26.513368) (xy 181.746632 26.306525) (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) - (xy 180.65374 25.975) (xy 180.366842 26.032068) (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) - (xy 179.53 26.68776) (xy 179.413475 26.513368) (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) - (xy 178.40626 25.975) (xy 178.11374 25.975) (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) - (xy 177.106525 26.513368) (xy 176.99 26.68776) (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) - (xy 176.153158 26.032068) (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) - (xy 174.773368 26.306525) (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) - (xy 173.883411 26.14401) (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) - (xy 172.476589 26.14401) (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) - (xy 171.640269 26.362412) (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) - (xy 170.513 26.139845) (xy 170.28311 26.018524) (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) - (xy 169.444822 26.578645) (xy 169.375195 26.695534) (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) - (xy 168.533158 26.032068) (xy 168.24626 25.975) (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) - (xy 167.153368 26.306525) (xy 166.946525 26.513368) (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) - (xy 166.263411 26.14401) (xy 165.993158 26.032068) (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) - (xy 164.856589 26.14401) (xy 164.613368 26.306525) (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) - (xy 163.966632 26.306525) (xy 163.723411 26.14401) (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) - (xy 162.586842 26.032068) (xy 162.316589 26.14401) (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) - (xy 161.633475 26.513368) (xy 161.426632 26.306525) (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) - (xy 160.33374 25.975) (xy 160.046842 26.032068) (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) - (xy 159.21 26.68776) (xy 159.093475 26.513368) (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) - (xy 158.08626 25.975) (xy 157.79374 25.975) (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) - (xy 156.786525 26.513368) (xy 156.67 26.68776) (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) - (xy 155.833158 26.032068) (xy 155.54626 25.975) (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) - (xy 154.453368 26.306525) (xy 154.246525 26.513368) (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) - (xy 153.563411 26.14401) (xy 153.293158 26.032068) (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) - (xy 152.156589 26.14401) (xy 151.913368 26.306525) (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) - (xy 151.266632 26.306525) (xy 151.023411 26.14401) (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) - (xy 149.886842 26.032068) (xy 149.616589 26.14401) (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) - (xy 148.933475 26.513368) (xy 148.726632 26.306525) (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) - (xy 147.63374 25.975) (xy 147.346842 26.032068) (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) - (xy 146.51 26.68776) (xy 146.393475 26.513368) (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) - (xy 145.38626 25.975) (xy 145.09374 25.975) (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) - (xy 144.086525 26.513368) (xy 143.97 26.68776) (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) - (xy 143.133158 26.032068) (xy 142.84626 25.975) (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) - (xy 141.753368 26.306525) (xy 141.546525 26.513368) (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) - (xy 140.863411 26.14401) (xy 140.593158 26.032068) (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) - (xy 139.456589 26.14401) (xy 139.213368 26.306525) (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) - (xy 138.566632 26.306525) (xy 138.323411 26.14401) (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) - (xy 137.186842 26.032068) (xy 136.916589 26.14401) (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) - (xy 136.233475 26.513368) (xy 136.026632 26.306525) (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) - (xy 134.93374 25.975) (xy 134.646842 26.032068) (xy 134.376589 26.14401) (xy 134.133368 26.306525) (xy 133.926525 26.513368) - (xy 133.804805 26.695534) (xy 133.735178 26.578645) (xy 133.540269 26.362412) (xy 133.30692 26.188359) (xy 133.044099 26.063175) - (xy 132.89689 26.018524) (xy 132.667 26.139845) (xy 132.413 26.139845) (xy 132.18311 26.018524) (xy 132.035901 26.063175) - (xy 131.77308 26.188359) (xy 131.539731 26.362412) (xy 131.344822 26.578645) (xy 131.275195 26.695534) (xy 131.153475 26.513368) - (xy 130.946632 26.306525) (xy 130.703411 26.14401) (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) - (xy 129.566842 26.032068) (xy 129.296589 26.14401) (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) - (xy 128.572068 27.026842) (xy 128.515 27.31374) (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) - (xy 128.846525 28.406632) (xy 128.97838 28.538487) (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) - (xy 128.619463 28.795506) (xy 128.560498 28.90582) (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 123.198223 29.15) - (xy 123.21599 29.123411) (xy 123.327932 28.853158) (xy 123.385 28.56626) (xy 123.385 28.27374) (xy 123.327932 27.986842) - (xy 123.21599 27.716589) (xy 123.053475 27.473368) (xy 122.846632 27.266525) (xy 122.67224 27.15) (xy 122.846632 27.033475) - (xy 123.053475 26.826632) (xy 123.21599 26.583411) (xy 123.327932 26.313158) (xy 123.385 26.02626) (xy 123.385 25.73374) - (xy 123.327932 25.446842) (xy 123.21599 25.176589) (xy 123.053475 24.933368) (xy 122.846632 24.726525) (xy 122.67224 24.61) - (xy 122.846632 24.493475) (xy 123.042046 24.298061) (xy 133.845 24.298061) (xy 133.845 24.501939) (xy 133.884774 24.701898) - (xy 133.962795 24.890256) (xy 134.076063 25.059774) (xy 134.220226 25.203937) (xy 134.389744 25.317205) (xy 134.578102 25.395226) - (xy 134.778061 25.435) (xy 134.981939 25.435) (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) - (xy 135.683937 25.059774) (xy 135.797205 24.890256) (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) - (xy 136.375 24.298061) (xy 136.375 24.501939) (xy 136.414774 24.701898) (xy 136.492795 24.890256) (xy 136.606063 25.059774) - (xy 136.750226 25.203937) (xy 136.919744 25.317205) (xy 137.108102 25.395226) (xy 137.308061 25.435) (xy 137.511939 25.435) - (xy 137.711898 25.395226) (xy 137.900256 25.317205) (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) - (xy 138.405226 24.701898) (xy 138.445 24.501939) (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) - (xy 138.213937 23.740226) (xy 138.069774 23.596063) (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) - (xy 137.308061 23.365) (xy 137.108102 23.404774) (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) - (xy 136.492795 23.909744) (xy 136.414774 24.098102) (xy 136.375 24.298061) (xy 135.915 24.298061) (xy 135.875226 24.098102) - (xy 135.797205 23.909744) (xy 135.683937 23.740226) (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) - (xy 134.981939 23.365) (xy 134.778061 23.365) (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) - (xy 134.076063 23.740226) (xy 133.962795 23.909744) (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 123.042046 24.298061) - (xy 123.053475 24.286632) (xy 123.21599 24.043411) (xy 123.327932 23.773158) (xy 123.385 23.48626) (xy 123.385 23.19374) - (xy 123.327932 22.906842) (xy 123.21599 22.636589) (xy 123.053475 22.393368) (xy 122.846632 22.186525) (xy 122.67224 22.07) - (xy 122.846632 21.953475) (xy 123.053475 21.746632) (xy 123.167089 21.576596) (xy 175.850673 21.576596) (xy 175.850673 21.780474) - (xy 175.890447 21.980433) (xy 175.968468 22.168791) (xy 176.081736 22.338309) (xy 176.225899 22.482472) (xy 176.395417 22.59574) - (xy 176.583775 22.673761) (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) - (xy 177.545447 22.482472) (xy 177.68961 22.338309) (xy 177.802878 22.168791) (xy 177.880899 21.980433) (xy 177.920673 21.780474) - (xy 177.920673 21.576596) (xy 177.880899 21.376637) (xy 177.802878 21.188279) (xy 177.68961 21.018761) (xy 177.545447 20.874598) - (xy 177.375929 20.76133) (xy 177.187571 20.683309) (xy 176.987612 20.643535) (xy 176.783734 20.643535) (xy 176.583775 20.683309) - (xy 176.395417 20.76133) (xy 176.225899 20.874598) (xy 176.081736 21.018761) (xy 175.968468 21.188279) (xy 175.890447 21.376637) - (xy 175.850673 21.576596) (xy 123.167089 21.576596) (xy 123.21599 21.503411) (xy 123.327932 21.233158) (xy 123.385 20.94626) - (xy 123.385 20.65374) (xy 123.327932 20.366842) (xy 123.21599 20.096589) (xy 123.053475 19.853368) (xy 122.846632 19.646525) - (xy 122.603411 19.48401) (xy 122.333158 19.372068) (xy 122.04626 19.315) (xy 121.75374 19.315) (xy 121.466842 19.372068) - (xy 121.196589 19.48401) (xy 120.953368 19.646525) (xy 120.746525 19.853368) (xy 120.58401 20.096589) (xy 120.472068 20.366842) - (xy 120.415 20.65374) (xy 120.415 20.94626) (xy 120.472068 21.233158) (xy 120.58401 21.503411) (xy 120.746525 21.746632) - (xy 120.953368 21.953475) (xy 121.12776 22.07) (xy 120.953368 22.186525) (xy 120.746525 22.393368) (xy 120.58401 22.636589) - (xy 120.472068 22.906842) (xy 120.415 23.19374) (xy 120.415 23.48626) (xy 120.472068 23.773158) (xy 120.58401 24.043411) - (xy 120.746525 24.286632) (xy 120.953368 24.493475) (xy 121.12776 24.61) (xy 120.953368 24.726525) (xy 120.746525 24.933368) - (xy 120.58401 25.176589) (xy 120.472068 25.446842) (xy 120.415 25.73374) (xy 120.415 26.02626) (xy 120.472068 26.313158) - (xy 120.58401 26.583411) (xy 120.746525 26.826632) (xy 120.953368 27.033475) (xy 121.12776 27.15) (xy 120.953368 27.266525) - (xy 120.746525 27.473368) (xy 120.58401 27.716589) (xy 120.472068 27.986842) (xy 120.415 28.27374) (xy 120.415 28.56626) - (xy 120.472068 28.853158) (xy 120.58401 29.123411) (xy 120.746525 29.366632) (xy 120.953368 29.573475) (xy 121.12776 29.69) - (xy 120.953368 29.806525) (xy 120.746525 30.013368) (xy 120.58401 30.256589) (xy 120.472068 30.526842) (xy 120.415 30.81374) - (xy 120.415 31.10626) (xy 120.472068 31.393158) (xy 120.58401 31.663411) (xy 120.746525 31.906632) (xy 120.87838 32.038487) - (xy 120.80582 32.060498) (xy 120.695506 32.119463) (xy 120.598815 32.198815) (xy 120.519463 32.295506) (xy 120.460498 32.40582) - (xy 120.424188 32.525518) (xy 120.411928 32.65) (xy 112.44 32.65) (xy 112.44 19.098061) (xy 155.495 19.098061) + (xy 117.230134 45.298061) (xy 112.44 45.298061) (xy 112.44 36.788061) (xy 254.965 36.788061) (xy 254.965 36.991939) + (xy 255.004774 37.191898) (xy 255.082795 37.380256) (xy 255.196063 37.549774) (xy 255.340226 37.693937) (xy 255.509744 37.807205) + (xy 255.698102 37.885226) (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) + (xy 256.659774 37.693937) (xy 256.803937 37.549774) (xy 256.917205 37.380256) (xy 256.995226 37.191898) (xy 257.035 36.991939) + (xy 257.035 36.788061) (xy 256.995226 36.588102) (xy 256.917205 36.399744) (xy 256.803937 36.230226) (xy 256.659774 36.086063) + (xy 256.490256 35.972795) (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) + (xy 255.509744 35.972795) (xy 255.340226 36.086063) (xy 255.196063 36.230226) (xy 255.082795 36.399744) (xy 255.004774 36.588102) + (xy 254.965 36.788061) (xy 112.44 36.788061) (xy 112.44 33.898061) (xy 222.965 33.898061) (xy 222.965 34.101939) + (xy 223.004774 34.301898) (xy 223.082795 34.490256) (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) + (xy 223.698102 34.995226) (xy 223.898061 35.035) (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) + (xy 224.659774 34.803937) (xy 224.803937 34.659774) (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) + (xy 225.004774 34.301898) (xy 225.082795 34.490256) (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) + (xy 225.698102 34.995226) (xy 225.898061 35.035) (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) + (xy 226.659774 34.803937) (xy 226.803937 34.659774) (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) + (xy 227.004774 34.301898) (xy 227.082795 34.490256) (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) + (xy 227.698102 34.995226) (xy 227.898061 35.035) (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) + (xy 228.659774 34.803937) (xy 228.803937 34.659774) (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) + (xy 229.004774 34.301898) (xy 229.082795 34.490256) (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) + (xy 229.698102 34.995226) (xy 229.898061 35.035) (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) + (xy 230.659774 34.803937) (xy 230.803937 34.659774) (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) + (xy 231.004774 34.301898) (xy 231.082795 34.490256) (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) + (xy 231.698102 34.995226) (xy 231.898061 35.035) (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) + (xy 232.659774 34.803937) (xy 232.803937 34.659774) (xy 232.917205 34.490256) (xy 232.995226 34.301898) (xy 233.035 34.101939) + (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.917205 33.509744) (xy 232.803937 33.340226) (xy 232.659774 33.196063) + (xy 232.490256 33.082795) (xy 232.301898 33.004774) (xy 232.101939 32.965) (xy 231.898061 32.965) (xy 231.698102 33.004774) + (xy 231.509744 33.082795) (xy 231.340226 33.196063) (xy 231.196063 33.340226) (xy 231.082795 33.509744) (xy 231.004774 33.698102) + (xy 231 33.722103) (xy 230.995226 33.698102) (xy 230.917205 33.509744) (xy 230.803937 33.340226) (xy 230.659774 33.196063) + (xy 230.490256 33.082795) (xy 230.301898 33.004774) (xy 230.101939 32.965) (xy 229.898061 32.965) (xy 229.698102 33.004774) + (xy 229.509744 33.082795) (xy 229.340226 33.196063) (xy 229.196063 33.340226) (xy 229.082795 33.509744) (xy 229.004774 33.698102) + (xy 229 33.722103) (xy 228.995226 33.698102) (xy 228.917205 33.509744) (xy 228.803937 33.340226) (xy 228.659774 33.196063) + (xy 228.490256 33.082795) (xy 228.301898 33.004774) (xy 228.101939 32.965) (xy 227.898061 32.965) (xy 227.698102 33.004774) + (xy 227.509744 33.082795) (xy 227.340226 33.196063) (xy 227.196063 33.340226) (xy 227.082795 33.509744) (xy 227.004774 33.698102) + (xy 227 33.722103) (xy 226.995226 33.698102) (xy 226.917205 33.509744) (xy 226.803937 33.340226) (xy 226.659774 33.196063) + (xy 226.490256 33.082795) (xy 226.301898 33.004774) (xy 226.101939 32.965) (xy 225.898061 32.965) (xy 225.698102 33.004774) + (xy 225.509744 33.082795) (xy 225.340226 33.196063) (xy 225.196063 33.340226) (xy 225.082795 33.509744) (xy 225.004774 33.698102) + (xy 225 33.722103) (xy 224.995226 33.698102) (xy 224.917205 33.509744) (xy 224.803937 33.340226) (xy 224.659774 33.196063) + (xy 224.490256 33.082795) (xy 224.301898 33.004774) (xy 224.101939 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) + (xy 223.509744 33.082795) (xy 223.340226 33.196063) (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) + (xy 222.965 33.898061) (xy 112.44 33.898061) (xy 112.44 29.15) (xy 128.511928 29.15) (xy 128.511928 30.85) + (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) (xy 128.795506 31.380537) + (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) (xy 130.974482 31.475812) + (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) (xy 131.439502 31.09418) + (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) (xy 132.18311 31.441476) + (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) (xy 132.413 29.873) + (xy 132.413 27.587) (xy 132.393 27.587) (xy 132.393 27.333) (xy 132.413 27.333) (xy 132.413 26.139845) + (xy 132.667 26.139845) (xy 132.667 27.333) (xy 132.687 27.333) (xy 132.687 27.587) (xy 132.667 27.587) + (xy 132.667 29.873) (xy 132.687 29.873) (xy 132.687 30.127) (xy 132.667 30.127) (xy 132.667 31.320155) + (xy 132.89689 31.441476) (xy 133.044099 31.396825) (xy 133.30692 31.271641) (xy 133.540269 31.097588) (xy 133.735178 30.881355) + (xy 133.804805 30.764466) (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) (xy 134.646842 31.427932) + (xy 134.93374 31.485) (xy 135.22626 31.485) (xy 135.513158 31.427932) (xy 135.783411 31.31599) (xy 136.026632 31.153475) + (xy 136.233475 30.946632) (xy 136.35 30.77224) (xy 136.466525 30.946632) (xy 136.673368 31.153475) (xy 136.916589 31.31599) + (xy 137.186842 31.427932) (xy 137.47374 31.485) (xy 137.76626 31.485) (xy 138.053158 31.427932) (xy 138.323411 31.31599) + (xy 138.566632 31.153475) (xy 138.773475 30.946632) (xy 138.89 30.77224) (xy 139.006525 30.946632) (xy 139.213368 31.153475) + (xy 139.456589 31.31599) (xy 139.726842 31.427932) (xy 140.01374 31.485) (xy 140.30626 31.485) (xy 140.593158 31.427932) + (xy 140.863411 31.31599) (xy 141.106632 31.153475) (xy 141.313475 30.946632) (xy 141.43 30.77224) (xy 141.546525 30.946632) + (xy 141.753368 31.153475) (xy 141.996589 31.31599) (xy 142.266842 31.427932) (xy 142.55374 31.485) (xy 142.84626 31.485) + (xy 143.133158 31.427932) (xy 143.403411 31.31599) (xy 143.646632 31.153475) (xy 143.853475 30.946632) (xy 143.97 30.77224) + (xy 144.086525 30.946632) (xy 144.293368 31.153475) (xy 144.536589 31.31599) (xy 144.806842 31.427932) (xy 145.09374 31.485) + (xy 145.38626 31.485) (xy 145.673158 31.427932) (xy 145.943411 31.31599) (xy 146.186632 31.153475) (xy 146.393475 30.946632) + (xy 146.51 30.77224) (xy 146.626525 30.946632) (xy 146.833368 31.153475) (xy 147.076589 31.31599) (xy 147.346842 31.427932) + (xy 147.63374 31.485) (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) (xy 148.726632 31.153475) + (xy 148.933475 30.946632) (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) (xy 149.616589 31.31599) + (xy 149.886842 31.427932) (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) (xy 151.023411 31.31599) + (xy 151.266632 31.153475) (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) (xy 151.913368 31.153475) + (xy 152.156589 31.31599) (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) (xy 153.293158 31.427932) + (xy 153.563411 31.31599) (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) (xy 154.246525 30.946632) + (xy 154.453368 31.153475) (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) (xy 155.54626 31.485) + (xy 155.833158 31.427932) (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) (xy 156.67 30.77224) + (xy 156.786525 30.946632) (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) (xy 157.79374 31.485) + (xy 158.08626 31.485) (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) (xy 159.093475 30.946632) + (xy 159.21 30.77224) (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) (xy 160.046842 31.427932) + (xy 160.33374 31.485) (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) (xy 161.426632 31.153475) + (xy 161.633475 30.946632) (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) (xy 162.316589 31.31599) + (xy 162.586842 31.427932) (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) (xy 163.723411 31.31599) + (xy 163.966632 31.153475) (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) (xy 164.613368 31.153475) + (xy 164.856589 31.31599) (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) (xy 165.993158 31.427932) + (xy 166.263411 31.31599) (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.83 30.77224) (xy 166.946525 30.946632) + (xy 167.153368 31.153475) (xy 167.396589 31.31599) (xy 167.666842 31.427932) (xy 167.95374 31.485) (xy 168.24626 31.485) + (xy 168.533158 31.427932) (xy 168.803411 31.31599) (xy 169.046632 31.153475) (xy 169.253475 30.946632) (xy 169.375195 30.764466) + (xy 169.444822 30.881355) (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) (xy 170.28311 31.441476) + (xy 170.513 31.320155) (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) (xy 170.513 29.873) + (xy 170.513 27.587) (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) (xy 170.513 26.139845) + (xy 170.767 26.139845) (xy 170.767 27.333) (xy 170.787 27.333) (xy 170.787 27.587) (xy 170.767 27.587) + (xy 170.767 29.873) (xy 170.787 29.873) (xy 170.787 30.127) (xy 170.767 30.127) (xy 170.767 31.320155) + (xy 170.99689 31.441476) (xy 171.144099 31.396825) (xy 171.40692 31.271641) (xy 171.640269 31.097588) (xy 171.835178 30.881355) + (xy 171.904805 30.764466) (xy 172.026525 30.946632) (xy 172.233368 31.153475) (xy 172.476589 31.31599) (xy 172.746842 31.427932) + (xy 173.03374 31.485) (xy 173.32626 31.485) (xy 173.613158 31.427932) (xy 173.883411 31.31599) (xy 174.126632 31.153475) + (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) (xy 174.773368 31.153475) (xy 175.016589 31.31599) + (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.153158 31.427932) (xy 176.423411 31.31599) + (xy 176.666632 31.153475) (xy 176.873475 30.946632) (xy 176.99 30.77224) (xy 177.106525 30.946632) (xy 177.313368 31.153475) + (xy 177.556589 31.31599) (xy 177.826842 31.427932) (xy 178.11374 31.485) (xy 178.40626 31.485) (xy 178.693158 31.427932) + (xy 178.963411 31.31599) (xy 179.206632 31.153475) (xy 179.413475 30.946632) (xy 179.53 30.77224) (xy 179.646525 30.946632) + (xy 179.853368 31.153475) (xy 180.096589 31.31599) (xy 180.366842 31.427932) (xy 180.65374 31.485) (xy 180.94626 31.485) + (xy 181.233158 31.427932) (xy 181.503411 31.31599) (xy 181.746632 31.153475) (xy 181.953475 30.946632) (xy 182.07 30.77224) + (xy 182.186525 30.946632) (xy 182.393368 31.153475) (xy 182.636589 31.31599) (xy 182.906842 31.427932) (xy 183.19374 31.485) + (xy 183.48626 31.485) (xy 183.773158 31.427932) (xy 184.043411 31.31599) (xy 184.286632 31.153475) (xy 184.493475 30.946632) + (xy 184.61 30.77224) (xy 184.726525 30.946632) (xy 184.933368 31.153475) (xy 185.176589 31.31599) (xy 185.446842 31.427932) + (xy 185.73374 31.485) (xy 186.02626 31.485) (xy 186.313158 31.427932) (xy 186.583411 31.31599) (xy 186.826632 31.153475) + (xy 187.033475 30.946632) (xy 187.15 30.77224) (xy 187.266525 30.946632) (xy 187.473368 31.153475) (xy 187.716589 31.31599) + (xy 187.986842 31.427932) (xy 188.27374 31.485) (xy 188.56626 31.485) (xy 188.853158 31.427932) (xy 189.123411 31.31599) + (xy 189.366632 31.153475) (xy 189.573475 30.946632) (xy 189.69 30.77224) (xy 189.806525 30.946632) (xy 190.013368 31.153475) + (xy 190.256589 31.31599) (xy 190.526842 31.427932) (xy 190.81374 31.485) (xy 191.10626 31.485) (xy 191.393158 31.427932) + (xy 191.663411 31.31599) (xy 191.906632 31.153475) (xy 192.113475 30.946632) (xy 192.23 30.77224) (xy 192.346525 30.946632) + (xy 192.553368 31.153475) (xy 192.796589 31.31599) (xy 193.066842 31.427932) (xy 193.35374 31.485) (xy 193.64626 31.485) + (xy 193.933158 31.427932) (xy 194.203411 31.31599) (xy 194.446632 31.153475) (xy 194.653475 30.946632) (xy 194.77 30.77224) + (xy 194.886525 30.946632) (xy 195.093368 31.153475) (xy 195.336589 31.31599) (xy 195.606842 31.427932) (xy 195.89374 31.485) + (xy 196.18626 31.485) (xy 196.473158 31.427932) (xy 196.743411 31.31599) (xy 196.986632 31.153475) (xy 197.193475 30.946632) + (xy 197.31 30.77224) (xy 197.426525 30.946632) (xy 197.633368 31.153475) (xy 197.876589 31.31599) (xy 198.146842 31.427932) + (xy 198.43374 31.485) (xy 198.72626 31.485) (xy 199.013158 31.427932) (xy 199.283411 31.31599) (xy 199.526632 31.153475) + (xy 199.733475 30.946632) (xy 199.85 30.77224) (xy 199.966525 30.946632) (xy 200.173368 31.153475) (xy 200.416589 31.31599) + (xy 200.686842 31.427932) (xy 200.97374 31.485) (xy 201.26626 31.485) (xy 201.553158 31.427932) (xy 201.823411 31.31599) + (xy 202.066632 31.153475) (xy 202.273475 30.946632) (xy 202.39 30.77224) (xy 202.506525 30.946632) (xy 202.713368 31.153475) + (xy 202.956589 31.31599) (xy 203.226842 31.427932) (xy 203.51374 31.485) (xy 203.80626 31.485) (xy 204.093158 31.427932) + (xy 204.363411 31.31599) (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) + (xy 205.253368 31.153475) (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) + (xy 206.633158 31.427932) (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.475195 30.764466) + (xy 207.544822 30.881355) (xy 207.739731 31.097588) (xy 207.97308 31.271641) (xy 208.235901 31.396825) (xy 208.38311 31.441476) + (xy 208.613 31.320155) (xy 208.613 30.127) (xy 208.867 30.127) (xy 208.867 31.320155) (xy 209.09689 31.441476) + (xy 209.244099 31.396825) (xy 209.50692 31.271641) (xy 209.740269 31.097588) (xy 209.935178 30.881355) (xy 210.084157 30.631252) + (xy 210.181481 30.356891) (xy 210.060814 30.127) (xy 208.867 30.127) (xy 208.613 30.127) (xy 208.593 30.127) + (xy 208.593 29.873) (xy 208.613 29.873) (xy 208.613 27.587) (xy 208.867 27.587) (xy 208.867 29.873) + (xy 210.060814 29.873) (xy 210.181481 29.643109) (xy 210.122114 29.47575) (xy 219.84 29.47575) (xy 219.84 29.70425) + (xy 219.884578 29.92836) (xy 219.972021 30.139466) (xy 220.098969 30.329457) (xy 220.260543 30.491031) (xy 220.450534 30.617979) + (xy 220.66164 30.705422) (xy 220.88575 30.75) (xy 221.11425 30.75) (xy 221.33836 30.705422) (xy 221.549466 30.617979) + (xy 221.739457 30.491031) (xy 221.901031 30.329457) (xy 222.027979 30.139466) (xy 222.115422 29.92836) (xy 222.16 29.70425) + (xy 222.16 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) (xy 229.972021 30.139466) + (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) (xy 230.88575 30.75) + (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) (xy 231.901031 30.329457) + (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) (xy 232.115422 29.25164) + (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) (xy 231.33836 28.474578) + (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) (xy 230.260543 28.688969) + (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) (xy 222.16 29.47575) + (xy 222.115422 29.25164) (xy 222.027979 29.040534) (xy 221.901031 28.850543) (xy 221.739457 28.688969) (xy 221.549466 28.562021) + (xy 221.33836 28.474578) (xy 221.11425 28.43) (xy 220.88575 28.43) (xy 220.66164 28.474578) (xy 220.450534 28.562021) + (xy 220.260543 28.688969) (xy 220.098969 28.850543) (xy 219.972021 29.040534) (xy 219.884578 29.25164) (xy 219.84 29.47575) + (xy 210.122114 29.47575) (xy 210.084157 29.368748) (xy 209.935178 29.118645) (xy 209.740269 28.902412) (xy 209.50912 28.73) + (xy 209.740269 28.557588) (xy 209.935178 28.341355) (xy 210.084157 28.091252) (xy 210.181481 27.816891) (xy 210.060814 27.587) + (xy 208.867 27.587) (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) + (xy 208.613 26.139845) (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) + (xy 210.084157 26.828748) (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.244099 26.063175) + (xy 209.09689 26.018524) (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) + (xy 207.97308 26.188359) (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) + (xy 207.146632 26.306525) (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.05374 25.975) + (xy 205.766842 26.032068) (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) (xy 204.93 26.68776) + (xy 204.813475 26.513368) (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) (xy 203.80626 25.975) + (xy 203.51374 25.975) (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) (xy 202.506525 26.513368) + (xy 202.39 26.68776) (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) (xy 201.553158 26.032068) + (xy 201.26626 25.975) (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) (xy 200.173368 26.306525) + (xy 199.966525 26.513368) (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) (xy 199.283411 26.14401) + (xy 199.013158 26.032068) (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) (xy 197.876589 26.14401) + (xy 197.633368 26.306525) (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) (xy 196.986632 26.306525) + (xy 196.743411 26.14401) (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) (xy 195.606842 26.032068) + (xy 195.336589 26.14401) (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) (xy 194.653475 26.513368) + (xy 194.446632 26.306525) (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) (xy 193.35374 25.975) + (xy 193.066842 26.032068) (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) (xy 192.23 26.68776) + (xy 192.113475 26.513368) (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) (xy 191.10626 25.975) + (xy 190.81374 25.975) (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) (xy 189.806525 26.513368) + (xy 189.69 26.68776) (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) (xy 188.853158 26.032068) + (xy 188.56626 25.975) (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) (xy 187.473368 26.306525) + (xy 187.266525 26.513368) (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) (xy 186.583411 26.14401) + (xy 186.313158 26.032068) (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) (xy 185.176589 26.14401) + (xy 184.933368 26.306525) (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) (xy 184.286632 26.306525) + (xy 184.043411 26.14401) (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) (xy 182.906842 26.032068) + (xy 182.636589 26.14401) (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) (xy 181.953475 26.513368) + (xy 181.746632 26.306525) (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) (xy 180.65374 25.975) + (xy 180.366842 26.032068) (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) (xy 179.53 26.68776) + (xy 179.413475 26.513368) (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) (xy 178.40626 25.975) + (xy 178.11374 25.975) (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) (xy 177.106525 26.513368) + (xy 176.99 26.68776) (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) (xy 176.153158 26.032068) + (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) + (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) (xy 173.883411 26.14401) + (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) (xy 172.476589 26.14401) + (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) (xy 171.640269 26.362412) + (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) (xy 170.513 26.139845) + (xy 170.28311 26.018524) (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) (xy 169.444822 26.578645) + (xy 169.375195 26.695534) (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) (xy 168.533158 26.032068) + (xy 168.24626 25.975) (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) (xy 167.153368 26.306525) + (xy 166.946525 26.513368) (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.263411 26.14401) + (xy 165.993158 26.032068) (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) (xy 164.856589 26.14401) + (xy 164.613368 26.306525) (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) (xy 163.966632 26.306525) + (xy 163.723411 26.14401) (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) (xy 162.586842 26.032068) + (xy 162.316589 26.14401) (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) (xy 161.633475 26.513368) + (xy 161.426632 26.306525) (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) (xy 160.33374 25.975) + (xy 160.046842 26.032068) (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) (xy 159.21 26.68776) + (xy 159.093475 26.513368) (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) (xy 158.08626 25.975) + (xy 157.79374 25.975) (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) (xy 156.786525 26.513368) + (xy 156.67 26.68776) (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) (xy 155.833158 26.032068) + (xy 155.54626 25.975) (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) (xy 154.453368 26.306525) + (xy 154.246525 26.513368) (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) (xy 153.563411 26.14401) + (xy 153.293158 26.032068) (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) (xy 152.156589 26.14401) + (xy 151.913368 26.306525) (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) (xy 151.266632 26.306525) + (xy 151.023411 26.14401) (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) + (xy 149.616589 26.14401) (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) + (xy 148.726632 26.306525) (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) + (xy 147.346842 26.032068) (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) + (xy 146.393475 26.513368) (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) + (xy 145.09374 25.975) (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) + (xy 143.97 26.68776) (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) + (xy 142.84626 25.975) (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) + (xy 141.546525 26.513368) (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) + (xy 140.593158 26.032068) (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) + (xy 139.213368 26.306525) (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) + (xy 138.323411 26.14401) (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) + (xy 136.916589 26.14401) (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) (xy 136.233475 26.513368) + (xy 136.026632 26.306525) (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) (xy 134.93374 25.975) + (xy 134.646842 26.032068) (xy 134.376589 26.14401) (xy 134.133368 26.306525) (xy 133.926525 26.513368) (xy 133.804805 26.695534) + (xy 133.735178 26.578645) (xy 133.540269 26.362412) (xy 133.30692 26.188359) (xy 133.044099 26.063175) (xy 132.89689 26.018524) + (xy 132.667 26.139845) (xy 132.413 26.139845) (xy 132.18311 26.018524) (xy 132.035901 26.063175) (xy 131.77308 26.188359) + (xy 131.539731 26.362412) (xy 131.344822 26.578645) (xy 131.275195 26.695534) (xy 131.153475 26.513368) (xy 130.946632 26.306525) + (xy 130.703411 26.14401) (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) (xy 129.566842 26.032068) + (xy 129.296589 26.14401) (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) (xy 128.572068 27.026842) + (xy 128.515 27.31374) (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) + (xy 128.97838 28.538487) (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) + (xy 128.560498 28.90582) (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 112.44 29.15) (xy 112.44 24.298061) + (xy 133.845 24.298061) (xy 133.845 24.501939) (xy 133.884774 24.701898) (xy 133.962795 24.890256) (xy 134.076063 25.059774) + (xy 134.220226 25.203937) (xy 134.389744 25.317205) (xy 134.578102 25.395226) (xy 134.778061 25.435) (xy 134.981939 25.435) + (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) (xy 135.683937 25.059774) (xy 135.797205 24.890256) + (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) (xy 136.375 24.298061) (xy 136.375 24.501939) + (xy 136.414774 24.701898) (xy 136.492795 24.890256) (xy 136.606063 25.059774) (xy 136.750226 25.203937) (xy 136.919744 25.317205) + (xy 137.108102 25.395226) (xy 137.308061 25.435) (xy 137.511939 25.435) (xy 137.711898 25.395226) (xy 137.900256 25.317205) + (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) (xy 138.405226 24.701898) (xy 138.445 24.501939) + (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) (xy 138.213937 23.740226) (xy 138.069774 23.596063) + (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) (xy 137.308061 23.365) (xy 137.108102 23.404774) + (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) (xy 136.492795 23.909744) (xy 136.414774 24.098102) + (xy 136.375 24.298061) (xy 135.915 24.298061) (xy 135.875226 24.098102) (xy 135.797205 23.909744) (xy 135.683937 23.740226) + (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) (xy 134.981939 23.365) (xy 134.778061 23.365) + (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) (xy 134.076063 23.740226) (xy 133.962795 23.909744) + (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 112.44 24.298061) (xy 112.44 21.576596) (xy 175.850673 21.576596) + (xy 175.850673 21.780474) (xy 175.890447 21.980433) (xy 175.968468 22.168791) (xy 176.081736 22.338309) (xy 176.225899 22.482472) + (xy 176.395417 22.59574) (xy 176.583775 22.673761) (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) + (xy 177.375929 22.59574) (xy 177.545447 22.482472) (xy 177.68961 22.338309) (xy 177.802878 22.168791) (xy 177.880899 21.980433) + (xy 177.920673 21.780474) (xy 177.920673 21.576596) (xy 177.880899 21.376637) (xy 177.802878 21.188279) (xy 177.68961 21.018761) + (xy 177.545447 20.874598) (xy 177.375929 20.76133) (xy 177.187571 20.683309) (xy 176.987612 20.643535) (xy 176.783734 20.643535) + (xy 176.583775 20.683309) (xy 176.395417 20.76133) (xy 176.225899 20.874598) (xy 176.081736 21.018761) (xy 175.968468 21.188279) + (xy 175.890447 21.376637) (xy 175.850673 21.576596) (xy 112.44 21.576596) (xy 112.44 19.098061) (xy 155.495 19.098061) (xy 155.495 19.301939) (xy 155.534774 19.501898) (xy 155.612795 19.690256) (xy 155.726063 19.859774) (xy 155.870226 20.003937) (xy 156.039744 20.117205) (xy 156.228102 20.195226) (xy 156.428061 20.235) (xy 156.631939 20.235) (xy 156.831898 20.195226) (xy 157.020256 20.117205) (xy 157.189774 20.003937) (xy 157.333937 19.859774) (xy 157.447205 19.690256) (xy 157.525226 19.501898) @@ -11167,746 +10923,783 @@ (xy 261.550378 13.370593) (xy 261.558017 13.376912) (xy 261.663887 13.463257) (xy 261.717474 13.498859) (xy 261.770583 13.535224) (xy 261.779303 13.539939) (xy 261.899928 13.604076) (xy 261.959402 13.628589) (xy 262.018565 13.653947) (xy 262.028035 13.656878) (xy 262.15882 13.696365) (xy 262.221939 13.708863) (xy 262.284895 13.722245) (xy 262.294754 13.723281) (xy 262.430718 13.736612) - (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 53.031509) - (xy 272.574463 53.001082) (xy 272.276912 52.877832) (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) - (xy 271.025537 53.001082) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) - (xy 270.165 54.288967) (xy 270.165 54.611033) (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) - (xy 270.757748 55.719987) (xy 271.025537 55.898918) (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) - (xy 272.276912 56.022168) (xy 272.574463 55.898918) (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) - (xy 272.276912 68.577832) (xy 271.961033 68.515) (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) - (xy 270.757748 68.880013) (xy 270.530013 69.107748) (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) - (xy 270.165 70.311033) (xy 270.227832 70.626912) (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) - (xy 271.025537 71.598918) (xy 271.323088 71.722168) (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) - (xy 272.574463 71.598918) (xy 272.62 71.568491) (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) - (xy 271.486835 74.335) (xy 271.305398 74.35287) (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) - (xy 270.515667 74.880551) (xy 270.400989 75.095099) (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.33037 75.812102) - (xy 270.333383 75.822035) (xy 270.253881 75.703051) (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) - (xy 269.331448 75.21) (xy 269.063552 75.21) (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) - (xy 268.141119 75.703051) (xy 267.992284 75.925799) (xy 267.895432 76.159619) (xy 267.821939 76.145) (xy 267.618061 76.145) - (xy 267.418102 76.184774) (xy 267.229744 76.262795) (xy 267.060226 76.376063) (xy 266.916063 76.520226) (xy 266.802795 76.689744) - (xy 266.724774 76.878102) (xy 266.685 77.078061) (xy 266.685 77.281939) (xy 266.724774 77.481898) (xy 266.802795 77.670256) - (xy 266.916063 77.839774) (xy 267.060226 77.983937) (xy 267.229744 78.097205) (xy 267.418102 78.175226) (xy 267.618061 78.215) - (xy 267.821939 78.215) (xy 268.021898 78.175226) (xy 268.210256 78.097205) (xy 268.379774 77.983937) (xy 268.523937 77.839774) - (xy 268.564087 77.779685) (xy 268.800803 77.877736) (xy 269.063552 77.93) (xy 269.331448 77.93) (xy 269.594197 77.877736) - (xy 269.841701 77.775216) (xy 270.064449 77.626381) (xy 270.253881 77.436949) (xy 270.402716 77.214201) (xy 270.505236 76.966697) - (xy 270.5575 76.703948) (xy 270.5575 76.436052) (xy 270.524516 76.270232) (xy 270.669998 76.447502) (xy 270.858051 76.601833) - (xy 271.072599 76.716511) (xy 271.305398 76.78713) (xy 271.486835 76.805) (xy 272.308165 76.805) (xy 272.489602 76.78713) - (xy 272.62 76.747574) (xy 272.62 81.392426) (xy 272.489602 81.35287) (xy 272.308165 81.335) (xy 271.486835 81.335) - (xy 271.305398 81.35287) (xy 271.072599 81.423489) (xy 270.858051 81.538167) (xy 270.669998 81.692498) (xy 270.524516 81.869768) - (xy 270.5575 81.703948) (xy 270.5575 81.436052) (xy 270.505236 81.173303) (xy 270.402716 80.925799) (xy 270.253881 80.703051) - (xy 270.064449 80.513619) (xy 269.841701 80.364784) (xy 269.594197 80.262264) (xy 269.331448 80.21) (xy 269.063552 80.21) - (xy 268.800803 80.262264) (xy 268.553299 80.364784) (xy 268.330551 80.513619) (xy 268.141119 80.703051) (xy 267.992284 80.925799) - (xy 267.889764 81.173303) (xy 267.8375 81.436052) (xy 267.8375 81.703948) (xy 267.889764 81.966697) (xy 267.992284 82.214201) - (xy 268.141119 82.436949) (xy 268.330551 82.626381) (xy 268.553299 82.775216) (xy 268.800803 82.877736) (xy 269.063552 82.93) - (xy 269.331448 82.93) (xy 269.594197 82.877736) (xy 269.841701 82.775216) (xy 270.064449 82.626381) (xy 270.253881 82.436949) - (xy 270.333383 82.317965) (xy 270.33037 82.327898) (xy 270.306525 82.57) (xy 270.33037 82.812102) (xy 270.400989 83.044901) - (xy 270.515667 83.259449) (xy 270.669998 83.447502) (xy 270.858051 83.601833) (xy 271.072599 83.716511) (xy 271.305398 83.78713) - (xy 271.486835 83.805) (xy 272.308165 83.805) (xy 272.489602 83.78713) (xy 272.62 83.747574) (xy 272.62 93.473) - (xy 270.244313 93.473) (xy 270.235042 93.459125) (xy 269.880875 93.104958) (xy 269.464419 92.826691) (xy 269.001677 92.635017) - (xy 268.510434 92.537303) (xy 268.009566 92.537303) (xy 267.518323 92.635017) (xy 267.055581 92.826691) (xy 266.639125 93.104958) - (xy 266.284958 93.459125) (xy 266.275687 93.473) (xy 196.507272 93.473) (xy 196.690256 93.397205) (xy 196.859774 93.283937) - (xy 197.003937 93.139774) (xy 197.117205 92.970256) (xy 197.195226 92.781898) (xy 197.235 92.581939) (xy 197.235 92.378061) - (xy 197.195226 92.178102) (xy 197.117205 91.989744) (xy 197.003937 91.820226) (xy 196.859774 91.676063) (xy 196.690256 91.562795) - (xy 196.501898 91.484774) (xy 196.301939 91.445) (xy 196.098061 91.445) (xy 195.898102 91.484774) (xy 195.709744 91.562795) - (xy 195.540226 91.676063) (xy 195.396063 91.820226) (xy 195.282795 91.989744) (xy 195.204774 92.178102) (xy 195.165 92.378061) - (xy 195.165 92.581939) (xy 195.204774 92.781898) (xy 195.282795 92.970256) (xy 195.396063 93.139774) (xy 195.540226 93.283937) - (xy 195.709744 93.397205) (xy 195.892728 93.473) (xy 180.107272 93.473) (xy 180.290256 93.397205) (xy 180.459774 93.283937) - (xy 180.603937 93.139774) (xy 180.717205 92.970256) (xy 180.795226 92.781898) (xy 180.835 92.581939) (xy 180.835 92.378061) - (xy 180.795226 92.178102) (xy 180.717205 91.989744) (xy 180.603937 91.820226) (xy 180.459774 91.676063) (xy 180.290256 91.562795) - (xy 180.101898 91.484774) (xy 179.901939 91.445) (xy 179.698061 91.445) (xy 179.498102 91.484774) (xy 179.309744 91.562795) - (xy 179.140226 91.676063) (xy 178.996063 91.820226) (xy 178.882795 91.989744) (xy 178.804774 92.178102) (xy 178.765 92.378061) - (xy 178.765 92.581939) (xy 178.804774 92.781898) (xy 178.882795 92.970256) (xy 178.996063 93.139774) (xy 179.140226 93.283937) - (xy 179.309744 93.397205) (xy 179.492728 93.473) (xy 124.724353 93.473) (xy 124.795226 93.301898) (xy 124.835 93.101939) - (xy 124.835 92.898061) (xy 124.795226 92.698102) (xy 124.717205 92.509744) (xy 124.603937 92.340226) (xy 124.459774 92.196063) - (xy 124.290256 92.082795) (xy 124.101898 92.004774) (xy 123.901939 91.965) (xy 123.698061 91.965) (xy 123.498102 92.004774) - (xy 123.309744 92.082795) (xy 123.140226 92.196063) (xy 122.996063 92.340226) (xy 122.882795 92.509744) (xy 122.804774 92.698102) - (xy 122.765 92.898061) (xy 122.765 93.101939) (xy 122.804774 93.301898) (xy 122.875647 93.473) (xy 113.726856 93.473) - (xy 113.725069 91.898061) (xy 157.065 91.898061) (xy 157.065 92.101939) (xy 157.104774 92.301898) (xy 157.182795 92.490256) - (xy 157.296063 92.659774) (xy 157.440226 92.803937) (xy 157.609744 92.917205) (xy 157.798102 92.995226) (xy 157.998061 93.035) - (xy 158.201939 93.035) (xy 158.401898 92.995226) (xy 158.590256 92.917205) (xy 158.759774 92.803937) (xy 158.903937 92.659774) - (xy 159.017205 92.490256) (xy 159.095226 92.301898) (xy 159.135 92.101939) (xy 159.135 91.898061) (xy 159.095226 91.698102) - (xy 159.017205 91.509744) (xy 158.992695 91.473061) (xy 167.615 91.473061) (xy 167.615 91.676939) (xy 167.654774 91.876898) - (xy 167.732795 92.065256) (xy 167.846063 92.234774) (xy 167.990226 92.378937) (xy 168.159744 92.492205) (xy 168.348102 92.570226) - (xy 168.548061 92.61) (xy 168.751939 92.61) (xy 168.951898 92.570226) (xy 169.140256 92.492205) (xy 169.309774 92.378937) - (xy 169.453937 92.234774) (xy 169.567205 92.065256) (xy 169.645226 91.876898) (xy 169.685 91.676939) (xy 169.685 91.473061) - (xy 169.645226 91.273102) (xy 169.567205 91.084744) (xy 169.453937 90.915226) (xy 169.309774 90.771063) (xy 169.140256 90.657795) - (xy 168.951898 90.579774) (xy 168.751939 90.54) (xy 168.548061 90.54) (xy 168.348102 90.579774) (xy 168.159744 90.657795) - (xy 167.990226 90.771063) (xy 167.846063 90.915226) (xy 167.732795 91.084744) (xy 167.654774 91.273102) (xy 167.615 91.473061) - (xy 158.992695 91.473061) (xy 158.903937 91.340226) (xy 158.759774 91.196063) (xy 158.590256 91.082795) (xy 158.401898 91.004774) - (xy 158.201939 90.965) (xy 157.998061 90.965) (xy 157.798102 91.004774) (xy 157.609744 91.082795) (xy 157.440226 91.196063) - (xy 157.296063 91.340226) (xy 157.182795 91.509744) (xy 157.104774 91.698102) (xy 157.065 91.898061) (xy 113.725069 91.898061) - (xy 113.719938 87.378061) (xy 114.165 87.378061) (xy 114.165 87.581939) (xy 114.204774 87.781898) (xy 114.282795 87.970256) - (xy 114.396063 88.139774) (xy 114.540226 88.283937) (xy 114.709744 88.397205) (xy 114.849272 88.455) (xy 114.709744 88.512795) - (xy 114.540226 88.626063) (xy 114.396063 88.770226) (xy 114.282795 88.939744) (xy 114.204774 89.128102) (xy 114.165 89.328061) - (xy 114.165 89.531939) (xy 114.204774 89.731898) (xy 114.282795 89.920256) (xy 114.389532 90.08) (xy 114.282795 90.239744) - (xy 114.204774 90.428102) (xy 114.165 90.628061) (xy 114.165 90.831939) (xy 114.204774 91.031898) (xy 114.282795 91.220256) - (xy 114.396063 91.389774) (xy 114.540226 91.533937) (xy 114.709744 91.647205) (xy 114.898102 91.725226) (xy 115.098061 91.765) - (xy 115.301939 91.765) (xy 115.501898 91.725226) (xy 115.690256 91.647205) (xy 115.859774 91.533937) (xy 116.003937 91.389774) - (xy 116.117205 91.220256) (xy 116.195226 91.031898) (xy 116.235 90.831939) (xy 116.235 90.628061) (xy 116.195226 90.428102) - (xy 116.117205 90.239744) (xy 116.010468 90.08) (xy 116.117205 89.920256) (xy 116.195226 89.731898) (xy 116.235 89.531939) - (xy 116.235 89.328061) (xy 116.211628 89.210561) (xy 136.465 89.210561) (xy 136.465 89.414439) (xy 136.504774 89.614398) - (xy 136.582795 89.802756) (xy 136.696063 89.972274) (xy 136.840226 90.116437) (xy 137.009744 90.229705) (xy 137.198102 90.307726) - (xy 137.398061 90.3475) (xy 137.601939 90.3475) (xy 137.801898 90.307726) (xy 137.990256 90.229705) (xy 138.159774 90.116437) - (xy 138.303937 89.972274) (xy 138.320115 89.948061) (xy 144.265 89.948061) (xy 144.265 90.151939) (xy 144.304774 90.351898) - (xy 144.382795 90.540256) (xy 144.496063 90.709774) (xy 144.640226 90.853937) (xy 144.809744 90.967205) (xy 144.998102 91.045226) - (xy 145.198061 91.085) (xy 145.401939 91.085) (xy 145.601898 91.045226) (xy 145.790256 90.967205) (xy 145.959774 90.853937) - (xy 146.103937 90.709774) (xy 146.217205 90.540256) (xy 146.267017 90.419999) (xy 216.731928 90.419999) (xy 216.731928 92.120001) - (xy 216.748992 92.293255) (xy 216.799528 92.459851) (xy 216.881595 92.613387) (xy 216.992038 92.747962) (xy 217.126613 92.858405) - (xy 217.280149 92.940472) (xy 217.446745 92.991008) (xy 217.619999 93.008072) (xy 218.860001 93.008072) (xy 219.033255 92.991008) - (xy 219.199851 92.940472) (xy 219.353387 92.858405) (xy 219.487962 92.747962) (xy 219.598405 92.613387) (xy 219.656934 92.503886) - (xy 219.710655 92.569345) (xy 219.939822 92.757417) (xy 220.201276 92.897166) (xy 220.484969 92.983224) (xy 220.78 93.012282) - (xy 221.075032 92.983224) (xy 221.358725 92.897166) (xy 221.620179 92.757417) (xy 221.849345 92.569345) (xy 222.037417 92.340179) - (xy 222.05 92.316638) (xy 222.062583 92.340179) (xy 222.250655 92.569345) (xy 222.479822 92.757417) (xy 222.741276 92.897166) - (xy 223.024969 92.983224) (xy 223.32 93.012282) (xy 223.615032 92.983224) (xy 223.898725 92.897166) (xy 224.160179 92.757417) - (xy 224.389345 92.569345) (xy 224.577417 92.340179) (xy 224.717166 92.078724) (xy 224.803224 91.795031) (xy 224.825 91.573935) - (xy 224.825 90.966064) (xy 224.803224 90.744968) (xy 224.717166 90.461275) (xy 224.577417 90.199821) (xy 224.389345 89.970655) - (xy 224.160178 89.782583) (xy 223.898724 89.642834) (xy 223.615031 89.556776) (xy 223.32 89.527718) (xy 223.024968 89.556776) - (xy 222.741275 89.642834) (xy 222.479821 89.782583) (xy 222.250655 89.970655) (xy 222.062583 90.199822) (xy 222.05 90.223363) - (xy 222.037417 90.199821) (xy 221.849345 89.970655) (xy 221.620178 89.782583) (xy 221.358724 89.642834) (xy 221.075031 89.556776) - (xy 220.78 89.527718) (xy 220.484968 89.556776) (xy 220.201275 89.642834) (xy 219.939821 89.782583) (xy 219.710655 89.970655) - (xy 219.656935 90.036114) (xy 219.598405 89.926613) (xy 219.487962 89.792038) (xy 219.353387 89.681595) (xy 219.199851 89.599528) - (xy 219.033255 89.548992) (xy 218.860001 89.531928) (xy 217.619999 89.531928) (xy 217.446745 89.548992) (xy 217.280149 89.599528) - (xy 217.126613 89.681595) (xy 216.992038 89.792038) (xy 216.881595 89.926613) (xy 216.799528 90.080149) (xy 216.748992 90.246745) - (xy 216.731928 90.419999) (xy 146.267017 90.419999) (xy 146.295226 90.351898) (xy 146.335 90.151939) (xy 146.335 89.948061) - (xy 146.295226 89.748102) (xy 146.217205 89.559744) (xy 146.103937 89.390226) (xy 145.959774 89.246063) (xy 145.790256 89.132795) - (xy 145.700317 89.095541) (xy 145.701898 89.095226) (xy 145.890256 89.017205) (xy 146.059774 88.903937) (xy 146.203937 88.759774) - (xy 146.317205 88.590256) (xy 146.395226 88.401898) (xy 146.435 88.201939) (xy 146.435 87.998061) (xy 146.395226 87.798102) - (xy 146.317205 87.609744) (xy 146.203937 87.440226) (xy 146.059774 87.296063) (xy 145.890256 87.182795) (xy 145.701898 87.104774) - (xy 145.501939 87.065) (xy 145.298061 87.065) (xy 145.098102 87.104774) (xy 144.909744 87.182795) (xy 144.740226 87.296063) - (xy 144.596063 87.440226) (xy 144.482795 87.609744) (xy 144.404774 87.798102) (xy 144.365 87.998061) (xy 144.365 88.201939) - (xy 144.404774 88.401898) (xy 144.482795 88.590256) (xy 144.596063 88.759774) (xy 144.740226 88.903937) (xy 144.909744 89.017205) - (xy 144.999683 89.054459) (xy 144.998102 89.054774) (xy 144.809744 89.132795) (xy 144.640226 89.246063) (xy 144.496063 89.390226) - (xy 144.382795 89.559744) (xy 144.304774 89.748102) (xy 144.265 89.948061) (xy 138.320115 89.948061) (xy 138.417205 89.802756) - (xy 138.495226 89.614398) (xy 138.535 89.414439) (xy 138.535 89.210561) (xy 138.495226 89.010602) (xy 138.417205 88.822244) - (xy 138.303937 88.652726) (xy 138.159774 88.508563) (xy 137.990256 88.395295) (xy 137.801898 88.317274) (xy 137.601939 88.2775) - (xy 137.398061 88.2775) (xy 137.198102 88.317274) (xy 137.009744 88.395295) (xy 136.840226 88.508563) (xy 136.696063 88.652726) - (xy 136.582795 88.822244) (xy 136.504774 89.010602) (xy 136.465 89.210561) (xy 116.211628 89.210561) (xy 116.195226 89.128102) - (xy 116.117205 88.939744) (xy 116.003937 88.770226) (xy 115.859774 88.626063) (xy 115.690256 88.512795) (xy 115.550728 88.455) - (xy 115.690256 88.397205) (xy 115.859774 88.283937) (xy 116.003937 88.139774) (xy 116.117205 87.970256) (xy 116.147109 87.898061) - (xy 127.062842 87.898061) (xy 127.062842 88.101939) (xy 127.102616 88.301898) (xy 127.180637 88.490256) (xy 127.293905 88.659774) - (xy 127.438068 88.803937) (xy 127.607586 88.917205) (xy 127.795944 88.995226) (xy 127.995903 89.035) (xy 128.199781 89.035) - (xy 128.39974 88.995226) (xy 128.588098 88.917205) (xy 128.757616 88.803937) (xy 128.901779 88.659774) (xy 128.997842 88.516005) - (xy 129.093905 88.659774) (xy 129.238068 88.803937) (xy 129.407586 88.917205) (xy 129.595944 88.995226) (xy 129.795903 89.035) - (xy 129.999781 89.035) (xy 130.19974 88.995226) (xy 130.388098 88.917205) (xy 130.557616 88.803937) (xy 130.701779 88.659774) - (xy 130.797842 88.516005) (xy 130.893905 88.659774) (xy 131.038068 88.803937) (xy 131.207586 88.917205) (xy 131.395944 88.995226) - (xy 131.595903 89.035) (xy 131.799781 89.035) (xy 131.99974 88.995226) (xy 132.188098 88.917205) (xy 132.357616 88.803937) - (xy 132.501779 88.659774) (xy 132.554703 88.580567) (xy 132.617631 88.674746) (xy 132.761794 88.818909) (xy 132.931312 88.932177) - (xy 133.11967 89.010198) (xy 133.319629 89.049972) (xy 133.523507 89.049972) (xy 133.723466 89.010198) (xy 133.911824 88.932177) - (xy 134.081342 88.818909) (xy 134.225505 88.674746) (xy 134.338773 88.505228) (xy 134.416794 88.31687) (xy 134.456568 88.116911) - (xy 134.456568 87.913033) (xy 134.416794 87.713074) (xy 134.338773 87.524716) (xy 134.225505 87.355198) (xy 134.218368 87.348061) - (xy 138.265 87.348061) (xy 138.265 87.551939) (xy 138.304774 87.751898) (xy 138.382795 87.940256) (xy 138.496063 88.109774) - (xy 138.640226 88.253937) (xy 138.809744 88.367205) (xy 138.998102 88.445226) (xy 139.198061 88.485) (xy 139.401939 88.485) - (xy 139.601898 88.445226) (xy 139.790256 88.367205) (xy 139.959774 88.253937) (xy 140.103937 88.109774) (xy 140.217205 87.940256) - (xy 140.295226 87.751898) (xy 140.335 87.551939) (xy 140.335 87.348061) (xy 140.295226 87.148102) (xy 140.217205 86.959744) - (xy 140.103937 86.790226) (xy 140.011772 86.698061) (xy 147.165 86.698061) (xy 147.165 86.901939) (xy 147.204774 87.101898) - (xy 147.282795 87.290256) (xy 147.396063 87.459774) (xy 147.540226 87.603937) (xy 147.709744 87.717205) (xy 147.898102 87.795226) - (xy 148.098061 87.835) (xy 148.301939 87.835) (xy 148.501898 87.795226) (xy 148.690256 87.717205) (xy 148.859774 87.603937) - (xy 148.90565 87.558061) (xy 252.815 87.558061) (xy 252.815 87.761939) (xy 252.854774 87.961898) (xy 252.932795 88.150256) - (xy 253.046063 88.319774) (xy 253.190226 88.463937) (xy 253.359744 88.577205) (xy 253.548102 88.655226) (xy 253.748061 88.695) - (xy 253.951939 88.695) (xy 254.151898 88.655226) (xy 254.340256 88.577205) (xy 254.509774 88.463937) (xy 254.653937 88.319774) - (xy 254.767205 88.150256) (xy 254.845226 87.961898) (xy 254.873836 87.818061) (xy 254.975 87.818061) (xy 254.975 88.021939) - (xy 255.014774 88.221898) (xy 255.092795 88.410256) (xy 255.206063 88.579774) (xy 255.350226 88.723937) (xy 255.519744 88.837205) - (xy 255.708102 88.915226) (xy 255.908061 88.955) (xy 256.111939 88.955) (xy 256.311898 88.915226) (xy 256.500256 88.837205) - (xy 256.669774 88.723937) (xy 256.813937 88.579774) (xy 256.927205 88.410256) (xy 257.005226 88.221898) (xy 257.045 88.021939) - (xy 257.045 87.818061) (xy 257.005226 87.618102) (xy 256.927205 87.429744) (xy 256.813937 87.260226) (xy 256.669774 87.116063) - (xy 256.500256 87.002795) (xy 256.311898 86.924774) (xy 256.111939 86.885) (xy 255.908061 86.885) (xy 255.708102 86.924774) - (xy 255.519744 87.002795) (xy 255.350226 87.116063) (xy 255.206063 87.260226) (xy 255.092795 87.429744) (xy 255.014774 87.618102) - (xy 254.975 87.818061) (xy 254.873836 87.818061) (xy 254.885 87.761939) (xy 254.885 87.558061) (xy 254.845226 87.358102) - (xy 254.767205 87.169744) (xy 254.653937 87.000226) (xy 254.509774 86.856063) (xy 254.340256 86.742795) (xy 254.151898 86.664774) - (xy 253.951939 86.625) (xy 253.748061 86.625) (xy 253.548102 86.664774) (xy 253.359744 86.742795) (xy 253.190226 86.856063) - (xy 253.046063 87.000226) (xy 252.932795 87.169744) (xy 252.854774 87.358102) (xy 252.815 87.558061) (xy 148.90565 87.558061) - (xy 149.003937 87.459774) (xy 149.117205 87.290256) (xy 149.195226 87.101898) (xy 149.235 86.901939) (xy 149.235 86.698061) - (xy 149.195226 86.498102) (xy 149.117205 86.309744) (xy 149.003937 86.140226) (xy 148.859774 85.996063) (xy 148.690256 85.882795) - (xy 148.501898 85.804774) (xy 148.301939 85.765) (xy 148.098061 85.765) (xy 147.898102 85.804774) (xy 147.709744 85.882795) - (xy 147.540226 85.996063) (xy 147.396063 86.140226) (xy 147.282795 86.309744) (xy 147.204774 86.498102) (xy 147.165 86.698061) - (xy 140.011772 86.698061) (xy 139.959774 86.646063) (xy 139.790256 86.532795) (xy 139.601898 86.454774) (xy 139.401939 86.415) - (xy 139.198061 86.415) (xy 138.998102 86.454774) (xy 138.809744 86.532795) (xy 138.640226 86.646063) (xy 138.496063 86.790226) - (xy 138.382795 86.959744) (xy 138.304774 87.148102) (xy 138.265 87.348061) (xy 134.218368 87.348061) (xy 134.081342 87.211035) - (xy 133.911824 87.097767) (xy 133.723466 87.019746) (xy 133.523507 86.979972) (xy 133.319629 86.979972) (xy 133.11967 87.019746) - (xy 132.931312 87.097767) (xy 132.761794 87.211035) (xy 132.617631 87.355198) (xy 132.564707 87.434405) (xy 132.501779 87.340226) - (xy 132.357616 87.196063) (xy 132.188098 87.082795) (xy 131.99974 87.004774) (xy 131.799781 86.965) (xy 131.595903 86.965) - (xy 131.395944 87.004774) (xy 131.207586 87.082795) (xy 131.038068 87.196063) (xy 130.893905 87.340226) (xy 130.797842 87.483995) - (xy 130.701779 87.340226) (xy 130.557616 87.196063) (xy 130.388098 87.082795) (xy 130.19974 87.004774) (xy 129.999781 86.965) - (xy 129.795903 86.965) (xy 129.595944 87.004774) (xy 129.407586 87.082795) (xy 129.238068 87.196063) (xy 129.093905 87.340226) - (xy 128.997842 87.483995) (xy 128.901779 87.340226) (xy 128.757616 87.196063) (xy 128.588098 87.082795) (xy 128.39974 87.004774) - (xy 128.199781 86.965) (xy 127.995903 86.965) (xy 127.795944 87.004774) (xy 127.607586 87.082795) (xy 127.438068 87.196063) - (xy 127.293905 87.340226) (xy 127.180637 87.509744) (xy 127.102616 87.698102) (xy 127.062842 87.898061) (xy 116.147109 87.898061) - (xy 116.195226 87.781898) (xy 116.235 87.581939) (xy 116.235 87.378061) (xy 116.195226 87.178102) (xy 116.117205 86.989744) - (xy 116.003937 86.820226) (xy 115.859774 86.676063) (xy 115.690256 86.562795) (xy 115.501898 86.484774) (xy 115.301939 86.445) - (xy 115.098061 86.445) (xy 114.898102 86.484774) (xy 114.709744 86.562795) (xy 114.540226 86.676063) (xy 114.396063 86.820226) - (xy 114.282795 86.989744) (xy 114.204774 87.178102) (xy 114.165 87.378061) (xy 113.719938 87.378061) (xy 113.716874 84.678061) - (xy 116.165 84.678061) (xy 116.165 84.881939) (xy 116.204774 85.081898) (xy 116.282795 85.270256) (xy 116.396063 85.439774) - (xy 116.540226 85.583937) (xy 116.709744 85.697205) (xy 116.898102 85.775226) (xy 117.098061 85.815) (xy 117.301939 85.815) - (xy 117.501898 85.775226) (xy 117.690256 85.697205) (xy 117.859774 85.583937) (xy 118.003937 85.439774) (xy 118.117205 85.270256) - (xy 118.195226 85.081898) (xy 118.235 84.881939) (xy 118.235 84.678061) (xy 118.195226 84.478102) (xy 118.117205 84.289744) - (xy 118.003937 84.120226) (xy 117.859774 83.976063) (xy 117.690256 83.862795) (xy 117.655074 83.848222) (xy 181.165161 83.848222) - (xy 181.165161 84.0521) (xy 181.204935 84.252059) (xy 181.282956 84.440417) (xy 181.396224 84.609935) (xy 181.540387 84.754098) - (xy 181.709905 84.867366) (xy 181.898263 84.945387) (xy 182.098222 84.985161) (xy 182.3021 84.985161) (xy 182.502059 84.945387) - (xy 182.690417 84.867366) (xy 182.859935 84.754098) (xy 183.004098 84.609935) (xy 183.117366 84.440417) (xy 183.127657 84.415572) - (xy 183.154774 84.551898) (xy 183.232795 84.740256) (xy 183.346063 84.909774) (xy 183.490226 85.053937) (xy 183.659744 85.167205) - (xy 183.848102 85.245226) (xy 184.048061 85.285) (xy 184.251939 85.285) (xy 184.451898 85.245226) (xy 184.640256 85.167205) - (xy 184.809774 85.053937) (xy 184.953937 84.909774) (xy 185.067205 84.740256) (xy 185.106552 84.645263) (xy 185.140226 84.678937) - (xy 185.309744 84.792205) (xy 185.498102 84.870226) (xy 185.698061 84.91) (xy 185.901939 84.91) (xy 186.101898 84.870226) - (xy 186.290256 84.792205) (xy 186.459774 84.678937) (xy 186.603937 84.534774) (xy 186.717205 84.365256) (xy 186.795226 84.176898) - (xy 186.807157 84.116918) (xy 186.898061 84.135) (xy 187.101939 84.135) (xy 187.301898 84.095226) (xy 187.490256 84.017205) - (xy 187.659774 83.903937) (xy 187.803937 83.759774) (xy 187.917205 83.590256) (xy 187.995226 83.401898) (xy 188.035 83.201939) - (xy 188.035 82.998061) (xy 187.995226 82.798102) (xy 187.924793 82.628061) (xy 235.415 82.628061) (xy 235.415 82.831939) - (xy 235.454774 83.031898) (xy 235.532795 83.220256) (xy 235.646063 83.389774) (xy 235.790226 83.533937) (xy 235.959744 83.647205) - (xy 236.148102 83.725226) (xy 236.348061 83.765) (xy 236.551939 83.765) (xy 236.751898 83.725226) (xy 236.940256 83.647205) - (xy 237.109774 83.533937) (xy 237.253937 83.389774) (xy 237.367205 83.220256) (xy 237.445226 83.031898) (xy 237.485 82.831939) - (xy 237.485 82.628061) (xy 251.865 82.628061) (xy 251.865 82.831939) (xy 251.904774 83.031898) (xy 251.982795 83.220256) - (xy 252.096063 83.389774) (xy 252.240226 83.533937) (xy 252.409744 83.647205) (xy 252.598102 83.725226) (xy 252.798061 83.765) - (xy 253.001939 83.765) (xy 253.201898 83.725226) (xy 253.390256 83.647205) (xy 253.559774 83.533937) (xy 253.703937 83.389774) - (xy 253.817205 83.220256) (xy 253.895226 83.031898) (xy 253.935 82.831939) (xy 253.935 82.628061) (xy 253.895226 82.428102) - (xy 253.817205 82.239744) (xy 253.703937 82.070226) (xy 253.559774 81.926063) (xy 253.390256 81.812795) (xy 253.201898 81.734774) - (xy 253.001939 81.695) (xy 252.798061 81.695) (xy 252.598102 81.734774) (xy 252.409744 81.812795) (xy 252.240226 81.926063) - (xy 252.096063 82.070226) (xy 251.982795 82.239744) (xy 251.904774 82.428102) (xy 251.865 82.628061) (xy 237.485 82.628061) - (xy 237.445226 82.428102) (xy 237.367205 82.239744) (xy 237.253937 82.070226) (xy 237.109774 81.926063) (xy 236.940256 81.812795) - (xy 236.751898 81.734774) (xy 236.551939 81.695) (xy 236.348061 81.695) (xy 236.148102 81.734774) (xy 235.959744 81.812795) - (xy 235.790226 81.926063) (xy 235.646063 82.070226) (xy 235.532795 82.239744) (xy 235.454774 82.428102) (xy 235.415 82.628061) - (xy 187.924793 82.628061) (xy 187.917205 82.609744) (xy 187.803937 82.440226) (xy 187.659774 82.296063) (xy 187.490256 82.182795) - (xy 187.301898 82.104774) (xy 187.101939 82.065) (xy 186.898061 82.065) (xy 186.698102 82.104774) (xy 186.509744 82.182795) - (xy 186.340226 82.296063) (xy 186.196063 82.440226) (xy 186.082795 82.609744) (xy 186.004774 82.798102) (xy 185.992843 82.858082) - (xy 185.901939 82.84) (xy 185.698061 82.84) (xy 185.498102 82.879774) (xy 185.309744 82.957795) (xy 185.140226 83.071063) - (xy 184.996063 83.215226) (xy 184.882795 83.384744) (xy 184.843448 83.479737) (xy 184.809774 83.446063) (xy 184.640256 83.332795) - (xy 184.451898 83.254774) (xy 184.251939 83.215) (xy 184.189799 83.215) (xy 184.195226 83.201898) (xy 184.235 83.001939) - (xy 184.235 82.798061) (xy 184.195226 82.598102) (xy 184.117205 82.409744) (xy 184.003937 82.240226) (xy 183.859774 82.096063) - (xy 183.690256 81.982795) (xy 183.501898 81.904774) (xy 183.301939 81.865) (xy 183.098061 81.865) (xy 182.898102 81.904774) - (xy 182.709744 81.982795) (xy 182.540226 82.096063) (xy 182.396063 82.240226) (xy 182.282795 82.409744) (xy 182.204774 82.598102) - (xy 182.165 82.798061) (xy 182.165 82.915161) (xy 182.098222 82.915161) (xy 181.898263 82.954935) (xy 181.709905 83.032956) - (xy 181.540387 83.146224) (xy 181.396224 83.290387) (xy 181.282956 83.459905) (xy 181.204935 83.648263) (xy 181.165161 83.848222) - (xy 117.655074 83.848222) (xy 117.501898 83.784774) (xy 117.301939 83.745) (xy 117.098061 83.745) (xy 116.898102 83.784774) - (xy 116.709744 83.862795) (xy 116.540226 83.976063) (xy 116.396063 84.120226) (xy 116.282795 84.289744) (xy 116.204774 84.478102) - (xy 116.165 84.678061) (xy 113.716874 84.678061) (xy 113.713435 81.648061) (xy 123.6775 81.648061) (xy 123.6775 81.851939) - (xy 123.717274 82.051898) (xy 123.795295 82.240256) (xy 123.908563 82.409774) (xy 124.052726 82.553937) (xy 124.222244 82.667205) - (xy 124.410602 82.745226) (xy 124.610561 82.785) (xy 124.814439 82.785) (xy 125.014398 82.745226) (xy 125.202756 82.667205) - (xy 125.372274 82.553937) (xy 125.516437 82.409774) (xy 125.540967 82.373061) (xy 139.34 82.373061) (xy 139.34 82.576939) - (xy 139.379774 82.776898) (xy 139.457795 82.965256) (xy 139.571063 83.134774) (xy 139.715226 83.278937) (xy 139.884744 83.392205) - (xy 140.073102 83.470226) (xy 140.273061 83.51) (xy 140.476939 83.51) (xy 140.676898 83.470226) (xy 140.865256 83.392205) - (xy 141.034774 83.278937) (xy 141.178937 83.134774) (xy 141.292205 82.965256) (xy 141.370226 82.776898) (xy 141.41 82.576939) - (xy 141.41 82.373061) (xy 141.370226 82.173102) (xy 141.292205 81.984744) (xy 141.178937 81.815226) (xy 141.034774 81.671063) - (xy 140.865256 81.557795) (xy 140.676898 81.479774) (xy 140.476939 81.44) (xy 140.273061 81.44) (xy 140.073102 81.479774) - (xy 139.884744 81.557795) (xy 139.715226 81.671063) (xy 139.571063 81.815226) (xy 139.457795 81.984744) (xy 139.379774 82.173102) - (xy 139.34 82.373061) (xy 125.540967 82.373061) (xy 125.629705 82.240256) (xy 125.707726 82.051898) (xy 125.7475 81.851939) - (xy 125.7475 81.648061) (xy 125.707726 81.448102) (xy 125.629705 81.259744) (xy 125.516437 81.090226) (xy 125.372274 80.946063) - (xy 125.202756 80.832795) (xy 125.014398 80.754774) (xy 124.814439 80.715) (xy 124.610561 80.715) (xy 124.410602 80.754774) - (xy 124.222244 80.832795) (xy 124.052726 80.946063) (xy 123.908563 81.090226) (xy 123.795295 81.259744) (xy 123.717274 81.448102) - (xy 123.6775 81.648061) (xy 113.713435 81.648061) (xy 113.712216 80.573061) (xy 125.515 80.573061) (xy 125.515 80.776939) - (xy 125.554774 80.976898) (xy 125.632795 81.165256) (xy 125.746063 81.334774) (xy 125.890226 81.478937) (xy 126.059744 81.592205) - (xy 126.248102 81.670226) (xy 126.448061 81.71) (xy 126.651939 81.71) (xy 126.851898 81.670226) (xy 127.040256 81.592205) - (xy 127.209774 81.478937) (xy 127.353937 81.334774) (xy 127.467205 81.165256) (xy 127.545226 80.976898) (xy 127.585 80.776939) - (xy 127.585 80.573061) (xy 127.545226 80.373102) (xy 127.467205 80.184744) (xy 127.353937 80.015226) (xy 127.209774 79.871063) - (xy 127.040256 79.757795) (xy 126.851898 79.679774) (xy 126.651939 79.64) (xy 126.448061 79.64) (xy 126.248102 79.679774) - (xy 126.059744 79.757795) (xy 125.890226 79.871063) (xy 125.746063 80.015226) (xy 125.632795 80.184744) (xy 125.554774 80.373102) - (xy 125.515 80.573061) (xy 113.712216 80.573061) (xy 113.710144 78.748061) (xy 123.89 78.748061) (xy 123.89 78.951939) - (xy 123.929774 79.151898) (xy 124.007795 79.340256) (xy 124.121063 79.509774) (xy 124.265226 79.653937) (xy 124.434744 79.767205) - (xy 124.623102 79.845226) (xy 124.823061 79.885) (xy 125.026939 79.885) (xy 125.226898 79.845226) (xy 125.415256 79.767205) - (xy 125.584774 79.653937) (xy 125.70065 79.538061) (xy 131.765 79.538061) (xy 131.765 79.741939) (xy 131.804774 79.941898) - (xy 131.882795 80.130256) (xy 131.996063 80.299774) (xy 132.140226 80.443937) (xy 132.309744 80.557205) (xy 132.498102 80.635226) - (xy 132.698061 80.675) (xy 132.901939 80.675) (xy 133.101898 80.635226) (xy 133.290256 80.557205) (xy 133.459774 80.443937) - (xy 133.603937 80.299774) (xy 133.717205 80.130256) (xy 133.795226 79.941898) (xy 133.835 79.741939) (xy 133.835 79.648061) - (xy 154.3225 79.648061) (xy 154.3225 79.851939) (xy 154.362274 80.051898) (xy 154.440295 80.240256) (xy 154.553563 80.409774) - (xy 154.697726 80.553937) (xy 154.867244 80.667205) (xy 155.055602 80.745226) (xy 155.255561 80.785) (xy 155.459439 80.785) - (xy 155.659398 80.745226) (xy 155.847756 80.667205) (xy 156.017274 80.553937) (xy 156.161437 80.409774) (xy 156.274705 80.240256) - (xy 156.352726 80.051898) (xy 156.3925 79.851939) (xy 156.3925 79.648061) (xy 156.352726 79.448102) (xy 156.274705 79.259744) - (xy 156.161437 79.090226) (xy 156.017274 78.946063) (xy 155.847756 78.832795) (xy 155.659398 78.754774) (xy 155.459439 78.715) - (xy 155.255561 78.715) (xy 155.055602 78.754774) (xy 154.867244 78.832795) (xy 154.697726 78.946063) (xy 154.553563 79.090226) - (xy 154.440295 79.259744) (xy 154.362274 79.448102) (xy 154.3225 79.648061) (xy 133.835 79.648061) (xy 133.835 79.538061) - (xy 133.795226 79.338102) (xy 133.717205 79.149744) (xy 133.603937 78.980226) (xy 133.459774 78.836063) (xy 133.290256 78.722795) - (xy 133.101898 78.644774) (xy 132.901939 78.605) (xy 132.698061 78.605) (xy 132.498102 78.644774) (xy 132.309744 78.722795) - (xy 132.140226 78.836063) (xy 131.996063 78.980226) (xy 131.882795 79.149744) (xy 131.804774 79.338102) (xy 131.765 79.538061) - (xy 125.70065 79.538061) (xy 125.728937 79.509774) (xy 125.842205 79.340256) (xy 125.920226 79.151898) (xy 125.96 78.951939) - (xy 125.96 78.748061) (xy 125.920226 78.548102) (xy 125.842205 78.359744) (xy 125.728937 78.190226) (xy 125.584774 78.046063) - (xy 125.415256 77.932795) (xy 125.226898 77.854774) (xy 125.026939 77.815) (xy 124.823061 77.815) (xy 124.623102 77.854774) - (xy 124.434744 77.932795) (xy 124.265226 78.046063) (xy 124.121063 78.190226) (xy 124.007795 78.359744) (xy 123.929774 78.548102) - (xy 123.89 78.748061) (xy 113.710144 78.748061) (xy 113.706796 75.798061) (xy 124.03133 75.798061) (xy 124.03133 76.001939) - (xy 124.071104 76.201898) (xy 124.149125 76.390256) (xy 124.262393 76.559774) (xy 124.406556 76.703937) (xy 124.576074 76.817205) - (xy 124.764432 76.895226) (xy 124.964391 76.935) (xy 125.168269 76.935) (xy 125.368228 76.895226) (xy 125.556586 76.817205) - (xy 125.577754 76.803061) (xy 125.94 76.803061) (xy 125.94 77.006939) (xy 125.979774 77.206898) (xy 126.057795 77.395256) - (xy 126.171063 77.564774) (xy 126.315226 77.708937) (xy 126.484744 77.822205) (xy 126.673102 77.900226) (xy 126.873061 77.94) - (xy 127.076939 77.94) (xy 127.276898 77.900226) (xy 127.465256 77.822205) (xy 127.634774 77.708937) (xy 127.778937 77.564774) - (xy 127.892205 77.395256) (xy 127.970226 77.206898) (xy 127.988891 77.113061) (xy 130.065 77.113061) (xy 130.065 77.316939) - (xy 130.104774 77.516898) (xy 130.182795 77.705256) (xy 130.296063 77.874774) (xy 130.440226 78.018937) (xy 130.609744 78.132205) - (xy 130.798102 78.210226) (xy 130.998061 78.25) (xy 131.201939 78.25) (xy 131.401898 78.210226) (xy 131.590256 78.132205) - (xy 131.759774 78.018937) (xy 131.903937 77.874774) (xy 132.017205 77.705256) (xy 132.060804 77.6) (xy 132.101939 77.6) - (xy 132.301898 77.560226) (xy 132.490256 77.482205) (xy 132.659774 77.368937) (xy 132.67276 77.355951) (xy 132.704774 77.516898) - (xy 132.782795 77.705256) (xy 132.896063 77.874774) (xy 133.040226 78.018937) (xy 133.209744 78.132205) (xy 133.398102 78.210226) - (xy 133.598061 78.25) (xy 133.801939 78.25) (xy 134.001898 78.210226) (xy 134.190256 78.132205) (xy 134.271288 78.078061) - (xy 167.3225 78.078061) (xy 167.3225 78.281939) (xy 167.362274 78.481898) (xy 167.440295 78.670256) (xy 167.553563 78.839774) - (xy 167.678789 78.965) (xy 167.553563 79.090226) (xy 167.440295 79.259744) (xy 167.362274 79.448102) (xy 167.3225 79.648061) - (xy 167.3225 79.851939) (xy 167.362274 80.051898) (xy 167.440295 80.240256) (xy 167.553563 80.409774) (xy 167.697726 80.553937) - (xy 167.867244 80.667205) (xy 168.055602 80.745226) (xy 168.255561 80.785) (xy 168.459439 80.785) (xy 168.659398 80.745226) - (xy 168.847756 80.667205) (xy 169.017274 80.553937) (xy 169.161437 80.409774) (xy 169.274705 80.240256) (xy 169.352726 80.051898) - (xy 169.3925 79.851939) (xy 169.3925 79.648061) (xy 178.8225 79.648061) (xy 178.8225 79.851939) (xy 178.862274 80.051898) - (xy 178.940295 80.240256) (xy 179.053563 80.409774) (xy 179.197726 80.553937) (xy 179.367244 80.667205) (xy 179.555602 80.745226) - (xy 179.755561 80.785) (xy 179.959439 80.785) (xy 180.159398 80.745226) (xy 180.347756 80.667205) (xy 180.517274 80.553937) - (xy 180.661437 80.409774) (xy 180.774705 80.240256) (xy 180.852726 80.051898) (xy 180.8925 79.851939) (xy 180.8925 79.648061) - (xy 180.852726 79.448102) (xy 180.774705 79.259744) (xy 180.661437 79.090226) (xy 180.517274 78.946063) (xy 180.347756 78.832795) - (xy 180.159398 78.754774) (xy 179.959439 78.715) (xy 179.755561 78.715) (xy 179.555602 78.754774) (xy 179.367244 78.832795) - (xy 179.197726 78.946063) (xy 179.053563 79.090226) (xy 178.940295 79.259744) (xy 178.862274 79.448102) (xy 178.8225 79.648061) - (xy 169.3925 79.648061) (xy 169.352726 79.448102) (xy 169.274705 79.259744) (xy 169.161437 79.090226) (xy 169.036211 78.965) - (xy 169.161437 78.839774) (xy 169.256126 78.698061) (xy 182.565 78.698061) (xy 182.565 78.901939) (xy 182.604774 79.101898) - (xy 182.682795 79.290256) (xy 182.796063 79.459774) (xy 182.940226 79.603937) (xy 183.109744 79.717205) (xy 183.298102 79.795226) - (xy 183.491196 79.833634) (xy 183.504774 79.901898) (xy 183.582795 80.090256) (xy 183.696063 80.259774) (xy 183.840226 80.403937) - (xy 184.009744 80.517205) (xy 184.198102 80.595226) (xy 184.398061 80.635) (xy 184.601939 80.635) (xy 184.801898 80.595226) - (xy 184.972526 80.524549) (xy 184.996063 80.559774) (xy 185.140226 80.703937) (xy 185.309744 80.817205) (xy 185.498102 80.895226) - (xy 185.698061 80.935) (xy 185.901939 80.935) (xy 186.101898 80.895226) (xy 186.290256 80.817205) (xy 186.459774 80.703937) - (xy 186.603937 80.559774) (xy 186.717205 80.390256) (xy 186.795226 80.201898) (xy 186.835 80.001939) (xy 186.835 79.798061) - (xy 186.805164 79.648061) (xy 193.8225 79.648061) (xy 193.8225 79.851939) (xy 193.862274 80.051898) (xy 193.940295 80.240256) - (xy 194.053563 80.409774) (xy 194.197726 80.553937) (xy 194.367244 80.667205) (xy 194.555602 80.745226) (xy 194.755561 80.785) - (xy 194.959439 80.785) (xy 195.159398 80.745226) (xy 195.347756 80.667205) (xy 195.517274 80.553937) (xy 195.661437 80.409774) - (xy 195.774705 80.240256) (xy 195.852726 80.051898) (xy 195.8925 79.851939) (xy 195.8925 79.648061) (xy 195.852726 79.448102) - (xy 195.774705 79.259744) (xy 195.77024 79.253061) (xy 261.605 79.253061) (xy 261.605 79.456939) (xy 261.644774 79.656898) - (xy 261.722795 79.845256) (xy 261.836063 80.014774) (xy 261.980226 80.158937) (xy 262.149744 80.272205) (xy 262.338102 80.350226) - (xy 262.538061 80.39) (xy 262.741939 80.39) (xy 262.941898 80.350226) (xy 263.130256 80.272205) (xy 263.299774 80.158937) - (xy 263.443937 80.014774) (xy 263.557205 79.845256) (xy 263.635226 79.656898) (xy 263.675 79.456939) (xy 263.675 79.253061) - (xy 266.695 79.253061) (xy 266.695 79.456939) (xy 266.734774 79.656898) (xy 266.812795 79.845256) (xy 266.926063 80.014774) - (xy 267.070226 80.158937) (xy 267.239744 80.272205) (xy 267.428102 80.350226) (xy 267.628061 80.39) (xy 267.831939 80.39) - (xy 268.031898 80.350226) (xy 268.220256 80.272205) (xy 268.389774 80.158937) (xy 268.533937 80.014774) (xy 268.647205 79.845256) - (xy 268.725226 79.656898) (xy 268.765 79.456939) (xy 268.765 79.253061) (xy 268.725226 79.053102) (xy 268.647205 78.864744) - (xy 268.533937 78.695226) (xy 268.389774 78.551063) (xy 268.220256 78.437795) (xy 268.031898 78.359774) (xy 267.831939 78.32) - (xy 267.628061 78.32) (xy 267.428102 78.359774) (xy 267.239744 78.437795) (xy 267.070226 78.551063) (xy 266.926063 78.695226) - (xy 266.812795 78.864744) (xy 266.734774 79.053102) (xy 266.695 79.253061) (xy 263.675 79.253061) (xy 263.635226 79.053102) - (xy 263.557205 78.864744) (xy 263.443937 78.695226) (xy 263.299774 78.551063) (xy 263.130256 78.437795) (xy 262.941898 78.359774) - (xy 262.741939 78.32) (xy 262.538061 78.32) (xy 262.338102 78.359774) (xy 262.149744 78.437795) (xy 261.980226 78.551063) - (xy 261.836063 78.695226) (xy 261.722795 78.864744) (xy 261.644774 79.053102) (xy 261.605 79.253061) (xy 195.77024 79.253061) - (xy 195.661437 79.090226) (xy 195.517274 78.946063) (xy 195.347756 78.832795) (xy 195.159398 78.754774) (xy 194.959439 78.715) - (xy 194.755561 78.715) (xy 194.555602 78.754774) (xy 194.367244 78.832795) (xy 194.197726 78.946063) (xy 194.053563 79.090226) - (xy 193.940295 79.259744) (xy 193.862274 79.448102) (xy 193.8225 79.648061) (xy 186.805164 79.648061) (xy 186.795226 79.598102) - (xy 186.717205 79.409744) (xy 186.603937 79.240226) (xy 186.459774 79.096063) (xy 186.290256 78.982795) (xy 186.101898 78.904774) - (xy 185.901939 78.865) (xy 185.698061 78.865) (xy 185.498102 78.904774) (xy 185.327474 78.975451) (xy 185.303937 78.940226) - (xy 185.159774 78.796063) (xy 184.990256 78.682795) (xy 184.801898 78.604774) (xy 184.608804 78.566366) (xy 184.595226 78.498102) - (xy 184.517205 78.309744) (xy 184.403937 78.140226) (xy 184.259774 77.996063) (xy 184.090256 77.882795) (xy 183.901898 77.804774) - (xy 183.701939 77.765) (xy 183.498061 77.765) (xy 183.298102 77.804774) (xy 183.109744 77.882795) (xy 182.940226 77.996063) - (xy 182.796063 78.140226) (xy 182.682795 78.309744) (xy 182.604774 78.498102) (xy 182.565 78.698061) (xy 169.256126 78.698061) - (xy 169.274705 78.670256) (xy 169.352726 78.481898) (xy 169.3925 78.281939) (xy 169.3925 78.078061) (xy 169.352726 77.878102) - (xy 169.274705 77.689744) (xy 169.161437 77.520226) (xy 169.017274 77.376063) (xy 168.847756 77.262795) (xy 168.659398 77.184774) - (xy 168.459439 77.145) (xy 168.255561 77.145) (xy 168.055602 77.184774) (xy 167.867244 77.262795) (xy 167.697726 77.376063) - (xy 167.553563 77.520226) (xy 167.440295 77.689744) (xy 167.362274 77.878102) (xy 167.3225 78.078061) (xy 134.271288 78.078061) - (xy 134.359774 78.018937) (xy 134.503937 77.874774) (xy 134.617205 77.705256) (xy 134.695226 77.516898) (xy 134.735 77.316939) - (xy 134.735 77.113061) (xy 134.695226 76.913102) (xy 134.617205 76.724744) (xy 134.503937 76.555226) (xy 134.359774 76.411063) - (xy 134.190256 76.297795) (xy 134.001898 76.219774) (xy 133.801939 76.18) (xy 133.598061 76.18) (xy 133.398102 76.219774) - (xy 133.209744 76.297795) (xy 133.040226 76.411063) (xy 133.02724 76.424049) (xy 132.995226 76.263102) (xy 132.917205 76.074744) - (xy 132.803937 75.905226) (xy 132.659774 75.761063) (xy 132.490256 75.647795) (xy 132.370189 75.598061) (xy 136.93 75.598061) - (xy 136.93 75.801939) (xy 136.969774 76.001898) (xy 137.047795 76.190256) (xy 137.161063 76.359774) (xy 137.305226 76.503937) - (xy 137.474744 76.617205) (xy 137.663102 76.695226) (xy 137.863061 76.735) (xy 138.066939 76.735) (xy 138.266898 76.695226) - (xy 138.455256 76.617205) (xy 138.624774 76.503937) (xy 138.768937 76.359774) (xy 138.882205 76.190256) (xy 138.960226 76.001898) - (xy 139 75.801939) (xy 139 75.598061) (xy 138.960226 75.398102) (xy 138.882205 75.209744) (xy 138.768937 75.040226) - (xy 138.624774 74.896063) (xy 138.455256 74.782795) (xy 138.266898 74.704774) (xy 138.066939 74.665) (xy 137.863061 74.665) - (xy 137.663102 74.704774) (xy 137.474744 74.782795) (xy 137.305226 74.896063) (xy 137.161063 75.040226) (xy 137.047795 75.209744) - (xy 136.969774 75.398102) (xy 136.93 75.598061) (xy 132.370189 75.598061) (xy 132.301898 75.569774) (xy 132.101939 75.53) - (xy 131.898061 75.53) (xy 131.698102 75.569774) (xy 131.509744 75.647795) (xy 131.340226 75.761063) (xy 131.196063 75.905226) - (xy 131.082795 76.074744) (xy 131.039196 76.18) (xy 130.998061 76.18) (xy 130.798102 76.219774) (xy 130.609744 76.297795) - (xy 130.440226 76.411063) (xy 130.296063 76.555226) (xy 130.182795 76.724744) (xy 130.104774 76.913102) (xy 130.065 77.113061) - (xy 127.988891 77.113061) (xy 128.01 77.006939) (xy 128.01 76.803061) (xy 127.970226 76.603102) (xy 127.892205 76.414744) - (xy 127.778937 76.245226) (xy 127.634774 76.101063) (xy 127.465256 75.987795) (xy 127.276898 75.909774) (xy 127.076939 75.87) - (xy 126.873061 75.87) (xy 126.673102 75.909774) (xy 126.484744 75.987795) (xy 126.315226 76.101063) (xy 126.171063 76.245226) - (xy 126.057795 76.414744) (xy 125.979774 76.603102) (xy 125.94 76.803061) (xy 125.577754 76.803061) (xy 125.726104 76.703937) - (xy 125.870267 76.559774) (xy 125.983535 76.390256) (xy 126.061556 76.201898) (xy 126.10133 76.001939) (xy 126.10133 75.798061) - (xy 126.061556 75.598102) (xy 125.983535 75.409744) (xy 125.870267 75.240226) (xy 125.726104 75.096063) (xy 125.556586 74.982795) - (xy 125.368228 74.904774) (xy 125.168269 74.865) (xy 124.964391 74.865) (xy 124.764432 74.904774) (xy 124.576074 74.982795) - (xy 124.406556 75.096063) (xy 124.262393 75.240226) (xy 124.149125 75.409744) (xy 124.071104 75.598102) (xy 124.03133 75.798061) - (xy 113.706796 75.798061) (xy 113.70403 73.360561) (xy 182.815 73.360561) (xy 182.815 73.564439) (xy 182.854774 73.764398) - (xy 182.932795 73.952756) (xy 183.046063 74.122274) (xy 183.190226 74.266437) (xy 183.359744 74.379705) (xy 183.548102 74.457726) - (xy 183.748061 74.4975) (xy 183.951939 74.4975) (xy 184.151898 74.457726) (xy 184.340256 74.379705) (xy 184.509774 74.266437) - (xy 184.653937 74.122274) (xy 184.767205 73.952756) (xy 184.818855 73.828061) (xy 186.228411 73.828061) (xy 186.228411 74.031939) - (xy 186.268185 74.231898) (xy 186.346206 74.420256) (xy 186.459474 74.589774) (xy 186.603637 74.733937) (xy 186.773155 74.847205) - (xy 186.961513 74.925226) (xy 187.161472 74.965) (xy 187.36535 74.965) (xy 187.565309 74.925226) (xy 187.753667 74.847205) - (xy 187.923185 74.733937) (xy 188.067348 74.589774) (xy 188.180616 74.420256) (xy 188.258637 74.231898) (xy 188.298411 74.031939) - (xy 188.298411 73.828061) (xy 188.258637 73.628102) (xy 188.180616 73.439744) (xy 188.067348 73.270226) (xy 187.923185 73.126063) - (xy 187.753667 73.012795) (xy 187.565309 72.934774) (xy 187.36535 72.895) (xy 187.161472 72.895) (xy 186.961513 72.934774) - (xy 186.773155 73.012795) (xy 186.603637 73.126063) (xy 186.459474 73.270226) (xy 186.346206 73.439744) (xy 186.268185 73.628102) - (xy 186.228411 73.828061) (xy 184.818855 73.828061) (xy 184.845226 73.764398) (xy 184.885 73.564439) (xy 184.885 73.360561) - (xy 184.845226 73.160602) (xy 184.767205 72.972244) (xy 184.653937 72.802726) (xy 184.509774 72.658563) (xy 184.340256 72.545295) - (xy 184.151898 72.467274) (xy 183.951939 72.4275) (xy 183.748061 72.4275) (xy 183.548102 72.467274) (xy 183.359744 72.545295) - (xy 183.190226 72.658563) (xy 183.046063 72.802726) (xy 182.932795 72.972244) (xy 182.854774 73.160602) (xy 182.815 73.360561) - (xy 113.70403 73.360561) (xy 113.702386 71.913061) (xy 126.865 71.913061) (xy 126.865 72.116939) (xy 126.904774 72.316898) - (xy 126.982795 72.505256) (xy 127.096063 72.674774) (xy 127.240226 72.818937) (xy 127.409744 72.932205) (xy 127.598102 73.010226) - (xy 127.798061 73.05) (xy 128.001939 73.05) (xy 128.201898 73.010226) (xy 128.390256 72.932205) (xy 128.559774 72.818937) - (xy 128.703937 72.674774) (xy 128.817205 72.505256) (xy 128.895226 72.316898) (xy 128.935 72.116939) (xy 128.935 71.913061) - (xy 128.895226 71.713102) (xy 128.817205 71.524744) (xy 128.703937 71.355226) (xy 128.559774 71.211063) (xy 128.390256 71.097795) - (xy 128.201898 71.019774) (xy 128.001939 70.98) (xy 127.798061 70.98) (xy 127.598102 71.019774) (xy 127.409744 71.097795) - (xy 127.240226 71.211063) (xy 127.096063 71.355226) (xy 126.982795 71.524744) (xy 126.904774 71.713102) (xy 126.865 71.913061) - (xy 113.702386 71.913061) (xy 113.701007 70.698061) (xy 134.215 70.698061) (xy 134.215 70.901939) (xy 134.254774 71.101898) - (xy 134.332795 71.290256) (xy 134.446063 71.459774) (xy 134.590226 71.603937) (xy 134.759744 71.717205) (xy 134.948102 71.795226) - (xy 135.148061 71.835) (xy 135.351939 71.835) (xy 135.551898 71.795226) (xy 135.740256 71.717205) (xy 135.909774 71.603937) - (xy 135.96565 71.548061) (xy 139.035 71.548061) (xy 139.035 71.751939) (xy 139.074774 71.951898) (xy 139.152795 72.140256) - (xy 139.266063 72.309774) (xy 139.410226 72.453937) (xy 139.579744 72.567205) (xy 139.768102 72.645226) (xy 139.968061 72.685) - (xy 140.171939 72.685) (xy 140.371898 72.645226) (xy 140.560256 72.567205) (xy 140.729774 72.453937) (xy 140.873937 72.309774) - (xy 140.987205 72.140256) (xy 141.065226 71.951898) (xy 141.105 71.751939) (xy 141.105 71.548061) (xy 141.065226 71.348102) - (xy 140.987205 71.159744) (xy 140.873937 70.990226) (xy 140.781772 70.898061) (xy 148.865 70.898061) (xy 148.865 71.101939) - (xy 148.904774 71.301898) (xy 148.982795 71.490256) (xy 149.096063 71.659774) (xy 149.240226 71.803937) (xy 149.409744 71.917205) - (xy 149.598102 71.995226) (xy 149.798061 72.035) (xy 150.001939 72.035) (xy 150.201898 71.995226) (xy 150.390256 71.917205) - (xy 150.559774 71.803937) (xy 150.703937 71.659774) (xy 150.817205 71.490256) (xy 150.895226 71.301898) (xy 150.935 71.101939) - (xy 150.935 70.898061) (xy 161.965 70.898061) (xy 161.965 71.101939) (xy 162.004774 71.301898) (xy 162.082795 71.490256) - (xy 162.196063 71.659774) (xy 162.340226 71.803937) (xy 162.509744 71.917205) (xy 162.698102 71.995226) (xy 162.898061 72.035) - (xy 163.101939 72.035) (xy 163.301898 71.995226) (xy 163.490256 71.917205) (xy 163.659774 71.803937) (xy 163.803937 71.659774) - (xy 163.917205 71.490256) (xy 163.995226 71.301898) (xy 164.035 71.101939) (xy 164.035 70.898061) (xy 173.465 70.898061) - (xy 173.465 71.101939) (xy 173.504774 71.301898) (xy 173.582795 71.490256) (xy 173.696063 71.659774) (xy 173.840226 71.803937) - (xy 174.009744 71.917205) (xy 174.198102 71.995226) (xy 174.398061 72.035) (xy 174.601939 72.035) (xy 174.801898 71.995226) - (xy 174.990256 71.917205) (xy 175.159774 71.803937) (xy 175.303937 71.659774) (xy 175.417205 71.490256) (xy 175.495226 71.301898) - (xy 175.535 71.101939) (xy 175.535 70.898061) (xy 175.505164 70.748061) (xy 182.675 70.748061) (xy 182.675 70.951939) - (xy 182.714774 71.151898) (xy 182.792795 71.340256) (xy 182.906063 71.509774) (xy 183.050226 71.653937) (xy 183.219744 71.767205) - (xy 183.408102 71.845226) (xy 183.608061 71.885) (xy 183.811939 71.885) (xy 184.011898 71.845226) (xy 184.200256 71.767205) - (xy 184.369774 71.653937) (xy 184.513937 71.509774) (xy 184.547782 71.459122) (xy 184.619744 71.507205) (xy 184.808102 71.585226) - (xy 185.008061 71.625) (xy 185.211939 71.625) (xy 185.411898 71.585226) (xy 185.600256 71.507205) (xy 185.769774 71.393937) - (xy 185.913937 71.249774) (xy 186.027205 71.080256) (xy 186.102673 70.898061) (xy 188.465 70.898061) (xy 188.465 71.101939) - (xy 188.504774 71.301898) (xy 188.582795 71.490256) (xy 188.696063 71.659774) (xy 188.840226 71.803937) (xy 189.009744 71.917205) - (xy 189.198102 71.995226) (xy 189.398061 72.035) (xy 189.601939 72.035) (xy 189.801898 71.995226) (xy 189.990256 71.917205) - (xy 190.159774 71.803937) (xy 190.303937 71.659774) (xy 190.417205 71.490256) (xy 190.495226 71.301898) (xy 190.535 71.101939) - (xy 190.535 70.898061) (xy 206.465 70.898061) (xy 206.465 71.101939) (xy 206.504774 71.301898) (xy 206.582795 71.490256) - (xy 206.696063 71.659774) (xy 206.840226 71.803937) (xy 207.009744 71.917205) (xy 207.198102 71.995226) (xy 207.398061 72.035) - (xy 207.601939 72.035) (xy 207.801898 71.995226) (xy 207.990256 71.917205) (xy 208.159774 71.803937) (xy 208.303937 71.659774) - (xy 208.417205 71.490256) (xy 208.495226 71.301898) (xy 208.535 71.101939) (xy 208.535 70.898061) (xy 208.495226 70.698102) - (xy 208.417205 70.509744) (xy 208.303937 70.340226) (xy 208.159774 70.196063) (xy 207.990256 70.082795) (xy 207.801898 70.004774) - (xy 207.601939 69.965) (xy 207.398061 69.965) (xy 207.198102 70.004774) (xy 207.009744 70.082795) (xy 206.840226 70.196063) - (xy 206.696063 70.340226) (xy 206.582795 70.509744) (xy 206.504774 70.698102) (xy 206.465 70.898061) (xy 190.535 70.898061) - (xy 190.495226 70.698102) (xy 190.417205 70.509744) (xy 190.303937 70.340226) (xy 190.159774 70.196063) (xy 189.990256 70.082795) - (xy 189.801898 70.004774) (xy 189.601939 69.965) (xy 189.398061 69.965) (xy 189.198102 70.004774) (xy 189.009744 70.082795) - (xy 188.840226 70.196063) (xy 188.696063 70.340226) (xy 188.582795 70.509744) (xy 188.504774 70.698102) (xy 188.465 70.898061) - (xy 186.102673 70.898061) (xy 186.105226 70.891898) (xy 186.145 70.691939) (xy 186.145 70.488061) (xy 186.105226 70.288102) - (xy 186.027205 70.099744) (xy 185.913937 69.930226) (xy 185.769774 69.786063) (xy 185.600256 69.672795) (xy 185.411898 69.594774) - (xy 185.211939 69.555) (xy 185.008061 69.555) (xy 184.808102 69.594774) (xy 184.619744 69.672795) (xy 184.450226 69.786063) - (xy 184.306063 69.930226) (xy 184.272218 69.980878) (xy 184.200256 69.932795) (xy 184.011898 69.854774) (xy 183.811939 69.815) - (xy 183.608061 69.815) (xy 183.408102 69.854774) (xy 183.219744 69.932795) (xy 183.050226 70.046063) (xy 182.906063 70.190226) - (xy 182.792795 70.359744) (xy 182.714774 70.548102) (xy 182.675 70.748061) (xy 175.505164 70.748061) (xy 175.495226 70.698102) - (xy 175.417205 70.509744) (xy 175.303937 70.340226) (xy 175.159774 70.196063) (xy 174.990256 70.082795) (xy 174.801898 70.004774) - (xy 174.601939 69.965) (xy 174.398061 69.965) (xy 174.198102 70.004774) (xy 174.009744 70.082795) (xy 173.840226 70.196063) - (xy 173.696063 70.340226) (xy 173.582795 70.509744) (xy 173.504774 70.698102) (xy 173.465 70.898061) (xy 164.035 70.898061) - (xy 163.995226 70.698102) (xy 163.917205 70.509744) (xy 163.803937 70.340226) (xy 163.659774 70.196063) (xy 163.490256 70.082795) - (xy 163.301898 70.004774) (xy 163.101939 69.965) (xy 162.898061 69.965) (xy 162.698102 70.004774) (xy 162.509744 70.082795) - (xy 162.340226 70.196063) (xy 162.196063 70.340226) (xy 162.082795 70.509744) (xy 162.004774 70.698102) (xy 161.965 70.898061) - (xy 150.935 70.898061) (xy 150.895226 70.698102) (xy 150.817205 70.509744) (xy 150.703937 70.340226) (xy 150.559774 70.196063) - (xy 150.390256 70.082795) (xy 150.201898 70.004774) (xy 150.001939 69.965) (xy 149.798061 69.965) (xy 149.598102 70.004774) - (xy 149.409744 70.082795) (xy 149.240226 70.196063) (xy 149.096063 70.340226) (xy 148.982795 70.509744) (xy 148.904774 70.698102) - (xy 148.865 70.898061) (xy 140.781772 70.898061) (xy 140.729774 70.846063) (xy 140.560256 70.732795) (xy 140.371898 70.654774) - (xy 140.171939 70.615) (xy 139.968061 70.615) (xy 139.768102 70.654774) (xy 139.579744 70.732795) (xy 139.410226 70.846063) - (xy 139.266063 70.990226) (xy 139.152795 71.159744) (xy 139.074774 71.348102) (xy 139.035 71.548061) (xy 135.96565 71.548061) - (xy 136.053937 71.459774) (xy 136.167205 71.290256) (xy 136.245226 71.101898) (xy 136.285 70.901939) (xy 136.285 70.698061) - (xy 136.245226 70.498102) (xy 136.167205 70.309744) (xy 136.053937 70.140226) (xy 135.909774 69.996063) (xy 135.740256 69.882795) - (xy 135.551898 69.804774) (xy 135.351939 69.765) (xy 135.148061 69.765) (xy 134.948102 69.804774) (xy 134.759744 69.882795) - (xy 134.590226 69.996063) (xy 134.446063 70.140226) (xy 134.332795 70.309744) (xy 134.254774 70.498102) (xy 134.215 70.698061) - (xy 113.701007 70.698061) (xy 113.699522 69.388967) (xy 265.215 69.388967) (xy 265.215 69.711033) (xy 265.277832 70.026912) - (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) (xy 266.075537 70.998918) (xy 266.373088 71.122168) - (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) (xy 267.624463 70.998918) (xy 267.892252 70.819987) - (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) (xy 268.485 69.711033) (xy 268.485 69.388967) - (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) (xy 267.892252 68.280013) (xy 267.624463 68.101082) - (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) (xy 266.373088 67.977832) (xy 266.075537 68.101082) - (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) (xy 265.277832 69.073088) (xy 265.215 69.388967) - (xy 113.699522 69.388967) (xy 113.6971 67.255561) (xy 263.165 67.255561) (xy 263.165 67.459439) (xy 263.204774 67.659398) - (xy 263.282795 67.847756) (xy 263.396063 68.017274) (xy 263.540226 68.161437) (xy 263.709744 68.274705) (xy 263.898102 68.352726) - (xy 264.098061 68.3925) (xy 264.301939 68.3925) (xy 264.501898 68.352726) (xy 264.690256 68.274705) (xy 264.859774 68.161437) - (xy 265.003937 68.017274) (xy 265.117205 67.847756) (xy 265.195226 67.659398) (xy 265.235 67.459439) (xy 265.235 67.255561) - (xy 265.195226 67.055602) (xy 265.117205 66.867244) (xy 265.003937 66.697726) (xy 264.859774 66.553563) (xy 264.690256 66.440295) - (xy 264.501898 66.362274) (xy 264.301939 66.3225) (xy 264.098061 66.3225) (xy 263.898102 66.362274) (xy 263.709744 66.440295) - (xy 263.540226 66.553563) (xy 263.396063 66.697726) (xy 263.282795 66.867244) (xy 263.204774 67.055602) (xy 263.165 67.255561) - (xy 113.6971 67.255561) (xy 113.694969 65.378061) (xy 139.035 65.378061) (xy 139.035 65.581939) (xy 139.074774 65.781898) - (xy 139.152795 65.970256) (xy 139.266063 66.139774) (xy 139.410226 66.283937) (xy 139.579744 66.397205) (xy 139.768102 66.475226) - (xy 139.968061 66.515) (xy 140.171939 66.515) (xy 140.371898 66.475226) (xy 140.560256 66.397205) (xy 140.729774 66.283937) - (xy 140.873937 66.139774) (xy 140.987205 65.970256) (xy 141.065226 65.781898) (xy 141.081902 65.698061) (xy 141.265 65.698061) - (xy 141.265 65.901939) (xy 141.304774 66.101898) (xy 141.382795 66.290256) (xy 141.496063 66.459774) (xy 141.640226 66.603937) - (xy 141.809744 66.717205) (xy 141.998102 66.795226) (xy 142.198061 66.835) (xy 142.401939 66.835) (xy 142.601898 66.795226) - (xy 142.790256 66.717205) (xy 142.959774 66.603937) (xy 143.103937 66.459774) (xy 143.217205 66.290256) (xy 143.295226 66.101898) - (xy 143.335 65.901939) (xy 143.335 65.898061) (xy 144.3525 65.898061) (xy 144.3525 66.101939) (xy 144.392274 66.301898) - (xy 144.470295 66.490256) (xy 144.583563 66.659774) (xy 144.727726 66.803937) (xy 144.897244 66.917205) (xy 145.085602 66.995226) - (xy 145.285561 67.035) (xy 145.489439 67.035) (xy 145.689398 66.995226) (xy 145.877756 66.917205) (xy 146.047274 66.803937) - (xy 146.191437 66.659774) (xy 146.304705 66.490256) (xy 146.382726 66.301898) (xy 146.4225 66.101939) (xy 146.4225 65.898061) - (xy 146.382726 65.698102) (xy 146.375461 65.680561) (xy 260.725 65.680561) (xy 260.725 65.884439) (xy 260.764774 66.084398) - (xy 260.842795 66.272756) (xy 260.956063 66.442274) (xy 261.100226 66.586437) (xy 261.269744 66.699705) (xy 261.458102 66.777726) - (xy 261.658061 66.8175) (xy 261.861939 66.8175) (xy 262.061898 66.777726) (xy 262.250256 66.699705) (xy 262.419774 66.586437) - (xy 262.563937 66.442274) (xy 262.677205 66.272756) (xy 262.755226 66.084398) (xy 262.795 65.884439) (xy 262.795 65.680561) - (xy 262.755226 65.480602) (xy 262.741747 65.448061) (xy 266.965 65.448061) (xy 266.965 65.651939) (xy 267.004774 65.851898) - (xy 267.082795 66.040256) (xy 267.196063 66.209774) (xy 267.340226 66.353937) (xy 267.509744 66.467205) (xy 267.698102 66.545226) - (xy 267.898061 66.585) (xy 268.101939 66.585) (xy 268.301898 66.545226) (xy 268.490256 66.467205) (xy 268.659774 66.353937) - (xy 268.803937 66.209774) (xy 268.917205 66.040256) (xy 268.995226 65.851898) (xy 269.035 65.651939) (xy 269.035 65.448061) - (xy 268.995226 65.248102) (xy 268.917205 65.059744) (xy 268.803937 64.890226) (xy 268.659774 64.746063) (xy 268.490256 64.632795) - (xy 268.301898 64.554774) (xy 268.101939 64.515) (xy 267.898061 64.515) (xy 267.698102 64.554774) (xy 267.509744 64.632795) - (xy 267.340226 64.746063) (xy 267.196063 64.890226) (xy 267.082795 65.059744) (xy 267.004774 65.248102) (xy 266.965 65.448061) - (xy 262.741747 65.448061) (xy 262.677205 65.292244) (xy 262.563937 65.122726) (xy 262.419774 64.978563) (xy 262.250256 64.865295) - (xy 262.061898 64.787274) (xy 261.861939 64.7475) (xy 261.658061 64.7475) (xy 261.458102 64.787274) (xy 261.269744 64.865295) - (xy 261.100226 64.978563) (xy 260.956063 65.122726) (xy 260.842795 65.292244) (xy 260.764774 65.480602) (xy 260.725 65.680561) - (xy 146.375461 65.680561) (xy 146.304705 65.509744) (xy 146.191437 65.340226) (xy 146.047274 65.196063) (xy 145.877756 65.082795) - (xy 145.689398 65.004774) (xy 145.489439 64.965) (xy 145.285561 64.965) (xy 145.085602 65.004774) (xy 144.897244 65.082795) - (xy 144.727726 65.196063) (xy 144.583563 65.340226) (xy 144.470295 65.509744) (xy 144.392274 65.698102) (xy 144.3525 65.898061) - (xy 143.335 65.898061) (xy 143.335 65.698061) (xy 143.295226 65.498102) (xy 143.217205 65.309744) (xy 143.103937 65.140226) - (xy 142.959774 64.996063) (xy 142.790256 64.882795) (xy 142.601898 64.804774) (xy 142.401939 64.765) (xy 142.198061 64.765) - (xy 141.998102 64.804774) (xy 141.809744 64.882795) (xy 141.640226 64.996063) (xy 141.496063 65.140226) (xy 141.382795 65.309744) - (xy 141.304774 65.498102) (xy 141.265 65.698061) (xy 141.081902 65.698061) (xy 141.105 65.581939) (xy 141.105 65.378061) - (xy 141.065226 65.178102) (xy 140.987205 64.989744) (xy 140.873937 64.820226) (xy 140.729774 64.676063) (xy 140.560256 64.562795) - (xy 140.371898 64.484774) (xy 140.171939 64.445) (xy 139.968061 64.445) (xy 139.768102 64.484774) (xy 139.579744 64.562795) - (xy 139.410226 64.676063) (xy 139.266063 64.820226) (xy 139.152795 64.989744) (xy 139.074774 65.178102) (xy 139.035 65.378061) - (xy 113.694969 65.378061) (xy 113.689885 60.9) (xy 128.511928 60.9) (xy 128.511928 62.6) (xy 128.524188 62.724482) - (xy 128.560498 62.84418) (xy 128.619463 62.954494) (xy 128.698815 63.051185) (xy 128.795506 63.130537) (xy 128.90582 63.189502) - (xy 129.025518 63.225812) (xy 129.15 63.238072) (xy 130.85 63.238072) (xy 130.974482 63.225812) (xy 131.09418 63.189502) - (xy 131.204494 63.130537) (xy 131.301185 63.051185) (xy 131.380537 62.954494) (xy 131.439502 62.84418) (xy 131.461513 62.77162) - (xy 131.593368 62.903475) (xy 131.836589 63.06599) (xy 132.106842 63.177932) (xy 132.39374 63.235) (xy 132.68626 63.235) - (xy 132.973158 63.177932) (xy 133.243411 63.06599) (xy 133.486632 62.903475) (xy 133.693475 62.696632) (xy 133.81 62.52224) - (xy 133.926525 62.696632) (xy 134.133368 62.903475) (xy 134.376589 63.06599) (xy 134.646842 63.177932) (xy 134.93374 63.235) - (xy 135.22626 63.235) (xy 135.513158 63.177932) (xy 135.783411 63.06599) (xy 136.026632 62.903475) (xy 136.233475 62.696632) - (xy 136.35 62.52224) (xy 136.466525 62.696632) (xy 136.673368 62.903475) (xy 136.916589 63.06599) (xy 137.186842 63.177932) - (xy 137.47374 63.235) (xy 137.76626 63.235) (xy 138.053158 63.177932) (xy 138.323411 63.06599) (xy 138.566632 62.903475) - (xy 138.773475 62.696632) (xy 138.89 62.52224) (xy 139.006525 62.696632) (xy 139.213368 62.903475) (xy 139.456589 63.06599) - (xy 139.726842 63.177932) (xy 140.01374 63.235) (xy 140.30626 63.235) (xy 140.593158 63.177932) (xy 140.863411 63.06599) - (xy 141.106632 62.903475) (xy 141.313475 62.696632) (xy 141.43 62.52224) (xy 141.546525 62.696632) (xy 141.753368 62.903475) - (xy 141.996589 63.06599) (xy 142.266842 63.177932) (xy 142.55374 63.235) (xy 142.84626 63.235) (xy 143.133158 63.177932) - (xy 143.403411 63.06599) (xy 143.646632 62.903475) (xy 143.853475 62.696632) (xy 143.97 62.52224) (xy 144.086525 62.696632) - (xy 144.293368 62.903475) (xy 144.536589 63.06599) (xy 144.806842 63.177932) (xy 145.09374 63.235) (xy 145.38626 63.235) - (xy 145.673158 63.177932) (xy 145.943411 63.06599) (xy 146.186632 62.903475) (xy 146.393475 62.696632) (xy 146.51 62.52224) - (xy 146.626525 62.696632) (xy 146.833368 62.903475) (xy 147.076589 63.06599) (xy 147.346842 63.177932) (xy 147.63374 63.235) - (xy 147.92626 63.235) (xy 148.213158 63.177932) (xy 148.483411 63.06599) (xy 148.726632 62.903475) (xy 148.933475 62.696632) - (xy 149.05 62.52224) (xy 149.166525 62.696632) (xy 149.373368 62.903475) (xy 149.616589 63.06599) (xy 149.886842 63.177932) - (xy 150.17374 63.235) (xy 150.46626 63.235) (xy 150.753158 63.177932) (xy 151.023411 63.06599) (xy 151.266632 62.903475) - (xy 151.473475 62.696632) (xy 151.59 62.52224) (xy 151.706525 62.696632) (xy 151.913368 62.903475) (xy 152.156589 63.06599) - (xy 152.426842 63.177932) (xy 152.71374 63.235) (xy 153.00626 63.235) (xy 153.293158 63.177932) (xy 153.563411 63.06599) - (xy 153.806632 62.903475) (xy 154.013475 62.696632) (xy 154.13 62.52224) (xy 154.246525 62.696632) (xy 154.453368 62.903475) - (xy 154.696589 63.06599) (xy 154.966842 63.177932) (xy 155.25374 63.235) (xy 155.54626 63.235) (xy 155.833158 63.177932) - (xy 156.103411 63.06599) (xy 156.346632 62.903475) (xy 156.553475 62.696632) (xy 156.67 62.52224) (xy 156.786525 62.696632) - (xy 156.993368 62.903475) (xy 157.236589 63.06599) (xy 157.506842 63.177932) (xy 157.79374 63.235) (xy 158.08626 63.235) - (xy 158.373158 63.177932) (xy 158.643411 63.06599) (xy 158.886632 62.903475) (xy 159.093475 62.696632) (xy 159.21 62.52224) - (xy 159.326525 62.696632) (xy 159.533368 62.903475) (xy 159.776589 63.06599) (xy 160.046842 63.177932) (xy 160.33374 63.235) - (xy 160.62626 63.235) (xy 160.913158 63.177932) (xy 161.183411 63.06599) (xy 161.426632 62.903475) (xy 161.633475 62.696632) - (xy 161.75 62.52224) (xy 161.866525 62.696632) (xy 162.073368 62.903475) (xy 162.316589 63.06599) (xy 162.586842 63.177932) - (xy 162.87374 63.235) (xy 163.16626 63.235) (xy 163.453158 63.177932) (xy 163.723411 63.06599) (xy 163.966632 62.903475) - (xy 164.173475 62.696632) (xy 164.295195 62.514466) (xy 164.364822 62.631355) (xy 164.559731 62.847588) (xy 164.79308 63.021641) - (xy 165.055901 63.146825) (xy 165.20311 63.191476) (xy 165.433 63.070155) (xy 165.433 61.877) (xy 165.413 61.877) - (xy 165.413 61.623) (xy 165.433 61.623) (xy 165.433 59.337) (xy 165.413 59.337) (xy 165.413 59.083) - (xy 165.433 59.083) (xy 165.433 57.889845) (xy 165.687 57.889845) (xy 165.687 59.083) (xy 165.707 59.083) - (xy 165.707 59.337) (xy 165.687 59.337) (xy 165.687 61.623) (xy 165.707 61.623) (xy 165.707 61.877) - (xy 165.687 61.877) (xy 165.687 63.070155) (xy 165.91689 63.191476) (xy 166.064099 63.146825) (xy 166.32692 63.021641) - (xy 166.560269 62.847588) (xy 166.755178 62.631355) (xy 166.824805 62.514466) (xy 166.946525 62.696632) (xy 167.153368 62.903475) - (xy 167.396589 63.06599) (xy 167.666842 63.177932) (xy 167.95374 63.235) (xy 168.24626 63.235) (xy 168.533158 63.177932) - (xy 168.803411 63.06599) (xy 169.046632 62.903475) (xy 169.253475 62.696632) (xy 169.375195 62.514466) (xy 169.444822 62.631355) - (xy 169.639731 62.847588) (xy 169.87308 63.021641) (xy 170.135901 63.146825) (xy 170.28311 63.191476) (xy 170.513 63.070155) - (xy 170.513 61.877) (xy 170.493 61.877) (xy 170.493 61.623) (xy 170.513 61.623) (xy 170.513 59.337) - (xy 170.493 59.337) (xy 170.493 59.083) (xy 170.513 59.083) (xy 170.513 57.889845) (xy 170.767 57.889845) - (xy 170.767 59.083) (xy 170.787 59.083) (xy 170.787 59.337) (xy 170.767 59.337) (xy 170.767 61.623) - (xy 170.787 61.623) (xy 170.787 61.877) (xy 170.767 61.877) (xy 170.767 63.070155) (xy 170.99689 63.191476) - (xy 171.144099 63.146825) (xy 171.40692 63.021641) (xy 171.640269 62.847588) (xy 171.835178 62.631355) (xy 171.904805 62.514466) - (xy 172.026525 62.696632) (xy 172.233368 62.903475) (xy 172.476589 63.06599) (xy 172.746842 63.177932) (xy 173.03374 63.235) - (xy 173.32626 63.235) (xy 173.613158 63.177932) (xy 173.883411 63.06599) (xy 174.126632 62.903475) (xy 174.333475 62.696632) - (xy 174.45 62.52224) (xy 174.566525 62.696632) (xy 174.773368 62.903475) (xy 175.016589 63.06599) (xy 175.286842 63.177932) - (xy 175.57374 63.235) (xy 175.86626 63.235) (xy 176.153158 63.177932) (xy 176.423411 63.06599) (xy 176.666632 62.903475) - (xy 176.873475 62.696632) (xy 176.99 62.52224) (xy 177.106525 62.696632) (xy 177.313368 62.903475) (xy 177.556589 63.06599) - (xy 177.826842 63.177932) (xy 178.11374 63.235) (xy 178.40626 63.235) (xy 178.693158 63.177932) (xy 178.963411 63.06599) - (xy 179.206632 62.903475) (xy 179.413475 62.696632) (xy 179.53 62.52224) (xy 179.646525 62.696632) (xy 179.853368 62.903475) - (xy 180.096589 63.06599) (xy 180.366842 63.177932) (xy 180.65374 63.235) (xy 180.94626 63.235) (xy 181.233158 63.177932) - (xy 181.503411 63.06599) (xy 181.746632 62.903475) (xy 181.953475 62.696632) (xy 182.07 62.52224) (xy 182.186525 62.696632) - (xy 182.393368 62.903475) (xy 182.636589 63.06599) (xy 182.906842 63.177932) (xy 183.19374 63.235) (xy 183.48626 63.235) - (xy 183.773158 63.177932) (xy 184.043411 63.06599) (xy 184.286632 62.903475) (xy 184.493475 62.696632) (xy 184.61 62.52224) - (xy 184.726525 62.696632) (xy 184.933368 62.903475) (xy 185.176589 63.06599) (xy 185.446842 63.177932) (xy 185.73374 63.235) - (xy 186.02626 63.235) (xy 186.313158 63.177932) (xy 186.583411 63.06599) (xy 186.826632 62.903475) (xy 187.033475 62.696632) - (xy 187.15 62.52224) (xy 187.266525 62.696632) (xy 187.473368 62.903475) (xy 187.716589 63.06599) (xy 187.986842 63.177932) - (xy 188.27374 63.235) (xy 188.56626 63.235) (xy 188.853158 63.177932) (xy 189.123411 63.06599) (xy 189.366632 62.903475) - (xy 189.573475 62.696632) (xy 189.69 62.52224) (xy 189.806525 62.696632) (xy 190.013368 62.903475) (xy 190.256589 63.06599) - (xy 190.526842 63.177932) (xy 190.81374 63.235) (xy 191.10626 63.235) (xy 191.393158 63.177932) (xy 191.663411 63.06599) - (xy 191.906632 62.903475) (xy 192.113475 62.696632) (xy 192.23 62.52224) (xy 192.346525 62.696632) (xy 192.553368 62.903475) - (xy 192.796589 63.06599) (xy 193.066842 63.177932) (xy 193.35374 63.235) (xy 193.64626 63.235) (xy 193.933158 63.177932) - (xy 194.203411 63.06599) (xy 194.446632 62.903475) (xy 194.653475 62.696632) (xy 194.77 62.52224) (xy 194.886525 62.696632) - (xy 195.093368 62.903475) (xy 195.336589 63.06599) (xy 195.606842 63.177932) (xy 195.89374 63.235) (xy 196.18626 63.235) - (xy 196.473158 63.177932) (xy 196.743411 63.06599) (xy 196.986632 62.903475) (xy 197.193475 62.696632) (xy 197.31 62.52224) - (xy 197.426525 62.696632) (xy 197.633368 62.903475) (xy 197.876589 63.06599) (xy 198.146842 63.177932) (xy 198.43374 63.235) - (xy 198.72626 63.235) (xy 199.013158 63.177932) (xy 199.283411 63.06599) (xy 199.526632 62.903475) (xy 199.733475 62.696632) - (xy 199.85 62.52224) (xy 199.966525 62.696632) (xy 200.173368 62.903475) (xy 200.416589 63.06599) (xy 200.686842 63.177932) - (xy 200.97374 63.235) (xy 201.26626 63.235) (xy 201.553158 63.177932) (xy 201.823411 63.06599) (xy 202.066632 62.903475) - (xy 202.273475 62.696632) (xy 202.39 62.52224) (xy 202.506525 62.696632) (xy 202.713368 62.903475) (xy 202.956589 63.06599) - (xy 203.226842 63.177932) (xy 203.51374 63.235) (xy 203.80626 63.235) (xy 204.093158 63.177932) (xy 204.363411 63.06599) - (xy 204.606632 62.903475) (xy 204.813475 62.696632) (xy 204.93 62.52224) (xy 205.046525 62.696632) (xy 205.253368 62.903475) - (xy 205.496589 63.06599) (xy 205.766842 63.177932) (xy 206.05374 63.235) (xy 206.34626 63.235) (xy 206.633158 63.177932) - (xy 206.903411 63.06599) (xy 207.146632 62.903475) (xy 207.353475 62.696632) (xy 207.47 62.52224) (xy 207.586525 62.696632) - (xy 207.793368 62.903475) (xy 208.036589 63.06599) (xy 208.306842 63.177932) (xy 208.59374 63.235) (xy 208.88626 63.235) - (xy 208.971417 63.218061) (xy 254.885 63.218061) (xy 254.885 63.421939) (xy 254.924774 63.621898) (xy 255.002795 63.810256) - (xy 255.116063 63.979774) (xy 255.260226 64.123937) (xy 255.429744 64.237205) (xy 255.618102 64.315226) (xy 255.818061 64.355) - (xy 256.021939 64.355) (xy 256.221898 64.315226) (xy 256.410256 64.237205) (xy 256.579774 64.123937) (xy 256.723937 63.979774) - (xy 256.837205 63.810256) (xy 256.915226 63.621898) (xy 256.955 63.421939) (xy 256.955 63.218061) (xy 256.915226 63.018102) - (xy 256.837205 62.829744) (xy 256.780681 62.745149) (xy 256.879774 62.678937) (xy 257.023937 62.534774) (xy 257.137205 62.365256) - (xy 257.215226 62.176898) (xy 257.255 61.976939) (xy 257.255 61.773061) (xy 257.215226 61.573102) (xy 257.137205 61.384744) - (xy 257.023937 61.215226) (xy 256.879774 61.071063) (xy 256.710256 60.957795) (xy 256.686757 60.948061) (xy 266.965 60.948061) - (xy 266.965 61.151939) (xy 267.004774 61.351898) (xy 267.082795 61.540256) (xy 267.196063 61.709774) (xy 267.340226 61.853937) - (xy 267.509744 61.967205) (xy 267.698102 62.045226) (xy 267.898061 62.085) (xy 268.101939 62.085) (xy 268.301898 62.045226) - (xy 268.490256 61.967205) (xy 268.659774 61.853937) (xy 268.803937 61.709774) (xy 268.917205 61.540256) (xy 268.995226 61.351898) - (xy 269.035 61.151939) (xy 269.035 60.948061) (xy 268.995226 60.748102) (xy 268.917205 60.559744) (xy 268.803937 60.390226) - (xy 268.659774 60.246063) (xy 268.490256 60.132795) (xy 268.301898 60.054774) (xy 268.101939 60.015) (xy 267.898061 60.015) - (xy 267.698102 60.054774) (xy 267.509744 60.132795) (xy 267.340226 60.246063) (xy 267.196063 60.390226) (xy 267.082795 60.559744) - (xy 267.004774 60.748102) (xy 266.965 60.948061) (xy 256.686757 60.948061) (xy 256.521898 60.879774) (xy 256.321939 60.84) - (xy 256.118061 60.84) (xy 255.918102 60.879774) (xy 255.729744 60.957795) (xy 255.560226 61.071063) (xy 255.416063 61.215226) - (xy 255.302795 61.384744) (xy 255.224774 61.573102) (xy 255.185 61.773061) (xy 255.185 61.976939) (xy 255.224774 62.176898) - (xy 255.302795 62.365256) (xy 255.359319 62.449851) (xy 255.260226 62.516063) (xy 255.116063 62.660226) (xy 255.002795 62.829744) - (xy 254.924774 63.018102) (xy 254.885 63.218061) (xy 208.971417 63.218061) (xy 209.173158 63.177932) (xy 209.443411 63.06599) - (xy 209.686632 62.903475) (xy 209.893475 62.696632) (xy 210.05599 62.453411) (xy 210.167932 62.183158) (xy 210.225 61.89626) - (xy 210.225 61.770561) (xy 245.195 61.770561) (xy 245.195 61.974439) (xy 245.234774 62.174398) (xy 245.312795 62.362756) - (xy 245.426063 62.532274) (xy 245.570226 62.676437) (xy 245.739744 62.789705) (xy 245.928102 62.867726) (xy 246.128061 62.9075) - (xy 246.331939 62.9075) (xy 246.531898 62.867726) (xy 246.720256 62.789705) (xy 246.889774 62.676437) (xy 247.033937 62.532274) - (xy 247.147205 62.362756) (xy 247.225226 62.174398) (xy 247.265 61.974439) (xy 247.265 61.770561) (xy 247.225226 61.570602) - (xy 247.147205 61.382244) (xy 247.033937 61.212726) (xy 246.889774 61.068563) (xy 246.720256 60.955295) (xy 246.531898 60.877274) - (xy 246.331939 60.8375) (xy 246.128061 60.8375) (xy 245.928102 60.877274) (xy 245.739744 60.955295) (xy 245.570226 61.068563) - (xy 245.426063 61.212726) (xy 245.312795 61.382244) (xy 245.234774 61.570602) (xy 245.195 61.770561) (xy 210.225 61.770561) - (xy 210.225 61.60374) (xy 210.167932 61.316842) (xy 210.05599 61.046589) (xy 209.893475 60.803368) (xy 209.686632 60.596525) - (xy 209.51224 60.48) (xy 209.686632 60.363475) (xy 209.893475 60.156632) (xy 209.899201 60.148061) (xy 227.055 60.148061) - (xy 227.055 60.351939) (xy 227.094774 60.551898) (xy 227.172795 60.740256) (xy 227.286063 60.909774) (xy 227.430226 61.053937) - (xy 227.599744 61.167205) (xy 227.788102 61.245226) (xy 227.988061 61.285) (xy 228.191939 61.285) (xy 228.391898 61.245226) - (xy 228.580256 61.167205) (xy 228.749774 61.053937) (xy 228.893937 60.909774) (xy 229.007205 60.740256) (xy 229.085226 60.551898) - (xy 229.125 60.351939) (xy 229.125 60.148061) (xy 229.085226 59.948102) (xy 229.007205 59.759744) (xy 228.893937 59.590226) - (xy 228.749774 59.446063) (xy 228.580256 59.332795) (xy 228.391898 59.254774) (xy 228.191939 59.215) (xy 227.988061 59.215) - (xy 227.788102 59.254774) (xy 227.599744 59.332795) (xy 227.430226 59.446063) (xy 227.286063 59.590226) (xy 227.172795 59.759744) - (xy 227.094774 59.948102) (xy 227.055 60.148061) (xy 209.899201 60.148061) (xy 210.05599 59.913411) (xy 210.167932 59.643158) - (xy 210.225 59.35626) (xy 210.225 59.06374) (xy 210.211936 58.998061) (xy 245.0275 58.998061) (xy 245.0275 59.201939) - (xy 245.067274 59.401898) (xy 245.145295 59.590256) (xy 245.258563 59.759774) (xy 245.402726 59.903937) (xy 245.572244 60.017205) - (xy 245.760602 60.095226) (xy 245.960561 60.135) (xy 246.164439 60.135) (xy 246.364398 60.095226) (xy 246.552756 60.017205) - (xy 246.722274 59.903937) (xy 246.866437 59.759774) (xy 246.979705 59.590256) (xy 247.057726 59.401898) (xy 247.0975 59.201939) - (xy 247.0975 58.998061) (xy 247.057726 58.798102) (xy 246.979705 58.609744) (xy 246.921786 58.523061) (xy 254.465 58.523061) - (xy 254.465 58.726939) (xy 254.504774 58.926898) (xy 254.582795 59.115256) (xy 254.696063 59.284774) (xy 254.840226 59.428937) - (xy 255.009744 59.542205) (xy 255.198102 59.620226) (xy 255.398061 59.66) (xy 255.601939 59.66) (xy 255.801898 59.620226) - (xy 255.990256 59.542205) (xy 256.159774 59.428937) (xy 256.303937 59.284774) (xy 256.417205 59.115256) (xy 256.495226 58.926898) - (xy 256.535 58.726939) (xy 256.535 58.523061) (xy 256.495226 58.323102) (xy 256.417205 58.134744) (xy 256.303937 57.965226) - (xy 256.286772 57.948061) (xy 266.965 57.948061) (xy 266.965 58.151939) (xy 267.004774 58.351898) (xy 267.082795 58.540256) - (xy 267.196063 58.709774) (xy 267.340226 58.853937) (xy 267.509744 58.967205) (xy 267.698102 59.045226) (xy 267.898061 59.085) - (xy 268.101939 59.085) (xy 268.301898 59.045226) (xy 268.490256 58.967205) (xy 268.659774 58.853937) (xy 268.803937 58.709774) - (xy 268.917205 58.540256) (xy 268.995226 58.351898) (xy 269.035 58.151939) (xy 269.035 57.948061) (xy 268.995226 57.748102) - (xy 268.917205 57.559744) (xy 268.803937 57.390226) (xy 268.659774 57.246063) (xy 268.490256 57.132795) (xy 268.301898 57.054774) - (xy 268.101939 57.015) (xy 267.898061 57.015) (xy 267.698102 57.054774) (xy 267.509744 57.132795) (xy 267.340226 57.246063) - (xy 267.196063 57.390226) (xy 267.082795 57.559744) (xy 267.004774 57.748102) (xy 266.965 57.948061) (xy 256.286772 57.948061) - (xy 256.159774 57.821063) (xy 255.990256 57.707795) (xy 255.801898 57.629774) (xy 255.601939 57.59) (xy 255.398061 57.59) - (xy 255.198102 57.629774) (xy 255.009744 57.707795) (xy 254.840226 57.821063) (xy 254.696063 57.965226) (xy 254.582795 58.134744) - (xy 254.504774 58.323102) (xy 254.465 58.523061) (xy 246.921786 58.523061) (xy 246.866437 58.440226) (xy 246.722274 58.296063) - (xy 246.552756 58.182795) (xy 246.364398 58.104774) (xy 246.164439 58.065) (xy 245.960561 58.065) (xy 245.760602 58.104774) - (xy 245.572244 58.182795) (xy 245.402726 58.296063) (xy 245.258563 58.440226) (xy 245.145295 58.609744) (xy 245.067274 58.798102) - (xy 245.0275 58.998061) (xy 210.211936 58.998061) (xy 210.167932 58.776842) (xy 210.05599 58.506589) (xy 209.893475 58.263368) - (xy 209.686632 58.056525) (xy 209.443411 57.89401) (xy 209.173158 57.782068) (xy 208.88626 57.725) (xy 208.59374 57.725) - (xy 208.306842 57.782068) (xy 208.036589 57.89401) (xy 207.793368 58.056525) (xy 207.586525 58.263368) (xy 207.47 58.43776) - (xy 207.353475 58.263368) (xy 207.146632 58.056525) (xy 206.903411 57.89401) (xy 206.633158 57.782068) (xy 206.34626 57.725) - (xy 206.05374 57.725) (xy 205.766842 57.782068) (xy 205.496589 57.89401) (xy 205.253368 58.056525) (xy 205.046525 58.263368) - (xy 204.93 58.43776) (xy 204.813475 58.263368) (xy 204.606632 58.056525) (xy 204.363411 57.89401) (xy 204.093158 57.782068) - (xy 203.80626 57.725) (xy 203.51374 57.725) (xy 203.226842 57.782068) (xy 202.956589 57.89401) (xy 202.713368 58.056525) - (xy 202.506525 58.263368) (xy 202.39 58.43776) (xy 202.273475 58.263368) (xy 202.066632 58.056525) (xy 201.823411 57.89401) - (xy 201.553158 57.782068) (xy 201.26626 57.725) (xy 200.97374 57.725) (xy 200.686842 57.782068) (xy 200.416589 57.89401) - (xy 200.173368 58.056525) (xy 199.966525 58.263368) (xy 199.85 58.43776) (xy 199.733475 58.263368) (xy 199.526632 58.056525) - (xy 199.283411 57.89401) (xy 199.013158 57.782068) (xy 198.72626 57.725) (xy 198.43374 57.725) (xy 198.146842 57.782068) - (xy 197.876589 57.89401) (xy 197.633368 58.056525) (xy 197.426525 58.263368) (xy 197.31 58.43776) (xy 197.193475 58.263368) - (xy 196.986632 58.056525) (xy 196.743411 57.89401) (xy 196.473158 57.782068) (xy 196.18626 57.725) (xy 195.89374 57.725) - (xy 195.606842 57.782068) (xy 195.336589 57.89401) (xy 195.093368 58.056525) (xy 194.886525 58.263368) (xy 194.77 58.43776) - (xy 194.653475 58.263368) (xy 194.446632 58.056525) (xy 194.203411 57.89401) (xy 193.933158 57.782068) (xy 193.64626 57.725) - (xy 193.35374 57.725) (xy 193.066842 57.782068) (xy 192.796589 57.89401) (xy 192.553368 58.056525) (xy 192.346525 58.263368) - (xy 192.23 58.43776) (xy 192.113475 58.263368) (xy 191.906632 58.056525) (xy 191.663411 57.89401) (xy 191.393158 57.782068) - (xy 191.10626 57.725) (xy 190.81374 57.725) (xy 190.526842 57.782068) (xy 190.256589 57.89401) (xy 190.013368 58.056525) - (xy 189.806525 58.263368) (xy 189.69 58.43776) (xy 189.573475 58.263368) (xy 189.366632 58.056525) (xy 189.123411 57.89401) - (xy 188.853158 57.782068) (xy 188.56626 57.725) (xy 188.27374 57.725) (xy 187.986842 57.782068) (xy 187.716589 57.89401) - (xy 187.473368 58.056525) (xy 187.266525 58.263368) (xy 187.15 58.43776) (xy 187.033475 58.263368) (xy 186.826632 58.056525) - (xy 186.583411 57.89401) (xy 186.313158 57.782068) (xy 186.02626 57.725) (xy 185.73374 57.725) (xy 185.446842 57.782068) - (xy 185.176589 57.89401) (xy 184.933368 58.056525) (xy 184.726525 58.263368) (xy 184.61 58.43776) (xy 184.493475 58.263368) - (xy 184.286632 58.056525) (xy 184.043411 57.89401) (xy 183.773158 57.782068) (xy 183.48626 57.725) (xy 183.19374 57.725) - (xy 182.906842 57.782068) (xy 182.636589 57.89401) (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) - (xy 181.953475 58.263368) (xy 181.746632 58.056525) (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) - (xy 180.65374 57.725) (xy 180.366842 57.782068) (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) - (xy 179.53 58.43776) (xy 179.413475 58.263368) (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) - (xy 178.40626 57.725) (xy 178.11374 57.725) (xy 177.826842 57.782068) (xy 177.556589 57.89401) (xy 177.313368 58.056525) - (xy 177.106525 58.263368) (xy 176.99 58.43776) (xy 176.873475 58.263368) (xy 176.666632 58.056525) (xy 176.423411 57.89401) - (xy 176.153158 57.782068) (xy 175.86626 57.725) (xy 175.57374 57.725) (xy 175.286842 57.782068) (xy 175.016589 57.89401) - (xy 174.773368 58.056525) (xy 174.566525 58.263368) (xy 174.45 58.43776) (xy 174.333475 58.263368) (xy 174.126632 58.056525) - (xy 173.883411 57.89401) (xy 173.613158 57.782068) (xy 173.32626 57.725) (xy 173.03374 57.725) (xy 172.746842 57.782068) - (xy 172.476589 57.89401) (xy 172.233368 58.056525) (xy 172.026525 58.263368) (xy 171.904805 58.445534) (xy 171.835178 58.328645) - (xy 171.640269 58.112412) (xy 171.40692 57.938359) (xy 171.144099 57.813175) (xy 170.99689 57.768524) (xy 170.767 57.889845) - (xy 170.513 57.889845) (xy 170.28311 57.768524) (xy 170.135901 57.813175) (xy 169.87308 57.938359) (xy 169.639731 58.112412) - (xy 169.444822 58.328645) (xy 169.375195 58.445534) (xy 169.253475 58.263368) (xy 169.046632 58.056525) (xy 168.803411 57.89401) - (xy 168.533158 57.782068) (xy 168.24626 57.725) (xy 167.95374 57.725) (xy 167.666842 57.782068) (xy 167.396589 57.89401) - (xy 167.153368 58.056525) (xy 166.946525 58.263368) (xy 166.824805 58.445534) (xy 166.755178 58.328645) (xy 166.560269 58.112412) - (xy 166.32692 57.938359) (xy 166.064099 57.813175) (xy 165.91689 57.768524) (xy 165.687 57.889845) (xy 165.433 57.889845) - (xy 165.20311 57.768524) (xy 165.055901 57.813175) (xy 164.79308 57.938359) (xy 164.559731 58.112412) (xy 164.364822 58.328645) - (xy 164.295195 58.445534) (xy 164.173475 58.263368) (xy 163.966632 58.056525) (xy 163.723411 57.89401) (xy 163.453158 57.782068) - (xy 163.16626 57.725) (xy 162.87374 57.725) (xy 162.586842 57.782068) (xy 162.316589 57.89401) (xy 162.073368 58.056525) - (xy 161.866525 58.263368) (xy 161.75 58.43776) (xy 161.633475 58.263368) (xy 161.426632 58.056525) (xy 161.183411 57.89401) - (xy 160.913158 57.782068) (xy 160.62626 57.725) (xy 160.33374 57.725) (xy 160.046842 57.782068) (xy 159.776589 57.89401) - (xy 159.533368 58.056525) (xy 159.326525 58.263368) (xy 159.21 58.43776) (xy 159.093475 58.263368) (xy 158.886632 58.056525) - (xy 158.643411 57.89401) (xy 158.373158 57.782068) (xy 158.08626 57.725) (xy 157.79374 57.725) (xy 157.506842 57.782068) - (xy 157.236589 57.89401) (xy 156.993368 58.056525) (xy 156.786525 58.263368) (xy 156.67 58.43776) (xy 156.553475 58.263368) - (xy 156.346632 58.056525) (xy 156.103411 57.89401) (xy 155.833158 57.782068) (xy 155.54626 57.725) (xy 155.25374 57.725) - (xy 154.966842 57.782068) (xy 154.696589 57.89401) (xy 154.453368 58.056525) (xy 154.246525 58.263368) (xy 154.13 58.43776) - (xy 154.013475 58.263368) (xy 153.806632 58.056525) (xy 153.563411 57.89401) (xy 153.293158 57.782068) (xy 153.00626 57.725) - (xy 152.71374 57.725) (xy 152.426842 57.782068) (xy 152.156589 57.89401) (xy 151.913368 58.056525) (xy 151.706525 58.263368) - (xy 151.59 58.43776) (xy 151.473475 58.263368) (xy 151.266632 58.056525) (xy 151.023411 57.89401) (xy 150.753158 57.782068) - (xy 150.46626 57.725) (xy 150.17374 57.725) (xy 149.886842 57.782068) (xy 149.616589 57.89401) (xy 149.373368 58.056525) - (xy 149.166525 58.263368) (xy 149.05 58.43776) (xy 148.933475 58.263368) (xy 148.726632 58.056525) (xy 148.483411 57.89401) - (xy 148.213158 57.782068) (xy 147.92626 57.725) (xy 147.63374 57.725) (xy 147.346842 57.782068) (xy 147.076589 57.89401) - (xy 146.833368 58.056525) (xy 146.626525 58.263368) (xy 146.51 58.43776) (xy 146.393475 58.263368) (xy 146.186632 58.056525) - (xy 145.943411 57.89401) (xy 145.673158 57.782068) (xy 145.38626 57.725) (xy 145.09374 57.725) (xy 144.806842 57.782068) - (xy 144.536589 57.89401) (xy 144.293368 58.056525) (xy 144.086525 58.263368) (xy 143.97 58.43776) (xy 143.853475 58.263368) - (xy 143.646632 58.056525) (xy 143.403411 57.89401) (xy 143.133158 57.782068) (xy 142.84626 57.725) (xy 142.55374 57.725) - (xy 142.266842 57.782068) (xy 141.996589 57.89401) (xy 141.753368 58.056525) (xy 141.546525 58.263368) (xy 141.43 58.43776) - (xy 141.313475 58.263368) (xy 141.106632 58.056525) (xy 140.863411 57.89401) (xy 140.593158 57.782068) (xy 140.30626 57.725) - (xy 140.01374 57.725) (xy 139.726842 57.782068) (xy 139.456589 57.89401) (xy 139.213368 58.056525) (xy 139.006525 58.263368) - (xy 138.89 58.43776) (xy 138.773475 58.263368) (xy 138.566632 58.056525) (xy 138.323411 57.89401) (xy 138.053158 57.782068) - (xy 137.76626 57.725) (xy 137.47374 57.725) (xy 137.186842 57.782068) (xy 136.916589 57.89401) (xy 136.673368 58.056525) - (xy 136.466525 58.263368) (xy 136.35 58.43776) (xy 136.233475 58.263368) (xy 136.026632 58.056525) (xy 135.783411 57.89401) - (xy 135.513158 57.782068) (xy 135.22626 57.725) (xy 134.93374 57.725) (xy 134.646842 57.782068) (xy 134.376589 57.89401) - (xy 134.133368 58.056525) (xy 133.926525 58.263368) (xy 133.81 58.43776) (xy 133.693475 58.263368) (xy 133.486632 58.056525) - (xy 133.243411 57.89401) (xy 132.973158 57.782068) (xy 132.68626 57.725) (xy 132.39374 57.725) (xy 132.106842 57.782068) - (xy 131.836589 57.89401) (xy 131.593368 58.056525) (xy 131.386525 58.263368) (xy 131.27 58.43776) (xy 131.153475 58.263368) - (xy 130.946632 58.056525) (xy 130.703411 57.89401) (xy 130.433158 57.782068) (xy 130.14626 57.725) (xy 129.85374 57.725) - (xy 129.566842 57.782068) (xy 129.296589 57.89401) (xy 129.053368 58.056525) (xy 128.846525 58.263368) (xy 128.68401 58.506589) - (xy 128.572068 58.776842) (xy 128.515 59.06374) (xy 128.515 59.35626) (xy 128.572068 59.643158) (xy 128.68401 59.913411) - (xy 128.846525 60.156632) (xy 128.97838 60.288487) (xy 128.90582 60.310498) (xy 128.795506 60.369463) (xy 128.698815 60.448815) - (xy 128.619463 60.545506) (xy 128.560498 60.65582) (xy 128.524188 60.775518) (xy 128.511928 60.9) (xy 113.689885 60.9) - (xy 113.681896 53.861014) (xy 180.551371 53.861014) (xy 180.551371 54.064892) (xy 180.591145 54.264851) (xy 180.669166 54.453209) - (xy 180.782434 54.622727) (xy 180.926597 54.76689) (xy 181.096115 54.880158) (xy 181.284473 54.958179) (xy 181.484432 54.997953) - (xy 181.68831 54.997953) (xy 181.888269 54.958179) (xy 182.076627 54.880158) (xy 182.246145 54.76689) (xy 182.314974 54.698061) - (xy 183.165 54.698061) (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) - (xy 183.540226 55.603937) (xy 183.709744 55.717205) (xy 183.898102 55.795226) (xy 184.098061 55.835) (xy 184.301939 55.835) - (xy 184.501898 55.795226) (xy 184.690256 55.717205) (xy 184.8 55.643877) (xy 184.909744 55.717205) (xy 185.098102 55.795226) - (xy 185.298061 55.835) (xy 185.501939 55.835) (xy 185.701898 55.795226) (xy 185.890256 55.717205) (xy 186 55.643877) - (xy 186.109744 55.717205) (xy 186.298102 55.795226) (xy 186.498061 55.835) (xy 186.701939 55.835) (xy 186.901898 55.795226) - (xy 187.090256 55.717205) (xy 187.2 55.643877) (xy 187.309744 55.717205) (xy 187.498102 55.795226) (xy 187.698061 55.835) - (xy 187.901939 55.835) (xy 188.101898 55.795226) (xy 188.290256 55.717205) (xy 188.459774 55.603937) (xy 188.603937 55.459774) - (xy 188.717205 55.290256) (xy 188.795226 55.101898) (xy 188.835 54.901939) (xy 188.835 54.888967) (xy 265.215 54.888967) - (xy 265.215 55.211033) (xy 265.277832 55.526912) (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) - (xy 266.075537 56.498918) (xy 266.373088 56.622168) (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) - (xy 267.624463 56.498918) (xy 267.892252 56.319987) (xy 268.119987 56.092252) (xy 268.298918 55.824463) (xy 268.422168 55.526912) - (xy 268.485 55.211033) (xy 268.485 54.888967) (xy 268.422168 54.573088) (xy 268.298918 54.275537) (xy 268.119987 54.007748) - (xy 267.892252 53.780013) (xy 267.624463 53.601082) (xy 267.326912 53.477832) (xy 267.011033 53.415) (xy 266.688967 53.415) - (xy 266.373088 53.477832) (xy 266.075537 53.601082) (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) - (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 188.835 54.888967) (xy 188.835 54.698061) (xy 188.795226 54.498102) - (xy 188.717205 54.309744) (xy 188.603937 54.140226) (xy 188.459774 53.996063) (xy 188.290256 53.882795) (xy 188.101898 53.804774) - (xy 187.901939 53.765) (xy 187.698061 53.765) (xy 187.498102 53.804774) (xy 187.309744 53.882795) (xy 187.2 53.956123) - (xy 187.090256 53.882795) (xy 186.901898 53.804774) (xy 186.701939 53.765) (xy 186.498061 53.765) (xy 186.298102 53.804774) - (xy 186.109744 53.882795) (xy 186 53.956123) (xy 185.890256 53.882795) (xy 185.701898 53.804774) (xy 185.501939 53.765) - (xy 185.298061 53.765) (xy 185.098102 53.804774) (xy 184.909744 53.882795) (xy 184.8 53.956123) (xy 184.690256 53.882795) - (xy 184.501898 53.804774) (xy 184.301939 53.765) (xy 184.098061 53.765) (xy 183.898102 53.804774) (xy 183.709744 53.882795) - (xy 183.540226 53.996063) (xy 183.396063 54.140226) (xy 183.282795 54.309744) (xy 183.204774 54.498102) (xy 183.165 54.698061) - (xy 182.314974 54.698061) (xy 182.390308 54.622727) (xy 182.503576 54.453209) (xy 182.581597 54.264851) (xy 182.621371 54.064892) - (xy 182.621371 53.861014) (xy 182.591445 53.710561) (xy 254.005 53.710561) (xy 254.005 53.914439) (xy 254.044774 54.114398) - (xy 254.122795 54.302756) (xy 254.236063 54.472274) (xy 254.380226 54.616437) (xy 254.549744 54.729705) (xy 254.738102 54.807726) - (xy 254.938061 54.8475) (xy 255.141939 54.8475) (xy 255.341898 54.807726) (xy 255.530256 54.729705) (xy 255.699774 54.616437) - (xy 255.843937 54.472274) (xy 255.957205 54.302756) (xy 256.035226 54.114398) (xy 256.075 53.914439) (xy 256.075 53.710561) - (xy 256.035226 53.510602) (xy 255.957205 53.322244) (xy 255.843937 53.152726) (xy 255.699774 53.008563) (xy 255.530256 52.895295) - (xy 255.341898 52.817274) (xy 255.141939 52.7775) (xy 254.938061 52.7775) (xy 254.738102 52.817274) (xy 254.549744 52.895295) - (xy 254.380226 53.008563) (xy 254.236063 53.152726) (xy 254.122795 53.322244) (xy 254.044774 53.510602) (xy 254.005 53.710561) - (xy 182.591445 53.710561) (xy 182.581597 53.661055) (xy 182.503576 53.472697) (xy 182.390308 53.303179) (xy 182.246145 53.159016) - (xy 182.076627 53.045748) (xy 181.888269 52.967727) (xy 181.68831 52.927953) (xy 181.484432 52.927953) (xy 181.284473 52.967727) - (xy 181.096115 53.045748) (xy 180.926597 53.159016) (xy 180.782434 53.303179) (xy 180.669166 53.472697) (xy 180.591145 53.661055) - (xy 180.551371 53.861014) (xy 113.681896 53.861014) (xy 113.677624 50.098061) (xy 144.09 50.098061) (xy 144.09 50.301939) - (xy 144.129774 50.501898) (xy 144.207795 50.690256) (xy 144.321063 50.859774) (xy 144.465226 51.003937) (xy 144.634744 51.117205) - (xy 144.823102 51.195226) (xy 145.023061 51.235) (xy 145.226939 51.235) (xy 145.426898 51.195226) (xy 145.615256 51.117205) - (xy 145.784774 51.003937) (xy 145.928937 50.859774) (xy 146.042205 50.690256) (xy 146.054317 50.661014) (xy 185.751371 50.661014) - (xy 185.751371 50.864892) (xy 185.791145 51.064851) (xy 185.869166 51.253209) (xy 185.982434 51.422727) (xy 186.126597 51.56689) - (xy 186.296115 51.680158) (xy 186.484473 51.758179) (xy 186.684432 51.797953) (xy 186.88831 51.797953) (xy 187.088269 51.758179) - (xy 187.276627 51.680158) (xy 187.446145 51.56689) (xy 187.590308 51.422727) (xy 187.703576 51.253209) (xy 187.781597 51.064851) - (xy 187.821371 50.864892) (xy 187.821371 50.661014) (xy 187.803967 50.573514) (xy 189.576371 50.573514) (xy 189.576371 50.777392) - (xy 189.616145 50.977351) (xy 189.694166 51.165709) (xy 189.807434 51.335227) (xy 189.951597 51.47939) (xy 190.121115 51.592658) - (xy 190.309473 51.670679) (xy 190.509432 51.710453) (xy 190.71331 51.710453) (xy 190.913269 51.670679) (xy 191.101627 51.592658) - (xy 191.271145 51.47939) (xy 191.415308 51.335227) (xy 191.528576 51.165709) (xy 191.606597 50.977351) (xy 191.646371 50.777392) - (xy 191.646371 50.698061) (xy 207.705 50.698061) (xy 207.705 50.901939) (xy 207.744774 51.101898) (xy 207.822795 51.290256) - (xy 207.936063 51.459774) (xy 208.080226 51.603937) (xy 208.249744 51.717205) (xy 208.438102 51.795226) (xy 208.638061 51.835) - (xy 208.841939 51.835) (xy 209.041898 51.795226) (xy 209.230256 51.717205) (xy 209.399774 51.603937) (xy 209.543937 51.459774) - (xy 209.657205 51.290256) (xy 209.735226 51.101898) (xy 209.775 50.901939) (xy 209.775 50.698061) (xy 209.735226 50.498102) - (xy 209.657205 50.309744) (xy 209.543937 50.140226) (xy 209.399774 49.996063) (xy 209.230256 49.882795) (xy 209.041898 49.804774) - (xy 208.841939 49.765) (xy 208.638061 49.765) (xy 208.438102 49.804774) (xy 208.249744 49.882795) (xy 208.080226 49.996063) - (xy 207.936063 50.140226) (xy 207.822795 50.309744) (xy 207.744774 50.498102) (xy 207.705 50.698061) (xy 191.646371 50.698061) - (xy 191.646371 50.573514) (xy 191.606597 50.373555) (xy 191.528576 50.185197) (xy 191.415308 50.015679) (xy 191.271145 49.871516) - (xy 191.101627 49.758248) (xy 190.913269 49.680227) (xy 190.71331 49.640453) (xy 190.509432 49.640453) (xy 190.309473 49.680227) - (xy 190.121115 49.758248) (xy 189.951597 49.871516) (xy 189.807434 50.015679) (xy 189.694166 50.185197) (xy 189.616145 50.373555) - (xy 189.576371 50.573514) (xy 187.803967 50.573514) (xy 187.781597 50.461055) (xy 187.703576 50.272697) (xy 187.590308 50.103179) - (xy 187.446145 49.959016) (xy 187.276627 49.845748) (xy 187.088269 49.767727) (xy 186.88831 49.727953) (xy 186.684432 49.727953) - (xy 186.484473 49.767727) (xy 186.296115 49.845748) (xy 186.126597 49.959016) (xy 185.982434 50.103179) (xy 185.869166 50.272697) - (xy 185.791145 50.461055) (xy 185.751371 50.661014) (xy 146.054317 50.661014) (xy 146.120226 50.501898) (xy 146.16 50.301939) - (xy 146.16 50.098061) (xy 146.120226 49.898102) (xy 146.042205 49.709744) (xy 145.928937 49.540226) (xy 145.784774 49.396063) + (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 48.744267) + (xy 272.603937 48.720226) (xy 272.459774 48.576063) (xy 272.290256 48.462795) (xy 272.101898 48.384774) (xy 271.901939 48.345) + (xy 271.698061 48.345) (xy 271.498102 48.384774) (xy 271.309744 48.462795) (xy 271.140226 48.576063) (xy 270.996063 48.720226) + (xy 270.882795 48.889744) (xy 270.804774 49.078102) (xy 270.765 49.278061) (xy 270.765 49.481939) (xy 270.804774 49.681898) + (xy 270.882795 49.870256) (xy 270.996063 50.039774) (xy 271.140226 50.183937) (xy 271.309744 50.297205) (xy 271.498102 50.375226) + (xy 271.698061 50.415) (xy 271.901939 50.415) (xy 272.101898 50.375226) (xy 272.290256 50.297205) (xy 272.459774 50.183937) + (xy 272.603937 50.039774) (xy 272.620001 50.015733) (xy 272.620001 53.031509) (xy 272.574463 53.001082) (xy 272.276912 52.877832) + (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) (xy 271.025537 53.001082) (xy 270.757748 53.180013) + (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) (xy 270.165 54.288967) (xy 270.165 54.611033) + (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) (xy 270.757748 55.719987) (xy 271.025537 55.898918) + (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) (xy 272.276912 56.022168) (xy 272.574463 55.898918) + (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) (xy 272.276912 68.577832) (xy 271.961033 68.515) + (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) (xy 270.757748 68.880013) (xy 270.530013 69.107748) + (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) (xy 270.165 70.311033) (xy 270.227832 70.626912) + (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 271.025537 71.598918) (xy 271.323088 71.722168) + (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) (xy 272.574463 71.598918) (xy 272.62 71.568491) + (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) (xy 271.486835 74.335) (xy 271.305398 74.35287) + (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) (xy 270.515667 74.880551) (xy 270.400989 75.095099) + (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.33037 75.812102) (xy 270.333383 75.822035) (xy 270.253881 75.703051) + (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) (xy 269.331448 75.21) (xy 269.063552 75.21) + (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) (xy 267.992284 75.925799) + (xy 267.895432 76.159619) (xy 267.821939 76.145) (xy 267.618061 76.145) (xy 267.418102 76.184774) (xy 267.229744 76.262795) + (xy 267.060226 76.376063) (xy 266.916063 76.520226) (xy 266.802795 76.689744) (xy 266.724774 76.878102) (xy 266.685 77.078061) + (xy 266.685 77.281939) (xy 266.724774 77.481898) (xy 266.802795 77.670256) (xy 266.916063 77.839774) (xy 267.060226 77.983937) + (xy 267.229744 78.097205) (xy 267.418102 78.175226) (xy 267.618061 78.215) (xy 267.821939 78.215) (xy 268.021898 78.175226) + (xy 268.210256 78.097205) (xy 268.379774 77.983937) (xy 268.523937 77.839774) (xy 268.564087 77.779685) (xy 268.800803 77.877736) + (xy 269.063552 77.93) (xy 269.331448 77.93) (xy 269.594197 77.877736) (xy 269.841701 77.775216) (xy 270.064449 77.626381) + (xy 270.253881 77.436949) (xy 270.402716 77.214201) (xy 270.505236 76.966697) (xy 270.5575 76.703948) (xy 270.5575 76.436052) + (xy 270.524516 76.270232) (xy 270.669998 76.447502) (xy 270.858051 76.601833) (xy 271.072599 76.716511) (xy 271.305398 76.78713) + (xy 271.486835 76.805) (xy 272.308165 76.805) (xy 272.489602 76.78713) (xy 272.62 76.747574) (xy 272.62 81.392426) + (xy 272.489602 81.35287) (xy 272.308165 81.335) (xy 271.486835 81.335) (xy 271.305398 81.35287) (xy 271.072599 81.423489) + (xy 270.858051 81.538167) (xy 270.669998 81.692498) (xy 270.524516 81.869768) (xy 270.5575 81.703948) (xy 270.5575 81.436052) + (xy 270.505236 81.173303) (xy 270.402716 80.925799) (xy 270.253881 80.703051) (xy 270.064449 80.513619) (xy 269.841701 80.364784) + (xy 269.594197 80.262264) (xy 269.331448 80.21) (xy 269.063552 80.21) (xy 268.800803 80.262264) (xy 268.553299 80.364784) + (xy 268.330551 80.513619) (xy 268.141119 80.703051) (xy 267.992284 80.925799) (xy 267.889764 81.173303) (xy 267.8375 81.436052) + (xy 267.8375 81.703948) (xy 267.889764 81.966697) (xy 267.992284 82.214201) (xy 268.141119 82.436949) (xy 268.330551 82.626381) + (xy 268.553299 82.775216) (xy 268.800803 82.877736) (xy 269.063552 82.93) (xy 269.331448 82.93) (xy 269.594197 82.877736) + (xy 269.841701 82.775216) (xy 270.064449 82.626381) (xy 270.253881 82.436949) (xy 270.333383 82.317965) (xy 270.33037 82.327898) + (xy 270.306525 82.57) (xy 270.33037 82.812102) (xy 270.400989 83.044901) (xy 270.515667 83.259449) (xy 270.669998 83.447502) + (xy 270.858051 83.601833) (xy 271.072599 83.716511) (xy 271.305398 83.78713) (xy 271.486835 83.805) (xy 272.308165 83.805) + (xy 272.489602 83.78713) (xy 272.62 83.747574) (xy 272.62 93.473) (xy 270.244313 93.473) (xy 270.235042 93.459125) + (xy 269.880875 93.104958) (xy 269.464419 92.826691) (xy 269.001677 92.635017) (xy 268.510434 92.537303) (xy 268.009566 92.537303) + (xy 267.518323 92.635017) (xy 267.055581 92.826691) (xy 266.639125 93.104958) (xy 266.284958 93.459125) (xy 266.275687 93.473) + (xy 196.507272 93.473) (xy 196.690256 93.397205) (xy 196.859774 93.283937) (xy 197.003937 93.139774) (xy 197.117205 92.970256) + (xy 197.195226 92.781898) (xy 197.235 92.581939) (xy 197.235 92.378061) (xy 197.195226 92.178102) (xy 197.117205 91.989744) + (xy 197.003937 91.820226) (xy 196.859774 91.676063) (xy 196.690256 91.562795) (xy 196.501898 91.484774) (xy 196.301939 91.445) + (xy 196.098061 91.445) (xy 195.898102 91.484774) (xy 195.709744 91.562795) (xy 195.540226 91.676063) (xy 195.396063 91.820226) + (xy 195.282795 91.989744) (xy 195.204774 92.178102) (xy 195.165 92.378061) (xy 195.165 92.581939) (xy 195.204774 92.781898) + (xy 195.282795 92.970256) (xy 195.396063 93.139774) (xy 195.540226 93.283937) (xy 195.709744 93.397205) (xy 195.892728 93.473) + (xy 180.107272 93.473) (xy 180.290256 93.397205) (xy 180.459774 93.283937) (xy 180.603937 93.139774) (xy 180.717205 92.970256) + (xy 180.795226 92.781898) (xy 180.835 92.581939) (xy 180.835 92.378061) (xy 180.795226 92.178102) (xy 180.717205 91.989744) + (xy 180.603937 91.820226) (xy 180.459774 91.676063) (xy 180.290256 91.562795) (xy 180.101898 91.484774) (xy 179.901939 91.445) + (xy 179.698061 91.445) (xy 179.498102 91.484774) (xy 179.309744 91.562795) (xy 179.140226 91.676063) (xy 178.996063 91.820226) + (xy 178.882795 91.989744) (xy 178.804774 92.178102) (xy 178.765 92.378061) (xy 178.765 92.581939) (xy 178.804774 92.781898) + (xy 178.882795 92.970256) (xy 178.996063 93.139774) (xy 179.140226 93.283937) (xy 179.309744 93.397205) (xy 179.492728 93.473) + (xy 124.724353 93.473) (xy 124.795226 93.301898) (xy 124.835 93.101939) (xy 124.835 92.898061) (xy 124.795226 92.698102) + (xy 124.717205 92.509744) (xy 124.603937 92.340226) (xy 124.459774 92.196063) (xy 124.290256 92.082795) (xy 124.101898 92.004774) + (xy 123.901939 91.965) (xy 123.698061 91.965) (xy 123.498102 92.004774) (xy 123.309744 92.082795) (xy 123.140226 92.196063) + (xy 122.996063 92.340226) (xy 122.882795 92.509744) (xy 122.804774 92.698102) (xy 122.765 92.898061) (xy 122.765 93.101939) + (xy 122.804774 93.301898) (xy 122.875647 93.473) (xy 113.726856 93.473) (xy 113.725069 91.898061) (xy 157.065 91.898061) + (xy 157.065 92.101939) (xy 157.104774 92.301898) (xy 157.182795 92.490256) (xy 157.296063 92.659774) (xy 157.440226 92.803937) + (xy 157.609744 92.917205) (xy 157.798102 92.995226) (xy 157.998061 93.035) (xy 158.201939 93.035) (xy 158.401898 92.995226) + (xy 158.590256 92.917205) (xy 158.759774 92.803937) (xy 158.903937 92.659774) (xy 159.017205 92.490256) (xy 159.095226 92.301898) + (xy 159.135 92.101939) (xy 159.135 91.898061) (xy 159.095226 91.698102) (xy 159.017205 91.509744) (xy 158.992695 91.473061) + (xy 167.615 91.473061) (xy 167.615 91.676939) (xy 167.654774 91.876898) (xy 167.732795 92.065256) (xy 167.846063 92.234774) + (xy 167.990226 92.378937) (xy 168.159744 92.492205) (xy 168.348102 92.570226) (xy 168.548061 92.61) (xy 168.751939 92.61) + (xy 168.951898 92.570226) (xy 169.140256 92.492205) (xy 169.309774 92.378937) (xy 169.453937 92.234774) (xy 169.567205 92.065256) + (xy 169.645226 91.876898) (xy 169.685 91.676939) (xy 169.685 91.473061) (xy 169.645226 91.273102) (xy 169.567205 91.084744) + (xy 169.453937 90.915226) (xy 169.309774 90.771063) (xy 169.140256 90.657795) (xy 168.951898 90.579774) (xy 168.751939 90.54) + (xy 168.548061 90.54) (xy 168.348102 90.579774) (xy 168.159744 90.657795) (xy 167.990226 90.771063) (xy 167.846063 90.915226) + (xy 167.732795 91.084744) (xy 167.654774 91.273102) (xy 167.615 91.473061) (xy 158.992695 91.473061) (xy 158.903937 91.340226) + (xy 158.759774 91.196063) (xy 158.590256 91.082795) (xy 158.401898 91.004774) (xy 158.201939 90.965) (xy 157.998061 90.965) + (xy 157.798102 91.004774) (xy 157.609744 91.082795) (xy 157.440226 91.196063) (xy 157.296063 91.340226) (xy 157.182795 91.509744) + (xy 157.104774 91.698102) (xy 157.065 91.898061) (xy 113.725069 91.898061) (xy 113.719938 87.378061) (xy 114.165 87.378061) + (xy 114.165 87.581939) (xy 114.204774 87.781898) (xy 114.282795 87.970256) (xy 114.396063 88.139774) (xy 114.540226 88.283937) + (xy 114.709744 88.397205) (xy 114.849272 88.455) (xy 114.709744 88.512795) (xy 114.540226 88.626063) (xy 114.396063 88.770226) + (xy 114.282795 88.939744) (xy 114.204774 89.128102) (xy 114.165 89.328061) (xy 114.165 89.531939) (xy 114.204774 89.731898) + (xy 114.282795 89.920256) (xy 114.389532 90.08) (xy 114.282795 90.239744) (xy 114.204774 90.428102) (xy 114.165 90.628061) + (xy 114.165 90.831939) (xy 114.204774 91.031898) (xy 114.282795 91.220256) (xy 114.396063 91.389774) (xy 114.540226 91.533937) + (xy 114.709744 91.647205) (xy 114.898102 91.725226) (xy 115.098061 91.765) (xy 115.301939 91.765) (xy 115.501898 91.725226) + (xy 115.690256 91.647205) (xy 115.859774 91.533937) (xy 116.003937 91.389774) (xy 116.117205 91.220256) (xy 116.195226 91.031898) + (xy 116.235 90.831939) (xy 116.235 90.628061) (xy 116.195226 90.428102) (xy 116.117205 90.239744) (xy 116.010468 90.08) + (xy 116.117205 89.920256) (xy 116.195226 89.731898) (xy 116.235 89.531939) (xy 116.235 89.328061) (xy 116.211628 89.210561) + (xy 136.465 89.210561) (xy 136.465 89.414439) (xy 136.504774 89.614398) (xy 136.582795 89.802756) (xy 136.696063 89.972274) + (xy 136.840226 90.116437) (xy 137.009744 90.229705) (xy 137.198102 90.307726) (xy 137.398061 90.3475) (xy 137.601939 90.3475) + (xy 137.801898 90.307726) (xy 137.990256 90.229705) (xy 138.159774 90.116437) (xy 138.303937 89.972274) (xy 138.320115 89.948061) + (xy 144.265 89.948061) (xy 144.265 90.151939) (xy 144.304774 90.351898) (xy 144.382795 90.540256) (xy 144.496063 90.709774) + (xy 144.640226 90.853937) (xy 144.809744 90.967205) (xy 144.998102 91.045226) (xy 145.198061 91.085) (xy 145.401939 91.085) + (xy 145.601898 91.045226) (xy 145.790256 90.967205) (xy 145.959774 90.853937) (xy 146.103937 90.709774) (xy 146.217205 90.540256) + (xy 146.267017 90.419999) (xy 216.731928 90.419999) (xy 216.731928 92.120001) (xy 216.748992 92.293255) (xy 216.799528 92.459851) + (xy 216.881595 92.613387) (xy 216.992038 92.747962) (xy 217.126613 92.858405) (xy 217.280149 92.940472) (xy 217.446745 92.991008) + (xy 217.619999 93.008072) (xy 218.860001 93.008072) (xy 219.033255 92.991008) (xy 219.199851 92.940472) (xy 219.353387 92.858405) + (xy 219.487962 92.747962) (xy 219.598405 92.613387) (xy 219.656934 92.503886) (xy 219.710655 92.569345) (xy 219.939822 92.757417) + (xy 220.201276 92.897166) (xy 220.484969 92.983224) (xy 220.78 93.012282) (xy 221.075032 92.983224) (xy 221.358725 92.897166) + (xy 221.620179 92.757417) (xy 221.849345 92.569345) (xy 222.037417 92.340179) (xy 222.05 92.316638) (xy 222.062583 92.340179) + (xy 222.250655 92.569345) (xy 222.479822 92.757417) (xy 222.741276 92.897166) (xy 223.024969 92.983224) (xy 223.32 93.012282) + (xy 223.615032 92.983224) (xy 223.898725 92.897166) (xy 224.160179 92.757417) (xy 224.389345 92.569345) (xy 224.577417 92.340179) + (xy 224.717166 92.078724) (xy 224.803224 91.795031) (xy 224.825 91.573935) (xy 224.825 90.966064) (xy 224.803224 90.744968) + (xy 224.717166 90.461275) (xy 224.577417 90.199821) (xy 224.389345 89.970655) (xy 224.160178 89.782583) (xy 223.898724 89.642834) + (xy 223.615031 89.556776) (xy 223.32 89.527718) (xy 223.024968 89.556776) (xy 222.741275 89.642834) (xy 222.479821 89.782583) + (xy 222.250655 89.970655) (xy 222.062583 90.199822) (xy 222.05 90.223363) (xy 222.037417 90.199821) (xy 221.849345 89.970655) + (xy 221.620178 89.782583) (xy 221.358724 89.642834) (xy 221.075031 89.556776) (xy 220.78 89.527718) (xy 220.484968 89.556776) + (xy 220.201275 89.642834) (xy 219.939821 89.782583) (xy 219.710655 89.970655) (xy 219.656935 90.036114) (xy 219.598405 89.926613) + (xy 219.487962 89.792038) (xy 219.353387 89.681595) (xy 219.199851 89.599528) (xy 219.033255 89.548992) (xy 218.860001 89.531928) + (xy 217.619999 89.531928) (xy 217.446745 89.548992) (xy 217.280149 89.599528) (xy 217.126613 89.681595) (xy 216.992038 89.792038) + (xy 216.881595 89.926613) (xy 216.799528 90.080149) (xy 216.748992 90.246745) (xy 216.731928 90.419999) (xy 146.267017 90.419999) + (xy 146.295226 90.351898) (xy 146.335 90.151939) (xy 146.335 89.948061) (xy 146.295226 89.748102) (xy 146.217205 89.559744) + (xy 146.103937 89.390226) (xy 145.959774 89.246063) (xy 145.921931 89.220777) (xy 266.3625 89.220777) (xy 266.3625 89.779223) + (xy 266.471448 90.326939) (xy 266.685156 90.842876) (xy 266.995412 91.307207) (xy 267.390293 91.702088) (xy 267.854624 92.012344) + (xy 268.370561 92.226052) (xy 268.918277 92.335) (xy 269.476723 92.335) (xy 270.024439 92.226052) (xy 270.540376 92.012344) + (xy 271.004707 91.702088) (xy 271.399588 91.307207) (xy 271.709844 90.842876) (xy 271.923552 90.326939) (xy 272.0325 89.779223) + (xy 272.0325 89.220777) (xy 271.923552 88.673061) (xy 271.709844 88.157124) (xy 271.399588 87.692793) (xy 271.004707 87.297912) + (xy 270.540376 86.987656) (xy 270.024439 86.773948) (xy 269.476723 86.665) (xy 268.918277 86.665) (xy 268.370561 86.773948) + (xy 267.854624 86.987656) (xy 267.390293 87.297912) (xy 266.995412 87.692793) (xy 266.685156 88.157124) (xy 266.471448 88.673061) + (xy 266.3625 89.220777) (xy 145.921931 89.220777) (xy 145.790256 89.132795) (xy 145.700317 89.095541) (xy 145.701898 89.095226) + (xy 145.890256 89.017205) (xy 146.059774 88.903937) (xy 146.203937 88.759774) (xy 146.317205 88.590256) (xy 146.395226 88.401898) + (xy 146.435 88.201939) (xy 146.435 87.998061) (xy 146.395226 87.798102) (xy 146.317205 87.609744) (xy 146.203937 87.440226) + (xy 146.059774 87.296063) (xy 145.890256 87.182795) (xy 145.701898 87.104774) (xy 145.501939 87.065) (xy 145.298061 87.065) + (xy 145.098102 87.104774) (xy 144.909744 87.182795) (xy 144.740226 87.296063) (xy 144.596063 87.440226) (xy 144.482795 87.609744) + (xy 144.404774 87.798102) (xy 144.365 87.998061) (xy 144.365 88.201939) (xy 144.404774 88.401898) (xy 144.482795 88.590256) + (xy 144.596063 88.759774) (xy 144.740226 88.903937) (xy 144.909744 89.017205) (xy 144.999683 89.054459) (xy 144.998102 89.054774) + (xy 144.809744 89.132795) (xy 144.640226 89.246063) (xy 144.496063 89.390226) (xy 144.382795 89.559744) (xy 144.304774 89.748102) + (xy 144.265 89.948061) (xy 138.320115 89.948061) (xy 138.417205 89.802756) (xy 138.495226 89.614398) (xy 138.535 89.414439) + (xy 138.535 89.210561) (xy 138.495226 89.010602) (xy 138.417205 88.822244) (xy 138.303937 88.652726) (xy 138.159774 88.508563) + (xy 137.990256 88.395295) (xy 137.801898 88.317274) (xy 137.601939 88.2775) (xy 137.398061 88.2775) (xy 137.198102 88.317274) + (xy 137.009744 88.395295) (xy 136.840226 88.508563) (xy 136.696063 88.652726) (xy 136.582795 88.822244) (xy 136.504774 89.010602) + (xy 136.465 89.210561) (xy 116.211628 89.210561) (xy 116.195226 89.128102) (xy 116.117205 88.939744) (xy 116.003937 88.770226) + (xy 115.859774 88.626063) (xy 115.690256 88.512795) (xy 115.550728 88.455) (xy 115.690256 88.397205) (xy 115.859774 88.283937) + (xy 116.003937 88.139774) (xy 116.117205 87.970256) (xy 116.147109 87.898061) (xy 127.062842 87.898061) (xy 127.062842 88.101939) + (xy 127.102616 88.301898) (xy 127.180637 88.490256) (xy 127.293905 88.659774) (xy 127.438068 88.803937) (xy 127.607586 88.917205) + (xy 127.795944 88.995226) (xy 127.995903 89.035) (xy 128.199781 89.035) (xy 128.39974 88.995226) (xy 128.588098 88.917205) + (xy 128.757616 88.803937) (xy 128.901779 88.659774) (xy 128.997842 88.516005) (xy 129.093905 88.659774) (xy 129.238068 88.803937) + (xy 129.407586 88.917205) (xy 129.595944 88.995226) (xy 129.795903 89.035) (xy 129.999781 89.035) (xy 130.19974 88.995226) + (xy 130.388098 88.917205) (xy 130.557616 88.803937) (xy 130.701779 88.659774) (xy 130.797842 88.516005) (xy 130.893905 88.659774) + (xy 131.038068 88.803937) (xy 131.207586 88.917205) (xy 131.395944 88.995226) (xy 131.595903 89.035) (xy 131.799781 89.035) + (xy 131.99974 88.995226) (xy 132.188098 88.917205) (xy 132.357616 88.803937) (xy 132.501779 88.659774) (xy 132.554703 88.580567) + (xy 132.617631 88.674746) (xy 132.761794 88.818909) (xy 132.931312 88.932177) (xy 133.11967 89.010198) (xy 133.319629 89.049972) + (xy 133.523507 89.049972) (xy 133.723466 89.010198) (xy 133.911824 88.932177) (xy 134.081342 88.818909) (xy 134.225505 88.674746) + (xy 134.338773 88.505228) (xy 134.416794 88.31687) (xy 134.456568 88.116911) (xy 134.456568 87.913033) (xy 134.416794 87.713074) + (xy 134.338773 87.524716) (xy 134.225505 87.355198) (xy 134.218368 87.348061) (xy 138.265 87.348061) (xy 138.265 87.551939) + (xy 138.304774 87.751898) (xy 138.382795 87.940256) (xy 138.496063 88.109774) (xy 138.640226 88.253937) (xy 138.809744 88.367205) + (xy 138.998102 88.445226) (xy 139.198061 88.485) (xy 139.401939 88.485) (xy 139.601898 88.445226) (xy 139.790256 88.367205) + (xy 139.959774 88.253937) (xy 140.103937 88.109774) (xy 140.217205 87.940256) (xy 140.295226 87.751898) (xy 140.335 87.551939) + (xy 140.335 87.348061) (xy 140.295226 87.148102) (xy 140.217205 86.959744) (xy 140.103937 86.790226) (xy 140.011772 86.698061) + (xy 147.165 86.698061) (xy 147.165 86.901939) (xy 147.204774 87.101898) (xy 147.282795 87.290256) (xy 147.396063 87.459774) + (xy 147.540226 87.603937) (xy 147.709744 87.717205) (xy 147.898102 87.795226) (xy 148.098061 87.835) (xy 148.301939 87.835) + (xy 148.501898 87.795226) (xy 148.690256 87.717205) (xy 148.859774 87.603937) (xy 148.90565 87.558061) (xy 252.815 87.558061) + (xy 252.815 87.761939) (xy 252.854774 87.961898) (xy 252.932795 88.150256) (xy 253.046063 88.319774) (xy 253.190226 88.463937) + (xy 253.359744 88.577205) (xy 253.548102 88.655226) (xy 253.748061 88.695) (xy 253.951939 88.695) (xy 254.151898 88.655226) + (xy 254.340256 88.577205) (xy 254.509774 88.463937) (xy 254.653937 88.319774) (xy 254.767205 88.150256) (xy 254.845226 87.961898) + (xy 254.873836 87.818061) (xy 254.975 87.818061) (xy 254.975 88.021939) (xy 255.014774 88.221898) (xy 255.092795 88.410256) + (xy 255.206063 88.579774) (xy 255.350226 88.723937) (xy 255.519744 88.837205) (xy 255.708102 88.915226) (xy 255.908061 88.955) + (xy 256.111939 88.955) (xy 256.311898 88.915226) (xy 256.500256 88.837205) (xy 256.669774 88.723937) (xy 256.813937 88.579774) + (xy 256.927205 88.410256) (xy 257.005226 88.221898) (xy 257.045 88.021939) (xy 257.045 87.818061) (xy 257.005226 87.618102) + (xy 256.927205 87.429744) (xy 256.813937 87.260226) (xy 256.669774 87.116063) (xy 256.500256 87.002795) (xy 256.311898 86.924774) + (xy 256.111939 86.885) (xy 255.908061 86.885) (xy 255.708102 86.924774) (xy 255.519744 87.002795) (xy 255.350226 87.116063) + (xy 255.206063 87.260226) (xy 255.092795 87.429744) (xy 255.014774 87.618102) (xy 254.975 87.818061) (xy 254.873836 87.818061) + (xy 254.885 87.761939) (xy 254.885 87.558061) (xy 254.845226 87.358102) (xy 254.767205 87.169744) (xy 254.653937 87.000226) + (xy 254.509774 86.856063) (xy 254.340256 86.742795) (xy 254.151898 86.664774) (xy 253.951939 86.625) (xy 253.748061 86.625) + (xy 253.548102 86.664774) (xy 253.359744 86.742795) (xy 253.190226 86.856063) (xy 253.046063 87.000226) (xy 252.932795 87.169744) + (xy 252.854774 87.358102) (xy 252.815 87.558061) (xy 148.90565 87.558061) (xy 149.003937 87.459774) (xy 149.117205 87.290256) + (xy 149.195226 87.101898) (xy 149.235 86.901939) (xy 149.235 86.698061) (xy 149.195226 86.498102) (xy 149.117205 86.309744) + (xy 149.003937 86.140226) (xy 148.859774 85.996063) (xy 148.690256 85.882795) (xy 148.501898 85.804774) (xy 148.301939 85.765) + (xy 148.098061 85.765) (xy 147.898102 85.804774) (xy 147.709744 85.882795) (xy 147.540226 85.996063) (xy 147.396063 86.140226) + (xy 147.282795 86.309744) (xy 147.204774 86.498102) (xy 147.165 86.698061) (xy 140.011772 86.698061) (xy 139.959774 86.646063) + (xy 139.790256 86.532795) (xy 139.601898 86.454774) (xy 139.401939 86.415) (xy 139.198061 86.415) (xy 138.998102 86.454774) + (xy 138.809744 86.532795) (xy 138.640226 86.646063) (xy 138.496063 86.790226) (xy 138.382795 86.959744) (xy 138.304774 87.148102) + (xy 138.265 87.348061) (xy 134.218368 87.348061) (xy 134.081342 87.211035) (xy 133.911824 87.097767) (xy 133.723466 87.019746) + (xy 133.523507 86.979972) (xy 133.319629 86.979972) (xy 133.11967 87.019746) (xy 132.931312 87.097767) (xy 132.761794 87.211035) + (xy 132.617631 87.355198) (xy 132.564707 87.434405) (xy 132.501779 87.340226) (xy 132.357616 87.196063) (xy 132.188098 87.082795) + (xy 131.99974 87.004774) (xy 131.799781 86.965) (xy 131.595903 86.965) (xy 131.395944 87.004774) (xy 131.207586 87.082795) + (xy 131.038068 87.196063) (xy 130.893905 87.340226) (xy 130.797842 87.483995) (xy 130.701779 87.340226) (xy 130.557616 87.196063) + (xy 130.388098 87.082795) (xy 130.19974 87.004774) (xy 129.999781 86.965) (xy 129.795903 86.965) (xy 129.595944 87.004774) + (xy 129.407586 87.082795) (xy 129.238068 87.196063) (xy 129.093905 87.340226) (xy 128.997842 87.483995) (xy 128.901779 87.340226) + (xy 128.757616 87.196063) (xy 128.588098 87.082795) (xy 128.39974 87.004774) (xy 128.199781 86.965) (xy 127.995903 86.965) + (xy 127.795944 87.004774) (xy 127.607586 87.082795) (xy 127.438068 87.196063) (xy 127.293905 87.340226) (xy 127.180637 87.509744) + (xy 127.102616 87.698102) (xy 127.062842 87.898061) (xy 116.147109 87.898061) (xy 116.195226 87.781898) (xy 116.235 87.581939) + (xy 116.235 87.378061) (xy 116.195226 87.178102) (xy 116.117205 86.989744) (xy 116.003937 86.820226) (xy 115.859774 86.676063) + (xy 115.690256 86.562795) (xy 115.501898 86.484774) (xy 115.301939 86.445) (xy 115.098061 86.445) (xy 114.898102 86.484774) + (xy 114.709744 86.562795) (xy 114.540226 86.676063) (xy 114.396063 86.820226) (xy 114.282795 86.989744) (xy 114.204774 87.178102) + (xy 114.165 87.378061) (xy 113.719938 87.378061) (xy 113.716874 84.678061) (xy 116.165 84.678061) (xy 116.165 84.881939) + (xy 116.204774 85.081898) (xy 116.282795 85.270256) (xy 116.396063 85.439774) (xy 116.540226 85.583937) (xy 116.709744 85.697205) + (xy 116.898102 85.775226) (xy 117.098061 85.815) (xy 117.301939 85.815) (xy 117.501898 85.775226) (xy 117.690256 85.697205) + (xy 117.859774 85.583937) (xy 118.003937 85.439774) (xy 118.117205 85.270256) (xy 118.195226 85.081898) (xy 118.235 84.881939) + (xy 118.235 84.678061) (xy 118.195226 84.478102) (xy 118.117205 84.289744) (xy 118.003937 84.120226) (xy 117.859774 83.976063) + (xy 117.690256 83.862795) (xy 117.655074 83.848222) (xy 181.165161 83.848222) (xy 181.165161 84.0521) (xy 181.204935 84.252059) + (xy 181.282956 84.440417) (xy 181.396224 84.609935) (xy 181.540387 84.754098) (xy 181.709905 84.867366) (xy 181.898263 84.945387) + (xy 182.098222 84.985161) (xy 182.3021 84.985161) (xy 182.502059 84.945387) (xy 182.690417 84.867366) (xy 182.859935 84.754098) + (xy 183.004098 84.609935) (xy 183.117366 84.440417) (xy 183.127657 84.415572) (xy 183.154774 84.551898) (xy 183.232795 84.740256) + (xy 183.346063 84.909774) (xy 183.490226 85.053937) (xy 183.659744 85.167205) (xy 183.848102 85.245226) (xy 184.048061 85.285) + (xy 184.251939 85.285) (xy 184.451898 85.245226) (xy 184.640256 85.167205) (xy 184.809774 85.053937) (xy 184.953937 84.909774) + (xy 185.067205 84.740256) (xy 185.106552 84.645263) (xy 185.140226 84.678937) (xy 185.309744 84.792205) (xy 185.498102 84.870226) + (xy 185.698061 84.91) (xy 185.901939 84.91) (xy 186.101898 84.870226) (xy 186.290256 84.792205) (xy 186.459774 84.678937) + (xy 186.603937 84.534774) (xy 186.717205 84.365256) (xy 186.795226 84.176898) (xy 186.807157 84.116918) (xy 186.898061 84.135) + (xy 187.101939 84.135) (xy 187.301898 84.095226) (xy 187.490256 84.017205) (xy 187.659774 83.903937) (xy 187.803937 83.759774) + (xy 187.917205 83.590256) (xy 187.995226 83.401898) (xy 188.035 83.201939) (xy 188.035 82.998061) (xy 187.995226 82.798102) + (xy 187.924793 82.628061) (xy 235.415 82.628061) (xy 235.415 82.831939) (xy 235.454774 83.031898) (xy 235.532795 83.220256) + (xy 235.646063 83.389774) (xy 235.790226 83.533937) (xy 235.959744 83.647205) (xy 236.148102 83.725226) (xy 236.348061 83.765) + (xy 236.551939 83.765) (xy 236.751898 83.725226) (xy 236.940256 83.647205) (xy 237.109774 83.533937) (xy 237.253937 83.389774) + (xy 237.367205 83.220256) (xy 237.445226 83.031898) (xy 237.485 82.831939) (xy 237.485 82.628061) (xy 251.865 82.628061) + (xy 251.865 82.831939) (xy 251.904774 83.031898) (xy 251.982795 83.220256) (xy 252.096063 83.389774) (xy 252.240226 83.533937) + (xy 252.409744 83.647205) (xy 252.598102 83.725226) (xy 252.798061 83.765) (xy 253.001939 83.765) (xy 253.201898 83.725226) + (xy 253.390256 83.647205) (xy 253.559774 83.533937) (xy 253.703937 83.389774) (xy 253.817205 83.220256) (xy 253.895226 83.031898) + (xy 253.935 82.831939) (xy 253.935 82.628061) (xy 253.895226 82.428102) (xy 253.817205 82.239744) (xy 253.703937 82.070226) + (xy 253.559774 81.926063) (xy 253.390256 81.812795) (xy 253.201898 81.734774) (xy 253.001939 81.695) (xy 252.798061 81.695) + (xy 252.598102 81.734774) (xy 252.409744 81.812795) (xy 252.240226 81.926063) (xy 252.096063 82.070226) (xy 251.982795 82.239744) + (xy 251.904774 82.428102) (xy 251.865 82.628061) (xy 237.485 82.628061) (xy 237.445226 82.428102) (xy 237.367205 82.239744) + (xy 237.253937 82.070226) (xy 237.109774 81.926063) (xy 236.940256 81.812795) (xy 236.751898 81.734774) (xy 236.551939 81.695) + (xy 236.348061 81.695) (xy 236.148102 81.734774) (xy 235.959744 81.812795) (xy 235.790226 81.926063) (xy 235.646063 82.070226) + (xy 235.532795 82.239744) (xy 235.454774 82.428102) (xy 235.415 82.628061) (xy 187.924793 82.628061) (xy 187.917205 82.609744) + (xy 187.803937 82.440226) (xy 187.659774 82.296063) (xy 187.490256 82.182795) (xy 187.301898 82.104774) (xy 187.101939 82.065) + (xy 186.898061 82.065) (xy 186.698102 82.104774) (xy 186.509744 82.182795) (xy 186.340226 82.296063) (xy 186.196063 82.440226) + (xy 186.082795 82.609744) (xy 186.004774 82.798102) (xy 185.992843 82.858082) (xy 185.901939 82.84) (xy 185.698061 82.84) + (xy 185.498102 82.879774) (xy 185.309744 82.957795) (xy 185.140226 83.071063) (xy 184.996063 83.215226) (xy 184.882795 83.384744) + (xy 184.843448 83.479737) (xy 184.809774 83.446063) (xy 184.640256 83.332795) (xy 184.451898 83.254774) (xy 184.251939 83.215) + (xy 184.189799 83.215) (xy 184.195226 83.201898) (xy 184.235 83.001939) (xy 184.235 82.798061) (xy 184.195226 82.598102) + (xy 184.117205 82.409744) (xy 184.003937 82.240226) (xy 183.859774 82.096063) (xy 183.690256 81.982795) (xy 183.501898 81.904774) + (xy 183.301939 81.865) (xy 183.098061 81.865) (xy 182.898102 81.904774) (xy 182.709744 81.982795) (xy 182.540226 82.096063) + (xy 182.396063 82.240226) (xy 182.282795 82.409744) (xy 182.204774 82.598102) (xy 182.165 82.798061) (xy 182.165 82.915161) + (xy 182.098222 82.915161) (xy 181.898263 82.954935) (xy 181.709905 83.032956) (xy 181.540387 83.146224) (xy 181.396224 83.290387) + (xy 181.282956 83.459905) (xy 181.204935 83.648263) (xy 181.165161 83.848222) (xy 117.655074 83.848222) (xy 117.501898 83.784774) + (xy 117.301939 83.745) (xy 117.098061 83.745) (xy 116.898102 83.784774) (xy 116.709744 83.862795) (xy 116.540226 83.976063) + (xy 116.396063 84.120226) (xy 116.282795 84.289744) (xy 116.204774 84.478102) (xy 116.165 84.678061) (xy 113.716874 84.678061) + (xy 113.713435 81.648061) (xy 123.6775 81.648061) (xy 123.6775 81.851939) (xy 123.717274 82.051898) (xy 123.795295 82.240256) + (xy 123.908563 82.409774) (xy 124.052726 82.553937) (xy 124.222244 82.667205) (xy 124.410602 82.745226) (xy 124.610561 82.785) + (xy 124.814439 82.785) (xy 125.014398 82.745226) (xy 125.202756 82.667205) (xy 125.372274 82.553937) (xy 125.516437 82.409774) + (xy 125.540967 82.373061) (xy 139.34 82.373061) (xy 139.34 82.576939) (xy 139.379774 82.776898) (xy 139.457795 82.965256) + (xy 139.571063 83.134774) (xy 139.715226 83.278937) (xy 139.884744 83.392205) (xy 140.073102 83.470226) (xy 140.273061 83.51) + (xy 140.476939 83.51) (xy 140.676898 83.470226) (xy 140.865256 83.392205) (xy 141.034774 83.278937) (xy 141.178937 83.134774) + (xy 141.292205 82.965256) (xy 141.370226 82.776898) (xy 141.41 82.576939) (xy 141.41 82.373061) (xy 141.370226 82.173102) + (xy 141.292205 81.984744) (xy 141.178937 81.815226) (xy 141.034774 81.671063) (xy 140.865256 81.557795) (xy 140.676898 81.479774) + (xy 140.476939 81.44) (xy 140.273061 81.44) (xy 140.073102 81.479774) (xy 139.884744 81.557795) (xy 139.715226 81.671063) + (xy 139.571063 81.815226) (xy 139.457795 81.984744) (xy 139.379774 82.173102) (xy 139.34 82.373061) (xy 125.540967 82.373061) + (xy 125.629705 82.240256) (xy 125.707726 82.051898) (xy 125.7475 81.851939) (xy 125.7475 81.648061) (xy 125.707726 81.448102) + (xy 125.629705 81.259744) (xy 125.516437 81.090226) (xy 125.372274 80.946063) (xy 125.202756 80.832795) (xy 125.014398 80.754774) + (xy 124.814439 80.715) (xy 124.610561 80.715) (xy 124.410602 80.754774) (xy 124.222244 80.832795) (xy 124.052726 80.946063) + (xy 123.908563 81.090226) (xy 123.795295 81.259744) (xy 123.717274 81.448102) (xy 123.6775 81.648061) (xy 113.713435 81.648061) + (xy 113.712216 80.573061) (xy 125.515 80.573061) (xy 125.515 80.776939) (xy 125.554774 80.976898) (xy 125.632795 81.165256) + (xy 125.746063 81.334774) (xy 125.890226 81.478937) (xy 126.059744 81.592205) (xy 126.248102 81.670226) (xy 126.448061 81.71) + (xy 126.651939 81.71) (xy 126.851898 81.670226) (xy 127.040256 81.592205) (xy 127.209774 81.478937) (xy 127.353937 81.334774) + (xy 127.467205 81.165256) (xy 127.545226 80.976898) (xy 127.585 80.776939) (xy 127.585 80.573061) (xy 127.545226 80.373102) + (xy 127.467205 80.184744) (xy 127.353937 80.015226) (xy 127.209774 79.871063) (xy 127.040256 79.757795) (xy 126.851898 79.679774) + (xy 126.651939 79.64) (xy 126.448061 79.64) (xy 126.248102 79.679774) (xy 126.059744 79.757795) (xy 125.890226 79.871063) + (xy 125.746063 80.015226) (xy 125.632795 80.184744) (xy 125.554774 80.373102) (xy 125.515 80.573061) (xy 113.712216 80.573061) + (xy 113.710144 78.748061) (xy 123.89 78.748061) (xy 123.89 78.951939) (xy 123.929774 79.151898) (xy 124.007795 79.340256) + (xy 124.121063 79.509774) (xy 124.265226 79.653937) (xy 124.434744 79.767205) (xy 124.623102 79.845226) (xy 124.823061 79.885) + (xy 125.026939 79.885) (xy 125.226898 79.845226) (xy 125.415256 79.767205) (xy 125.584774 79.653937) (xy 125.70065 79.538061) + (xy 131.765 79.538061) (xy 131.765 79.741939) (xy 131.804774 79.941898) (xy 131.882795 80.130256) (xy 131.996063 80.299774) + (xy 132.140226 80.443937) (xy 132.309744 80.557205) (xy 132.498102 80.635226) (xy 132.698061 80.675) (xy 132.901939 80.675) + (xy 133.101898 80.635226) (xy 133.290256 80.557205) (xy 133.459774 80.443937) (xy 133.603937 80.299774) (xy 133.717205 80.130256) + (xy 133.795226 79.941898) (xy 133.835 79.741939) (xy 133.835 79.648061) (xy 154.3225 79.648061) (xy 154.3225 79.851939) + (xy 154.362274 80.051898) (xy 154.440295 80.240256) (xy 154.553563 80.409774) (xy 154.697726 80.553937) (xy 154.867244 80.667205) + (xy 155.055602 80.745226) (xy 155.255561 80.785) (xy 155.459439 80.785) (xy 155.659398 80.745226) (xy 155.847756 80.667205) + (xy 156.017274 80.553937) (xy 156.161437 80.409774) (xy 156.274705 80.240256) (xy 156.352726 80.051898) (xy 156.3925 79.851939) + (xy 156.3925 79.648061) (xy 156.352726 79.448102) (xy 156.274705 79.259744) (xy 156.161437 79.090226) (xy 156.017274 78.946063) + (xy 155.847756 78.832795) (xy 155.659398 78.754774) (xy 155.459439 78.715) (xy 155.255561 78.715) (xy 155.055602 78.754774) + (xy 154.867244 78.832795) (xy 154.697726 78.946063) (xy 154.553563 79.090226) (xy 154.440295 79.259744) (xy 154.362274 79.448102) + (xy 154.3225 79.648061) (xy 133.835 79.648061) (xy 133.835 79.538061) (xy 133.795226 79.338102) (xy 133.717205 79.149744) + (xy 133.603937 78.980226) (xy 133.459774 78.836063) (xy 133.290256 78.722795) (xy 133.101898 78.644774) (xy 132.901939 78.605) + (xy 132.698061 78.605) (xy 132.498102 78.644774) (xy 132.309744 78.722795) (xy 132.140226 78.836063) (xy 131.996063 78.980226) + (xy 131.882795 79.149744) (xy 131.804774 79.338102) (xy 131.765 79.538061) (xy 125.70065 79.538061) (xy 125.728937 79.509774) + (xy 125.842205 79.340256) (xy 125.920226 79.151898) (xy 125.96 78.951939) (xy 125.96 78.748061) (xy 125.920226 78.548102) + (xy 125.842205 78.359744) (xy 125.728937 78.190226) (xy 125.584774 78.046063) (xy 125.415256 77.932795) (xy 125.226898 77.854774) + (xy 125.026939 77.815) (xy 124.823061 77.815) (xy 124.623102 77.854774) (xy 124.434744 77.932795) (xy 124.265226 78.046063) + (xy 124.121063 78.190226) (xy 124.007795 78.359744) (xy 123.929774 78.548102) (xy 123.89 78.748061) (xy 113.710144 78.748061) + (xy 113.706796 75.798061) (xy 124.03133 75.798061) (xy 124.03133 76.001939) (xy 124.071104 76.201898) (xy 124.149125 76.390256) + (xy 124.262393 76.559774) (xy 124.406556 76.703937) (xy 124.576074 76.817205) (xy 124.764432 76.895226) (xy 124.964391 76.935) + (xy 125.168269 76.935) (xy 125.368228 76.895226) (xy 125.556586 76.817205) (xy 125.577754 76.803061) (xy 125.94 76.803061) + (xy 125.94 77.006939) (xy 125.979774 77.206898) (xy 126.057795 77.395256) (xy 126.171063 77.564774) (xy 126.315226 77.708937) + (xy 126.484744 77.822205) (xy 126.673102 77.900226) (xy 126.873061 77.94) (xy 127.076939 77.94) (xy 127.276898 77.900226) + (xy 127.465256 77.822205) (xy 127.634774 77.708937) (xy 127.778937 77.564774) (xy 127.892205 77.395256) (xy 127.970226 77.206898) + (xy 127.988891 77.113061) (xy 130.065 77.113061) (xy 130.065 77.316939) (xy 130.104774 77.516898) (xy 130.182795 77.705256) + (xy 130.296063 77.874774) (xy 130.440226 78.018937) (xy 130.609744 78.132205) (xy 130.798102 78.210226) (xy 130.998061 78.25) + (xy 131.201939 78.25) (xy 131.401898 78.210226) (xy 131.590256 78.132205) (xy 131.759774 78.018937) (xy 131.903937 77.874774) + (xy 132.017205 77.705256) (xy 132.060804 77.6) (xy 132.101939 77.6) (xy 132.301898 77.560226) (xy 132.490256 77.482205) + (xy 132.659774 77.368937) (xy 132.67276 77.355951) (xy 132.704774 77.516898) (xy 132.782795 77.705256) (xy 132.896063 77.874774) + (xy 133.040226 78.018937) (xy 133.209744 78.132205) (xy 133.398102 78.210226) (xy 133.598061 78.25) (xy 133.801939 78.25) + (xy 134.001898 78.210226) (xy 134.190256 78.132205) (xy 134.271288 78.078061) (xy 167.3225 78.078061) (xy 167.3225 78.281939) + (xy 167.362274 78.481898) (xy 167.440295 78.670256) (xy 167.553563 78.839774) (xy 167.678789 78.965) (xy 167.553563 79.090226) + (xy 167.440295 79.259744) (xy 167.362274 79.448102) (xy 167.3225 79.648061) (xy 167.3225 79.851939) (xy 167.362274 80.051898) + (xy 167.440295 80.240256) (xy 167.553563 80.409774) (xy 167.697726 80.553937) (xy 167.867244 80.667205) (xy 168.055602 80.745226) + (xy 168.255561 80.785) (xy 168.459439 80.785) (xy 168.659398 80.745226) (xy 168.847756 80.667205) (xy 169.017274 80.553937) + (xy 169.161437 80.409774) (xy 169.274705 80.240256) (xy 169.352726 80.051898) (xy 169.3925 79.851939) (xy 169.3925 79.648061) + (xy 178.8225 79.648061) (xy 178.8225 79.851939) (xy 178.862274 80.051898) (xy 178.940295 80.240256) (xy 179.053563 80.409774) + (xy 179.197726 80.553937) (xy 179.367244 80.667205) (xy 179.555602 80.745226) (xy 179.755561 80.785) (xy 179.959439 80.785) + (xy 180.159398 80.745226) (xy 180.347756 80.667205) (xy 180.517274 80.553937) (xy 180.661437 80.409774) (xy 180.774705 80.240256) + (xy 180.852726 80.051898) (xy 180.8925 79.851939) (xy 180.8925 79.648061) (xy 180.852726 79.448102) (xy 180.774705 79.259744) + (xy 180.661437 79.090226) (xy 180.517274 78.946063) (xy 180.347756 78.832795) (xy 180.159398 78.754774) (xy 179.959439 78.715) + (xy 179.755561 78.715) (xy 179.555602 78.754774) (xy 179.367244 78.832795) (xy 179.197726 78.946063) (xy 179.053563 79.090226) + (xy 178.940295 79.259744) (xy 178.862274 79.448102) (xy 178.8225 79.648061) (xy 169.3925 79.648061) (xy 169.352726 79.448102) + (xy 169.274705 79.259744) (xy 169.161437 79.090226) (xy 169.036211 78.965) (xy 169.161437 78.839774) (xy 169.256126 78.698061) + (xy 182.565 78.698061) (xy 182.565 78.901939) (xy 182.604774 79.101898) (xy 182.682795 79.290256) (xy 182.796063 79.459774) + (xy 182.940226 79.603937) (xy 183.109744 79.717205) (xy 183.298102 79.795226) (xy 183.491196 79.833634) (xy 183.504774 79.901898) + (xy 183.582795 80.090256) (xy 183.696063 80.259774) (xy 183.840226 80.403937) (xy 184.009744 80.517205) (xy 184.198102 80.595226) + (xy 184.398061 80.635) (xy 184.601939 80.635) (xy 184.801898 80.595226) (xy 184.972526 80.524549) (xy 184.996063 80.559774) + (xy 185.140226 80.703937) (xy 185.309744 80.817205) (xy 185.498102 80.895226) (xy 185.698061 80.935) (xy 185.901939 80.935) + (xy 186.101898 80.895226) (xy 186.290256 80.817205) (xy 186.459774 80.703937) (xy 186.603937 80.559774) (xy 186.717205 80.390256) + (xy 186.795226 80.201898) (xy 186.835 80.001939) (xy 186.835 79.798061) (xy 186.805164 79.648061) (xy 193.8225 79.648061) + (xy 193.8225 79.851939) (xy 193.862274 80.051898) (xy 193.940295 80.240256) (xy 194.053563 80.409774) (xy 194.197726 80.553937) + (xy 194.367244 80.667205) (xy 194.555602 80.745226) (xy 194.755561 80.785) (xy 194.959439 80.785) (xy 195.159398 80.745226) + (xy 195.347756 80.667205) (xy 195.517274 80.553937) (xy 195.661437 80.409774) (xy 195.774705 80.240256) (xy 195.852726 80.051898) + (xy 195.8925 79.851939) (xy 195.8925 79.648061) (xy 195.852726 79.448102) (xy 195.774705 79.259744) (xy 195.77024 79.253061) + (xy 261.605 79.253061) (xy 261.605 79.456939) (xy 261.644774 79.656898) (xy 261.722795 79.845256) (xy 261.836063 80.014774) + (xy 261.980226 80.158937) (xy 262.149744 80.272205) (xy 262.338102 80.350226) (xy 262.538061 80.39) (xy 262.741939 80.39) + (xy 262.941898 80.350226) (xy 263.130256 80.272205) (xy 263.299774 80.158937) (xy 263.443937 80.014774) (xy 263.557205 79.845256) + (xy 263.635226 79.656898) (xy 263.675 79.456939) (xy 263.675 79.253061) (xy 266.695 79.253061) (xy 266.695 79.456939) + (xy 266.734774 79.656898) (xy 266.812795 79.845256) (xy 266.926063 80.014774) (xy 267.070226 80.158937) (xy 267.239744 80.272205) + (xy 267.428102 80.350226) (xy 267.628061 80.39) (xy 267.831939 80.39) (xy 268.031898 80.350226) (xy 268.220256 80.272205) + (xy 268.389774 80.158937) (xy 268.533937 80.014774) (xy 268.647205 79.845256) (xy 268.725226 79.656898) (xy 268.765 79.456939) + (xy 268.765 79.253061) (xy 268.725226 79.053102) (xy 268.647205 78.864744) (xy 268.533937 78.695226) (xy 268.389774 78.551063) + (xy 268.220256 78.437795) (xy 268.031898 78.359774) (xy 267.831939 78.32) (xy 267.628061 78.32) (xy 267.428102 78.359774) + (xy 267.239744 78.437795) (xy 267.070226 78.551063) (xy 266.926063 78.695226) (xy 266.812795 78.864744) (xy 266.734774 79.053102) + (xy 266.695 79.253061) (xy 263.675 79.253061) (xy 263.635226 79.053102) (xy 263.557205 78.864744) (xy 263.443937 78.695226) + (xy 263.299774 78.551063) (xy 263.130256 78.437795) (xy 262.941898 78.359774) (xy 262.741939 78.32) (xy 262.538061 78.32) + (xy 262.338102 78.359774) (xy 262.149744 78.437795) (xy 261.980226 78.551063) (xy 261.836063 78.695226) (xy 261.722795 78.864744) + (xy 261.644774 79.053102) (xy 261.605 79.253061) (xy 195.77024 79.253061) (xy 195.661437 79.090226) (xy 195.517274 78.946063) + (xy 195.347756 78.832795) (xy 195.159398 78.754774) (xy 194.959439 78.715) (xy 194.755561 78.715) (xy 194.555602 78.754774) + (xy 194.367244 78.832795) (xy 194.197726 78.946063) (xy 194.053563 79.090226) (xy 193.940295 79.259744) (xy 193.862274 79.448102) + (xy 193.8225 79.648061) (xy 186.805164 79.648061) (xy 186.795226 79.598102) (xy 186.717205 79.409744) (xy 186.603937 79.240226) + (xy 186.459774 79.096063) (xy 186.290256 78.982795) (xy 186.101898 78.904774) (xy 185.901939 78.865) (xy 185.698061 78.865) + (xy 185.498102 78.904774) (xy 185.327474 78.975451) (xy 185.303937 78.940226) (xy 185.159774 78.796063) (xy 184.990256 78.682795) + (xy 184.801898 78.604774) (xy 184.608804 78.566366) (xy 184.595226 78.498102) (xy 184.517205 78.309744) (xy 184.403937 78.140226) + (xy 184.259774 77.996063) (xy 184.090256 77.882795) (xy 183.901898 77.804774) (xy 183.701939 77.765) (xy 183.498061 77.765) + (xy 183.298102 77.804774) (xy 183.109744 77.882795) (xy 182.940226 77.996063) (xy 182.796063 78.140226) (xy 182.682795 78.309744) + (xy 182.604774 78.498102) (xy 182.565 78.698061) (xy 169.256126 78.698061) (xy 169.274705 78.670256) (xy 169.352726 78.481898) + (xy 169.3925 78.281939) (xy 169.3925 78.078061) (xy 169.352726 77.878102) (xy 169.274705 77.689744) (xy 169.161437 77.520226) + (xy 169.017274 77.376063) (xy 168.847756 77.262795) (xy 168.659398 77.184774) (xy 168.459439 77.145) (xy 168.255561 77.145) + (xy 168.055602 77.184774) (xy 167.867244 77.262795) (xy 167.697726 77.376063) (xy 167.553563 77.520226) (xy 167.440295 77.689744) + (xy 167.362274 77.878102) (xy 167.3225 78.078061) (xy 134.271288 78.078061) (xy 134.359774 78.018937) (xy 134.503937 77.874774) + (xy 134.617205 77.705256) (xy 134.695226 77.516898) (xy 134.735 77.316939) (xy 134.735 77.113061) (xy 134.695226 76.913102) + (xy 134.617205 76.724744) (xy 134.503937 76.555226) (xy 134.359774 76.411063) (xy 134.190256 76.297795) (xy 134.001898 76.219774) + (xy 133.801939 76.18) (xy 133.598061 76.18) (xy 133.398102 76.219774) (xy 133.209744 76.297795) (xy 133.040226 76.411063) + (xy 133.02724 76.424049) (xy 132.995226 76.263102) (xy 132.917205 76.074744) (xy 132.803937 75.905226) (xy 132.659774 75.761063) + (xy 132.490256 75.647795) (xy 132.370189 75.598061) (xy 136.93 75.598061) (xy 136.93 75.801939) (xy 136.969774 76.001898) + (xy 137.047795 76.190256) (xy 137.161063 76.359774) (xy 137.305226 76.503937) (xy 137.474744 76.617205) (xy 137.663102 76.695226) + (xy 137.863061 76.735) (xy 138.066939 76.735) (xy 138.266898 76.695226) (xy 138.455256 76.617205) (xy 138.624774 76.503937) + (xy 138.768937 76.359774) (xy 138.882205 76.190256) (xy 138.960226 76.001898) (xy 139 75.801939) (xy 139 75.598061) + (xy 138.960226 75.398102) (xy 138.882205 75.209744) (xy 138.768937 75.040226) (xy 138.624774 74.896063) (xy 138.455256 74.782795) + (xy 138.266898 74.704774) (xy 138.066939 74.665) (xy 137.863061 74.665) (xy 137.663102 74.704774) (xy 137.474744 74.782795) + (xy 137.305226 74.896063) (xy 137.161063 75.040226) (xy 137.047795 75.209744) (xy 136.969774 75.398102) (xy 136.93 75.598061) + (xy 132.370189 75.598061) (xy 132.301898 75.569774) (xy 132.101939 75.53) (xy 131.898061 75.53) (xy 131.698102 75.569774) + (xy 131.509744 75.647795) (xy 131.340226 75.761063) (xy 131.196063 75.905226) (xy 131.082795 76.074744) (xy 131.039196 76.18) + (xy 130.998061 76.18) (xy 130.798102 76.219774) (xy 130.609744 76.297795) (xy 130.440226 76.411063) (xy 130.296063 76.555226) + (xy 130.182795 76.724744) (xy 130.104774 76.913102) (xy 130.065 77.113061) (xy 127.988891 77.113061) (xy 128.01 77.006939) + (xy 128.01 76.803061) (xy 127.970226 76.603102) (xy 127.892205 76.414744) (xy 127.778937 76.245226) (xy 127.634774 76.101063) + (xy 127.465256 75.987795) (xy 127.276898 75.909774) (xy 127.076939 75.87) (xy 126.873061 75.87) (xy 126.673102 75.909774) + (xy 126.484744 75.987795) (xy 126.315226 76.101063) (xy 126.171063 76.245226) (xy 126.057795 76.414744) (xy 125.979774 76.603102) + (xy 125.94 76.803061) (xy 125.577754 76.803061) (xy 125.726104 76.703937) (xy 125.870267 76.559774) (xy 125.983535 76.390256) + (xy 126.061556 76.201898) (xy 126.10133 76.001939) (xy 126.10133 75.798061) (xy 126.061556 75.598102) (xy 125.983535 75.409744) + (xy 125.870267 75.240226) (xy 125.726104 75.096063) (xy 125.556586 74.982795) (xy 125.368228 74.904774) (xy 125.168269 74.865) + (xy 124.964391 74.865) (xy 124.764432 74.904774) (xy 124.576074 74.982795) (xy 124.406556 75.096063) (xy 124.262393 75.240226) + (xy 124.149125 75.409744) (xy 124.071104 75.598102) (xy 124.03133 75.798061) (xy 113.706796 75.798061) (xy 113.70403 73.360561) + (xy 182.815 73.360561) (xy 182.815 73.564439) (xy 182.854774 73.764398) (xy 182.932795 73.952756) (xy 183.046063 74.122274) + (xy 183.190226 74.266437) (xy 183.359744 74.379705) (xy 183.548102 74.457726) (xy 183.748061 74.4975) (xy 183.951939 74.4975) + (xy 184.151898 74.457726) (xy 184.340256 74.379705) (xy 184.509774 74.266437) (xy 184.653937 74.122274) (xy 184.767205 73.952756) + (xy 184.818855 73.828061) (xy 186.228411 73.828061) (xy 186.228411 74.031939) (xy 186.268185 74.231898) (xy 186.346206 74.420256) + (xy 186.459474 74.589774) (xy 186.603637 74.733937) (xy 186.773155 74.847205) (xy 186.961513 74.925226) (xy 187.161472 74.965) + (xy 187.36535 74.965) (xy 187.565309 74.925226) (xy 187.753667 74.847205) (xy 187.923185 74.733937) (xy 188.067348 74.589774) + (xy 188.180616 74.420256) (xy 188.258637 74.231898) (xy 188.298411 74.031939) (xy 188.298411 73.828061) (xy 188.258637 73.628102) + (xy 188.180616 73.439744) (xy 188.067348 73.270226) (xy 187.923185 73.126063) (xy 187.753667 73.012795) (xy 187.565309 72.934774) + (xy 187.36535 72.895) (xy 187.161472 72.895) (xy 186.961513 72.934774) (xy 186.773155 73.012795) (xy 186.603637 73.126063) + (xy 186.459474 73.270226) (xy 186.346206 73.439744) (xy 186.268185 73.628102) (xy 186.228411 73.828061) (xy 184.818855 73.828061) + (xy 184.845226 73.764398) (xy 184.885 73.564439) (xy 184.885 73.360561) (xy 184.845226 73.160602) (xy 184.767205 72.972244) + (xy 184.653937 72.802726) (xy 184.509774 72.658563) (xy 184.340256 72.545295) (xy 184.151898 72.467274) (xy 183.951939 72.4275) + (xy 183.748061 72.4275) (xy 183.548102 72.467274) (xy 183.359744 72.545295) (xy 183.190226 72.658563) (xy 183.046063 72.802726) + (xy 182.932795 72.972244) (xy 182.854774 73.160602) (xy 182.815 73.360561) (xy 113.70403 73.360561) (xy 113.702386 71.913061) + (xy 126.865 71.913061) (xy 126.865 72.116939) (xy 126.904774 72.316898) (xy 126.982795 72.505256) (xy 127.096063 72.674774) + (xy 127.240226 72.818937) (xy 127.409744 72.932205) (xy 127.598102 73.010226) (xy 127.798061 73.05) (xy 128.001939 73.05) + (xy 128.201898 73.010226) (xy 128.390256 72.932205) (xy 128.559774 72.818937) (xy 128.703937 72.674774) (xy 128.817205 72.505256) + (xy 128.895226 72.316898) (xy 128.935 72.116939) (xy 128.935 71.913061) (xy 128.895226 71.713102) (xy 128.817205 71.524744) + (xy 128.703937 71.355226) (xy 128.559774 71.211063) (xy 128.390256 71.097795) (xy 128.201898 71.019774) (xy 128.001939 70.98) + (xy 127.798061 70.98) (xy 127.598102 71.019774) (xy 127.409744 71.097795) (xy 127.240226 71.211063) (xy 127.096063 71.355226) + (xy 126.982795 71.524744) (xy 126.904774 71.713102) (xy 126.865 71.913061) (xy 113.702386 71.913061) (xy 113.701007 70.698061) + (xy 134.215 70.698061) (xy 134.215 70.901939) (xy 134.254774 71.101898) (xy 134.332795 71.290256) (xy 134.446063 71.459774) + (xy 134.590226 71.603937) (xy 134.759744 71.717205) (xy 134.948102 71.795226) (xy 135.148061 71.835) (xy 135.351939 71.835) + (xy 135.551898 71.795226) (xy 135.740256 71.717205) (xy 135.909774 71.603937) (xy 135.96565 71.548061) (xy 139.035 71.548061) + (xy 139.035 71.751939) (xy 139.074774 71.951898) (xy 139.152795 72.140256) (xy 139.266063 72.309774) (xy 139.410226 72.453937) + (xy 139.579744 72.567205) (xy 139.768102 72.645226) (xy 139.968061 72.685) (xy 140.171939 72.685) (xy 140.371898 72.645226) + (xy 140.560256 72.567205) (xy 140.729774 72.453937) (xy 140.873937 72.309774) (xy 140.987205 72.140256) (xy 141.065226 71.951898) + (xy 141.105 71.751939) (xy 141.105 71.548061) (xy 141.065226 71.348102) (xy 140.987205 71.159744) (xy 140.873937 70.990226) + (xy 140.781772 70.898061) (xy 148.865 70.898061) (xy 148.865 71.101939) (xy 148.904774 71.301898) (xy 148.982795 71.490256) + (xy 149.096063 71.659774) (xy 149.240226 71.803937) (xy 149.409744 71.917205) (xy 149.598102 71.995226) (xy 149.798061 72.035) + (xy 150.001939 72.035) (xy 150.201898 71.995226) (xy 150.390256 71.917205) (xy 150.559774 71.803937) (xy 150.703937 71.659774) + (xy 150.817205 71.490256) (xy 150.895226 71.301898) (xy 150.935 71.101939) (xy 150.935 70.898061) (xy 161.965 70.898061) + (xy 161.965 71.101939) (xy 162.004774 71.301898) (xy 162.082795 71.490256) (xy 162.196063 71.659774) (xy 162.340226 71.803937) + (xy 162.509744 71.917205) (xy 162.698102 71.995226) (xy 162.898061 72.035) (xy 163.101939 72.035) (xy 163.301898 71.995226) + (xy 163.490256 71.917205) (xy 163.659774 71.803937) (xy 163.803937 71.659774) (xy 163.917205 71.490256) (xy 163.995226 71.301898) + (xy 164.035 71.101939) (xy 164.035 70.898061) (xy 173.465 70.898061) (xy 173.465 71.101939) (xy 173.504774 71.301898) + (xy 173.582795 71.490256) (xy 173.696063 71.659774) (xy 173.840226 71.803937) (xy 174.009744 71.917205) (xy 174.198102 71.995226) + (xy 174.398061 72.035) (xy 174.601939 72.035) (xy 174.801898 71.995226) (xy 174.990256 71.917205) (xy 175.159774 71.803937) + (xy 175.303937 71.659774) (xy 175.417205 71.490256) (xy 175.495226 71.301898) (xy 175.535 71.101939) (xy 175.535 70.898061) + (xy 175.505164 70.748061) (xy 182.675 70.748061) (xy 182.675 70.951939) (xy 182.714774 71.151898) (xy 182.792795 71.340256) + (xy 182.906063 71.509774) (xy 183.050226 71.653937) (xy 183.219744 71.767205) (xy 183.408102 71.845226) (xy 183.608061 71.885) + (xy 183.811939 71.885) (xy 184.011898 71.845226) (xy 184.200256 71.767205) (xy 184.369774 71.653937) (xy 184.513937 71.509774) + (xy 184.547782 71.459122) (xy 184.619744 71.507205) (xy 184.808102 71.585226) (xy 185.008061 71.625) (xy 185.211939 71.625) + (xy 185.411898 71.585226) (xy 185.600256 71.507205) (xy 185.769774 71.393937) (xy 185.913937 71.249774) (xy 186.027205 71.080256) + (xy 186.102673 70.898061) (xy 188.465 70.898061) (xy 188.465 71.101939) (xy 188.504774 71.301898) (xy 188.582795 71.490256) + (xy 188.696063 71.659774) (xy 188.840226 71.803937) (xy 189.009744 71.917205) (xy 189.198102 71.995226) (xy 189.398061 72.035) + (xy 189.601939 72.035) (xy 189.801898 71.995226) (xy 189.990256 71.917205) (xy 190.159774 71.803937) (xy 190.303937 71.659774) + (xy 190.417205 71.490256) (xy 190.495226 71.301898) (xy 190.535 71.101939) (xy 190.535 70.898061) (xy 206.465 70.898061) + (xy 206.465 71.101939) (xy 206.504774 71.301898) (xy 206.582795 71.490256) (xy 206.696063 71.659774) (xy 206.840226 71.803937) + (xy 207.009744 71.917205) (xy 207.198102 71.995226) (xy 207.398061 72.035) (xy 207.601939 72.035) (xy 207.801898 71.995226) + (xy 207.990256 71.917205) (xy 208.159774 71.803937) (xy 208.303937 71.659774) (xy 208.417205 71.490256) (xy 208.495226 71.301898) + (xy 208.535 71.101939) (xy 208.535 70.898061) (xy 208.495226 70.698102) (xy 208.417205 70.509744) (xy 208.303937 70.340226) + (xy 208.159774 70.196063) (xy 207.990256 70.082795) (xy 207.801898 70.004774) (xy 207.601939 69.965) (xy 207.398061 69.965) + (xy 207.198102 70.004774) (xy 207.009744 70.082795) (xy 206.840226 70.196063) (xy 206.696063 70.340226) (xy 206.582795 70.509744) + (xy 206.504774 70.698102) (xy 206.465 70.898061) (xy 190.535 70.898061) (xy 190.495226 70.698102) (xy 190.417205 70.509744) + (xy 190.303937 70.340226) (xy 190.159774 70.196063) (xy 189.990256 70.082795) (xy 189.801898 70.004774) (xy 189.601939 69.965) + (xy 189.398061 69.965) (xy 189.198102 70.004774) (xy 189.009744 70.082795) (xy 188.840226 70.196063) (xy 188.696063 70.340226) + (xy 188.582795 70.509744) (xy 188.504774 70.698102) (xy 188.465 70.898061) (xy 186.102673 70.898061) (xy 186.105226 70.891898) + (xy 186.145 70.691939) (xy 186.145 70.488061) (xy 186.105226 70.288102) (xy 186.027205 70.099744) (xy 185.913937 69.930226) + (xy 185.769774 69.786063) (xy 185.600256 69.672795) (xy 185.411898 69.594774) (xy 185.211939 69.555) (xy 185.008061 69.555) + (xy 184.808102 69.594774) (xy 184.619744 69.672795) (xy 184.450226 69.786063) (xy 184.306063 69.930226) (xy 184.272218 69.980878) + (xy 184.200256 69.932795) (xy 184.011898 69.854774) (xy 183.811939 69.815) (xy 183.608061 69.815) (xy 183.408102 69.854774) + (xy 183.219744 69.932795) (xy 183.050226 70.046063) (xy 182.906063 70.190226) (xy 182.792795 70.359744) (xy 182.714774 70.548102) + (xy 182.675 70.748061) (xy 175.505164 70.748061) (xy 175.495226 70.698102) (xy 175.417205 70.509744) (xy 175.303937 70.340226) + (xy 175.159774 70.196063) (xy 174.990256 70.082795) (xy 174.801898 70.004774) (xy 174.601939 69.965) (xy 174.398061 69.965) + (xy 174.198102 70.004774) (xy 174.009744 70.082795) (xy 173.840226 70.196063) (xy 173.696063 70.340226) (xy 173.582795 70.509744) + (xy 173.504774 70.698102) (xy 173.465 70.898061) (xy 164.035 70.898061) (xy 163.995226 70.698102) (xy 163.917205 70.509744) + (xy 163.803937 70.340226) (xy 163.659774 70.196063) (xy 163.490256 70.082795) (xy 163.301898 70.004774) (xy 163.101939 69.965) + (xy 162.898061 69.965) (xy 162.698102 70.004774) (xy 162.509744 70.082795) (xy 162.340226 70.196063) (xy 162.196063 70.340226) + (xy 162.082795 70.509744) (xy 162.004774 70.698102) (xy 161.965 70.898061) (xy 150.935 70.898061) (xy 150.895226 70.698102) + (xy 150.817205 70.509744) (xy 150.703937 70.340226) (xy 150.559774 70.196063) (xy 150.390256 70.082795) (xy 150.201898 70.004774) + (xy 150.001939 69.965) (xy 149.798061 69.965) (xy 149.598102 70.004774) (xy 149.409744 70.082795) (xy 149.240226 70.196063) + (xy 149.096063 70.340226) (xy 148.982795 70.509744) (xy 148.904774 70.698102) (xy 148.865 70.898061) (xy 140.781772 70.898061) + (xy 140.729774 70.846063) (xy 140.560256 70.732795) (xy 140.371898 70.654774) (xy 140.171939 70.615) (xy 139.968061 70.615) + (xy 139.768102 70.654774) (xy 139.579744 70.732795) (xy 139.410226 70.846063) (xy 139.266063 70.990226) (xy 139.152795 71.159744) + (xy 139.074774 71.348102) (xy 139.035 71.548061) (xy 135.96565 71.548061) (xy 136.053937 71.459774) (xy 136.167205 71.290256) + (xy 136.245226 71.101898) (xy 136.285 70.901939) (xy 136.285 70.698061) (xy 136.245226 70.498102) (xy 136.167205 70.309744) + (xy 136.053937 70.140226) (xy 135.909774 69.996063) (xy 135.740256 69.882795) (xy 135.551898 69.804774) (xy 135.351939 69.765) + (xy 135.148061 69.765) (xy 134.948102 69.804774) (xy 134.759744 69.882795) (xy 134.590226 69.996063) (xy 134.446063 70.140226) + (xy 134.332795 70.309744) (xy 134.254774 70.498102) (xy 134.215 70.698061) (xy 113.701007 70.698061) (xy 113.698601 68.578061) + (xy 142.005 68.578061) (xy 142.005 68.781939) (xy 142.044774 68.981898) (xy 142.122795 69.170256) (xy 142.236063 69.339774) + (xy 142.380226 69.483937) (xy 142.549744 69.597205) (xy 142.738102 69.675226) (xy 142.938061 69.715) (xy 143.141939 69.715) + (xy 143.341898 69.675226) (xy 143.530256 69.597205) (xy 143.699774 69.483937) (xy 143.794744 69.388967) (xy 265.215 69.388967) + (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) + (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) + (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) + (xy 268.485 69.711033) (xy 268.485 69.388967) (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) + (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) + (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) + (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 143.794744 69.388967) (xy 143.843937 69.339774) (xy 143.957205 69.170256) + (xy 144.035226 68.981898) (xy 144.075 68.781939) (xy 144.075 68.578061) (xy 144.035226 68.378102) (xy 143.957205 68.189744) + (xy 143.843937 68.020226) (xy 143.699774 67.876063) (xy 143.530256 67.762795) (xy 143.341898 67.684774) (xy 143.141939 67.645) + (xy 142.938061 67.645) (xy 142.738102 67.684774) (xy 142.549744 67.762795) (xy 142.380226 67.876063) (xy 142.236063 68.020226) + (xy 142.122795 68.189744) (xy 142.044774 68.378102) (xy 142.005 68.578061) (xy 113.698601 68.578061) (xy 113.6971 67.255561) + (xy 263.165 67.255561) (xy 263.165 67.459439) (xy 263.204774 67.659398) (xy 263.282795 67.847756) (xy 263.396063 68.017274) + (xy 263.540226 68.161437) (xy 263.709744 68.274705) (xy 263.898102 68.352726) (xy 264.098061 68.3925) (xy 264.301939 68.3925) + (xy 264.501898 68.352726) (xy 264.690256 68.274705) (xy 264.859774 68.161437) (xy 265.003937 68.017274) (xy 265.117205 67.847756) + (xy 265.195226 67.659398) (xy 265.235 67.459439) (xy 265.235 67.255561) (xy 265.195226 67.055602) (xy 265.117205 66.867244) + (xy 265.003937 66.697726) (xy 264.859774 66.553563) (xy 264.690256 66.440295) (xy 264.501898 66.362274) (xy 264.301939 66.3225) + (xy 264.098061 66.3225) (xy 263.898102 66.362274) (xy 263.709744 66.440295) (xy 263.540226 66.553563) (xy 263.396063 66.697726) + (xy 263.282795 66.867244) (xy 263.204774 67.055602) (xy 263.165 67.255561) (xy 113.6971 67.255561) (xy 113.694969 65.378061) + (xy 139.035 65.378061) (xy 139.035 65.581939) (xy 139.074774 65.781898) (xy 139.152795 65.970256) (xy 139.266063 66.139774) + (xy 139.410226 66.283937) (xy 139.579744 66.397205) (xy 139.768102 66.475226) (xy 139.968061 66.515) (xy 140.171939 66.515) + (xy 140.371898 66.475226) (xy 140.560256 66.397205) (xy 140.729774 66.283937) (xy 140.873937 66.139774) (xy 140.987205 65.970256) + (xy 141.065226 65.781898) (xy 141.081902 65.698061) (xy 141.265 65.698061) (xy 141.265 65.901939) (xy 141.304774 66.101898) + (xy 141.382795 66.290256) (xy 141.496063 66.459774) (xy 141.640226 66.603937) (xy 141.809744 66.717205) (xy 141.998102 66.795226) + (xy 142.198061 66.835) (xy 142.401939 66.835) (xy 142.601898 66.795226) (xy 142.790256 66.717205) (xy 142.959774 66.603937) + (xy 143.103937 66.459774) (xy 143.217205 66.290256) (xy 143.295226 66.101898) (xy 143.335 65.901939) (xy 143.335 65.898061) + (xy 144.3525 65.898061) (xy 144.3525 66.101939) (xy 144.392274 66.301898) (xy 144.470295 66.490256) (xy 144.583563 66.659774) + (xy 144.727726 66.803937) (xy 144.897244 66.917205) (xy 145.085602 66.995226) (xy 145.285561 67.035) (xy 145.489439 67.035) + (xy 145.689398 66.995226) (xy 145.877756 66.917205) (xy 146.047274 66.803937) (xy 146.191437 66.659774) (xy 146.304705 66.490256) + (xy 146.382726 66.301898) (xy 146.4225 66.101939) (xy 146.4225 65.898061) (xy 146.382726 65.698102) (xy 146.375461 65.680561) + (xy 260.725 65.680561) (xy 260.725 65.884439) (xy 260.764774 66.084398) (xy 260.842795 66.272756) (xy 260.956063 66.442274) + (xy 261.100226 66.586437) (xy 261.269744 66.699705) (xy 261.458102 66.777726) (xy 261.658061 66.8175) (xy 261.861939 66.8175) + (xy 262.061898 66.777726) (xy 262.250256 66.699705) (xy 262.419774 66.586437) (xy 262.563937 66.442274) (xy 262.677205 66.272756) + (xy 262.755226 66.084398) (xy 262.795 65.884439) (xy 262.795 65.680561) (xy 262.755226 65.480602) (xy 262.741747 65.448061) + (xy 266.965 65.448061) (xy 266.965 65.651939) (xy 267.004774 65.851898) (xy 267.082795 66.040256) (xy 267.196063 66.209774) + (xy 267.340226 66.353937) (xy 267.509744 66.467205) (xy 267.698102 66.545226) (xy 267.898061 66.585) (xy 268.101939 66.585) + (xy 268.301898 66.545226) (xy 268.490256 66.467205) (xy 268.659774 66.353937) (xy 268.803937 66.209774) (xy 268.917205 66.040256) + (xy 268.995226 65.851898) (xy 269.035 65.651939) (xy 269.035 65.448061) (xy 268.995226 65.248102) (xy 268.917205 65.059744) + (xy 268.803937 64.890226) (xy 268.659774 64.746063) (xy 268.490256 64.632795) (xy 268.301898 64.554774) (xy 268.101939 64.515) + (xy 267.898061 64.515) (xy 267.698102 64.554774) (xy 267.509744 64.632795) (xy 267.340226 64.746063) (xy 267.196063 64.890226) + (xy 267.082795 65.059744) (xy 267.004774 65.248102) (xy 266.965 65.448061) (xy 262.741747 65.448061) (xy 262.677205 65.292244) + (xy 262.563937 65.122726) (xy 262.419774 64.978563) (xy 262.250256 64.865295) (xy 262.061898 64.787274) (xy 261.861939 64.7475) + (xy 261.658061 64.7475) (xy 261.458102 64.787274) (xy 261.269744 64.865295) (xy 261.100226 64.978563) (xy 260.956063 65.122726) + (xy 260.842795 65.292244) (xy 260.764774 65.480602) (xy 260.725 65.680561) (xy 146.375461 65.680561) (xy 146.304705 65.509744) + (xy 146.191437 65.340226) (xy 146.047274 65.196063) (xy 145.877756 65.082795) (xy 145.689398 65.004774) (xy 145.489439 64.965) + (xy 145.285561 64.965) (xy 145.085602 65.004774) (xy 144.897244 65.082795) (xy 144.727726 65.196063) (xy 144.583563 65.340226) + (xy 144.470295 65.509744) (xy 144.392274 65.698102) (xy 144.3525 65.898061) (xy 143.335 65.898061) (xy 143.335 65.698061) + (xy 143.295226 65.498102) (xy 143.217205 65.309744) (xy 143.103937 65.140226) (xy 142.959774 64.996063) (xy 142.790256 64.882795) + (xy 142.601898 64.804774) (xy 142.401939 64.765) (xy 142.198061 64.765) (xy 141.998102 64.804774) (xy 141.809744 64.882795) + (xy 141.640226 64.996063) (xy 141.496063 65.140226) (xy 141.382795 65.309744) (xy 141.304774 65.498102) (xy 141.265 65.698061) + (xy 141.081902 65.698061) (xy 141.105 65.581939) (xy 141.105 65.378061) (xy 141.065226 65.178102) (xy 140.987205 64.989744) + (xy 140.873937 64.820226) (xy 140.729774 64.676063) (xy 140.560256 64.562795) (xy 140.371898 64.484774) (xy 140.171939 64.445) + (xy 139.968061 64.445) (xy 139.768102 64.484774) (xy 139.579744 64.562795) (xy 139.410226 64.676063) (xy 139.266063 64.820226) + (xy 139.152795 64.989744) (xy 139.074774 65.178102) (xy 139.035 65.378061) (xy 113.694969 65.378061) (xy 113.689885 60.9) + (xy 128.511928 60.9) (xy 128.511928 62.6) (xy 128.524188 62.724482) (xy 128.560498 62.84418) (xy 128.619463 62.954494) + (xy 128.698815 63.051185) (xy 128.795506 63.130537) (xy 128.90582 63.189502) (xy 129.025518 63.225812) (xy 129.15 63.238072) + (xy 130.85 63.238072) (xy 130.974482 63.225812) (xy 131.09418 63.189502) (xy 131.204494 63.130537) (xy 131.301185 63.051185) + (xy 131.380537 62.954494) (xy 131.439502 62.84418) (xy 131.461513 62.77162) (xy 131.593368 62.903475) (xy 131.836589 63.06599) + (xy 132.106842 63.177932) (xy 132.39374 63.235) (xy 132.68626 63.235) (xy 132.973158 63.177932) (xy 133.243411 63.06599) + (xy 133.486632 62.903475) (xy 133.693475 62.696632) (xy 133.81 62.52224) (xy 133.926525 62.696632) (xy 134.133368 62.903475) + (xy 134.376589 63.06599) (xy 134.646842 63.177932) (xy 134.93374 63.235) (xy 135.22626 63.235) (xy 135.513158 63.177932) + (xy 135.783411 63.06599) (xy 136.026632 62.903475) (xy 136.233475 62.696632) (xy 136.35 62.52224) (xy 136.466525 62.696632) + (xy 136.673368 62.903475) (xy 136.916589 63.06599) (xy 137.186842 63.177932) (xy 137.47374 63.235) (xy 137.76626 63.235) + (xy 138.053158 63.177932) (xy 138.323411 63.06599) (xy 138.566632 62.903475) (xy 138.773475 62.696632) (xy 138.89 62.52224) + (xy 139.006525 62.696632) (xy 139.213368 62.903475) (xy 139.456589 63.06599) (xy 139.726842 63.177932) (xy 140.01374 63.235) + (xy 140.30626 63.235) (xy 140.593158 63.177932) (xy 140.863411 63.06599) (xy 141.106632 62.903475) (xy 141.313475 62.696632) + (xy 141.43 62.52224) (xy 141.546525 62.696632) (xy 141.753368 62.903475) (xy 141.996589 63.06599) (xy 142.266842 63.177932) + (xy 142.55374 63.235) (xy 142.84626 63.235) (xy 143.133158 63.177932) (xy 143.403411 63.06599) (xy 143.646632 62.903475) + (xy 143.853475 62.696632) (xy 143.97 62.52224) (xy 144.086525 62.696632) (xy 144.293368 62.903475) (xy 144.536589 63.06599) + (xy 144.806842 63.177932) (xy 145.09374 63.235) (xy 145.38626 63.235) (xy 145.673158 63.177932) (xy 145.943411 63.06599) + (xy 146.186632 62.903475) (xy 146.393475 62.696632) (xy 146.51 62.52224) (xy 146.626525 62.696632) (xy 146.833368 62.903475) + (xy 147.076589 63.06599) (xy 147.346842 63.177932) (xy 147.63374 63.235) (xy 147.92626 63.235) (xy 148.213158 63.177932) + (xy 148.483411 63.06599) (xy 148.726632 62.903475) (xy 148.933475 62.696632) (xy 149.05 62.52224) (xy 149.166525 62.696632) + (xy 149.373368 62.903475) (xy 149.616589 63.06599) (xy 149.886842 63.177932) (xy 150.17374 63.235) (xy 150.46626 63.235) + (xy 150.753158 63.177932) (xy 151.023411 63.06599) (xy 151.266632 62.903475) (xy 151.473475 62.696632) (xy 151.59 62.52224) + (xy 151.706525 62.696632) (xy 151.913368 62.903475) (xy 152.156589 63.06599) (xy 152.426842 63.177932) (xy 152.71374 63.235) + (xy 153.00626 63.235) (xy 153.293158 63.177932) (xy 153.563411 63.06599) (xy 153.806632 62.903475) (xy 154.013475 62.696632) + (xy 154.13 62.52224) (xy 154.246525 62.696632) (xy 154.453368 62.903475) (xy 154.696589 63.06599) (xy 154.966842 63.177932) + (xy 155.25374 63.235) (xy 155.54626 63.235) (xy 155.833158 63.177932) (xy 156.103411 63.06599) (xy 156.346632 62.903475) + (xy 156.553475 62.696632) (xy 156.67 62.52224) (xy 156.786525 62.696632) (xy 156.993368 62.903475) (xy 157.236589 63.06599) + (xy 157.506842 63.177932) (xy 157.79374 63.235) (xy 158.08626 63.235) (xy 158.373158 63.177932) (xy 158.643411 63.06599) + (xy 158.886632 62.903475) (xy 159.093475 62.696632) (xy 159.21 62.52224) (xy 159.326525 62.696632) (xy 159.533368 62.903475) + (xy 159.776589 63.06599) (xy 160.046842 63.177932) (xy 160.33374 63.235) (xy 160.62626 63.235) (xy 160.913158 63.177932) + (xy 161.183411 63.06599) (xy 161.426632 62.903475) (xy 161.633475 62.696632) (xy 161.75 62.52224) (xy 161.866525 62.696632) + (xy 162.073368 62.903475) (xy 162.316589 63.06599) (xy 162.586842 63.177932) (xy 162.87374 63.235) (xy 163.16626 63.235) + (xy 163.453158 63.177932) (xy 163.723411 63.06599) (xy 163.966632 62.903475) (xy 164.173475 62.696632) (xy 164.295195 62.514466) + (xy 164.364822 62.631355) (xy 164.559731 62.847588) (xy 164.79308 63.021641) (xy 165.055901 63.146825) (xy 165.20311 63.191476) + (xy 165.433 63.070155) (xy 165.433 61.877) (xy 165.413 61.877) (xy 165.413 61.623) (xy 165.433 61.623) + (xy 165.433 59.337) (xy 165.413 59.337) (xy 165.413 59.083) (xy 165.433 59.083) (xy 165.433 57.889845) + (xy 165.687 57.889845) (xy 165.687 59.083) (xy 165.707 59.083) (xy 165.707 59.337) (xy 165.687 59.337) + (xy 165.687 61.623) (xy 165.707 61.623) (xy 165.707 61.877) (xy 165.687 61.877) (xy 165.687 63.070155) + (xy 165.91689 63.191476) (xy 166.064099 63.146825) (xy 166.32692 63.021641) (xy 166.560269 62.847588) (xy 166.755178 62.631355) + (xy 166.824805 62.514466) (xy 166.946525 62.696632) (xy 167.153368 62.903475) (xy 167.396589 63.06599) (xy 167.666842 63.177932) + (xy 167.95374 63.235) (xy 168.24626 63.235) (xy 168.533158 63.177932) (xy 168.803411 63.06599) (xy 169.046632 62.903475) + (xy 169.253475 62.696632) (xy 169.375195 62.514466) (xy 169.444822 62.631355) (xy 169.639731 62.847588) (xy 169.87308 63.021641) + (xy 170.135901 63.146825) (xy 170.28311 63.191476) (xy 170.513 63.070155) (xy 170.513 61.877) (xy 170.493 61.877) + (xy 170.493 61.623) (xy 170.513 61.623) (xy 170.513 59.337) (xy 170.493 59.337) (xy 170.493 59.083) + (xy 170.513 59.083) (xy 170.513 57.889845) (xy 170.767 57.889845) (xy 170.767 59.083) (xy 170.787 59.083) + (xy 170.787 59.337) (xy 170.767 59.337) (xy 170.767 61.623) (xy 170.787 61.623) (xy 170.787 61.877) + (xy 170.767 61.877) (xy 170.767 63.070155) (xy 170.99689 63.191476) (xy 171.144099 63.146825) (xy 171.40692 63.021641) + (xy 171.640269 62.847588) (xy 171.835178 62.631355) (xy 171.904805 62.514466) (xy 172.026525 62.696632) (xy 172.233368 62.903475) + (xy 172.476589 63.06599) (xy 172.746842 63.177932) (xy 173.03374 63.235) (xy 173.32626 63.235) (xy 173.613158 63.177932) + (xy 173.883411 63.06599) (xy 174.126632 62.903475) (xy 174.333475 62.696632) (xy 174.45 62.52224) (xy 174.566525 62.696632) + (xy 174.773368 62.903475) (xy 175.016589 63.06599) (xy 175.286842 63.177932) (xy 175.57374 63.235) (xy 175.86626 63.235) + (xy 176.153158 63.177932) (xy 176.423411 63.06599) (xy 176.666632 62.903475) (xy 176.873475 62.696632) (xy 176.99 62.52224) + (xy 177.106525 62.696632) (xy 177.313368 62.903475) (xy 177.556589 63.06599) (xy 177.826842 63.177932) (xy 178.11374 63.235) + (xy 178.40626 63.235) (xy 178.693158 63.177932) (xy 178.963411 63.06599) (xy 179.206632 62.903475) (xy 179.413475 62.696632) + (xy 179.53 62.52224) (xy 179.646525 62.696632) (xy 179.853368 62.903475) (xy 180.096589 63.06599) (xy 180.366842 63.177932) + (xy 180.65374 63.235) (xy 180.94626 63.235) (xy 181.233158 63.177932) (xy 181.503411 63.06599) (xy 181.746632 62.903475) + (xy 181.953475 62.696632) (xy 182.07 62.52224) (xy 182.186525 62.696632) (xy 182.393368 62.903475) (xy 182.636589 63.06599) + (xy 182.906842 63.177932) (xy 183.19374 63.235) (xy 183.48626 63.235) (xy 183.773158 63.177932) (xy 184.043411 63.06599) + (xy 184.286632 62.903475) (xy 184.493475 62.696632) (xy 184.61 62.52224) (xy 184.726525 62.696632) (xy 184.933368 62.903475) + (xy 185.176589 63.06599) (xy 185.446842 63.177932) (xy 185.73374 63.235) (xy 186.02626 63.235) (xy 186.313158 63.177932) + (xy 186.583411 63.06599) (xy 186.826632 62.903475) (xy 187.033475 62.696632) (xy 187.15 62.52224) (xy 187.266525 62.696632) + (xy 187.473368 62.903475) (xy 187.716589 63.06599) (xy 187.986842 63.177932) (xy 188.27374 63.235) (xy 188.56626 63.235) + (xy 188.853158 63.177932) (xy 189.123411 63.06599) (xy 189.366632 62.903475) (xy 189.573475 62.696632) (xy 189.69 62.52224) + (xy 189.806525 62.696632) (xy 190.013368 62.903475) (xy 190.256589 63.06599) (xy 190.526842 63.177932) (xy 190.81374 63.235) + (xy 191.10626 63.235) (xy 191.393158 63.177932) (xy 191.663411 63.06599) (xy 191.906632 62.903475) (xy 192.113475 62.696632) + (xy 192.23 62.52224) (xy 192.346525 62.696632) (xy 192.553368 62.903475) (xy 192.796589 63.06599) (xy 193.066842 63.177932) + (xy 193.35374 63.235) (xy 193.64626 63.235) (xy 193.933158 63.177932) (xy 194.203411 63.06599) (xy 194.446632 62.903475) + (xy 194.653475 62.696632) (xy 194.77 62.52224) (xy 194.886525 62.696632) (xy 195.093368 62.903475) (xy 195.336589 63.06599) + (xy 195.606842 63.177932) (xy 195.89374 63.235) (xy 196.18626 63.235) (xy 196.473158 63.177932) (xy 196.743411 63.06599) + (xy 196.986632 62.903475) (xy 197.193475 62.696632) (xy 197.31 62.52224) (xy 197.426525 62.696632) (xy 197.633368 62.903475) + (xy 197.876589 63.06599) (xy 198.146842 63.177932) (xy 198.43374 63.235) (xy 198.72626 63.235) (xy 199.013158 63.177932) + (xy 199.283411 63.06599) (xy 199.526632 62.903475) (xy 199.733475 62.696632) (xy 199.85 62.52224) (xy 199.966525 62.696632) + (xy 200.173368 62.903475) (xy 200.416589 63.06599) (xy 200.686842 63.177932) (xy 200.97374 63.235) (xy 201.26626 63.235) + (xy 201.553158 63.177932) (xy 201.823411 63.06599) (xy 202.066632 62.903475) (xy 202.273475 62.696632) (xy 202.39 62.52224) + (xy 202.506525 62.696632) (xy 202.713368 62.903475) (xy 202.956589 63.06599) (xy 203.226842 63.177932) (xy 203.51374 63.235) + (xy 203.80626 63.235) (xy 204.093158 63.177932) (xy 204.363411 63.06599) (xy 204.606632 62.903475) (xy 204.813475 62.696632) + (xy 204.93 62.52224) (xy 205.046525 62.696632) (xy 205.253368 62.903475) (xy 205.496589 63.06599) (xy 205.766842 63.177932) + (xy 206.05374 63.235) (xy 206.34626 63.235) (xy 206.633158 63.177932) (xy 206.903411 63.06599) (xy 207.146632 62.903475) + (xy 207.353475 62.696632) (xy 207.47 62.52224) (xy 207.586525 62.696632) (xy 207.793368 62.903475) (xy 208.036589 63.06599) + (xy 208.306842 63.177932) (xy 208.59374 63.235) (xy 208.88626 63.235) (xy 208.971417 63.218061) (xy 254.885 63.218061) + (xy 254.885 63.421939) (xy 254.924774 63.621898) (xy 255.002795 63.810256) (xy 255.116063 63.979774) (xy 255.260226 64.123937) + (xy 255.429744 64.237205) (xy 255.618102 64.315226) (xy 255.818061 64.355) (xy 256.021939 64.355) (xy 256.221898 64.315226) + (xy 256.410256 64.237205) (xy 256.579774 64.123937) (xy 256.723937 63.979774) (xy 256.837205 63.810256) (xy 256.915226 63.621898) + (xy 256.955 63.421939) (xy 256.955 63.218061) (xy 256.915226 63.018102) (xy 256.837205 62.829744) (xy 256.780681 62.745149) + (xy 256.879774 62.678937) (xy 257.023937 62.534774) (xy 257.137205 62.365256) (xy 257.215226 62.176898) (xy 257.255 61.976939) + (xy 257.255 61.773061) (xy 257.215226 61.573102) (xy 257.137205 61.384744) (xy 257.023937 61.215226) (xy 256.879774 61.071063) + (xy 256.710256 60.957795) (xy 256.686757 60.948061) (xy 266.965 60.948061) (xy 266.965 61.151939) (xy 267.004774 61.351898) + (xy 267.082795 61.540256) (xy 267.196063 61.709774) (xy 267.340226 61.853937) (xy 267.509744 61.967205) (xy 267.698102 62.045226) + (xy 267.898061 62.085) (xy 268.101939 62.085) (xy 268.301898 62.045226) (xy 268.490256 61.967205) (xy 268.659774 61.853937) + (xy 268.803937 61.709774) (xy 268.917205 61.540256) (xy 268.995226 61.351898) (xy 269.035 61.151939) (xy 269.035 60.948061) + (xy 268.995226 60.748102) (xy 268.917205 60.559744) (xy 268.803937 60.390226) (xy 268.659774 60.246063) (xy 268.490256 60.132795) + (xy 268.301898 60.054774) (xy 268.101939 60.015) (xy 267.898061 60.015) (xy 267.698102 60.054774) (xy 267.509744 60.132795) + (xy 267.340226 60.246063) (xy 267.196063 60.390226) (xy 267.082795 60.559744) (xy 267.004774 60.748102) (xy 266.965 60.948061) + (xy 256.686757 60.948061) (xy 256.521898 60.879774) (xy 256.321939 60.84) (xy 256.118061 60.84) (xy 255.918102 60.879774) + (xy 255.729744 60.957795) (xy 255.560226 61.071063) (xy 255.416063 61.215226) (xy 255.302795 61.384744) (xy 255.224774 61.573102) + (xy 255.185 61.773061) (xy 255.185 61.976939) (xy 255.224774 62.176898) (xy 255.302795 62.365256) (xy 255.359319 62.449851) + (xy 255.260226 62.516063) (xy 255.116063 62.660226) (xy 255.002795 62.829744) (xy 254.924774 63.018102) (xy 254.885 63.218061) + (xy 208.971417 63.218061) (xy 209.173158 63.177932) (xy 209.443411 63.06599) (xy 209.686632 62.903475) (xy 209.893475 62.696632) + (xy 210.05599 62.453411) (xy 210.167932 62.183158) (xy 210.225 61.89626) (xy 210.225 61.770561) (xy 245.195 61.770561) + (xy 245.195 61.974439) (xy 245.234774 62.174398) (xy 245.312795 62.362756) (xy 245.426063 62.532274) (xy 245.570226 62.676437) + (xy 245.739744 62.789705) (xy 245.928102 62.867726) (xy 246.128061 62.9075) (xy 246.331939 62.9075) (xy 246.531898 62.867726) + (xy 246.720256 62.789705) (xy 246.889774 62.676437) (xy 247.033937 62.532274) (xy 247.147205 62.362756) (xy 247.225226 62.174398) + (xy 247.265 61.974439) (xy 247.265 61.770561) (xy 247.225226 61.570602) (xy 247.147205 61.382244) (xy 247.033937 61.212726) + (xy 246.889774 61.068563) (xy 246.720256 60.955295) (xy 246.531898 60.877274) (xy 246.331939 60.8375) (xy 246.128061 60.8375) + (xy 245.928102 60.877274) (xy 245.739744 60.955295) (xy 245.570226 61.068563) (xy 245.426063 61.212726) (xy 245.312795 61.382244) + (xy 245.234774 61.570602) (xy 245.195 61.770561) (xy 210.225 61.770561) (xy 210.225 61.60374) (xy 210.167932 61.316842) + (xy 210.05599 61.046589) (xy 209.893475 60.803368) (xy 209.686632 60.596525) (xy 209.51224 60.48) (xy 209.686632 60.363475) + (xy 209.893475 60.156632) (xy 209.899201 60.148061) (xy 227.055 60.148061) (xy 227.055 60.351939) (xy 227.094774 60.551898) + (xy 227.172795 60.740256) (xy 227.286063 60.909774) (xy 227.430226 61.053937) (xy 227.599744 61.167205) (xy 227.788102 61.245226) + (xy 227.988061 61.285) (xy 228.191939 61.285) (xy 228.391898 61.245226) (xy 228.580256 61.167205) (xy 228.749774 61.053937) + (xy 228.893937 60.909774) (xy 229.007205 60.740256) (xy 229.085226 60.551898) (xy 229.125 60.351939) (xy 229.125 60.148061) + (xy 229.085226 59.948102) (xy 229.007205 59.759744) (xy 228.893937 59.590226) (xy 228.749774 59.446063) (xy 228.580256 59.332795) + (xy 228.391898 59.254774) (xy 228.191939 59.215) (xy 227.988061 59.215) (xy 227.788102 59.254774) (xy 227.599744 59.332795) + (xy 227.430226 59.446063) (xy 227.286063 59.590226) (xy 227.172795 59.759744) (xy 227.094774 59.948102) (xy 227.055 60.148061) + (xy 209.899201 60.148061) (xy 210.05599 59.913411) (xy 210.167932 59.643158) (xy 210.225 59.35626) (xy 210.225 59.06374) + (xy 210.211936 58.998061) (xy 245.0275 58.998061) (xy 245.0275 59.201939) (xy 245.067274 59.401898) (xy 245.145295 59.590256) + (xy 245.258563 59.759774) (xy 245.402726 59.903937) (xy 245.572244 60.017205) (xy 245.760602 60.095226) (xy 245.960561 60.135) + (xy 246.164439 60.135) (xy 246.364398 60.095226) (xy 246.552756 60.017205) (xy 246.722274 59.903937) (xy 246.866437 59.759774) + (xy 246.979705 59.590256) (xy 247.057726 59.401898) (xy 247.0975 59.201939) (xy 247.0975 58.998061) (xy 247.057726 58.798102) + (xy 246.979705 58.609744) (xy 246.921786 58.523061) (xy 254.465 58.523061) (xy 254.465 58.726939) (xy 254.504774 58.926898) + (xy 254.582795 59.115256) (xy 254.696063 59.284774) (xy 254.840226 59.428937) (xy 255.009744 59.542205) (xy 255.198102 59.620226) + (xy 255.398061 59.66) (xy 255.601939 59.66) (xy 255.801898 59.620226) (xy 255.990256 59.542205) (xy 256.159774 59.428937) + (xy 256.303937 59.284774) (xy 256.417205 59.115256) (xy 256.495226 58.926898) (xy 256.535 58.726939) (xy 256.535 58.523061) + (xy 256.495226 58.323102) (xy 256.417205 58.134744) (xy 256.303937 57.965226) (xy 256.286772 57.948061) (xy 266.965 57.948061) + (xy 266.965 58.151939) (xy 267.004774 58.351898) (xy 267.082795 58.540256) (xy 267.196063 58.709774) (xy 267.340226 58.853937) + (xy 267.509744 58.967205) (xy 267.698102 59.045226) (xy 267.898061 59.085) (xy 268.101939 59.085) (xy 268.301898 59.045226) + (xy 268.490256 58.967205) (xy 268.659774 58.853937) (xy 268.803937 58.709774) (xy 268.917205 58.540256) (xy 268.995226 58.351898) + (xy 269.035 58.151939) (xy 269.035 57.948061) (xy 268.995226 57.748102) (xy 268.917205 57.559744) (xy 268.803937 57.390226) + (xy 268.659774 57.246063) (xy 268.490256 57.132795) (xy 268.301898 57.054774) (xy 268.101939 57.015) (xy 267.898061 57.015) + (xy 267.698102 57.054774) (xy 267.509744 57.132795) (xy 267.340226 57.246063) (xy 267.196063 57.390226) (xy 267.082795 57.559744) + (xy 267.004774 57.748102) (xy 266.965 57.948061) (xy 256.286772 57.948061) (xy 256.159774 57.821063) (xy 255.990256 57.707795) + (xy 255.801898 57.629774) (xy 255.601939 57.59) (xy 255.398061 57.59) (xy 255.198102 57.629774) (xy 255.009744 57.707795) + (xy 254.840226 57.821063) (xy 254.696063 57.965226) (xy 254.582795 58.134744) (xy 254.504774 58.323102) (xy 254.465 58.523061) + (xy 246.921786 58.523061) (xy 246.866437 58.440226) (xy 246.722274 58.296063) (xy 246.552756 58.182795) (xy 246.364398 58.104774) + (xy 246.164439 58.065) (xy 245.960561 58.065) (xy 245.760602 58.104774) (xy 245.572244 58.182795) (xy 245.402726 58.296063) + (xy 245.258563 58.440226) (xy 245.145295 58.609744) (xy 245.067274 58.798102) (xy 245.0275 58.998061) (xy 210.211936 58.998061) + (xy 210.167932 58.776842) (xy 210.05599 58.506589) (xy 209.893475 58.263368) (xy 209.686632 58.056525) (xy 209.443411 57.89401) + (xy 209.173158 57.782068) (xy 208.88626 57.725) (xy 208.59374 57.725) (xy 208.306842 57.782068) (xy 208.036589 57.89401) + (xy 207.793368 58.056525) (xy 207.586525 58.263368) (xy 207.47 58.43776) (xy 207.353475 58.263368) (xy 207.146632 58.056525) + (xy 206.903411 57.89401) (xy 206.633158 57.782068) (xy 206.34626 57.725) (xy 206.05374 57.725) (xy 205.766842 57.782068) + (xy 205.496589 57.89401) (xy 205.253368 58.056525) (xy 205.046525 58.263368) (xy 204.93 58.43776) (xy 204.813475 58.263368) + (xy 204.606632 58.056525) (xy 204.363411 57.89401) (xy 204.093158 57.782068) (xy 203.80626 57.725) (xy 203.51374 57.725) + (xy 203.226842 57.782068) (xy 202.956589 57.89401) (xy 202.713368 58.056525) (xy 202.506525 58.263368) (xy 202.39 58.43776) + (xy 202.273475 58.263368) (xy 202.066632 58.056525) (xy 201.823411 57.89401) (xy 201.553158 57.782068) (xy 201.26626 57.725) + (xy 200.97374 57.725) (xy 200.686842 57.782068) (xy 200.416589 57.89401) (xy 200.173368 58.056525) (xy 199.966525 58.263368) + (xy 199.85 58.43776) (xy 199.733475 58.263368) (xy 199.526632 58.056525) (xy 199.283411 57.89401) (xy 199.013158 57.782068) + (xy 198.72626 57.725) (xy 198.43374 57.725) (xy 198.146842 57.782068) (xy 197.876589 57.89401) (xy 197.633368 58.056525) + (xy 197.426525 58.263368) (xy 197.31 58.43776) (xy 197.193475 58.263368) (xy 196.986632 58.056525) (xy 196.743411 57.89401) + (xy 196.473158 57.782068) (xy 196.18626 57.725) (xy 195.89374 57.725) (xy 195.606842 57.782068) (xy 195.336589 57.89401) + (xy 195.093368 58.056525) (xy 194.886525 58.263368) (xy 194.77 58.43776) (xy 194.653475 58.263368) (xy 194.446632 58.056525) + (xy 194.203411 57.89401) (xy 193.933158 57.782068) (xy 193.64626 57.725) (xy 193.35374 57.725) (xy 193.066842 57.782068) + (xy 192.796589 57.89401) (xy 192.553368 58.056525) (xy 192.346525 58.263368) (xy 192.23 58.43776) (xy 192.113475 58.263368) + (xy 191.906632 58.056525) (xy 191.663411 57.89401) (xy 191.393158 57.782068) (xy 191.10626 57.725) (xy 190.81374 57.725) + (xy 190.526842 57.782068) (xy 190.256589 57.89401) (xy 190.013368 58.056525) (xy 189.806525 58.263368) (xy 189.69 58.43776) + (xy 189.573475 58.263368) (xy 189.366632 58.056525) (xy 189.123411 57.89401) (xy 188.853158 57.782068) (xy 188.56626 57.725) + (xy 188.27374 57.725) (xy 187.986842 57.782068) (xy 187.716589 57.89401) (xy 187.473368 58.056525) (xy 187.266525 58.263368) + (xy 187.15 58.43776) (xy 187.033475 58.263368) (xy 186.826632 58.056525) (xy 186.583411 57.89401) (xy 186.313158 57.782068) + (xy 186.02626 57.725) (xy 185.73374 57.725) (xy 185.446842 57.782068) (xy 185.176589 57.89401) (xy 184.933368 58.056525) + (xy 184.726525 58.263368) (xy 184.61 58.43776) (xy 184.493475 58.263368) (xy 184.286632 58.056525) (xy 184.043411 57.89401) + (xy 183.773158 57.782068) (xy 183.48626 57.725) (xy 183.19374 57.725) (xy 182.906842 57.782068) (xy 182.636589 57.89401) + (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) (xy 181.953475 58.263368) (xy 181.746632 58.056525) + (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) (xy 180.65374 57.725) (xy 180.366842 57.782068) + (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) (xy 179.53 58.43776) (xy 179.413475 58.263368) + (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) (xy 178.40626 57.725) (xy 178.11374 57.725) + (xy 177.826842 57.782068) (xy 177.556589 57.89401) (xy 177.313368 58.056525) (xy 177.106525 58.263368) (xy 176.99 58.43776) + (xy 176.873475 58.263368) (xy 176.666632 58.056525) (xy 176.423411 57.89401) (xy 176.153158 57.782068) (xy 175.86626 57.725) + (xy 175.57374 57.725) (xy 175.286842 57.782068) (xy 175.016589 57.89401) (xy 174.773368 58.056525) (xy 174.566525 58.263368) + (xy 174.45 58.43776) (xy 174.333475 58.263368) (xy 174.126632 58.056525) (xy 173.883411 57.89401) (xy 173.613158 57.782068) + (xy 173.32626 57.725) (xy 173.03374 57.725) (xy 172.746842 57.782068) (xy 172.476589 57.89401) (xy 172.233368 58.056525) + (xy 172.026525 58.263368) (xy 171.904805 58.445534) (xy 171.835178 58.328645) (xy 171.640269 58.112412) (xy 171.40692 57.938359) + (xy 171.144099 57.813175) (xy 170.99689 57.768524) (xy 170.767 57.889845) (xy 170.513 57.889845) (xy 170.28311 57.768524) + (xy 170.135901 57.813175) (xy 169.87308 57.938359) (xy 169.639731 58.112412) (xy 169.444822 58.328645) (xy 169.375195 58.445534) + (xy 169.253475 58.263368) (xy 169.046632 58.056525) (xy 168.803411 57.89401) (xy 168.533158 57.782068) (xy 168.24626 57.725) + (xy 167.95374 57.725) (xy 167.666842 57.782068) (xy 167.396589 57.89401) (xy 167.153368 58.056525) (xy 166.946525 58.263368) + (xy 166.824805 58.445534) (xy 166.755178 58.328645) (xy 166.560269 58.112412) (xy 166.32692 57.938359) (xy 166.064099 57.813175) + (xy 165.91689 57.768524) (xy 165.687 57.889845) (xy 165.433 57.889845) (xy 165.20311 57.768524) (xy 165.055901 57.813175) + (xy 164.79308 57.938359) (xy 164.559731 58.112412) (xy 164.364822 58.328645) (xy 164.295195 58.445534) (xy 164.173475 58.263368) + (xy 163.966632 58.056525) (xy 163.723411 57.89401) (xy 163.453158 57.782068) (xy 163.16626 57.725) (xy 162.87374 57.725) + (xy 162.586842 57.782068) (xy 162.316589 57.89401) (xy 162.073368 58.056525) (xy 161.866525 58.263368) (xy 161.75 58.43776) + (xy 161.633475 58.263368) (xy 161.426632 58.056525) (xy 161.183411 57.89401) (xy 160.913158 57.782068) (xy 160.62626 57.725) + (xy 160.33374 57.725) (xy 160.046842 57.782068) (xy 159.776589 57.89401) (xy 159.533368 58.056525) (xy 159.326525 58.263368) + (xy 159.21 58.43776) (xy 159.093475 58.263368) (xy 158.886632 58.056525) (xy 158.643411 57.89401) (xy 158.373158 57.782068) + (xy 158.08626 57.725) (xy 157.79374 57.725) (xy 157.506842 57.782068) (xy 157.236589 57.89401) (xy 156.993368 58.056525) + (xy 156.786525 58.263368) (xy 156.67 58.43776) (xy 156.553475 58.263368) (xy 156.346632 58.056525) (xy 156.103411 57.89401) + (xy 155.833158 57.782068) (xy 155.54626 57.725) (xy 155.25374 57.725) (xy 154.966842 57.782068) (xy 154.696589 57.89401) + (xy 154.453368 58.056525) (xy 154.246525 58.263368) (xy 154.13 58.43776) (xy 154.013475 58.263368) (xy 153.806632 58.056525) + (xy 153.563411 57.89401) (xy 153.293158 57.782068) (xy 153.00626 57.725) (xy 152.71374 57.725) (xy 152.426842 57.782068) + (xy 152.156589 57.89401) (xy 151.913368 58.056525) (xy 151.706525 58.263368) (xy 151.59 58.43776) (xy 151.473475 58.263368) + (xy 151.266632 58.056525) (xy 151.023411 57.89401) (xy 150.753158 57.782068) (xy 150.46626 57.725) (xy 150.17374 57.725) + (xy 149.886842 57.782068) (xy 149.616589 57.89401) (xy 149.373368 58.056525) (xy 149.166525 58.263368) (xy 149.05 58.43776) + (xy 148.933475 58.263368) (xy 148.726632 58.056525) (xy 148.483411 57.89401) (xy 148.213158 57.782068) (xy 147.92626 57.725) + (xy 147.63374 57.725) (xy 147.346842 57.782068) (xy 147.076589 57.89401) (xy 146.833368 58.056525) (xy 146.626525 58.263368) + (xy 146.51 58.43776) (xy 146.393475 58.263368) (xy 146.186632 58.056525) (xy 145.943411 57.89401) (xy 145.673158 57.782068) + (xy 145.38626 57.725) (xy 145.09374 57.725) (xy 144.806842 57.782068) (xy 144.536589 57.89401) (xy 144.293368 58.056525) + (xy 144.086525 58.263368) (xy 143.97 58.43776) (xy 143.853475 58.263368) (xy 143.646632 58.056525) (xy 143.403411 57.89401) + (xy 143.133158 57.782068) (xy 142.84626 57.725) (xy 142.55374 57.725) (xy 142.266842 57.782068) (xy 141.996589 57.89401) + (xy 141.753368 58.056525) (xy 141.546525 58.263368) (xy 141.43 58.43776) (xy 141.313475 58.263368) (xy 141.106632 58.056525) + (xy 140.863411 57.89401) (xy 140.593158 57.782068) (xy 140.30626 57.725) (xy 140.01374 57.725) (xy 139.726842 57.782068) + (xy 139.456589 57.89401) (xy 139.213368 58.056525) (xy 139.006525 58.263368) (xy 138.89 58.43776) (xy 138.773475 58.263368) + (xy 138.566632 58.056525) (xy 138.323411 57.89401) (xy 138.053158 57.782068) (xy 137.76626 57.725) (xy 137.47374 57.725) + (xy 137.186842 57.782068) (xy 136.916589 57.89401) (xy 136.673368 58.056525) (xy 136.466525 58.263368) (xy 136.35 58.43776) + (xy 136.233475 58.263368) (xy 136.026632 58.056525) (xy 135.783411 57.89401) (xy 135.513158 57.782068) (xy 135.22626 57.725) + (xy 134.93374 57.725) (xy 134.646842 57.782068) (xy 134.376589 57.89401) (xy 134.133368 58.056525) (xy 133.926525 58.263368) + (xy 133.81 58.43776) (xy 133.693475 58.263368) (xy 133.486632 58.056525) (xy 133.243411 57.89401) (xy 132.973158 57.782068) + (xy 132.68626 57.725) (xy 132.39374 57.725) (xy 132.106842 57.782068) (xy 131.836589 57.89401) (xy 131.593368 58.056525) + (xy 131.386525 58.263368) (xy 131.27 58.43776) (xy 131.153475 58.263368) (xy 130.946632 58.056525) (xy 130.703411 57.89401) + (xy 130.433158 57.782068) (xy 130.14626 57.725) (xy 129.85374 57.725) (xy 129.566842 57.782068) (xy 129.296589 57.89401) + (xy 129.053368 58.056525) (xy 128.846525 58.263368) (xy 128.68401 58.506589) (xy 128.572068 58.776842) (xy 128.515 59.06374) + (xy 128.515 59.35626) (xy 128.572068 59.643158) (xy 128.68401 59.913411) (xy 128.846525 60.156632) (xy 128.97838 60.288487) + (xy 128.90582 60.310498) (xy 128.795506 60.369463) (xy 128.698815 60.448815) (xy 128.619463 60.545506) (xy 128.560498 60.65582) + (xy 128.524188 60.775518) (xy 128.511928 60.9) (xy 113.689885 60.9) (xy 113.681896 53.861014) (xy 180.551371 53.861014) + (xy 180.551371 54.064892) (xy 180.591145 54.264851) (xy 180.669166 54.453209) (xy 180.782434 54.622727) (xy 180.926597 54.76689) + (xy 181.096115 54.880158) (xy 181.284473 54.958179) (xy 181.484432 54.997953) (xy 181.68831 54.997953) (xy 181.888269 54.958179) + (xy 182.076627 54.880158) (xy 182.246145 54.76689) (xy 182.314974 54.698061) (xy 183.165 54.698061) (xy 183.165 54.901939) + (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) (xy 183.540226 55.603937) (xy 183.709744 55.717205) + (xy 183.898102 55.795226) (xy 184.098061 55.835) (xy 184.301939 55.835) (xy 184.501898 55.795226) (xy 184.690256 55.717205) + (xy 184.8 55.643877) (xy 184.909744 55.717205) (xy 185.098102 55.795226) (xy 185.298061 55.835) (xy 185.501939 55.835) + (xy 185.701898 55.795226) (xy 185.890256 55.717205) (xy 186 55.643877) (xy 186.109744 55.717205) (xy 186.298102 55.795226) + (xy 186.498061 55.835) (xy 186.701939 55.835) (xy 186.901898 55.795226) (xy 187.090256 55.717205) (xy 187.2 55.643877) + (xy 187.309744 55.717205) (xy 187.498102 55.795226) (xy 187.698061 55.835) (xy 187.901939 55.835) (xy 188.101898 55.795226) + (xy 188.290256 55.717205) (xy 188.459774 55.603937) (xy 188.603937 55.459774) (xy 188.717205 55.290256) (xy 188.795226 55.101898) + (xy 188.835 54.901939) (xy 188.835 54.888967) (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) + (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) + (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) + (xy 268.119987 56.092252) (xy 268.298918 55.824463) (xy 268.422168 55.526912) (xy 268.485 55.211033) (xy 268.485 54.888967) + (xy 268.422168 54.573088) (xy 268.298918 54.275537) (xy 268.119987 54.007748) (xy 267.892252 53.780013) (xy 267.624463 53.601082) + (xy 267.326912 53.477832) (xy 267.011033 53.415) (xy 266.688967 53.415) (xy 266.373088 53.477832) (xy 266.075537 53.601082) + (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) (xy 265.277832 54.573088) (xy 265.215 54.888967) + (xy 188.835 54.888967) (xy 188.835 54.698061) (xy 188.795226 54.498102) (xy 188.717205 54.309744) (xy 188.603937 54.140226) + (xy 188.459774 53.996063) (xy 188.290256 53.882795) (xy 188.101898 53.804774) (xy 187.901939 53.765) (xy 187.698061 53.765) + (xy 187.498102 53.804774) (xy 187.309744 53.882795) (xy 187.2 53.956123) (xy 187.090256 53.882795) (xy 186.901898 53.804774) + (xy 186.701939 53.765) (xy 186.498061 53.765) (xy 186.298102 53.804774) (xy 186.109744 53.882795) (xy 186 53.956123) + (xy 185.890256 53.882795) (xy 185.701898 53.804774) (xy 185.501939 53.765) (xy 185.298061 53.765) (xy 185.098102 53.804774) + (xy 184.909744 53.882795) (xy 184.8 53.956123) (xy 184.690256 53.882795) (xy 184.501898 53.804774) (xy 184.301939 53.765) + (xy 184.098061 53.765) (xy 183.898102 53.804774) (xy 183.709744 53.882795) (xy 183.540226 53.996063) (xy 183.396063 54.140226) + (xy 183.282795 54.309744) (xy 183.204774 54.498102) (xy 183.165 54.698061) (xy 182.314974 54.698061) (xy 182.390308 54.622727) + (xy 182.503576 54.453209) (xy 182.581597 54.264851) (xy 182.621371 54.064892) (xy 182.621371 53.861014) (xy 182.591445 53.710561) + (xy 254.005 53.710561) (xy 254.005 53.914439) (xy 254.044774 54.114398) (xy 254.122795 54.302756) (xy 254.236063 54.472274) + (xy 254.380226 54.616437) (xy 254.549744 54.729705) (xy 254.738102 54.807726) (xy 254.938061 54.8475) (xy 255.141939 54.8475) + (xy 255.341898 54.807726) (xy 255.530256 54.729705) (xy 255.699774 54.616437) (xy 255.843937 54.472274) (xy 255.957205 54.302756) + (xy 256.035226 54.114398) (xy 256.075 53.914439) (xy 256.075 53.710561) (xy 256.035226 53.510602) (xy 255.957205 53.322244) + (xy 255.843937 53.152726) (xy 255.699774 53.008563) (xy 255.530256 52.895295) (xy 255.341898 52.817274) (xy 255.141939 52.7775) + (xy 254.938061 52.7775) (xy 254.738102 52.817274) (xy 254.549744 52.895295) (xy 254.380226 53.008563) (xy 254.236063 53.152726) + (xy 254.122795 53.322244) (xy 254.044774 53.510602) (xy 254.005 53.710561) (xy 182.591445 53.710561) (xy 182.581597 53.661055) + (xy 182.503576 53.472697) (xy 182.390308 53.303179) (xy 182.246145 53.159016) (xy 182.076627 53.045748) (xy 181.888269 52.967727) + (xy 181.68831 52.927953) (xy 181.484432 52.927953) (xy 181.284473 52.967727) (xy 181.096115 53.045748) (xy 180.926597 53.159016) + (xy 180.782434 53.303179) (xy 180.669166 53.472697) (xy 180.591145 53.661055) (xy 180.551371 53.861014) (xy 113.681896 53.861014) + (xy 113.677624 50.098061) (xy 144.09 50.098061) (xy 144.09 50.301939) (xy 144.129774 50.501898) (xy 144.207795 50.690256) + (xy 144.321063 50.859774) (xy 144.465226 51.003937) (xy 144.634744 51.117205) (xy 144.823102 51.195226) (xy 145.023061 51.235) + (xy 145.226939 51.235) (xy 145.426898 51.195226) (xy 145.615256 51.117205) (xy 145.784774 51.003937) (xy 145.928937 50.859774) + (xy 146.042205 50.690256) (xy 146.054317 50.661014) (xy 185.751371 50.661014) (xy 185.751371 50.864892) (xy 185.791145 51.064851) + (xy 185.869166 51.253209) (xy 185.982434 51.422727) (xy 186.126597 51.56689) (xy 186.296115 51.680158) (xy 186.484473 51.758179) + (xy 186.684432 51.797953) (xy 186.88831 51.797953) (xy 187.088269 51.758179) (xy 187.276627 51.680158) (xy 187.446145 51.56689) + (xy 187.590308 51.422727) (xy 187.703576 51.253209) (xy 187.781597 51.064851) (xy 187.821371 50.864892) (xy 187.821371 50.661014) + (xy 187.803967 50.573514) (xy 189.576371 50.573514) (xy 189.576371 50.777392) (xy 189.616145 50.977351) (xy 189.694166 51.165709) + (xy 189.807434 51.335227) (xy 189.951597 51.47939) (xy 190.121115 51.592658) (xy 190.309473 51.670679) (xy 190.509432 51.710453) + (xy 190.71331 51.710453) (xy 190.913269 51.670679) (xy 191.101627 51.592658) (xy 191.271145 51.47939) (xy 191.415308 51.335227) + (xy 191.528576 51.165709) (xy 191.606597 50.977351) (xy 191.646371 50.777392) (xy 191.646371 50.698061) (xy 207.705 50.698061) + (xy 207.705 50.901939) (xy 207.744774 51.101898) (xy 207.822795 51.290256) (xy 207.936063 51.459774) (xy 208.080226 51.603937) + (xy 208.249744 51.717205) (xy 208.438102 51.795226) (xy 208.638061 51.835) (xy 208.841939 51.835) (xy 209.041898 51.795226) + (xy 209.230256 51.717205) (xy 209.399774 51.603937) (xy 209.543937 51.459774) (xy 209.657205 51.290256) (xy 209.735226 51.101898) + (xy 209.775 50.901939) (xy 209.775 50.698061) (xy 209.735226 50.498102) (xy 209.657205 50.309744) (xy 209.543937 50.140226) + (xy 209.399774 49.996063) (xy 209.230256 49.882795) (xy 209.041898 49.804774) (xy 208.841939 49.765) (xy 208.638061 49.765) + (xy 208.438102 49.804774) (xy 208.249744 49.882795) (xy 208.080226 49.996063) (xy 207.936063 50.140226) (xy 207.822795 50.309744) + (xy 207.744774 50.498102) (xy 207.705 50.698061) (xy 191.646371 50.698061) (xy 191.646371 50.573514) (xy 191.606597 50.373555) + (xy 191.528576 50.185197) (xy 191.415308 50.015679) (xy 191.271145 49.871516) (xy 191.101627 49.758248) (xy 190.913269 49.680227) + (xy 190.71331 49.640453) (xy 190.509432 49.640453) (xy 190.309473 49.680227) (xy 190.121115 49.758248) (xy 189.951597 49.871516) + (xy 189.807434 50.015679) (xy 189.694166 50.185197) (xy 189.616145 50.373555) (xy 189.576371 50.573514) (xy 187.803967 50.573514) + (xy 187.781597 50.461055) (xy 187.703576 50.272697) (xy 187.590308 50.103179) (xy 187.446145 49.959016) (xy 187.276627 49.845748) + (xy 187.088269 49.767727) (xy 186.88831 49.727953) (xy 186.684432 49.727953) (xy 186.484473 49.767727) (xy 186.296115 49.845748) + (xy 186.126597 49.959016) (xy 185.982434 50.103179) (xy 185.869166 50.272697) (xy 185.791145 50.461055) (xy 185.751371 50.661014) + (xy 146.054317 50.661014) (xy 146.120226 50.501898) (xy 146.16 50.301939) (xy 146.16 50.098061) (xy 146.120226 49.898102) + (xy 146.042205 49.709744) (xy 145.928937 49.540226) (xy 145.784774 49.396063) (xy 145.675519 49.323061) (xy 264.84 49.323061) + (xy 264.84 49.526939) (xy 264.879774 49.726898) (xy 264.957795 49.915256) (xy 265.071063 50.084774) (xy 265.198789 50.2125) + (xy 265.071063 50.340226) (xy 264.957795 50.509744) (xy 264.879774 50.698102) (xy 264.84 50.898061) (xy 264.84 51.101939) + (xy 264.879774 51.301898) (xy 264.957795 51.490256) (xy 265.071063 51.659774) (xy 265.215226 51.803937) (xy 265.384744 51.917205) + (xy 265.573102 51.995226) (xy 265.773061 52.035) (xy 265.976939 52.035) (xy 266.176898 51.995226) (xy 266.365256 51.917205) + (xy 266.534774 51.803937) (xy 266.678937 51.659774) (xy 266.792205 51.490256) (xy 266.870226 51.301898) (xy 266.91 51.101939) + (xy 266.91 50.898061) (xy 267.525 50.898061) (xy 267.525 51.101939) (xy 267.564774 51.301898) (xy 267.642795 51.490256) + (xy 267.756063 51.659774) (xy 267.900226 51.803937) (xy 268.069744 51.917205) (xy 268.258102 51.995226) (xy 268.458061 52.035) + (xy 268.661939 52.035) (xy 268.861898 51.995226) (xy 269.050256 51.917205) (xy 269.219774 51.803937) (xy 269.363937 51.659774) + (xy 269.477205 51.490256) (xy 269.555226 51.301898) (xy 269.595 51.101939) (xy 269.595 50.898061) (xy 269.555226 50.698102) + (xy 269.477205 50.509744) (xy 269.363937 50.340226) (xy 269.219774 50.196063) (xy 269.050256 50.082795) (xy 268.861898 50.004774) + (xy 268.661939 49.965) (xy 268.458061 49.965) (xy 268.258102 50.004774) (xy 268.069744 50.082795) (xy 267.900226 50.196063) + (xy 267.756063 50.340226) (xy 267.642795 50.509744) (xy 267.564774 50.698102) (xy 267.525 50.898061) (xy 266.91 50.898061) + (xy 266.870226 50.698102) (xy 266.792205 50.509744) (xy 266.678937 50.340226) (xy 266.551211 50.2125) (xy 266.678937 50.084774) + (xy 266.792205 49.915256) (xy 266.870226 49.726898) (xy 266.91 49.526939) (xy 266.91 49.323061) (xy 266.870226 49.123102) + (xy 266.792205 48.934744) (xy 266.678937 48.765226) (xy 266.534774 48.621063) (xy 266.365256 48.507795) (xy 266.176898 48.429774) + (xy 265.976939 48.39) (xy 265.773061 48.39) (xy 265.573102 48.429774) (xy 265.384744 48.507795) (xy 265.215226 48.621063) + (xy 265.071063 48.765226) (xy 264.957795 48.934744) (xy 264.879774 49.123102) (xy 264.84 49.323061) (xy 145.675519 49.323061) (xy 145.615256 49.282795) (xy 145.426898 49.204774) (xy 145.226939 49.165) (xy 145.023061 49.165) (xy 144.823102 49.204774) (xy 144.634744 49.282795) (xy 144.465226 49.396063) (xy 144.321063 49.540226) (xy 144.207795 49.709744) (xy 144.129774 49.898102) (xy 144.09 50.098061) (xy 113.677624 50.098061) (xy 113.674672 47.498061) (xy 138.2174 47.498061) (xy 138.2174 47.701939) @@ -11920,14 +11713,7 @@ (xy 182.246145 47.359016) (xy 182.076627 47.245748) (xy 181.888269 47.167727) (xy 181.68831 47.127953) (xy 181.484432 47.127953) (xy 181.284473 47.167727) (xy 181.096115 47.245748) (xy 180.926597 47.359016) (xy 180.782434 47.503179) (xy 180.669166 47.672697) (xy 180.591145 47.861055) (xy 180.551371 48.061014) (xy 140.181717 48.061014) (xy 140.247626 47.901898) (xy 140.2874 47.701939) - (xy 140.2874 47.498061) (xy 140.247626 47.298102) (xy 140.169605 47.109744) (xy 140.056337 46.940226) (xy 139.926888 46.810777) - (xy 254.515 46.810777) (xy 254.515 47.369223) (xy 254.623948 47.916939) (xy 254.837656 48.432876) (xy 255.147912 48.897207) - (xy 255.542793 49.292088) (xy 256.007124 49.602344) (xy 256.523061 49.816052) (xy 257.070777 49.925) (xy 257.629223 49.925) - (xy 258.176939 49.816052) (xy 258.692876 49.602344) (xy 259.157207 49.292088) (xy 259.552088 48.897207) (xy 259.862344 48.432876) - (xy 260.076052 47.916939) (xy 260.185 47.369223) (xy 260.185 46.810777) (xy 260.076052 46.263061) (xy 259.862344 45.747124) - (xy 259.552088 45.282793) (xy 259.157207 44.887912) (xy 258.692876 44.577656) (xy 258.176939 44.363948) (xy 257.629223 44.255) - (xy 257.070777 44.255) (xy 256.523061 44.363948) (xy 256.007124 44.577656) (xy 255.542793 44.887912) (xy 255.147912 45.282793) - (xy 254.837656 45.747124) (xy 254.623948 46.263061) (xy 254.515 46.810777) (xy 139.926888 46.810777) (xy 139.912174 46.796063) + (xy 140.2874 47.498061) (xy 140.247626 47.298102) (xy 140.169605 47.109744) (xy 140.056337 46.940226) (xy 139.912174 46.796063) (xy 139.742656 46.682795) (xy 139.554298 46.604774) (xy 139.354339 46.565) (xy 139.150461 46.565) (xy 138.950502 46.604774) (xy 138.762144 46.682795) (xy 138.592626 46.796063) (xy 138.448463 46.940226) (xy 138.335195 47.109744) (xy 138.257174 47.298102) (xy 138.2174 47.498061) (xy 113.674672 47.498061) (xy 113.672584 45.658061) (xy 167.785 45.658061) (xy 167.785 45.861939) @@ -11937,396 +11723,382 @@ (xy 169.855 45.658061) (xy 169.815226 45.458102) (xy 169.737205 45.269744) (xy 169.623937 45.100226) (xy 169.479774 44.956063) (xy 169.310256 44.842795) (xy 169.121898 44.764774) (xy 168.921939 44.725) (xy 168.718061 44.725) (xy 168.518102 44.764774) (xy 168.329744 44.842795) (xy 168.160226 44.956063) (xy 168.016063 45.100226) (xy 167.902795 45.269744) (xy 167.824774 45.458102) - (xy 167.785 45.658061) (xy 113.672584 45.658061) (xy 113.67086 44.138665) (xy 262.915 44.138665) (xy 262.915 44.421335) - (xy 262.970147 44.698574) (xy 263.07832 44.959727) (xy 263.235363 45.194759) (xy 263.435241 45.394637) (xy 263.670273 45.55168) - (xy 263.931426 45.659853) (xy 264.208665 45.715) (xy 264.491335 45.715) (xy 264.768574 45.659853) (xy 265.029727 45.55168) - (xy 265.264759 45.394637) (xy 265.431339 45.228057) (xy 265.460498 45.32418) (xy 265.519463 45.434494) (xy 265.598815 45.531185) - (xy 265.695506 45.610537) (xy 265.80582 45.669502) (xy 265.925518 45.705812) (xy 266.05 45.718072) (xy 267.65 45.718072) - (xy 267.774482 45.705812) (xy 267.89418 45.669502) (xy 268.004494 45.610537) (xy 268.101185 45.531185) (xy 268.180537 45.434494) - (xy 268.239502 45.32418) (xy 268.275812 45.204482) (xy 268.288072 45.08) (xy 268.288072 43.48) (xy 268.275812 43.355518) - (xy 268.239502 43.23582) (xy 268.180537 43.125506) (xy 268.101185 43.028815) (xy 268.004494 42.949463) (xy 267.89418 42.890498) - (xy 267.774482 42.854188) (xy 267.65 42.841928) (xy 266.05 42.841928) (xy 265.925518 42.854188) (xy 265.80582 42.890498) - (xy 265.695506 42.949463) (xy 265.598815 43.028815) (xy 265.519463 43.125506) (xy 265.460498 43.23582) (xy 265.431339 43.331943) - (xy 265.264759 43.165363) (xy 265.029727 43.00832) (xy 264.768574 42.900147) (xy 264.491335 42.845) (xy 264.208665 42.845) - (xy 263.931426 42.900147) (xy 263.670273 43.00832) (xy 263.435241 43.165363) (xy 263.235363 43.365241) (xy 263.07832 43.600273) - (xy 262.970147 43.861426) (xy 262.915 44.138665) (xy 113.67086 44.138665) (xy 113.66852 42.078061) (xy 151.845 42.078061) - (xy 151.845 42.281939) (xy 151.884774 42.481898) (xy 151.962795 42.670256) (xy 152.076063 42.839774) (xy 152.220226 42.983937) - (xy 152.389744 43.097205) (xy 152.578102 43.175226) (xy 152.778061 43.215) (xy 152.981939 43.215) (xy 153.181898 43.175226) - (xy 153.370256 43.097205) (xy 153.539774 42.983937) (xy 153.683937 42.839774) (xy 153.797205 42.670256) (xy 153.875226 42.481898) - (xy 153.915 42.281939) (xy 153.915 42.078061) (xy 153.875226 41.878102) (xy 153.797205 41.689744) (xy 153.683937 41.520226) - (xy 153.539774 41.376063) (xy 153.370256 41.262795) (xy 153.181898 41.184774) (xy 152.981939 41.145) (xy 152.778061 41.145) - (xy 152.578102 41.184774) (xy 152.389744 41.262795) (xy 152.220226 41.376063) (xy 152.076063 41.520226) (xy 151.962795 41.689744) - (xy 151.884774 41.878102) (xy 151.845 42.078061) (xy 113.66852 42.078061) (xy 113.66651 40.308061) (xy 114.635 40.308061) - (xy 114.635 40.511939) (xy 114.674774 40.711898) (xy 114.752795 40.900256) (xy 114.866063 41.069774) (xy 115.010226 41.213937) - (xy 115.179744 41.327205) (xy 115.368102 41.405226) (xy 115.568061 41.445) (xy 115.771939 41.445) (xy 115.971898 41.405226) - (xy 116.160256 41.327205) (xy 116.329774 41.213937) (xy 116.473937 41.069774) (xy 116.587205 40.900256) (xy 116.665226 40.711898) - (xy 116.705 40.511939) (xy 116.705 40.308061) (xy 116.665226 40.108102) (xy 116.587205 39.919744) (xy 116.473937 39.750226) - (xy 116.329774 39.606063) (xy 116.160256 39.492795) (xy 115.971898 39.414774) (xy 115.771939 39.375) (xy 115.568061 39.375) - (xy 115.368102 39.414774) (xy 115.179744 39.492795) (xy 115.010226 39.606063) (xy 114.866063 39.750226) (xy 114.752795 39.919744) - (xy 114.674774 40.108102) (xy 114.635 40.308061) (xy 113.66651 40.308061) (xy 113.661233 35.658061) (xy 193.845 35.658061) - (xy 193.845 35.861939) (xy 193.884774 36.061898) (xy 193.962795 36.250256) (xy 194.076063 36.419774) (xy 194.220226 36.563937) - (xy 194.389744 36.677205) (xy 194.578102 36.755226) (xy 194.778061 36.795) (xy 194.981939 36.795) (xy 195.181898 36.755226) - (xy 195.370256 36.677205) (xy 195.539774 36.563937) (xy 195.683937 36.419774) (xy 195.797205 36.250256) (xy 195.875226 36.061898) - (xy 195.915 35.861939) (xy 195.915 35.658061) (xy 195.875226 35.458102) (xy 195.797205 35.269744) (xy 195.759331 35.213061) - (xy 254.965 35.213061) (xy 254.965 35.416939) (xy 255.004774 35.616898) (xy 255.082795 35.805256) (xy 255.196063 35.974774) - (xy 255.340226 36.118937) (xy 255.509744 36.232205) (xy 255.698102 36.310226) (xy 255.898061 36.35) (xy 256.101939 36.35) - (xy 256.301898 36.310226) (xy 256.490256 36.232205) (xy 256.659774 36.118937) (xy 256.803937 35.974774) (xy 256.917205 35.805256) - (xy 256.995226 35.616898) (xy 257.035 35.416939) (xy 257.035 35.213061) (xy 256.995226 35.013102) (xy 256.917205 34.824744) - (xy 256.803937 34.655226) (xy 256.659774 34.511063) (xy 256.490256 34.397795) (xy 256.301898 34.319774) (xy 256.101939 34.28) - (xy 255.898061 34.28) (xy 255.698102 34.319774) (xy 255.509744 34.397795) (xy 255.340226 34.511063) (xy 255.196063 34.655226) - (xy 255.082795 34.824744) (xy 255.004774 35.013102) (xy 254.965 35.213061) (xy 195.759331 35.213061) (xy 195.683937 35.100226) - (xy 195.539774 34.956063) (xy 195.370256 34.842795) (xy 195.181898 34.764774) (xy 194.981939 34.725) (xy 194.778061 34.725) - (xy 194.578102 34.764774) (xy 194.389744 34.842795) (xy 194.220226 34.956063) (xy 194.076063 35.100226) (xy 193.962795 35.269744) - (xy 193.884774 35.458102) (xy 193.845 35.658061) (xy 113.661233 35.658061) (xy 113.657818 32.65) (xy 120.411928 32.65) - (xy 120.411928 34.35) (xy 120.424188 34.474482) (xy 120.460498 34.59418) (xy 120.519463 34.704494) (xy 120.598815 34.801185) - (xy 120.695506 34.880537) (xy 120.80582 34.939502) (xy 120.925518 34.975812) (xy 121.05 34.988072) (xy 122.75 34.988072) - (xy 122.874482 34.975812) (xy 122.99418 34.939502) (xy 123.104494 34.880537) (xy 123.201185 34.801185) (xy 123.280537 34.704494) - (xy 123.339502 34.59418) (xy 123.375812 34.474482) (xy 123.388072 34.35) (xy 123.388072 33.528061) (xy 175.445 33.528061) - (xy 175.445 33.731939) (xy 175.484774 33.931898) (xy 175.562795 34.120256) (xy 175.676063 34.289774) (xy 175.820226 34.433937) - (xy 175.989744 34.547205) (xy 176.178102 34.625226) (xy 176.378061 34.665) (xy 176.581939 34.665) (xy 176.781898 34.625226) - (xy 176.970256 34.547205) (xy 177.139774 34.433937) (xy 177.283937 34.289774) (xy 177.397205 34.120256) (xy 177.475226 33.931898) - (xy 177.481956 33.898061) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) (xy 223.082795 34.490256) - (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) (xy 223.898061 35.035) - (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) (xy 224.803937 34.659774) - (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) (xy 225.082795 34.490256) - (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) (xy 225.898061 35.035) - (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) (xy 226.803937 34.659774) - (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) (xy 227.082795 34.490256) - (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) (xy 227.898061 35.035) - (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) (xy 228.803937 34.659774) - (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) (xy 229.082795 34.490256) - (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) (xy 229.898061 35.035) - (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) (xy 230.803937 34.659774) - (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) (xy 231.082795 34.490256) - (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) (xy 231.898061 35.035) - (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.659774 34.803937) (xy 232.803937 34.659774) - (xy 232.917205 34.490256) (xy 232.995226 34.301898) (xy 233 34.277897) (xy 233.004774 34.301898) (xy 233.082795 34.490256) - (xy 233.196063 34.659774) (xy 233.340226 34.803937) (xy 233.509744 34.917205) (xy 233.698102 34.995226) (xy 233.898061 35.035) - (xy 234.101939 35.035) (xy 234.301898 34.995226) (xy 234.490256 34.917205) (xy 234.659774 34.803937) (xy 234.803937 34.659774) - (xy 234.917205 34.490256) (xy 234.995226 34.301898) (xy 235.035 34.101939) (xy 235.035 33.898061) (xy 234.995226 33.698102) - (xy 234.917205 33.509744) (xy 234.803937 33.340226) (xy 234.659774 33.196063) (xy 234.490256 33.082795) (xy 234.301898 33.004774) - (xy 234.101939 32.965) (xy 233.898061 32.965) (xy 233.698102 33.004774) (xy 233.509744 33.082795) (xy 233.340226 33.196063) - (xy 233.196063 33.340226) (xy 233.082795 33.509744) (xy 233.004774 33.698102) (xy 233 33.722103) (xy 232.995226 33.698102) - (xy 232.917205 33.509744) (xy 232.803937 33.340226) (xy 232.659774 33.196063) (xy 232.490256 33.082795) (xy 232.301898 33.004774) - (xy 232.101939 32.965) (xy 231.898061 32.965) (xy 231.698102 33.004774) (xy 231.509744 33.082795) (xy 231.340226 33.196063) - (xy 231.196063 33.340226) (xy 231.082795 33.509744) (xy 231.004774 33.698102) (xy 231 33.722103) (xy 230.995226 33.698102) - (xy 230.917205 33.509744) (xy 230.803937 33.340226) (xy 230.659774 33.196063) (xy 230.490256 33.082795) (xy 230.301898 33.004774) - (xy 230.101939 32.965) (xy 229.898061 32.965) (xy 229.698102 33.004774) (xy 229.509744 33.082795) (xy 229.340226 33.196063) - (xy 229.196063 33.340226) (xy 229.082795 33.509744) (xy 229.004774 33.698102) (xy 229 33.722103) (xy 228.995226 33.698102) - (xy 228.917205 33.509744) (xy 228.803937 33.340226) (xy 228.659774 33.196063) (xy 228.490256 33.082795) (xy 228.301898 33.004774) - (xy 228.101939 32.965) (xy 227.898061 32.965) (xy 227.698102 33.004774) (xy 227.509744 33.082795) (xy 227.340226 33.196063) - (xy 227.196063 33.340226) (xy 227.082795 33.509744) (xy 227.004774 33.698102) (xy 227 33.722103) (xy 226.995226 33.698102) - (xy 226.917205 33.509744) (xy 226.803937 33.340226) (xy 226.659774 33.196063) (xy 226.490256 33.082795) (xy 226.301898 33.004774) - (xy 226.101939 32.965) (xy 225.898061 32.965) (xy 225.698102 33.004774) (xy 225.509744 33.082795) (xy 225.340226 33.196063) - (xy 225.196063 33.340226) (xy 225.082795 33.509744) (xy 225.004774 33.698102) (xy 225 33.722103) (xy 224.995226 33.698102) - (xy 224.917205 33.509744) (xy 224.803937 33.340226) (xy 224.659774 33.196063) (xy 224.490256 33.082795) (xy 224.301898 33.004774) - (xy 224.101939 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) (xy 223.509744 33.082795) (xy 223.340226 33.196063) - (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) (xy 222.965 33.898061) (xy 177.481956 33.898061) - (xy 177.515 33.731939) (xy 177.515 33.528061) (xy 177.475226 33.328102) (xy 177.397205 33.139744) (xy 177.283937 32.970226) - (xy 177.139774 32.826063) (xy 176.970256 32.712795) (xy 176.781898 32.634774) (xy 176.581939 32.595) (xy 176.378061 32.595) - (xy 176.178102 32.634774) (xy 175.989744 32.712795) (xy 175.820226 32.826063) (xy 175.676063 32.970226) (xy 175.562795 33.139744) - (xy 175.484774 33.328102) (xy 175.445 33.528061) (xy 123.388072 33.528061) (xy 123.388072 32.65) (xy 123.375812 32.525518) - (xy 123.339502 32.40582) (xy 123.280537 32.295506) (xy 123.201185 32.198815) (xy 123.104494 32.119463) (xy 122.99418 32.060498) - (xy 122.92162 32.038487) (xy 123.053475 31.906632) (xy 123.21599 31.663411) (xy 123.327932 31.393158) (xy 123.385 31.10626) - (xy 123.385 30.81374) (xy 123.327932 30.526842) (xy 123.21599 30.256589) (xy 123.053475 30.013368) (xy 122.846632 29.806525) - (xy 122.67224 29.69) (xy 122.846632 29.573475) (xy 123.053475 29.366632) (xy 123.198223 29.15) (xy 128.511928 29.15) - (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) - (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) - (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) - (xy 131.439502 31.09418) (xy 131.461513 31.02162) (xy 131.593368 31.153475) (xy 131.836589 31.31599) (xy 132.106842 31.427932) - (xy 132.39374 31.485) (xy 132.68626 31.485) (xy 132.973158 31.427932) (xy 133.243411 31.31599) (xy 133.486632 31.153475) - (xy 133.693475 30.946632) (xy 133.81 30.77224) (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) - (xy 134.646842 31.427932) (xy 134.93374 31.485) (xy 135.22626 31.485) (xy 135.513158 31.427932) (xy 135.783411 31.31599) - (xy 136.026632 31.153475) (xy 136.233475 30.946632) (xy 136.35 30.77224) (xy 136.466525 30.946632) (xy 136.673368 31.153475) - (xy 136.916589 31.31599) (xy 137.186842 31.427932) (xy 137.47374 31.485) (xy 137.76626 31.485) (xy 138.053158 31.427932) - (xy 138.323411 31.31599) (xy 138.566632 31.153475) (xy 138.773475 30.946632) (xy 138.89 30.77224) (xy 139.006525 30.946632) - (xy 139.213368 31.153475) (xy 139.456589 31.31599) (xy 139.726842 31.427932) (xy 140.01374 31.485) (xy 140.30626 31.485) - (xy 140.593158 31.427932) (xy 140.863411 31.31599) (xy 141.106632 31.153475) (xy 141.313475 30.946632) (xy 141.43 30.77224) - (xy 141.546525 30.946632) (xy 141.753368 31.153475) (xy 141.996589 31.31599) (xy 142.266842 31.427932) (xy 142.55374 31.485) - (xy 142.84626 31.485) (xy 143.133158 31.427932) (xy 143.403411 31.31599) (xy 143.646632 31.153475) (xy 143.853475 30.946632) - (xy 143.97 30.77224) (xy 144.086525 30.946632) (xy 144.293368 31.153475) (xy 144.536589 31.31599) (xy 144.806842 31.427932) - (xy 145.09374 31.485) (xy 145.38626 31.485) (xy 145.673158 31.427932) (xy 145.943411 31.31599) (xy 146.186632 31.153475) - (xy 146.393475 30.946632) (xy 146.51 30.77224) (xy 146.626525 30.946632) (xy 146.833368 31.153475) (xy 147.076589 31.31599) - (xy 147.346842 31.427932) (xy 147.63374 31.485) (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) - (xy 148.726632 31.153475) (xy 148.933475 30.946632) (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) - (xy 149.616589 31.31599) (xy 149.886842 31.427932) (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) - (xy 151.023411 31.31599) (xy 151.266632 31.153475) (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) - (xy 151.913368 31.153475) (xy 152.156589 31.31599) (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) - (xy 153.293158 31.427932) (xy 153.563411 31.31599) (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) - (xy 154.246525 30.946632) (xy 154.453368 31.153475) (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) - (xy 155.54626 31.485) (xy 155.833158 31.427932) (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) - (xy 156.67 30.77224) (xy 156.786525 30.946632) (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) - (xy 157.79374 31.485) (xy 158.08626 31.485) (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) - (xy 159.093475 30.946632) (xy 159.21 30.77224) (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) - (xy 160.046842 31.427932) (xy 160.33374 31.485) (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) - (xy 161.426632 31.153475) (xy 161.633475 30.946632) (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) - (xy 162.316589 31.31599) (xy 162.586842 31.427932) (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) - (xy 163.723411 31.31599) (xy 163.966632 31.153475) (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) - (xy 164.613368 31.153475) (xy 164.856589 31.31599) (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) - (xy 165.993158 31.427932) (xy 166.263411 31.31599) (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.835195 30.764466) - (xy 166.904822 30.881355) (xy 167.099731 31.097588) (xy 167.33308 31.271641) (xy 167.595901 31.396825) (xy 167.74311 31.441476) - (xy 167.973 31.320155) (xy 167.973 30.127) (xy 167.953 30.127) (xy 167.953 29.873) (xy 167.973 29.873) - (xy 167.973 27.587) (xy 167.953 27.587) (xy 167.953 27.333) (xy 167.973 27.333) (xy 167.973 26.139845) - (xy 168.227 26.139845) (xy 168.227 27.333) (xy 168.247 27.333) (xy 168.247 27.587) (xy 168.227 27.587) - (xy 168.227 29.873) (xy 168.247 29.873) (xy 168.247 30.127) (xy 168.227 30.127) (xy 168.227 31.320155) - (xy 168.45689 31.441476) (xy 168.604099 31.396825) (xy 168.86692 31.271641) (xy 169.100269 31.097588) (xy 169.295178 30.881355) - (xy 169.364805 30.764466) (xy 169.486525 30.946632) (xy 169.693368 31.153475) (xy 169.936589 31.31599) (xy 170.206842 31.427932) - (xy 170.49374 31.485) (xy 170.78626 31.485) (xy 171.073158 31.427932) (xy 171.343411 31.31599) (xy 171.586632 31.153475) - (xy 171.793475 30.946632) (xy 171.915195 30.764466) (xy 171.984822 30.881355) (xy 172.179731 31.097588) (xy 172.41308 31.271641) - (xy 172.675901 31.396825) (xy 172.82311 31.441476) (xy 173.053 31.320155) (xy 173.053 30.127) (xy 173.033 30.127) - (xy 173.033 29.873) (xy 173.053 29.873) (xy 173.053 27.587) (xy 173.033 27.587) (xy 173.033 27.333) - (xy 173.053 27.333) (xy 173.053 26.139845) (xy 173.307 26.139845) (xy 173.307 27.333) (xy 173.327 27.333) - (xy 173.327 27.587) (xy 173.307 27.587) (xy 173.307 29.873) (xy 173.327 29.873) (xy 173.327 30.127) - (xy 173.307 30.127) (xy 173.307 31.320155) (xy 173.53689 31.441476) (xy 173.684099 31.396825) (xy 173.94692 31.271641) - (xy 174.180269 31.097588) (xy 174.375178 30.881355) (xy 174.444805 30.764466) (xy 174.566525 30.946632) (xy 174.773368 31.153475) - (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.153158 31.427932) - (xy 176.423411 31.31599) (xy 176.666632 31.153475) (xy 176.873475 30.946632) (xy 176.99 30.77224) (xy 177.106525 30.946632) - (xy 177.313368 31.153475) (xy 177.556589 31.31599) (xy 177.826842 31.427932) (xy 178.11374 31.485) (xy 178.40626 31.485) - (xy 178.693158 31.427932) (xy 178.963411 31.31599) (xy 179.206632 31.153475) (xy 179.413475 30.946632) (xy 179.53 30.77224) - (xy 179.646525 30.946632) (xy 179.853368 31.153475) (xy 180.096589 31.31599) (xy 180.366842 31.427932) (xy 180.65374 31.485) - (xy 180.94626 31.485) (xy 181.233158 31.427932) (xy 181.503411 31.31599) (xy 181.746632 31.153475) (xy 181.953475 30.946632) - (xy 182.07 30.77224) (xy 182.186525 30.946632) (xy 182.393368 31.153475) (xy 182.636589 31.31599) (xy 182.906842 31.427932) - (xy 183.19374 31.485) (xy 183.48626 31.485) (xy 183.773158 31.427932) (xy 184.043411 31.31599) (xy 184.286632 31.153475) - (xy 184.493475 30.946632) (xy 184.61 30.77224) (xy 184.726525 30.946632) (xy 184.933368 31.153475) (xy 185.176589 31.31599) - (xy 185.446842 31.427932) (xy 185.73374 31.485) (xy 186.02626 31.485) (xy 186.313158 31.427932) (xy 186.583411 31.31599) - (xy 186.826632 31.153475) (xy 187.033475 30.946632) (xy 187.15 30.77224) (xy 187.266525 30.946632) (xy 187.473368 31.153475) - (xy 187.716589 31.31599) (xy 187.986842 31.427932) (xy 188.27374 31.485) (xy 188.56626 31.485) (xy 188.853158 31.427932) - (xy 189.123411 31.31599) (xy 189.366632 31.153475) (xy 189.573475 30.946632) (xy 189.69 30.77224) (xy 189.806525 30.946632) - (xy 190.013368 31.153475) (xy 190.256589 31.31599) (xy 190.526842 31.427932) (xy 190.81374 31.485) (xy 191.10626 31.485) - (xy 191.393158 31.427932) (xy 191.663411 31.31599) (xy 191.906632 31.153475) (xy 192.113475 30.946632) (xy 192.23 30.77224) - (xy 192.346525 30.946632) (xy 192.553368 31.153475) (xy 192.796589 31.31599) (xy 193.066842 31.427932) (xy 193.35374 31.485) - (xy 193.64626 31.485) (xy 193.933158 31.427932) (xy 194.203411 31.31599) (xy 194.446632 31.153475) (xy 194.653475 30.946632) - (xy 194.77 30.77224) (xy 194.886525 30.946632) (xy 195.093368 31.153475) (xy 195.336589 31.31599) (xy 195.606842 31.427932) - (xy 195.89374 31.485) (xy 196.18626 31.485) (xy 196.473158 31.427932) (xy 196.743411 31.31599) (xy 196.986632 31.153475) - (xy 197.193475 30.946632) (xy 197.31 30.77224) (xy 197.426525 30.946632) (xy 197.633368 31.153475) (xy 197.876589 31.31599) - (xy 198.146842 31.427932) (xy 198.43374 31.485) (xy 198.72626 31.485) (xy 199.013158 31.427932) (xy 199.283411 31.31599) - (xy 199.526632 31.153475) (xy 199.733475 30.946632) (xy 199.85 30.77224) (xy 199.966525 30.946632) (xy 200.173368 31.153475) - (xy 200.416589 31.31599) (xy 200.686842 31.427932) (xy 200.97374 31.485) (xy 201.26626 31.485) (xy 201.553158 31.427932) - (xy 201.823411 31.31599) (xy 202.066632 31.153475) (xy 202.273475 30.946632) (xy 202.39 30.77224) (xy 202.506525 30.946632) - (xy 202.713368 31.153475) (xy 202.956589 31.31599) (xy 203.226842 31.427932) (xy 203.51374 31.485) (xy 203.80626 31.485) - (xy 204.093158 31.427932) (xy 204.363411 31.31599) (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) - (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) - (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) - (xy 207.47 30.77224) (xy 207.586525 30.946632) (xy 207.793368 31.153475) (xy 208.036589 31.31599) (xy 208.306842 31.427932) - (xy 208.59374 31.485) (xy 208.88626 31.485) (xy 209.173158 31.427932) (xy 209.443411 31.31599) (xy 209.686632 31.153475) - (xy 209.893475 30.946632) (xy 210.05599 30.703411) (xy 210.167932 30.433158) (xy 210.225 30.14626) (xy 210.225 29.85374) - (xy 210.167932 29.566842) (xy 210.130201 29.47575) (xy 219.84 29.47575) (xy 219.84 29.70425) (xy 219.884578 29.92836) - (xy 219.972021 30.139466) (xy 220.098969 30.329457) (xy 220.260543 30.491031) (xy 220.450534 30.617979) (xy 220.66164 30.705422) - (xy 220.88575 30.75) (xy 221.11425 30.75) (xy 221.33836 30.705422) (xy 221.549466 30.617979) (xy 221.739457 30.491031) - (xy 221.901031 30.329457) (xy 222.027979 30.139466) (xy 222.115422 29.92836) (xy 222.16 29.70425) (xy 222.16 29.47575) - (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) (xy 229.972021 30.139466) (xy 230.098969 30.329457) - (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) (xy 230.88575 30.75) (xy 231.11425 30.75) - (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) (xy 231.901031 30.329457) (xy 232.027979 30.139466) - (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) (xy 232.115422 29.25164) (xy 232.027979 29.040534) - (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) (xy 231.33836 28.474578) (xy 231.11425 28.43) - (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) (xy 230.260543 28.688969) (xy 230.098969 28.850543) - (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) (xy 222.16 29.47575) (xy 222.115422 29.25164) - (xy 222.027979 29.040534) (xy 221.901031 28.850543) (xy 221.739457 28.688969) (xy 221.549466 28.562021) (xy 221.33836 28.474578) - (xy 221.11425 28.43) (xy 220.88575 28.43) (xy 220.66164 28.474578) (xy 220.450534 28.562021) (xy 220.260543 28.688969) - (xy 220.098969 28.850543) (xy 219.972021 29.040534) (xy 219.884578 29.25164) (xy 219.84 29.47575) (xy 210.130201 29.47575) - (xy 210.05599 29.296589) (xy 209.893475 29.053368) (xy 209.686632 28.846525) (xy 209.51224 28.73) (xy 209.686632 28.613475) - (xy 209.893475 28.406632) (xy 210.05599 28.163411) (xy 210.167932 27.893158) (xy 210.225 27.60626) (xy 210.225 27.358061) - (xy 214.505 27.358061) (xy 214.505 27.561939) (xy 214.544774 27.761898) (xy 214.622795 27.950256) (xy 214.736063 28.119774) - (xy 214.880226 28.263937) (xy 215.049744 28.377205) (xy 215.238102 28.455226) (xy 215.438061 28.495) (xy 215.641939 28.495) - (xy 215.841898 28.455226) (xy 216.030256 28.377205) (xy 216.199774 28.263937) (xy 216.343937 28.119774) (xy 216.457205 27.950256) - (xy 216.535226 27.761898) (xy 216.575 27.561939) (xy 216.575 27.358061) (xy 216.535226 27.158102) (xy 216.457205 26.969744) - (xy 216.343937 26.800226) (xy 216.199774 26.656063) (xy 216.030256 26.542795) (xy 215.841898 26.464774) (xy 215.641939 26.425) - (xy 215.438061 26.425) (xy 215.238102 26.464774) (xy 215.049744 26.542795) (xy 214.880226 26.656063) (xy 214.736063 26.800226) - (xy 214.622795 26.969744) (xy 214.544774 27.158102) (xy 214.505 27.358061) (xy 210.225 27.358061) (xy 210.225 27.31374) - (xy 210.167932 27.026842) (xy 210.05599 26.756589) (xy 209.893475 26.513368) (xy 209.686632 26.306525) (xy 209.443411 26.14401) - (xy 209.173158 26.032068) (xy 208.88626 25.975) (xy 208.59374 25.975) (xy 208.306842 26.032068) (xy 208.036589 26.14401) - (xy 207.793368 26.306525) (xy 207.586525 26.513368) (xy 207.47 26.68776) (xy 207.353475 26.513368) (xy 207.146632 26.306525) - (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.05374 25.975) (xy 205.766842 26.032068) - (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) (xy 204.93 26.68776) (xy 204.813475 26.513368) - (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) (xy 203.80626 25.975) (xy 203.51374 25.975) - (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) (xy 202.506525 26.513368) (xy 202.39 26.68776) - (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) (xy 201.553158 26.032068) (xy 201.26626 25.975) - (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) (xy 200.173368 26.306525) (xy 199.966525 26.513368) - (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) (xy 199.283411 26.14401) (xy 199.013158 26.032068) - (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) (xy 197.876589 26.14401) (xy 197.633368 26.306525) - (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) (xy 196.986632 26.306525) (xy 196.743411 26.14401) - (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) (xy 195.606842 26.032068) (xy 195.336589 26.14401) - (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) (xy 194.653475 26.513368) (xy 194.446632 26.306525) - (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) (xy 193.35374 25.975) (xy 193.066842 26.032068) - (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) (xy 192.23 26.68776) (xy 192.113475 26.513368) - (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) (xy 191.10626 25.975) (xy 190.81374 25.975) - (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) (xy 189.806525 26.513368) (xy 189.69 26.68776) - (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) (xy 188.853158 26.032068) (xy 188.56626 25.975) - (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) (xy 187.473368 26.306525) (xy 187.266525 26.513368) - (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) (xy 186.583411 26.14401) (xy 186.313158 26.032068) - (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) (xy 185.176589 26.14401) (xy 184.933368 26.306525) - (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) (xy 184.286632 26.306525) (xy 184.043411 26.14401) - (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) (xy 182.906842 26.032068) (xy 182.636589 26.14401) - (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) (xy 181.953475 26.513368) (xy 181.746632 26.306525) - (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) (xy 180.65374 25.975) (xy 180.366842 26.032068) - (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) (xy 179.53 26.68776) (xy 179.413475 26.513368) - (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) (xy 178.40626 25.975) (xy 178.11374 25.975) - (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) (xy 177.106525 26.513368) (xy 176.99 26.68776) - (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) (xy 176.153158 26.032068) (xy 175.86626 25.975) - (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) (xy 174.566525 26.513368) - (xy 174.444805 26.695534) (xy 174.375178 26.578645) (xy 174.180269 26.362412) (xy 173.94692 26.188359) (xy 173.684099 26.063175) - (xy 173.53689 26.018524) (xy 173.307 26.139845) (xy 173.053 26.139845) (xy 172.82311 26.018524) (xy 172.675901 26.063175) - (xy 172.41308 26.188359) (xy 172.179731 26.362412) (xy 171.984822 26.578645) (xy 171.915195 26.695534) (xy 171.793475 26.513368) - (xy 171.586632 26.306525) (xy 171.343411 26.14401) (xy 171.073158 26.032068) (xy 170.78626 25.975) (xy 170.49374 25.975) - (xy 170.206842 26.032068) (xy 169.936589 26.14401) (xy 169.693368 26.306525) (xy 169.486525 26.513368) (xy 169.364805 26.695534) - (xy 169.295178 26.578645) (xy 169.100269 26.362412) (xy 168.86692 26.188359) (xy 168.604099 26.063175) (xy 168.45689 26.018524) - (xy 168.227 26.139845) (xy 167.973 26.139845) (xy 167.74311 26.018524) (xy 167.595901 26.063175) (xy 167.33308 26.188359) - (xy 167.099731 26.362412) (xy 166.904822 26.578645) (xy 166.835195 26.695534) (xy 166.713475 26.513368) (xy 166.506632 26.306525) - (xy 166.263411 26.14401) (xy 165.993158 26.032068) (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) - (xy 164.856589 26.14401) (xy 164.613368 26.306525) (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) - (xy 163.966632 26.306525) (xy 163.723411 26.14401) (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) - (xy 162.586842 26.032068) (xy 162.316589 26.14401) (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) - (xy 161.633475 26.513368) (xy 161.426632 26.306525) (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) - (xy 160.33374 25.975) (xy 160.046842 26.032068) (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) - (xy 159.21 26.68776) (xy 159.093475 26.513368) (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) - (xy 158.08626 25.975) (xy 157.79374 25.975) (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) - (xy 156.786525 26.513368) (xy 156.67 26.68776) (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) - (xy 155.833158 26.032068) (xy 155.54626 25.975) (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) - (xy 154.453368 26.306525) (xy 154.246525 26.513368) (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) - (xy 153.563411 26.14401) (xy 153.293158 26.032068) (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) - (xy 152.156589 26.14401) (xy 151.913368 26.306525) (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) - (xy 151.266632 26.306525) (xy 151.023411 26.14401) (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) - (xy 149.886842 26.032068) (xy 149.616589 26.14401) (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) - (xy 148.933475 26.513368) (xy 148.726632 26.306525) (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) - (xy 147.63374 25.975) (xy 147.346842 26.032068) (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) - (xy 146.51 26.68776) (xy 146.393475 26.513368) (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) - (xy 145.38626 25.975) (xy 145.09374 25.975) (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) - (xy 144.086525 26.513368) (xy 143.97 26.68776) (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) - (xy 143.133158 26.032068) (xy 142.84626 25.975) (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) - (xy 141.753368 26.306525) (xy 141.546525 26.513368) (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) - (xy 140.863411 26.14401) (xy 140.593158 26.032068) (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) - (xy 139.456589 26.14401) (xy 139.213368 26.306525) (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) - (xy 138.566632 26.306525) (xy 138.323411 26.14401) (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) - (xy 137.186842 26.032068) (xy 136.916589 26.14401) (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) - (xy 136.233475 26.513368) (xy 136.026632 26.306525) (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) - (xy 134.93374 25.975) (xy 134.646842 26.032068) (xy 134.376589 26.14401) (xy 134.133368 26.306525) (xy 133.926525 26.513368) - (xy 133.81 26.68776) (xy 133.693475 26.513368) (xy 133.486632 26.306525) (xy 133.243411 26.14401) (xy 132.973158 26.032068) - (xy 132.68626 25.975) (xy 132.39374 25.975) (xy 132.106842 26.032068) (xy 131.836589 26.14401) (xy 131.593368 26.306525) - (xy 131.386525 26.513368) (xy 131.27 26.68776) (xy 131.153475 26.513368) (xy 130.946632 26.306525) (xy 130.703411 26.14401) - (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) (xy 129.566842 26.032068) (xy 129.296589 26.14401) - (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) - (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) - (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) - (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 123.198223 29.15) (xy 123.21599 29.123411) (xy 123.327932 28.853158) - (xy 123.385 28.56626) (xy 123.385 28.27374) (xy 123.327932 27.986842) (xy 123.21599 27.716589) (xy 123.053475 27.473368) - (xy 122.846632 27.266525) (xy 122.67224 27.15) (xy 122.846632 27.033475) (xy 123.053475 26.826632) (xy 123.21599 26.583411) - (xy 123.327932 26.313158) (xy 123.385 26.02626) (xy 123.385 25.73374) (xy 123.327932 25.446842) (xy 123.21599 25.176589) - (xy 123.053475 24.933368) (xy 122.846632 24.726525) (xy 122.67224 24.61) (xy 122.846632 24.493475) (xy 123.042046 24.298061) - (xy 133.845 24.298061) (xy 133.845 24.501939) (xy 133.884774 24.701898) (xy 133.962795 24.890256) (xy 134.076063 25.059774) - (xy 134.220226 25.203937) (xy 134.389744 25.317205) (xy 134.578102 25.395226) (xy 134.778061 25.435) (xy 134.981939 25.435) - (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) (xy 135.683937 25.059774) (xy 135.797205 24.890256) - (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) (xy 136.375 24.298061) (xy 136.375 24.501939) - (xy 136.414774 24.701898) (xy 136.492795 24.890256) (xy 136.606063 25.059774) (xy 136.750226 25.203937) (xy 136.919744 25.317205) - (xy 137.108102 25.395226) (xy 137.308061 25.435) (xy 137.511939 25.435) (xy 137.711898 25.395226) (xy 137.900256 25.317205) - (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) (xy 138.405226 24.701898) (xy 138.445 24.501939) - (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) (xy 138.213937 23.740226) (xy 138.069774 23.596063) - (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) (xy 137.308061 23.365) (xy 137.108102 23.404774) - (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) (xy 136.492795 23.909744) (xy 136.414774 24.098102) - (xy 136.375 24.298061) (xy 135.915 24.298061) (xy 135.875226 24.098102) (xy 135.797205 23.909744) (xy 135.683937 23.740226) - (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) (xy 134.981939 23.365) (xy 134.778061 23.365) - (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) (xy 134.076063 23.740226) (xy 133.962795 23.909744) - (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 123.042046 24.298061) (xy 123.053475 24.286632) (xy 123.21599 24.043411) - (xy 123.327932 23.773158) (xy 123.385 23.48626) (xy 123.385 23.19374) (xy 123.327932 22.906842) (xy 123.21599 22.636589) - (xy 123.053475 22.393368) (xy 122.846632 22.186525) (xy 122.67224 22.07) (xy 122.846632 21.953475) (xy 123.053475 21.746632) - (xy 123.21599 21.503411) (xy 123.327932 21.233158) (xy 123.385 20.94626) (xy 123.385 20.65374) (xy 123.327932 20.366842) - (xy 123.21599 20.096589) (xy 123.053475 19.853368) (xy 122.846632 19.646525) (xy 122.603411 19.48401) (xy 122.333158 19.372068) - (xy 122.04626 19.315) (xy 121.75374 19.315) (xy 121.466842 19.372068) (xy 121.196589 19.48401) (xy 120.953368 19.646525) - (xy 120.746525 19.853368) (xy 120.58401 20.096589) (xy 120.472068 20.366842) (xy 120.415 20.65374) (xy 120.415 20.94626) - (xy 120.472068 21.233158) (xy 120.58401 21.503411) (xy 120.746525 21.746632) (xy 120.953368 21.953475) (xy 121.12776 22.07) - (xy 120.953368 22.186525) (xy 120.746525 22.393368) (xy 120.58401 22.636589) (xy 120.472068 22.906842) (xy 120.415 23.19374) - (xy 120.415 23.48626) (xy 120.472068 23.773158) (xy 120.58401 24.043411) (xy 120.746525 24.286632) (xy 120.953368 24.493475) - (xy 121.12776 24.61) (xy 120.953368 24.726525) (xy 120.746525 24.933368) (xy 120.58401 25.176589) (xy 120.472068 25.446842) - (xy 120.415 25.73374) (xy 120.415 26.02626) (xy 120.472068 26.313158) (xy 120.58401 26.583411) (xy 120.746525 26.826632) - (xy 120.953368 27.033475) (xy 121.12776 27.15) (xy 120.953368 27.266525) (xy 120.746525 27.473368) (xy 120.58401 27.716589) - (xy 120.472068 27.986842) (xy 120.415 28.27374) (xy 120.415 28.56626) (xy 120.472068 28.853158) (xy 120.58401 29.123411) - (xy 120.746525 29.366632) (xy 120.953368 29.573475) (xy 121.12776 29.69) (xy 120.953368 29.806525) (xy 120.746525 30.013368) - (xy 120.58401 30.256589) (xy 120.472068 30.526842) (xy 120.415 30.81374) (xy 120.415 31.10626) (xy 120.472068 31.393158) - (xy 120.58401 31.663411) (xy 120.746525 31.906632) (xy 120.87838 32.038487) (xy 120.80582 32.060498) (xy 120.695506 32.119463) - (xy 120.598815 32.198815) (xy 120.519463 32.295506) (xy 120.460498 32.40582) (xy 120.424188 32.525518) (xy 120.411928 32.65) - (xy 113.657818 32.65) (xy 113.641188 17.998061) (xy 148.52 17.998061) (xy 148.52 18.201939) (xy 148.559774 18.401898) - (xy 148.637795 18.590256) (xy 148.751063 18.759774) (xy 148.895226 18.903937) (xy 149.064744 19.017205) (xy 149.253102 19.095226) - (xy 149.453061 19.135) (xy 149.656939 19.135) (xy 149.842645 19.098061) (xy 157.0925 19.098061) (xy 157.0925 19.301939) - (xy 157.132274 19.501898) (xy 157.210295 19.690256) (xy 157.323563 19.859774) (xy 157.467726 20.003937) (xy 157.637244 20.117205) - (xy 157.825602 20.195226) (xy 158.025561 20.235) (xy 158.229439 20.235) (xy 158.429398 20.195226) (xy 158.617756 20.117205) - (xy 158.787274 20.003937) (xy 158.931437 19.859774) (xy 159.044705 19.690256) (xy 159.122726 19.501898) (xy 159.1625 19.301939) - (xy 159.1625 19.098061) (xy 159.122726 18.898102) (xy 159.044705 18.709744) (xy 158.931437 18.540226) (xy 158.787274 18.396063) - (xy 158.617756 18.282795) (xy 158.429398 18.204774) (xy 158.229439 18.165) (xy 158.025561 18.165) (xy 157.825602 18.204774) - (xy 157.637244 18.282795) (xy 157.467726 18.396063) (xy 157.323563 18.540226) (xy 157.210295 18.709744) (xy 157.132274 18.898102) - (xy 157.0925 19.098061) (xy 149.842645 19.098061) (xy 149.856898 19.095226) (xy 150.045256 19.017205) (xy 150.214774 18.903937) - (xy 150.358937 18.759774) (xy 150.472205 18.590256) (xy 150.550226 18.401898) (xy 150.59 18.201939) (xy 150.59 17.998061) - (xy 162.27 17.998061) (xy 162.27 18.201939) (xy 162.309774 18.401898) (xy 162.387795 18.590256) (xy 162.501063 18.759774) - (xy 162.645226 18.903937) (xy 162.814744 19.017205) (xy 163.003102 19.095226) (xy 163.203061 19.135) (xy 163.406939 19.135) - (xy 163.606898 19.095226) (xy 163.795256 19.017205) (xy 163.964774 18.903937) (xy 164.108937 18.759774) (xy 164.222205 18.590256) - (xy 164.300226 18.401898) (xy 164.34 18.201939) (xy 164.34 17.998061) (xy 164.300226 17.798102) (xy 164.222205 17.609744) - (xy 164.108937 17.440226) (xy 163.964774 17.296063) (xy 163.795256 17.182795) (xy 163.606898 17.104774) (xy 163.406939 17.065) - (xy 163.203061 17.065) (xy 163.003102 17.104774) (xy 162.814744 17.182795) (xy 162.645226 17.296063) (xy 162.501063 17.440226) - (xy 162.387795 17.609744) (xy 162.309774 17.798102) (xy 162.27 17.998061) (xy 150.59 17.998061) (xy 150.550226 17.798102) - (xy 150.472205 17.609744) (xy 150.358937 17.440226) (xy 150.214774 17.296063) (xy 150.045256 17.182795) (xy 149.856898 17.104774) - (xy 149.656939 17.065) (xy 149.453061 17.065) (xy 149.253102 17.104774) (xy 149.064744 17.182795) (xy 148.895226 17.296063) - (xy 148.751063 17.440226) (xy 148.637795 17.609744) (xy 148.559774 17.798102) (xy 148.52 17.998061) (xy 113.641188 17.998061) - (xy 113.6393 16.335561) (xy 115.265 16.335561) (xy 115.265 16.539439) (xy 115.304774 16.739398) (xy 115.382795 16.927756) - (xy 115.496063 17.097274) (xy 115.640226 17.241437) (xy 115.809744 17.354705) (xy 115.998102 17.432726) (xy 116.198061 17.4725) - (xy 116.401939 17.4725) (xy 116.601898 17.432726) (xy 116.790256 17.354705) (xy 116.959774 17.241437) (xy 117.103937 17.097274) - (xy 117.217205 16.927756) (xy 117.295226 16.739398) (xy 117.335 16.539439) (xy 117.335 16.335561) (xy 117.295226 16.135602) - (xy 117.217205 15.947244) (xy 117.103937 15.777726) (xy 116.959774 15.633563) (xy 116.790256 15.520295) (xy 116.601898 15.442274) - (xy 116.401939 15.4025) (xy 116.198061 15.4025) (xy 115.998102 15.442274) (xy 115.809744 15.520295) (xy 115.640226 15.633563) - (xy 115.496063 15.777726) (xy 115.382795 15.947244) (xy 115.304774 16.135602) (xy 115.265 16.335561) (xy 113.6393 16.335561) - (xy 113.634662 12.24917) (xy 166.98634 12.24917) (xy 166.98634 12.71083) (xy 167.076406 13.16362) (xy 167.253075 13.590139) - (xy 167.50956 13.973996) (xy 167.836004 14.30044) (xy 168.219861 14.556925) (xy 168.64638 14.733594) (xy 169.09917 14.82366) - (xy 169.56083 14.82366) (xy 170.01362 14.733594) (xy 170.440139 14.556925) (xy 170.823996 14.30044) (xy 171.15044 13.973996) - (xy 171.406925 13.590139) (xy 171.583594 13.16362) (xy 171.67366 12.71083) (xy 171.67366 12.24917) (xy 196.98634 12.24917) - (xy 196.98634 12.71083) (xy 197.076406 13.16362) (xy 197.253075 13.590139) (xy 197.50956 13.973996) (xy 197.836004 14.30044) - (xy 198.219861 14.556925) (xy 198.64638 14.733594) (xy 199.09917 14.82366) (xy 199.56083 14.82366) (xy 200.01362 14.733594) - (xy 200.440139 14.556925) (xy 200.823996 14.30044) (xy 201.15044 13.973996) (xy 201.406925 13.590139) (xy 201.583594 13.16362) - (xy 201.67366 12.71083) (xy 201.67366 12.24917) (xy 226.98634 12.24917) (xy 226.98634 12.71083) (xy 227.076406 13.16362) - (xy 227.253075 13.590139) (xy 227.50956 13.973996) (xy 227.836004 14.30044) (xy 228.219861 14.556925) (xy 228.64638 14.733594) - (xy 229.09917 14.82366) (xy 229.56083 14.82366) (xy 230.01362 14.733594) (xy 230.440139 14.556925) (xy 230.823996 14.30044) - (xy 231.15044 13.973996) (xy 231.201178 13.898061) (xy 232.965 13.898061) (xy 232.965 14.101939) (xy 233.004774 14.301898) - (xy 233.082795 14.490256) (xy 233.196063 14.659774) (xy 233.340226 14.803937) (xy 233.509744 14.917205) (xy 233.698102 14.995226) - (xy 233.898061 15.035) (xy 234.101939 15.035) (xy 234.301898 14.995226) (xy 234.490256 14.917205) (xy 234.659774 14.803937) - (xy 234.803937 14.659774) (xy 234.917205 14.490256) (xy 234.995226 14.301898) (xy 235.035 14.101939) (xy 235.035 13.898061) - (xy 254.965 13.898061) (xy 254.965 14.101939) (xy 255.004774 14.301898) (xy 255.082795 14.490256) (xy 255.196063 14.659774) - (xy 255.340226 14.803937) (xy 255.509744 14.917205) (xy 255.698102 14.995226) (xy 255.898061 15.035) (xy 256.101939 15.035) - (xy 256.301898 14.995226) (xy 256.490256 14.917205) (xy 256.659774 14.803937) (xy 256.803937 14.659774) (xy 256.917205 14.490256) - (xy 256.995226 14.301898) (xy 257.035 14.101939) (xy 257.035 13.898061) (xy 256.995226 13.698102) (xy 256.917205 13.509744) - (xy 256.803937 13.340226) (xy 256.659774 13.196063) (xy 256.490256 13.082795) (xy 256.301898 13.004774) (xy 256.101939 12.965) - (xy 255.898061 12.965) (xy 255.698102 13.004774) (xy 255.509744 13.082795) (xy 255.340226 13.196063) (xy 255.196063 13.340226) - (xy 255.082795 13.509744) (xy 255.004774 13.698102) (xy 254.965 13.898061) (xy 235.035 13.898061) (xy 234.995226 13.698102) - (xy 234.917205 13.509744) (xy 234.803937 13.340226) (xy 234.659774 13.196063) (xy 234.490256 13.082795) (xy 234.301898 13.004774) - (xy 234.101939 12.965) (xy 233.898061 12.965) (xy 233.698102 13.004774) (xy 233.509744 13.082795) (xy 233.340226 13.196063) - (xy 233.196063 13.340226) (xy 233.082795 13.509744) (xy 233.004774 13.698102) (xy 232.965 13.898061) (xy 231.201178 13.898061) - (xy 231.406925 13.590139) (xy 231.583594 13.16362) (xy 231.67366 12.71083) (xy 231.67366 12.24917) (xy 231.583594 11.79638) - (xy 231.406925 11.369861) (xy 231.15044 10.986004) (xy 230.823996 10.65956) (xy 230.440139 10.403075) (xy 230.01362 10.226406) - (xy 229.56083 10.13634) (xy 229.09917 10.13634) (xy 228.64638 10.226406) (xy 228.219861 10.403075) (xy 227.836004 10.65956) - (xy 227.50956 10.986004) (xy 227.253075 11.369861) (xy 227.076406 11.79638) (xy 226.98634 12.24917) (xy 201.67366 12.24917) - (xy 201.583594 11.79638) (xy 201.406925 11.369861) (xy 201.15044 10.986004) (xy 200.823996 10.65956) (xy 200.440139 10.403075) - (xy 200.01362 10.226406) (xy 199.56083 10.13634) (xy 199.09917 10.13634) (xy 198.64638 10.226406) (xy 198.219861 10.403075) - (xy 197.836004 10.65956) (xy 197.50956 10.986004) (xy 197.253075 11.369861) (xy 197.076406 11.79638) (xy 196.98634 12.24917) - (xy 171.67366 12.24917) (xy 171.583594 11.79638) (xy 171.406925 11.369861) (xy 171.15044 10.986004) (xy 170.823996 10.65956) - (xy 170.440139 10.403075) (xy 170.01362 10.226406) (xy 169.56083 10.13634) (xy 169.09917 10.13634) (xy 168.64638 10.226406) - (xy 168.219861 10.403075) (xy 167.836004 10.65956) (xy 167.50956 10.986004) (xy 167.253075 11.369861) (xy 167.076406 11.79638) - (xy 166.98634 12.24917) (xy 113.634662 12.24917) (xy 113.629814 7.978061) (xy 146.865 7.978061) (xy 146.865 8.181939) - (xy 146.904774 8.381898) (xy 146.982795 8.570256) (xy 147.096063 8.739774) (xy 147.240226 8.883937) (xy 147.409744 8.997205) - (xy 147.598102 9.075226) (xy 147.798061 9.115) (xy 148.001939 9.115) (xy 148.087097 9.098061) (xy 157.695 9.098061) - (xy 157.695 9.301939) (xy 157.734774 9.501898) (xy 157.812795 9.690256) (xy 157.926063 9.859774) (xy 158.070226 10.003937) - (xy 158.239744 10.117205) (xy 158.428102 10.195226) (xy 158.628061 10.235) (xy 158.831939 10.235) (xy 159.031898 10.195226) - (xy 159.220256 10.117205) (xy 159.389774 10.003937) (xy 159.533937 9.859774) (xy 159.647205 9.690256) (xy 159.725226 9.501898) - (xy 159.765 9.301939) (xy 159.765 9.098061) (xy 159.725226 8.898102) (xy 159.647205 8.709744) (xy 159.533937 8.540226) - (xy 159.389774 8.396063) (xy 159.220256 8.282795) (xy 159.031898 8.204774) (xy 158.831939 8.165) (xy 158.628061 8.165) - (xy 158.428102 8.204774) (xy 158.239744 8.282795) (xy 158.070226 8.396063) (xy 157.926063 8.540226) (xy 157.812795 8.709744) - (xy 157.734774 8.898102) (xy 157.695 9.098061) (xy 148.087097 9.098061) (xy 148.201898 9.075226) (xy 148.390256 8.997205) - (xy 148.559774 8.883937) (xy 148.703937 8.739774) (xy 148.817205 8.570256) (xy 148.895226 8.381898) (xy 148.935 8.181939) - (xy 148.935 7.978061) (xy 163.965 7.978061) (xy 163.965 8.181939) (xy 164.004774 8.381898) (xy 164.082795 8.570256) - (xy 164.196063 8.739774) (xy 164.340226 8.883937) (xy 164.509744 8.997205) (xy 164.698102 9.075226) (xy 164.898061 9.115) - (xy 165.101939 9.115) (xy 165.301898 9.075226) (xy 165.490256 8.997205) (xy 165.659774 8.883937) (xy 165.803937 8.739774) - (xy 165.917205 8.570256) (xy 165.995226 8.381898) (xy 166.035 8.181939) (xy 166.035 7.978061) (xy 165.995226 7.778102) - (xy 165.917205 7.589744) (xy 165.803937 7.420226) (xy 165.659774 7.276063) (xy 165.490256 7.162795) (xy 165.301898 7.084774) - (xy 165.101939 7.045) (xy 164.898061 7.045) (xy 164.698102 7.084774) (xy 164.509744 7.162795) (xy 164.340226 7.276063) - (xy 164.196063 7.420226) (xy 164.082795 7.589744) (xy 164.004774 7.778102) (xy 163.965 7.978061) (xy 148.935 7.978061) - (xy 148.895226 7.778102) (xy 148.817205 7.589744) (xy 148.703937 7.420226) (xy 148.559774 7.276063) (xy 148.390256 7.162795) - (xy 148.201898 7.084774) (xy 148.001939 7.045) (xy 147.798061 7.045) (xy 147.598102 7.084774) (xy 147.409744 7.162795) - (xy 147.240226 7.276063) (xy 147.096063 7.420226) (xy 146.982795 7.589744) (xy 146.904774 7.778102) (xy 146.865 7.978061) - (xy 113.629814 7.978061) (xy 113.627352 5.81) (xy 266.751193 5.81) + (xy 167.785 45.658061) (xy 113.672584 45.658061) (xy 113.670921 44.193061) (xy 264.84 44.193061) (xy 264.84 44.396939) + (xy 264.879774 44.596898) (xy 264.957795 44.785256) (xy 265.071063 44.954774) (xy 265.215226 45.098937) (xy 265.384744 45.212205) + (xy 265.573102 45.290226) (xy 265.773061 45.33) (xy 265.976939 45.33) (xy 266.176898 45.290226) (xy 266.365256 45.212205) + (xy 266.534774 45.098937) (xy 266.678937 44.954774) (xy 266.792205 44.785256) (xy 266.870226 44.596898) (xy 266.91 44.396939) + (xy 266.91 44.193061) (xy 266.870226 43.993102) (xy 266.792205 43.804744) (xy 266.678937 43.635226) (xy 266.534774 43.491063) + (xy 266.365256 43.377795) (xy 266.176898 43.299774) (xy 265.976939 43.26) (xy 265.773061 43.26) (xy 265.573102 43.299774) + (xy 265.384744 43.377795) (xy 265.215226 43.491063) (xy 265.071063 43.635226) (xy 264.957795 43.804744) (xy 264.879774 43.993102) + (xy 264.84 44.193061) (xy 113.670921 44.193061) (xy 113.66852 42.078061) (xy 151.845 42.078061) (xy 151.845 42.281939) + (xy 151.884774 42.481898) (xy 151.962795 42.670256) (xy 152.076063 42.839774) (xy 152.220226 42.983937) (xy 152.389744 43.097205) + (xy 152.578102 43.175226) (xy 152.778061 43.215) (xy 152.981939 43.215) (xy 153.181898 43.175226) (xy 153.370256 43.097205) + (xy 153.539774 42.983937) (xy 153.683937 42.839774) (xy 153.797205 42.670256) (xy 153.875226 42.481898) (xy 153.915 42.281939) + (xy 153.915 42.078061) (xy 153.875226 41.878102) (xy 153.797205 41.689744) (xy 153.683937 41.520226) (xy 153.539774 41.376063) + (xy 153.370256 41.262795) (xy 153.181898 41.184774) (xy 152.981939 41.145) (xy 152.778061 41.145) (xy 152.578102 41.184774) + (xy 152.389744 41.262795) (xy 152.220226 41.376063) (xy 152.076063 41.520226) (xy 151.962795 41.689744) (xy 151.884774 41.878102) + (xy 151.845 42.078061) (xy 113.66852 42.078061) (xy 113.66651 40.308061) (xy 114.635 40.308061) (xy 114.635 40.511939) + (xy 114.674774 40.711898) (xy 114.752795 40.900256) (xy 114.866063 41.069774) (xy 115.010226 41.213937) (xy 115.179744 41.327205) + (xy 115.368102 41.405226) (xy 115.568061 41.445) (xy 115.771939 41.445) (xy 115.971898 41.405226) (xy 116.160256 41.327205) + (xy 116.329774 41.213937) (xy 116.473937 41.069774) (xy 116.587205 40.900256) (xy 116.665226 40.711898) (xy 116.705 40.511939) + (xy 116.705 40.308061) (xy 116.665226 40.108102) (xy 116.587205 39.919744) (xy 116.473937 39.750226) (xy 116.329774 39.606063) + (xy 116.160256 39.492795) (xy 115.971898 39.414774) (xy 115.771939 39.375) (xy 115.568061 39.375) (xy 115.368102 39.414774) + (xy 115.179744 39.492795) (xy 115.010226 39.606063) (xy 114.866063 39.750226) (xy 114.752795 39.919744) (xy 114.674774 40.108102) + (xy 114.635 40.308061) (xy 113.66651 40.308061) (xy 113.661233 35.658061) (xy 193.845 35.658061) (xy 193.845 35.861939) + (xy 193.884774 36.061898) (xy 193.962795 36.250256) (xy 194.076063 36.419774) (xy 194.220226 36.563937) (xy 194.389744 36.677205) + (xy 194.578102 36.755226) (xy 194.778061 36.795) (xy 194.981939 36.795) (xy 195.181898 36.755226) (xy 195.319905 36.698061) + (xy 221.705 36.698061) (xy 221.705 36.901939) (xy 221.744774 37.101898) (xy 221.822795 37.290256) (xy 221.936063 37.459774) + (xy 222.080226 37.603937) (xy 222.249744 37.717205) (xy 222.438102 37.795226) (xy 222.638061 37.835) (xy 222.841939 37.835) + (xy 223.041898 37.795226) (xy 223.230256 37.717205) (xy 223.399774 37.603937) (xy 223.543937 37.459774) (xy 223.657205 37.290256) + (xy 223.735226 37.101898) (xy 223.775 36.901939) (xy 223.775 36.698061) (xy 227.635 36.698061) (xy 227.635 36.901939) + (xy 227.674774 37.101898) (xy 227.752795 37.290256) (xy 227.866063 37.459774) (xy 228.010226 37.603937) (xy 228.179744 37.717205) + (xy 228.368102 37.795226) (xy 228.568061 37.835) (xy 228.771939 37.835) (xy 228.971898 37.795226) (xy 229.160256 37.717205) + (xy 229.329774 37.603937) (xy 229.473937 37.459774) (xy 229.587205 37.290256) (xy 229.665226 37.101898) (xy 229.705 36.901939) + (xy 229.705 36.698061) (xy 229.665226 36.498102) (xy 229.587205 36.309744) (xy 229.473937 36.140226) (xy 229.329774 35.996063) + (xy 229.160256 35.882795) (xy 228.971898 35.804774) (xy 228.771939 35.765) (xy 228.568061 35.765) (xy 228.368102 35.804774) + (xy 228.179744 35.882795) (xy 228.010226 35.996063) (xy 227.866063 36.140226) (xy 227.752795 36.309744) (xy 227.674774 36.498102) + (xy 227.635 36.698061) (xy 223.775 36.698061) (xy 223.735226 36.498102) (xy 223.657205 36.309744) (xy 223.543937 36.140226) + (xy 223.399774 35.996063) (xy 223.230256 35.882795) (xy 223.041898 35.804774) (xy 222.841939 35.765) (xy 222.638061 35.765) + (xy 222.438102 35.804774) (xy 222.249744 35.882795) (xy 222.080226 35.996063) (xy 221.936063 36.140226) (xy 221.822795 36.309744) + (xy 221.744774 36.498102) (xy 221.705 36.698061) (xy 195.319905 36.698061) (xy 195.370256 36.677205) (xy 195.539774 36.563937) + (xy 195.683937 36.419774) (xy 195.797205 36.250256) (xy 195.875226 36.061898) (xy 195.915 35.861939) (xy 195.915 35.658061) + (xy 195.875226 35.458102) (xy 195.797205 35.269744) (xy 195.759331 35.213061) (xy 254.965 35.213061) (xy 254.965 35.416939) + (xy 255.004774 35.616898) (xy 255.082795 35.805256) (xy 255.196063 35.974774) (xy 255.340226 36.118937) (xy 255.509744 36.232205) + (xy 255.698102 36.310226) (xy 255.898061 36.35) (xy 256.101939 36.35) (xy 256.301898 36.310226) (xy 256.490256 36.232205) + (xy 256.659774 36.118937) (xy 256.803937 35.974774) (xy 256.917205 35.805256) (xy 256.995226 35.616898) (xy 257.035 35.416939) + (xy 257.035 35.213061) (xy 256.995226 35.013102) (xy 256.917205 34.824744) (xy 256.803937 34.655226) (xy 256.659774 34.511063) + (xy 256.490256 34.397795) (xy 256.301898 34.319774) (xy 256.101939 34.28) (xy 255.898061 34.28) (xy 255.698102 34.319774) + (xy 255.509744 34.397795) (xy 255.340226 34.511063) (xy 255.196063 34.655226) (xy 255.082795 34.824744) (xy 255.004774 35.013102) + (xy 254.965 35.213061) (xy 195.759331 35.213061) (xy 195.683937 35.100226) (xy 195.539774 34.956063) (xy 195.370256 34.842795) + (xy 195.181898 34.764774) (xy 194.981939 34.725) (xy 194.778061 34.725) (xy 194.578102 34.764774) (xy 194.389744 34.842795) + (xy 194.220226 34.956063) (xy 194.076063 35.100226) (xy 193.962795 35.269744) (xy 193.884774 35.458102) (xy 193.845 35.658061) + (xy 113.661233 35.658061) (xy 113.658699 33.426061) (xy 124.687 33.426061) (xy 124.687 33.629939) (xy 124.726774 33.829898) + (xy 124.804795 34.018256) (xy 124.918063 34.187774) (xy 125.062226 34.331937) (xy 125.231744 34.445205) (xy 125.420102 34.523226) + (xy 125.620061 34.563) (xy 125.823939 34.563) (xy 126.023898 34.523226) (xy 126.212256 34.445205) (xy 126.381774 34.331937) + (xy 126.525937 34.187774) (xy 126.639205 34.018256) (xy 126.717226 33.829898) (xy 126.757 33.629939) (xy 126.757 33.528061) + (xy 175.445 33.528061) (xy 175.445 33.731939) (xy 175.484774 33.931898) (xy 175.562795 34.120256) (xy 175.676063 34.289774) + (xy 175.820226 34.433937) (xy 175.989744 34.547205) (xy 176.178102 34.625226) (xy 176.378061 34.665) (xy 176.581939 34.665) + (xy 176.781898 34.625226) (xy 176.970256 34.547205) (xy 177.139774 34.433937) (xy 177.283937 34.289774) (xy 177.397205 34.120256) + (xy 177.475226 33.931898) (xy 177.481956 33.898061) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) + (xy 223.082795 34.490256) (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) + (xy 223.898061 35.035) (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) + (xy 224.803937 34.659774) (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) + (xy 225.082795 34.490256) (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) + (xy 225.898061 35.035) (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) + (xy 226.803937 34.659774) (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) + (xy 227.082795 34.490256) (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) + (xy 227.898061 35.035) (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) + (xy 228.803937 34.659774) (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) + (xy 229.082795 34.490256) (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) + (xy 229.898061 35.035) (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) + (xy 230.803937 34.659774) (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) + (xy 231.082795 34.490256) (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) + (xy 231.898061 35.035) (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.659774 34.803937) + (xy 232.803937 34.659774) (xy 232.917205 34.490256) (xy 232.995226 34.301898) (xy 233 34.277897) (xy 233.004774 34.301898) + (xy 233.082795 34.490256) (xy 233.196063 34.659774) (xy 233.340226 34.803937) (xy 233.509744 34.917205) (xy 233.698102 34.995226) + (xy 233.898061 35.035) (xy 234.101939 35.035) (xy 234.301898 34.995226) (xy 234.490256 34.917205) (xy 234.659774 34.803937) + (xy 234.803937 34.659774) (xy 234.917205 34.490256) (xy 234.995226 34.301898) (xy 235.035 34.101939) (xy 235.035 33.898061) + (xy 234.995226 33.698102) (xy 234.917205 33.509744) (xy 234.803937 33.340226) (xy 234.659774 33.196063) (xy 234.490256 33.082795) + (xy 234.301898 33.004774) (xy 234.101939 32.965) (xy 233.898061 32.965) (xy 233.698102 33.004774) (xy 233.509744 33.082795) + (xy 233.340226 33.196063) (xy 233.196063 33.340226) (xy 233.082795 33.509744) (xy 233.004774 33.698102) (xy 233 33.722103) + (xy 232.995226 33.698102) (xy 232.917205 33.509744) (xy 232.803937 33.340226) (xy 232.659774 33.196063) (xy 232.490256 33.082795) + (xy 232.301898 33.004774) (xy 232.101939 32.965) (xy 231.898061 32.965) (xy 231.698102 33.004774) (xy 231.509744 33.082795) + (xy 231.340226 33.196063) (xy 231.196063 33.340226) (xy 231.082795 33.509744) (xy 231.004774 33.698102) (xy 231 33.722103) + (xy 230.995226 33.698102) (xy 230.917205 33.509744) (xy 230.803937 33.340226) (xy 230.659774 33.196063) (xy 230.490256 33.082795) + (xy 230.301898 33.004774) (xy 230.101939 32.965) (xy 229.898061 32.965) (xy 229.698102 33.004774) (xy 229.509744 33.082795) + (xy 229.340226 33.196063) (xy 229.196063 33.340226) (xy 229.082795 33.509744) (xy 229.004774 33.698102) (xy 229 33.722103) + (xy 228.995226 33.698102) (xy 228.917205 33.509744) (xy 228.803937 33.340226) (xy 228.659774 33.196063) (xy 228.490256 33.082795) + (xy 228.301898 33.004774) (xy 228.101939 32.965) (xy 227.898061 32.965) (xy 227.698102 33.004774) (xy 227.509744 33.082795) + (xy 227.340226 33.196063) (xy 227.196063 33.340226) (xy 227.082795 33.509744) (xy 227.004774 33.698102) (xy 227 33.722103) + (xy 226.995226 33.698102) (xy 226.917205 33.509744) (xy 226.803937 33.340226) (xy 226.659774 33.196063) (xy 226.490256 33.082795) + (xy 226.301898 33.004774) (xy 226.101939 32.965) (xy 225.898061 32.965) (xy 225.698102 33.004774) (xy 225.509744 33.082795) + (xy 225.340226 33.196063) (xy 225.196063 33.340226) (xy 225.082795 33.509744) (xy 225.004774 33.698102) (xy 225 33.722103) + (xy 224.995226 33.698102) (xy 224.917205 33.509744) (xy 224.803937 33.340226) (xy 224.659774 33.196063) (xy 224.490256 33.082795) + (xy 224.301898 33.004774) (xy 224.101939 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) (xy 223.509744 33.082795) + (xy 223.340226 33.196063) (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) (xy 222.965 33.898061) + (xy 177.481956 33.898061) (xy 177.515 33.731939) (xy 177.515 33.528061) (xy 177.475226 33.328102) (xy 177.397205 33.139744) + (xy 177.283937 32.970226) (xy 177.139774 32.826063) (xy 176.970256 32.712795) (xy 176.781898 32.634774) (xy 176.581939 32.595) + (xy 176.378061 32.595) (xy 176.178102 32.634774) (xy 175.989744 32.712795) (xy 175.820226 32.826063) (xy 175.676063 32.970226) + (xy 175.562795 33.139744) (xy 175.484774 33.328102) (xy 175.445 33.528061) (xy 126.757 33.528061) (xy 126.757 33.426061) + (xy 126.717226 33.226102) (xy 126.639205 33.037744) (xy 126.525937 32.868226) (xy 126.381774 32.724063) (xy 126.212256 32.610795) + (xy 126.023898 32.532774) (xy 125.823939 32.493) (xy 125.620061 32.493) (xy 125.420102 32.532774) (xy 125.231744 32.610795) + (xy 125.062226 32.724063) (xy 124.918063 32.868226) (xy 124.804795 33.037744) (xy 124.726774 33.226102) (xy 124.687 33.426061) + (xy 113.658699 33.426061) (xy 113.653846 29.15) (xy 128.511928 29.15) (xy 128.511928 30.85) (xy 128.524188 30.974482) + (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) (xy 128.795506 31.380537) (xy 128.90582 31.439502) + (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) (xy 130.974482 31.475812) (xy 131.09418 31.439502) + (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) (xy 131.439502 31.09418) (xy 131.461513 31.02162) + (xy 131.593368 31.153475) (xy 131.836589 31.31599) (xy 132.106842 31.427932) (xy 132.39374 31.485) (xy 132.68626 31.485) + (xy 132.973158 31.427932) (xy 133.243411 31.31599) (xy 133.486632 31.153475) (xy 133.693475 30.946632) (xy 133.81 30.77224) + (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) (xy 134.646842 31.427932) (xy 134.93374 31.485) + (xy 135.22626 31.485) (xy 135.513158 31.427932) (xy 135.783411 31.31599) (xy 136.026632 31.153475) (xy 136.233475 30.946632) + (xy 136.35 30.77224) (xy 136.466525 30.946632) (xy 136.673368 31.153475) (xy 136.916589 31.31599) (xy 137.186842 31.427932) + (xy 137.47374 31.485) (xy 137.76626 31.485) (xy 138.053158 31.427932) (xy 138.323411 31.31599) (xy 138.566632 31.153475) + (xy 138.773475 30.946632) (xy 138.89 30.77224) (xy 139.006525 30.946632) (xy 139.213368 31.153475) (xy 139.456589 31.31599) + (xy 139.726842 31.427932) (xy 140.01374 31.485) (xy 140.30626 31.485) (xy 140.593158 31.427932) (xy 140.863411 31.31599) + (xy 141.106632 31.153475) (xy 141.313475 30.946632) (xy 141.43 30.77224) (xy 141.546525 30.946632) (xy 141.753368 31.153475) + (xy 141.996589 31.31599) (xy 142.266842 31.427932) (xy 142.55374 31.485) (xy 142.84626 31.485) (xy 143.133158 31.427932) + (xy 143.403411 31.31599) (xy 143.646632 31.153475) (xy 143.853475 30.946632) (xy 143.97 30.77224) (xy 144.086525 30.946632) + (xy 144.293368 31.153475) (xy 144.536589 31.31599) (xy 144.806842 31.427932) (xy 145.09374 31.485) (xy 145.38626 31.485) + (xy 145.673158 31.427932) (xy 145.943411 31.31599) (xy 146.186632 31.153475) (xy 146.393475 30.946632) (xy 146.51 30.77224) + (xy 146.626525 30.946632) (xy 146.833368 31.153475) (xy 147.076589 31.31599) (xy 147.346842 31.427932) (xy 147.63374 31.485) + (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) (xy 148.726632 31.153475) (xy 148.933475 30.946632) + (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) (xy 149.616589 31.31599) (xy 149.886842 31.427932) + (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) (xy 151.023411 31.31599) (xy 151.266632 31.153475) + (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) (xy 151.913368 31.153475) (xy 152.156589 31.31599) + (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) (xy 153.293158 31.427932) (xy 153.563411 31.31599) + (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) (xy 154.246525 30.946632) (xy 154.453368 31.153475) + (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) (xy 155.54626 31.485) (xy 155.833158 31.427932) + (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) (xy 156.67 30.77224) (xy 156.786525 30.946632) + (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) (xy 157.79374 31.485) (xy 158.08626 31.485) + (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) (xy 159.093475 30.946632) (xy 159.21 30.77224) + (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) (xy 160.046842 31.427932) (xy 160.33374 31.485) + (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) (xy 161.426632 31.153475) (xy 161.633475 30.946632) + (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) (xy 162.316589 31.31599) (xy 162.586842 31.427932) + (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) (xy 163.723411 31.31599) (xy 163.966632 31.153475) + (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) (xy 164.613368 31.153475) (xy 164.856589 31.31599) + (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) (xy 165.993158 31.427932) (xy 166.263411 31.31599) + (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.835195 30.764466) (xy 166.904822 30.881355) (xy 167.099731 31.097588) + (xy 167.33308 31.271641) (xy 167.595901 31.396825) (xy 167.74311 31.441476) (xy 167.973 31.320155) (xy 167.973 30.127) + (xy 167.953 30.127) (xy 167.953 29.873) (xy 167.973 29.873) (xy 167.973 27.587) (xy 167.953 27.587) + (xy 167.953 27.333) (xy 167.973 27.333) (xy 167.973 26.139845) (xy 168.227 26.139845) (xy 168.227 27.333) + (xy 168.247 27.333) (xy 168.247 27.587) (xy 168.227 27.587) (xy 168.227 29.873) (xy 168.247 29.873) + (xy 168.247 30.127) (xy 168.227 30.127) (xy 168.227 31.320155) (xy 168.45689 31.441476) (xy 168.604099 31.396825) + (xy 168.86692 31.271641) (xy 169.100269 31.097588) (xy 169.295178 30.881355) (xy 169.364805 30.764466) (xy 169.486525 30.946632) + (xy 169.693368 31.153475) (xy 169.936589 31.31599) (xy 170.206842 31.427932) (xy 170.49374 31.485) (xy 170.78626 31.485) + (xy 171.073158 31.427932) (xy 171.343411 31.31599) (xy 171.586632 31.153475) (xy 171.793475 30.946632) (xy 171.915195 30.764466) + (xy 171.984822 30.881355) (xy 172.179731 31.097588) (xy 172.41308 31.271641) (xy 172.675901 31.396825) (xy 172.82311 31.441476) + (xy 173.053 31.320155) (xy 173.053 30.127) (xy 173.033 30.127) (xy 173.033 29.873) (xy 173.053 29.873) + (xy 173.053 27.587) (xy 173.033 27.587) (xy 173.033 27.333) (xy 173.053 27.333) (xy 173.053 26.139845) + (xy 173.307 26.139845) (xy 173.307 27.333) (xy 173.327 27.333) (xy 173.327 27.587) (xy 173.307 27.587) + (xy 173.307 29.873) (xy 173.327 29.873) (xy 173.327 30.127) (xy 173.307 30.127) (xy 173.307 31.320155) + (xy 173.53689 31.441476) (xy 173.684099 31.396825) (xy 173.94692 31.271641) (xy 174.180269 31.097588) (xy 174.375178 30.881355) + (xy 174.444805 30.764466) (xy 174.566525 30.946632) (xy 174.773368 31.153475) (xy 175.016589 31.31599) (xy 175.286842 31.427932) + (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.153158 31.427932) (xy 176.423411 31.31599) (xy 176.666632 31.153475) + (xy 176.873475 30.946632) (xy 176.99 30.77224) (xy 177.106525 30.946632) (xy 177.313368 31.153475) (xy 177.556589 31.31599) + (xy 177.826842 31.427932) (xy 178.11374 31.485) (xy 178.40626 31.485) (xy 178.693158 31.427932) (xy 178.963411 31.31599) + (xy 179.206632 31.153475) (xy 179.413475 30.946632) (xy 179.53 30.77224) (xy 179.646525 30.946632) (xy 179.853368 31.153475) + (xy 180.096589 31.31599) (xy 180.366842 31.427932) (xy 180.65374 31.485) (xy 180.94626 31.485) (xy 181.233158 31.427932) + (xy 181.503411 31.31599) (xy 181.746632 31.153475) (xy 181.953475 30.946632) (xy 182.07 30.77224) (xy 182.186525 30.946632) + (xy 182.393368 31.153475) (xy 182.636589 31.31599) (xy 182.906842 31.427932) (xy 183.19374 31.485) (xy 183.48626 31.485) + (xy 183.773158 31.427932) (xy 184.043411 31.31599) (xy 184.286632 31.153475) (xy 184.493475 30.946632) (xy 184.61 30.77224) + (xy 184.726525 30.946632) (xy 184.933368 31.153475) (xy 185.176589 31.31599) (xy 185.446842 31.427932) (xy 185.73374 31.485) + (xy 186.02626 31.485) (xy 186.313158 31.427932) (xy 186.583411 31.31599) (xy 186.826632 31.153475) (xy 187.033475 30.946632) + (xy 187.15 30.77224) (xy 187.266525 30.946632) (xy 187.473368 31.153475) (xy 187.716589 31.31599) (xy 187.986842 31.427932) + (xy 188.27374 31.485) (xy 188.56626 31.485) (xy 188.853158 31.427932) (xy 189.123411 31.31599) (xy 189.366632 31.153475) + (xy 189.573475 30.946632) (xy 189.69 30.77224) (xy 189.806525 30.946632) (xy 190.013368 31.153475) (xy 190.256589 31.31599) + (xy 190.526842 31.427932) (xy 190.81374 31.485) (xy 191.10626 31.485) (xy 191.393158 31.427932) (xy 191.663411 31.31599) + (xy 191.906632 31.153475) (xy 192.113475 30.946632) (xy 192.23 30.77224) (xy 192.346525 30.946632) (xy 192.553368 31.153475) + (xy 192.796589 31.31599) (xy 193.066842 31.427932) (xy 193.35374 31.485) (xy 193.64626 31.485) (xy 193.933158 31.427932) + (xy 194.203411 31.31599) (xy 194.446632 31.153475) (xy 194.653475 30.946632) (xy 194.77 30.77224) (xy 194.886525 30.946632) + (xy 195.093368 31.153475) (xy 195.336589 31.31599) (xy 195.606842 31.427932) (xy 195.89374 31.485) (xy 196.18626 31.485) + (xy 196.473158 31.427932) (xy 196.743411 31.31599) (xy 196.986632 31.153475) (xy 197.193475 30.946632) (xy 197.31 30.77224) + (xy 197.426525 30.946632) (xy 197.633368 31.153475) (xy 197.876589 31.31599) (xy 198.146842 31.427932) (xy 198.43374 31.485) + (xy 198.72626 31.485) (xy 199.013158 31.427932) (xy 199.283411 31.31599) (xy 199.526632 31.153475) (xy 199.733475 30.946632) + (xy 199.85 30.77224) (xy 199.966525 30.946632) (xy 200.173368 31.153475) (xy 200.416589 31.31599) (xy 200.686842 31.427932) + (xy 200.97374 31.485) (xy 201.26626 31.485) (xy 201.553158 31.427932) (xy 201.823411 31.31599) (xy 202.066632 31.153475) + (xy 202.273475 30.946632) (xy 202.39 30.77224) (xy 202.506525 30.946632) (xy 202.713368 31.153475) (xy 202.956589 31.31599) + (xy 203.226842 31.427932) (xy 203.51374 31.485) (xy 203.80626 31.485) (xy 204.093158 31.427932) (xy 204.363411 31.31599) + (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) + (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) + (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.47 30.77224) (xy 207.586525 30.946632) + (xy 207.793368 31.153475) (xy 208.036589 31.31599) (xy 208.306842 31.427932) (xy 208.59374 31.485) (xy 208.88626 31.485) + (xy 209.173158 31.427932) (xy 209.443411 31.31599) (xy 209.686632 31.153475) (xy 209.893475 30.946632) (xy 210.05599 30.703411) + (xy 210.167932 30.433158) (xy 210.225 30.14626) (xy 210.225 29.85374) (xy 210.167932 29.566842) (xy 210.130201 29.47575) + (xy 219.84 29.47575) (xy 219.84 29.70425) (xy 219.884578 29.92836) (xy 219.972021 30.139466) (xy 220.098969 30.329457) + (xy 220.260543 30.491031) (xy 220.450534 30.617979) (xy 220.66164 30.705422) (xy 220.88575 30.75) (xy 221.11425 30.75) + (xy 221.33836 30.705422) (xy 221.549466 30.617979) (xy 221.739457 30.491031) (xy 221.901031 30.329457) (xy 222.027979 30.139466) + (xy 222.115422 29.92836) (xy 222.16 29.70425) (xy 222.16 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) + (xy 229.884578 29.92836) (xy 229.972021 30.139466) (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) + (xy 230.66164 30.705422) (xy 230.88575 30.75) (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) + (xy 231.739457 30.491031) (xy 231.901031 30.329457) (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) + (xy 232.16 29.47575) (xy 232.115422 29.25164) (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) + (xy 231.549466 28.562021) (xy 231.33836 28.474578) (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) + (xy 230.450534 28.562021) (xy 230.260543 28.688969) (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) + (xy 229.84 29.47575) (xy 222.16 29.47575) (xy 222.115422 29.25164) (xy 222.027979 29.040534) (xy 221.901031 28.850543) + (xy 221.739457 28.688969) (xy 221.549466 28.562021) (xy 221.33836 28.474578) (xy 221.11425 28.43) (xy 220.88575 28.43) + (xy 220.66164 28.474578) (xy 220.450534 28.562021) (xy 220.260543 28.688969) (xy 220.098969 28.850543) (xy 219.972021 29.040534) + (xy 219.884578 29.25164) (xy 219.84 29.47575) (xy 210.130201 29.47575) (xy 210.05599 29.296589) (xy 209.893475 29.053368) + (xy 209.686632 28.846525) (xy 209.51224 28.73) (xy 209.686632 28.613475) (xy 209.893475 28.406632) (xy 210.05599 28.163411) + (xy 210.167932 27.893158) (xy 210.225 27.60626) (xy 210.225 27.358061) (xy 214.505 27.358061) (xy 214.505 27.561939) + (xy 214.544774 27.761898) (xy 214.622795 27.950256) (xy 214.736063 28.119774) (xy 214.880226 28.263937) (xy 215.049744 28.377205) + (xy 215.238102 28.455226) (xy 215.438061 28.495) (xy 215.641939 28.495) (xy 215.841898 28.455226) (xy 216.030256 28.377205) + (xy 216.199774 28.263937) (xy 216.343937 28.119774) (xy 216.457205 27.950256) (xy 216.535226 27.761898) (xy 216.575 27.561939) + (xy 216.575 27.358061) (xy 216.535226 27.158102) (xy 216.457205 26.969744) (xy 216.343937 26.800226) (xy 216.199774 26.656063) + (xy 216.030256 26.542795) (xy 215.841898 26.464774) (xy 215.641939 26.425) (xy 215.438061 26.425) (xy 215.238102 26.464774) + (xy 215.049744 26.542795) (xy 214.880226 26.656063) (xy 214.736063 26.800226) (xy 214.622795 26.969744) (xy 214.544774 27.158102) + (xy 214.505 27.358061) (xy 210.225 27.358061) (xy 210.225 27.31374) (xy 210.167932 27.026842) (xy 210.05599 26.756589) + (xy 209.893475 26.513368) (xy 209.686632 26.306525) (xy 209.443411 26.14401) (xy 209.173158 26.032068) (xy 208.88626 25.975) + (xy 208.59374 25.975) (xy 208.306842 26.032068) (xy 208.036589 26.14401) (xy 207.793368 26.306525) (xy 207.586525 26.513368) + (xy 207.47 26.68776) (xy 207.353475 26.513368) (xy 207.146632 26.306525) (xy 206.903411 26.14401) (xy 206.633158 26.032068) + (xy 206.34626 25.975) (xy 206.05374 25.975) (xy 205.766842 26.032068) (xy 205.496589 26.14401) (xy 205.253368 26.306525) + (xy 205.046525 26.513368) (xy 204.93 26.68776) (xy 204.813475 26.513368) (xy 204.606632 26.306525) (xy 204.363411 26.14401) + (xy 204.093158 26.032068) (xy 203.80626 25.975) (xy 203.51374 25.975) (xy 203.226842 26.032068) (xy 202.956589 26.14401) + (xy 202.713368 26.306525) (xy 202.506525 26.513368) (xy 202.39 26.68776) (xy 202.273475 26.513368) (xy 202.066632 26.306525) + (xy 201.823411 26.14401) (xy 201.553158 26.032068) (xy 201.26626 25.975) (xy 200.97374 25.975) (xy 200.686842 26.032068) + (xy 200.416589 26.14401) (xy 200.173368 26.306525) (xy 199.966525 26.513368) (xy 199.85 26.68776) (xy 199.733475 26.513368) + (xy 199.526632 26.306525) (xy 199.283411 26.14401) (xy 199.013158 26.032068) (xy 198.72626 25.975) (xy 198.43374 25.975) + (xy 198.146842 26.032068) (xy 197.876589 26.14401) (xy 197.633368 26.306525) (xy 197.426525 26.513368) (xy 197.31 26.68776) + (xy 197.193475 26.513368) (xy 196.986632 26.306525) (xy 196.743411 26.14401) (xy 196.473158 26.032068) (xy 196.18626 25.975) + (xy 195.89374 25.975) (xy 195.606842 26.032068) (xy 195.336589 26.14401) (xy 195.093368 26.306525) (xy 194.886525 26.513368) + (xy 194.77 26.68776) (xy 194.653475 26.513368) (xy 194.446632 26.306525) (xy 194.203411 26.14401) (xy 193.933158 26.032068) + (xy 193.64626 25.975) (xy 193.35374 25.975) (xy 193.066842 26.032068) (xy 192.796589 26.14401) (xy 192.553368 26.306525) + (xy 192.346525 26.513368) (xy 192.23 26.68776) (xy 192.113475 26.513368) (xy 191.906632 26.306525) (xy 191.663411 26.14401) + (xy 191.393158 26.032068) (xy 191.10626 25.975) (xy 190.81374 25.975) (xy 190.526842 26.032068) (xy 190.256589 26.14401) + (xy 190.013368 26.306525) (xy 189.806525 26.513368) (xy 189.69 26.68776) (xy 189.573475 26.513368) (xy 189.366632 26.306525) + (xy 189.123411 26.14401) (xy 188.853158 26.032068) (xy 188.56626 25.975) (xy 188.27374 25.975) (xy 187.986842 26.032068) + (xy 187.716589 26.14401) (xy 187.473368 26.306525) (xy 187.266525 26.513368) (xy 187.15 26.68776) (xy 187.033475 26.513368) + (xy 186.826632 26.306525) (xy 186.583411 26.14401) (xy 186.313158 26.032068) (xy 186.02626 25.975) (xy 185.73374 25.975) + (xy 185.446842 26.032068) (xy 185.176589 26.14401) (xy 184.933368 26.306525) (xy 184.726525 26.513368) (xy 184.61 26.68776) + (xy 184.493475 26.513368) (xy 184.286632 26.306525) (xy 184.043411 26.14401) (xy 183.773158 26.032068) (xy 183.48626 25.975) + (xy 183.19374 25.975) (xy 182.906842 26.032068) (xy 182.636589 26.14401) (xy 182.393368 26.306525) (xy 182.186525 26.513368) + (xy 182.07 26.68776) (xy 181.953475 26.513368) (xy 181.746632 26.306525) (xy 181.503411 26.14401) (xy 181.233158 26.032068) + (xy 180.94626 25.975) (xy 180.65374 25.975) (xy 180.366842 26.032068) (xy 180.096589 26.14401) (xy 179.853368 26.306525) + (xy 179.646525 26.513368) (xy 179.53 26.68776) (xy 179.413475 26.513368) (xy 179.206632 26.306525) (xy 178.963411 26.14401) + (xy 178.693158 26.032068) (xy 178.40626 25.975) (xy 178.11374 25.975) (xy 177.826842 26.032068) (xy 177.556589 26.14401) + (xy 177.313368 26.306525) (xy 177.106525 26.513368) (xy 176.99 26.68776) (xy 176.873475 26.513368) (xy 176.666632 26.306525) + (xy 176.423411 26.14401) (xy 176.153158 26.032068) (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) + (xy 175.016589 26.14401) (xy 174.773368 26.306525) (xy 174.566525 26.513368) (xy 174.444805 26.695534) (xy 174.375178 26.578645) + (xy 174.180269 26.362412) (xy 173.94692 26.188359) (xy 173.684099 26.063175) (xy 173.53689 26.018524) (xy 173.307 26.139845) + (xy 173.053 26.139845) (xy 172.82311 26.018524) (xy 172.675901 26.063175) (xy 172.41308 26.188359) (xy 172.179731 26.362412) + (xy 171.984822 26.578645) (xy 171.915195 26.695534) (xy 171.793475 26.513368) (xy 171.586632 26.306525) (xy 171.343411 26.14401) + (xy 171.073158 26.032068) (xy 170.78626 25.975) (xy 170.49374 25.975) (xy 170.206842 26.032068) (xy 169.936589 26.14401) + (xy 169.693368 26.306525) (xy 169.486525 26.513368) (xy 169.364805 26.695534) (xy 169.295178 26.578645) (xy 169.100269 26.362412) + (xy 168.86692 26.188359) (xy 168.604099 26.063175) (xy 168.45689 26.018524) (xy 168.227 26.139845) (xy 167.973 26.139845) + (xy 167.74311 26.018524) (xy 167.595901 26.063175) (xy 167.33308 26.188359) (xy 167.099731 26.362412) (xy 166.904822 26.578645) + (xy 166.835195 26.695534) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.263411 26.14401) (xy 165.993158 26.032068) + (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) (xy 164.856589 26.14401) (xy 164.613368 26.306525) + (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) (xy 163.966632 26.306525) (xy 163.723411 26.14401) + (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) (xy 162.586842 26.032068) (xy 162.316589 26.14401) + (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) (xy 161.633475 26.513368) (xy 161.426632 26.306525) + (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) (xy 160.33374 25.975) (xy 160.046842 26.032068) + (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) (xy 159.21 26.68776) (xy 159.093475 26.513368) + (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) (xy 158.08626 25.975) (xy 157.79374 25.975) + (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) (xy 156.786525 26.513368) (xy 156.67 26.68776) + (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) (xy 155.833158 26.032068) (xy 155.54626 25.975) + (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) (xy 154.453368 26.306525) (xy 154.246525 26.513368) + (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) (xy 153.563411 26.14401) (xy 153.293158 26.032068) + (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) (xy 152.156589 26.14401) (xy 151.913368 26.306525) + (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) (xy 151.266632 26.306525) (xy 151.023411 26.14401) + (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) (xy 149.616589 26.14401) + (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) (xy 148.726632 26.306525) + (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) (xy 147.346842 26.032068) + (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) (xy 146.393475 26.513368) + (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) (xy 145.09374 25.975) + (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) (xy 143.97 26.68776) + (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) (xy 142.84626 25.975) + (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) (xy 141.546525 26.513368) + (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) (xy 140.593158 26.032068) + (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) (xy 139.213368 26.306525) + (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) (xy 138.323411 26.14401) + (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) (xy 136.916589 26.14401) + (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) (xy 136.233475 26.513368) (xy 136.026632 26.306525) + (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) (xy 134.93374 25.975) (xy 134.646842 26.032068) + (xy 134.376589 26.14401) (xy 134.133368 26.306525) (xy 133.926525 26.513368) (xy 133.81 26.68776) (xy 133.693475 26.513368) + (xy 133.486632 26.306525) (xy 133.243411 26.14401) (xy 132.973158 26.032068) (xy 132.68626 25.975) (xy 132.39374 25.975) + (xy 132.106842 26.032068) (xy 131.836589 26.14401) (xy 131.593368 26.306525) (xy 131.386525 26.513368) (xy 131.27 26.68776) + (xy 131.153475 26.513368) (xy 130.946632 26.306525) (xy 130.703411 26.14401) (xy 130.433158 26.032068) (xy 130.14626 25.975) + (xy 129.85374 25.975) (xy 129.566842 26.032068) (xy 129.296589 26.14401) (xy 129.053368 26.306525) (xy 128.846525 26.513368) + (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) (xy 128.515 27.60626) (xy 128.572068 27.893158) + (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) (xy 128.90582 28.560498) (xy 128.795506 28.619463) + (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) (xy 128.524188 29.025518) (xy 128.511928 29.15) + (xy 113.653846 29.15) (xy 113.648339 24.298061) (xy 133.845 24.298061) (xy 133.845 24.501939) (xy 133.884774 24.701898) + (xy 133.962795 24.890256) (xy 134.076063 25.059774) (xy 134.220226 25.203937) (xy 134.389744 25.317205) (xy 134.578102 25.395226) + (xy 134.778061 25.435) (xy 134.981939 25.435) (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) + (xy 135.683937 25.059774) (xy 135.797205 24.890256) (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) + (xy 136.375 24.298061) (xy 136.375 24.501939) (xy 136.414774 24.701898) (xy 136.492795 24.890256) (xy 136.606063 25.059774) + (xy 136.750226 25.203937) (xy 136.919744 25.317205) (xy 137.108102 25.395226) (xy 137.308061 25.435) (xy 137.511939 25.435) + (xy 137.711898 25.395226) (xy 137.900256 25.317205) (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) + (xy 138.405226 24.701898) (xy 138.445 24.501939) (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) + (xy 138.213937 23.740226) (xy 138.069774 23.596063) (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) + (xy 137.308061 23.365) (xy 137.108102 23.404774) (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) + (xy 136.492795 23.909744) (xy 136.414774 24.098102) (xy 136.375 24.298061) (xy 135.915 24.298061) (xy 135.875226 24.098102) + (xy 135.797205 23.909744) (xy 135.683937 23.740226) (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) + (xy 134.981939 23.365) (xy 134.778061 23.365) (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) + (xy 134.076063 23.740226) (xy 133.962795 23.909744) (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 113.648339 24.298061) + (xy 113.641188 17.998061) (xy 148.52 17.998061) (xy 148.52 18.201939) (xy 148.559774 18.401898) (xy 148.637795 18.590256) + (xy 148.751063 18.759774) (xy 148.895226 18.903937) (xy 149.064744 19.017205) (xy 149.253102 19.095226) (xy 149.453061 19.135) + (xy 149.656939 19.135) (xy 149.842645 19.098061) (xy 157.0925 19.098061) (xy 157.0925 19.301939) (xy 157.132274 19.501898) + (xy 157.210295 19.690256) (xy 157.323563 19.859774) (xy 157.467726 20.003937) (xy 157.637244 20.117205) (xy 157.825602 20.195226) + (xy 158.025561 20.235) (xy 158.229439 20.235) (xy 158.429398 20.195226) (xy 158.617756 20.117205) (xy 158.787274 20.003937) + (xy 158.931437 19.859774) (xy 159.044705 19.690256) (xy 159.122726 19.501898) (xy 159.1625 19.301939) (xy 159.1625 19.098061) + (xy 159.122726 18.898102) (xy 159.044705 18.709744) (xy 158.931437 18.540226) (xy 158.787274 18.396063) (xy 158.617756 18.282795) + (xy 158.429398 18.204774) (xy 158.229439 18.165) (xy 158.025561 18.165) (xy 157.825602 18.204774) (xy 157.637244 18.282795) + (xy 157.467726 18.396063) (xy 157.323563 18.540226) (xy 157.210295 18.709744) (xy 157.132274 18.898102) (xy 157.0925 19.098061) + (xy 149.842645 19.098061) (xy 149.856898 19.095226) (xy 150.045256 19.017205) (xy 150.214774 18.903937) (xy 150.358937 18.759774) + (xy 150.472205 18.590256) (xy 150.550226 18.401898) (xy 150.59 18.201939) (xy 150.59 17.998061) (xy 162.27 17.998061) + (xy 162.27 18.201939) (xy 162.309774 18.401898) (xy 162.387795 18.590256) (xy 162.501063 18.759774) (xy 162.645226 18.903937) + (xy 162.814744 19.017205) (xy 163.003102 19.095226) (xy 163.203061 19.135) (xy 163.406939 19.135) (xy 163.606898 19.095226) + (xy 163.795256 19.017205) (xy 163.964774 18.903937) (xy 164.108937 18.759774) (xy 164.222205 18.590256) (xy 164.300226 18.401898) + (xy 164.34 18.201939) (xy 164.34 17.998061) (xy 164.300226 17.798102) (xy 164.222205 17.609744) (xy 164.108937 17.440226) + (xy 163.964774 17.296063) (xy 163.795256 17.182795) (xy 163.606898 17.104774) (xy 163.406939 17.065) (xy 163.203061 17.065) + (xy 163.003102 17.104774) (xy 162.814744 17.182795) (xy 162.645226 17.296063) (xy 162.501063 17.440226) (xy 162.387795 17.609744) + (xy 162.309774 17.798102) (xy 162.27 17.998061) (xy 150.59 17.998061) (xy 150.550226 17.798102) (xy 150.472205 17.609744) + (xy 150.358937 17.440226) (xy 150.214774 17.296063) (xy 150.045256 17.182795) (xy 149.856898 17.104774) (xy 149.656939 17.065) + (xy 149.453061 17.065) (xy 149.253102 17.104774) (xy 149.064744 17.182795) (xy 148.895226 17.296063) (xy 148.751063 17.440226) + (xy 148.637795 17.609744) (xy 148.559774 17.798102) (xy 148.52 17.998061) (xy 113.641188 17.998061) (xy 113.6393 16.335561) + (xy 115.265 16.335561) (xy 115.265 16.539439) (xy 115.304774 16.739398) (xy 115.382795 16.927756) (xy 115.496063 17.097274) + (xy 115.640226 17.241437) (xy 115.809744 17.354705) (xy 115.998102 17.432726) (xy 116.198061 17.4725) (xy 116.401939 17.4725) + (xy 116.601898 17.432726) (xy 116.790256 17.354705) (xy 116.959774 17.241437) (xy 117.103937 17.097274) (xy 117.217205 16.927756) + (xy 117.295226 16.739398) (xy 117.335 16.539439) (xy 117.335 16.335561) (xy 117.295226 16.135602) (xy 117.217205 15.947244) + (xy 117.103937 15.777726) (xy 116.959774 15.633563) (xy 116.790256 15.520295) (xy 116.601898 15.442274) (xy 116.401939 15.4025) + (xy 116.198061 15.4025) (xy 115.998102 15.442274) (xy 115.809744 15.520295) (xy 115.640226 15.633563) (xy 115.496063 15.777726) + (xy 115.382795 15.947244) (xy 115.304774 16.135602) (xy 115.265 16.335561) (xy 113.6393 16.335561) (xy 113.634662 12.24917) + (xy 166.98634 12.24917) (xy 166.98634 12.71083) (xy 167.076406 13.16362) (xy 167.253075 13.590139) (xy 167.50956 13.973996) + (xy 167.836004 14.30044) (xy 168.219861 14.556925) (xy 168.64638 14.733594) (xy 169.09917 14.82366) (xy 169.56083 14.82366) + (xy 170.01362 14.733594) (xy 170.440139 14.556925) (xy 170.823996 14.30044) (xy 171.15044 13.973996) (xy 171.406925 13.590139) + (xy 171.583594 13.16362) (xy 171.67366 12.71083) (xy 171.67366 12.24917) (xy 196.98634 12.24917) (xy 196.98634 12.71083) + (xy 197.076406 13.16362) (xy 197.253075 13.590139) (xy 197.50956 13.973996) (xy 197.836004 14.30044) (xy 198.219861 14.556925) + (xy 198.64638 14.733594) (xy 199.09917 14.82366) (xy 199.56083 14.82366) (xy 200.01362 14.733594) (xy 200.440139 14.556925) + (xy 200.823996 14.30044) (xy 201.15044 13.973996) (xy 201.406925 13.590139) (xy 201.583594 13.16362) (xy 201.67366 12.71083) + (xy 201.67366 12.24917) (xy 226.98634 12.24917) (xy 226.98634 12.71083) (xy 227.076406 13.16362) (xy 227.253075 13.590139) + (xy 227.50956 13.973996) (xy 227.836004 14.30044) (xy 228.219861 14.556925) (xy 228.64638 14.733594) (xy 229.09917 14.82366) + (xy 229.56083 14.82366) (xy 230.01362 14.733594) (xy 230.440139 14.556925) (xy 230.823996 14.30044) (xy 231.15044 13.973996) + (xy 231.201178 13.898061) (xy 232.965 13.898061) (xy 232.965 14.101939) (xy 233.004774 14.301898) (xy 233.082795 14.490256) + (xy 233.196063 14.659774) (xy 233.340226 14.803937) (xy 233.509744 14.917205) (xy 233.698102 14.995226) (xy 233.898061 15.035) + (xy 234.101939 15.035) (xy 234.301898 14.995226) (xy 234.490256 14.917205) (xy 234.659774 14.803937) (xy 234.803937 14.659774) + (xy 234.917205 14.490256) (xy 234.995226 14.301898) (xy 235.035 14.101939) (xy 235.035 13.898061) (xy 254.965 13.898061) + (xy 254.965 14.101939) (xy 255.004774 14.301898) (xy 255.082795 14.490256) (xy 255.196063 14.659774) (xy 255.340226 14.803937) + (xy 255.509744 14.917205) (xy 255.698102 14.995226) (xy 255.898061 15.035) (xy 256.101939 15.035) (xy 256.301898 14.995226) + (xy 256.490256 14.917205) (xy 256.659774 14.803937) (xy 256.803937 14.659774) (xy 256.917205 14.490256) (xy 256.995226 14.301898) + (xy 257.035 14.101939) (xy 257.035 13.898061) (xy 256.995226 13.698102) (xy 256.917205 13.509744) (xy 256.803937 13.340226) + (xy 256.659774 13.196063) (xy 256.490256 13.082795) (xy 256.301898 13.004774) (xy 256.101939 12.965) (xy 255.898061 12.965) + (xy 255.698102 13.004774) (xy 255.509744 13.082795) (xy 255.340226 13.196063) (xy 255.196063 13.340226) (xy 255.082795 13.509744) + (xy 255.004774 13.698102) (xy 254.965 13.898061) (xy 235.035 13.898061) (xy 234.995226 13.698102) (xy 234.917205 13.509744) + (xy 234.803937 13.340226) (xy 234.659774 13.196063) (xy 234.490256 13.082795) (xy 234.301898 13.004774) (xy 234.101939 12.965) + (xy 233.898061 12.965) (xy 233.698102 13.004774) (xy 233.509744 13.082795) (xy 233.340226 13.196063) (xy 233.196063 13.340226) + (xy 233.082795 13.509744) (xy 233.004774 13.698102) (xy 232.965 13.898061) (xy 231.201178 13.898061) (xy 231.406925 13.590139) + (xy 231.583594 13.16362) (xy 231.67366 12.71083) (xy 231.67366 12.24917) (xy 231.583594 11.79638) (xy 231.406925 11.369861) + (xy 231.15044 10.986004) (xy 230.823996 10.65956) (xy 230.440139 10.403075) (xy 230.01362 10.226406) (xy 229.56083 10.13634) + (xy 229.09917 10.13634) (xy 228.64638 10.226406) (xy 228.219861 10.403075) (xy 227.836004 10.65956) (xy 227.50956 10.986004) + (xy 227.253075 11.369861) (xy 227.076406 11.79638) (xy 226.98634 12.24917) (xy 201.67366 12.24917) (xy 201.583594 11.79638) + (xy 201.406925 11.369861) (xy 201.15044 10.986004) (xy 200.823996 10.65956) (xy 200.440139 10.403075) (xy 200.01362 10.226406) + (xy 199.56083 10.13634) (xy 199.09917 10.13634) (xy 198.64638 10.226406) (xy 198.219861 10.403075) (xy 197.836004 10.65956) + (xy 197.50956 10.986004) (xy 197.253075 11.369861) (xy 197.076406 11.79638) (xy 196.98634 12.24917) (xy 171.67366 12.24917) + (xy 171.583594 11.79638) (xy 171.406925 11.369861) (xy 171.15044 10.986004) (xy 170.823996 10.65956) (xy 170.440139 10.403075) + (xy 170.01362 10.226406) (xy 169.56083 10.13634) (xy 169.09917 10.13634) (xy 168.64638 10.226406) (xy 168.219861 10.403075) + (xy 167.836004 10.65956) (xy 167.50956 10.986004) (xy 167.253075 11.369861) (xy 167.076406 11.79638) (xy 166.98634 12.24917) + (xy 113.634662 12.24917) (xy 113.629814 7.978061) (xy 146.865 7.978061) (xy 146.865 8.181939) (xy 146.904774 8.381898) + (xy 146.982795 8.570256) (xy 147.096063 8.739774) (xy 147.240226 8.883937) (xy 147.409744 8.997205) (xy 147.598102 9.075226) + (xy 147.798061 9.115) (xy 148.001939 9.115) (xy 148.087097 9.098061) (xy 157.695 9.098061) (xy 157.695 9.301939) + (xy 157.734774 9.501898) (xy 157.812795 9.690256) (xy 157.926063 9.859774) (xy 158.070226 10.003937) (xy 158.239744 10.117205) + (xy 158.428102 10.195226) (xy 158.628061 10.235) (xy 158.831939 10.235) (xy 159.031898 10.195226) (xy 159.220256 10.117205) + (xy 159.389774 10.003937) (xy 159.533937 9.859774) (xy 159.647205 9.690256) (xy 159.725226 9.501898) (xy 159.765 9.301939) + (xy 159.765 9.098061) (xy 159.725226 8.898102) (xy 159.647205 8.709744) (xy 159.533937 8.540226) (xy 159.389774 8.396063) + (xy 159.220256 8.282795) (xy 159.031898 8.204774) (xy 158.831939 8.165) (xy 158.628061 8.165) (xy 158.428102 8.204774) + (xy 158.239744 8.282795) (xy 158.070226 8.396063) (xy 157.926063 8.540226) (xy 157.812795 8.709744) (xy 157.734774 8.898102) + (xy 157.695 9.098061) (xy 148.087097 9.098061) (xy 148.201898 9.075226) (xy 148.390256 8.997205) (xy 148.559774 8.883937) + (xy 148.703937 8.739774) (xy 148.817205 8.570256) (xy 148.895226 8.381898) (xy 148.935 8.181939) (xy 148.935 7.978061) + (xy 163.965 7.978061) (xy 163.965 8.181939) (xy 164.004774 8.381898) (xy 164.082795 8.570256) (xy 164.196063 8.739774) + (xy 164.340226 8.883937) (xy 164.509744 8.997205) (xy 164.698102 9.075226) (xy 164.898061 9.115) (xy 165.101939 9.115) + (xy 165.301898 9.075226) (xy 165.490256 8.997205) (xy 165.659774 8.883937) (xy 165.803937 8.739774) (xy 165.917205 8.570256) + (xy 165.995226 8.381898) (xy 166.035 8.181939) (xy 166.035 7.978061) (xy 165.995226 7.778102) (xy 165.917205 7.589744) + (xy 165.803937 7.420226) (xy 165.659774 7.276063) (xy 165.490256 7.162795) (xy 165.301898 7.084774) (xy 165.101939 7.045) + (xy 164.898061 7.045) (xy 164.698102 7.084774) (xy 164.509744 7.162795) (xy 164.340226 7.276063) (xy 164.196063 7.420226) + (xy 164.082795 7.589744) (xy 164.004774 7.778102) (xy 163.965 7.978061) (xy 148.935 7.978061) (xy 148.895226 7.778102) + (xy 148.817205 7.589744) (xy 148.703937 7.420226) (xy 148.559774 7.276063) (xy 148.390256 7.162795) (xy 148.201898 7.084774) + (xy 148.001939 7.045) (xy 147.798061 7.045) (xy 147.598102 7.084774) (xy 147.409744 7.162795) (xy 147.240226 7.276063) + (xy 147.096063 7.420226) (xy 146.982795 7.589744) (xy 146.904774 7.778102) (xy 146.865 7.978061) (xy 113.629814 7.978061) + (xy 113.627352 5.81) (xy 266.751193 5.81) ) ) ) @@ -12381,7 +12153,14 @@ (xy 255.13175 90.17) (xy 255.2905 90.01125) (xy 255.2905 89.187) (xy 255.5445 89.187) (xy 255.5445 90.01125) (xy 255.70325 90.17) (xy 255.855 90.173072) (xy 255.979482 90.160812) (xy 256.09918 90.124502) (xy 256.209494 90.065537) (xy 256.306185 89.986185) (xy 256.385537 89.889494) (xy 256.444502 89.77918) (xy 256.480812 89.659482) (xy 256.493072 89.535) - (xy 256.49 89.34575) (xy 256.33125 89.187) (xy 255.5445 89.187) (xy 255.2905 89.187) (xy 255.2705 89.187) + (xy 256.49 89.34575) (xy 256.365027 89.220777) (xy 266.3625 89.220777) (xy 266.3625 89.779223) (xy 266.471448 90.326939) + (xy 266.685156 90.842876) (xy 266.995412 91.307207) (xy 267.390293 91.702088) (xy 267.854624 92.012344) (xy 268.370561 92.226052) + (xy 268.918277 92.335) (xy 269.476723 92.335) (xy 270.024439 92.226052) (xy 270.540376 92.012344) (xy 271.004707 91.702088) + (xy 271.399588 91.307207) (xy 271.709844 90.842876) (xy 271.923552 90.326939) (xy 272.0325 89.779223) (xy 272.0325 89.220777) + (xy 271.923552 88.673061) (xy 271.709844 88.157124) (xy 271.399588 87.692793) (xy 271.004707 87.297912) (xy 270.540376 86.987656) + (xy 270.024439 86.773948) (xy 269.476723 86.665) (xy 268.918277 86.665) (xy 268.370561 86.773948) (xy 267.854624 86.987656) + (xy 267.390293 87.297912) (xy 266.995412 87.692793) (xy 266.685156 88.157124) (xy 266.471448 88.673061) (xy 266.3625 89.220777) + (xy 256.365027 89.220777) (xy 256.33125 89.187) (xy 255.5445 89.187) (xy 255.2905 89.187) (xy 255.2705 89.187) (xy 255.2705 88.933) (xy 255.2905 88.933) (xy 255.2905 88.10875) (xy 255.5445 88.10875) (xy 255.5445 88.933) (xy 256.33125 88.933) (xy 256.49 88.77425) (xy 256.493072 88.585) (xy 256.480812 88.460518) (xy 256.444502 88.34082) (xy 256.385537 88.230506) (xy 256.306185 88.133815) (xy 256.209494 88.054463) (xy 256.09918 87.995498) (xy 255.979482 87.959188) @@ -12680,16 +12459,6 @@ (xy 157.915218 95.818822) (xy 158.069525 95.587885) (xy 158.09 95.538454) ) ) - (filled_polygon - (pts - (xy 126.533748 97.445858) (xy 126.519605 97.46) (xy 126.657818 97.598213) (xy 126.683359 97.682411) (xy 126.749399 97.805963) - (xy 126.838274 97.914257) (xy 126.865411 97.936528) (xy 127.870678 98.941797) (xy 127.892944 98.968928) (xy 127.906174 98.979786) - (xy 127.784782 99.101178) (xy 127.630475 99.332115) (xy 127.61 99.381546) (xy 127.589525 99.332115) (xy 127.435218 99.101178) - (xy 127.238822 98.904782) (xy 127.007885 98.750475) (xy 126.962798 98.731799) (xy 127.06669 98.676268) (xy 127.135244 98.434849) - (xy 126.34 97.639605) (xy 126.325858 97.653748) (xy 126.146253 97.474143) (xy 126.160395 97.46) (xy 126.146253 97.445858) - (xy 126.325858 97.266253) (xy 126.34 97.280395) (xy 126.354143 97.266253) - ) - ) (filled_polygon (pts (xy 198.750475 95.587885) (xy 198.904782 95.818822) (xy 199.101178 96.015218) (xy 199.332115 96.169525) (xy 199.381546 96.19) @@ -12722,16 +12491,19 @@ ) (filled_polygon (pts - (xy 149.393748 97.445858) (xy 149.379605 97.46) (xy 149.393748 97.474143) (xy 149.214143 97.653748) (xy 149.2 97.639605) - (xy 149.185858 97.653748) (xy 149.006253 97.474143) (xy 149.020395 97.46) (xy 149.006253 97.445858) (xy 149.185858 97.266253) - (xy 149.2 97.280395) (xy 149.214143 97.266253) + (xy 126.533748 97.445858) (xy 126.519605 97.46) (xy 126.657818 97.598213) (xy 126.683359 97.682411) (xy 126.749399 97.805963) + (xy 126.838274 97.914257) (xy 126.865411 97.936528) (xy 127.870678 98.941797) (xy 127.892944 98.968928) (xy 127.906174 98.979786) + (xy 127.784782 99.101178) (xy 127.630475 99.332115) (xy 127.61 99.381546) (xy 127.589525 99.332115) (xy 127.435218 99.101178) + (xy 127.238822 98.904782) (xy 127.007885 98.750475) (xy 126.962798 98.731799) (xy 127.06669 98.676268) (xy 127.135244 98.434849) + (xy 126.34 97.639605) (xy 126.325858 97.653748) (xy 126.146253 97.474143) (xy 126.160395 97.46) (xy 126.146253 97.445858) + (xy 126.325858 97.266253) (xy 126.34 97.280395) (xy 126.354143 97.266253) ) ) (filled_polygon (pts - (xy 154.473748 97.445858) (xy 154.459605 97.46) (xy 154.473748 97.474143) (xy 154.294143 97.653748) (xy 154.28 97.639605) - (xy 154.265858 97.653748) (xy 154.086253 97.474143) (xy 154.100395 97.46) (xy 154.086253 97.445858) (xy 154.265858 97.266253) - (xy 154.28 97.280395) (xy 154.294143 97.266253) + (xy 164.633748 97.445858) (xy 164.619605 97.46) (xy 164.633748 97.474143) (xy 164.454143 97.653748) (xy 164.44 97.639605) + (xy 164.425858 97.653748) (xy 164.246253 97.474143) (xy 164.260395 97.46) (xy 164.246253 97.445858) (xy 164.425858 97.266253) + (xy 164.44 97.280395) (xy 164.454143 97.266253) ) ) (filled_polygon @@ -12743,16 +12515,30 @@ ) (filled_polygon (pts - (xy 162.093748 97.445858) (xy 162.079605 97.46) (xy 162.093748 97.474143) (xy 161.914143 97.653748) (xy 161.9 97.639605) - (xy 161.885858 97.653748) (xy 161.706253 97.474143) (xy 161.720395 97.46) (xy 161.706253 97.445858) (xy 161.885858 97.266253) - (xy 161.9 97.280395) (xy 161.914143 97.266253) + (xy 154.473748 97.445858) (xy 154.459605 97.46) (xy 154.473748 97.474143) (xy 154.294143 97.653748) (xy 154.28 97.639605) + (xy 154.265858 97.653748) (xy 154.086253 97.474143) (xy 154.100395 97.46) (xy 154.086253 97.445858) (xy 154.265858 97.266253) + (xy 154.28 97.280395) (xy 154.294143 97.266253) ) ) (filled_polygon (pts - (xy 164.633748 97.445858) (xy 164.619605 97.46) (xy 164.633748 97.474143) (xy 164.454143 97.653748) (xy 164.44 97.639605) - (xy 164.425858 97.653748) (xy 164.246253 97.474143) (xy 164.260395 97.46) (xy 164.246253 97.445858) (xy 164.425858 97.266253) - (xy 164.44 97.280395) (xy 164.454143 97.266253) + (xy 151.933748 97.445858) (xy 151.919605 97.46) (xy 151.933748 97.474143) (xy 151.754143 97.653748) (xy 151.74 97.639605) + (xy 151.725858 97.653748) (xy 151.546253 97.474143) (xy 151.560395 97.46) (xy 151.546253 97.445858) (xy 151.725858 97.266253) + (xy 151.74 97.280395) (xy 151.754143 97.266253) + ) + ) + (filled_polygon + (pts + (xy 149.393748 97.445858) (xy 149.379605 97.46) (xy 149.393748 97.474143) (xy 149.214143 97.653748) (xy 149.2 97.639605) + (xy 149.185858 97.653748) (xy 149.006253 97.474143) (xy 149.020395 97.46) (xy 149.006253 97.445858) (xy 149.185858 97.266253) + (xy 149.2 97.280395) (xy 149.214143 97.266253) + ) + ) + (filled_polygon + (pts + (xy 162.093748 97.445858) (xy 162.079605 97.46) (xy 162.093748 97.474143) (xy 161.914143 97.653748) (xy 161.9 97.639605) + (xy 161.885858 97.653748) (xy 161.706253 97.474143) (xy 161.720395 97.46) (xy 161.706253 97.445858) (xy 161.885858 97.266253) + (xy 161.9 97.280395) (xy 161.914143 97.266253) ) ) (filled_polygon @@ -12776,13 +12562,6 @@ (xy 172.06 97.280395) (xy 172.074143 97.266253) ) ) - (filled_polygon - (pts - (xy 151.933748 97.445858) (xy 151.919605 97.46) (xy 151.933748 97.474143) (xy 151.754143 97.653748) (xy 151.74 97.639605) - (xy 151.725858 97.653748) (xy 151.546253 97.474143) (xy 151.560395 97.46) (xy 151.546253 97.445858) (xy 151.725858 97.266253) - (xy 151.74 97.280395) (xy 151.754143 97.266253) - ) - ) (filled_polygon (pts (xy 127.049992 87.341233) (xy 127.129171 87.489366) (xy 127.168983 87.537878) (xy 127.102616 87.698102) (xy 127.062842 87.898061) @@ -12951,831 +12730,831 @@ (xy 261.550378 13.370593) (xy 261.558017 13.376912) (xy 261.663887 13.463257) (xy 261.717474 13.498859) (xy 261.770583 13.535224) (xy 261.779303 13.539939) (xy 261.899928 13.604076) (xy 261.959402 13.628589) (xy 262.018565 13.653947) (xy 262.028035 13.656878) (xy 262.15882 13.696365) (xy 262.221939 13.708863) (xy 262.284895 13.722245) (xy 262.294754 13.723281) (xy 262.430718 13.736612) - (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 53.031509) - (xy 272.574463 53.001082) (xy 272.276912 52.877832) (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) - (xy 271.025537 53.001082) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) - (xy 270.165 54.288967) (xy 270.165 54.611033) (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) - (xy 270.757748 55.719987) (xy 271.025537 55.898918) (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) - (xy 272.276912 56.022168) (xy 272.574463 55.898918) (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) - (xy 272.276912 68.577832) (xy 271.961033 68.515) (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) - (xy 270.757748 68.880013) (xy 270.530013 69.107748) (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) - (xy 270.165 70.311033) (xy 270.227832 70.626912) (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) - (xy 271.025537 71.598918) (xy 271.323088 71.722168) (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) - (xy 272.574463 71.598918) (xy 272.62 71.568491) (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) - (xy 271.486835 74.335) (xy 271.305398 74.35287) (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) - (xy 270.515667 74.880551) (xy 270.400989 75.095099) (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.309428 75.599475) - (xy 270.309428 75.786183) (xy 270.253881 75.703051) (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) - (xy 269.331448 75.21) (xy 269.063552 75.21) (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) - (xy 268.141119 75.703051) (xy 267.992284 75.925799) (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) - (xy 267.889764 76.966697) (xy 267.992284 77.214201) (xy 267.993079 77.21539) (xy 267.936979 77.316424) (xy 267.898759 77.435526) - (xy 267.8875 77.53825) (xy 267.992248 77.642998) (xy 267.948885 77.642998) (xy 267.679101 77.373214) (xy 267.656826 77.346072) - (xy 267.548532 77.257197) (xy 267.42498 77.191157) (xy 267.290919 77.15049) (xy 267.186435 77.140199) (xy 267.186425 77.140199) - (xy 267.151499 77.136759) (xy 267.116573 77.140199) (xy 265.570926 77.140199) (xy 265.536 77.136759) (xy 265.501074 77.140199) - (xy 265.501065 77.140199) (xy 265.396581 77.15049) (xy 265.286629 77.183844) (xy 265.30785 77.144142) (xy 265.356608 76.983408) - (xy 265.373072 76.81625) (xy 265.373072 76.30375) (xy 265.356608 76.136592) (xy 265.30785 75.975858) (xy 265.228671 75.827725) - (xy 265.122115 75.697885) (xy 264.992275 75.591329) (xy 264.844142 75.51215) (xy 264.683408 75.463392) (xy 264.51625 75.446928) - (xy 264.07875 75.446928) (xy 263.911592 75.463392) (xy 263.750858 75.51215) (xy 263.602725 75.591329) (xy 263.51 75.667426) - (xy 263.417275 75.591329) (xy 263.269142 75.51215) (xy 263.108408 75.463392) (xy 262.94125 75.446928) (xy 262.550799 75.446928) - (xy 262.534369 75.438146) (xy 262.400308 75.397479) (xy 262.295824 75.387188) (xy 262.295814 75.387188) (xy 262.260888 75.383748) - (xy 262.225962 75.387188) (xy 259.21414 75.387188) (xy 259.179212 75.383748) (xy 259.144283 75.387188) (xy 259.144276 75.387188) - (xy 259.039792 75.397479) (xy 258.90573 75.438146) (xy 258.819355 75.484315) (xy 258.782179 75.504186) (xy 258.673885 75.593061) - (xy 258.651615 75.620197) (xy 255.775413 78.4964) (xy 225.117726 78.4964) (xy 216.010293 69.388967) (xy 265.215 69.388967) - (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) - (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) - (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) - (xy 268.485 69.711033) (xy 268.485 69.388967) (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) - (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) - (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) - (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 216.010293 69.388967) (xy 214.416326 67.795) (xy 261.916928 67.795) - (xy 261.929188 67.919482) (xy 261.965498 68.03918) (xy 262.024463 68.149494) (xy 262.103815 68.246185) (xy 262.200506 68.325537) - (xy 262.31082 68.384502) (xy 262.430518 68.420812) (xy 262.555 68.433072) (xy 262.74425 68.43) (xy 262.903 68.27125) - (xy 262.903 67.4845) (xy 263.157 67.4845) (xy 263.157 68.27125) (xy 263.31575 68.43) (xy 263.505 68.433072) - (xy 263.629482 68.420812) (xy 263.74918 68.384502) (xy 263.859494 68.325537) (xy 263.956185 68.246185) (xy 264.035537 68.149494) - (xy 264.094502 68.03918) (xy 264.130812 67.919482) (xy 264.143072 67.795) (xy 264.14 67.64325) (xy 263.98125 67.4845) - (xy 263.157 67.4845) (xy 262.903 67.4845) (xy 262.07875 67.4845) (xy 261.92 67.64325) (xy 261.916928 67.795) - (xy 214.416326 67.795) (xy 210.21314 63.591815) (xy 210.190865 63.564673) (xy 210.082571 63.475798) (xy 209.959019 63.409758) - (xy 209.824958 63.369091) (xy 209.720474 63.3588) (xy 209.720464 63.3588) (xy 209.685538 63.35536) (xy 209.650612 63.3588) - (xy 208.503588 63.3588) (xy 208.501501 63.337608) (xy 208.500101 63.304364) (xy 208.485913 63.245989) (xy 208.478679 63.212113) - (xy 208.59374 63.235) (xy 208.88626 63.235) (xy 209.173158 63.177932) (xy 209.443411 63.06599) (xy 209.686632 62.903475) - (xy 209.893475 62.696632) (xy 210.05599 62.453411) (xy 210.167932 62.183158) (xy 210.225 61.89626) (xy 210.225 61.60374) - (xy 210.167932 61.316842) (xy 210.05599 61.046589) (xy 209.893475 60.803368) (xy 209.686632 60.596525) (xy 209.510594 60.4789) - (xy 209.740269 60.307588) (xy 209.935178 60.091355) (xy 210.070011 59.865) (xy 243.746928 59.865) (xy 243.75 60.01675) - (xy 243.90875 60.1755) (xy 244.733 60.1755) (xy 244.733 59.38875) (xy 244.987 59.38875) (xy 244.987 60.1755) - (xy 245.81125 60.1755) (xy 245.97 60.01675) (xy 245.973072 59.865) (xy 245.960812 59.740518) (xy 245.924502 59.62082) - (xy 245.865537 59.510506) (xy 245.786185 59.413815) (xy 245.689494 59.334463) (xy 245.57918 59.275498) (xy 245.459482 59.239188) - (xy 245.335 59.226928) (xy 245.14575 59.23) (xy 244.987 59.38875) (xy 244.733 59.38875) (xy 244.57425 59.23) - (xy 244.385 59.226928) (xy 244.260518 59.239188) (xy 244.14082 59.275498) (xy 244.030506 59.334463) (xy 243.933815 59.413815) - (xy 243.854463 59.510506) (xy 243.795498 59.62082) (xy 243.759188 59.740518) (xy 243.746928 59.865) (xy 210.070011 59.865) - (xy 210.084157 59.841252) (xy 210.181481 59.566891) (xy 210.060814 59.337) (xy 208.867 59.337) (xy 208.867 59.357) - (xy 208.613 59.357) (xy 208.613 59.337) (xy 208.593 59.337) (xy 208.593 59.083) (xy 208.613 59.083) - (xy 208.613 57.889845) (xy 208.867 57.889845) (xy 208.867 59.083) (xy 210.060814 59.083) (xy 210.181481 58.853109) - (xy 210.084157 58.578748) (xy 209.935178 58.328645) (xy 209.740269 58.112412) (xy 209.50692 57.938359) (xy 209.244099 57.813175) - (xy 209.09689 57.768524) (xy 208.867 57.889845) (xy 208.613 57.889845) (xy 208.38311 57.768524) (xy 208.235901 57.813175) - (xy 207.97308 57.938359) (xy 207.739731 58.112412) (xy 207.544822 58.328645) (xy 207.475195 58.445534) (xy 207.353475 58.263368) - (xy 207.146632 58.056525) (xy 206.903411 57.89401) (xy 206.633158 57.782068) (xy 206.34626 57.725) (xy 206.05374 57.725) - (xy 205.766842 57.782068) (xy 205.496589 57.89401) (xy 205.253368 58.056525) (xy 205.046525 58.263368) (xy 204.93 58.43776) - (xy 204.813475 58.263368) (xy 204.606632 58.056525) (xy 204.363411 57.89401) (xy 204.093158 57.782068) (xy 203.80626 57.725) - (xy 203.51374 57.725) (xy 203.226842 57.782068) (xy 202.956589 57.89401) (xy 202.713368 58.056525) (xy 202.506525 58.263368) - (xy 202.39 58.43776) (xy 202.273475 58.263368) (xy 202.066632 58.056525) (xy 201.823411 57.89401) (xy 201.553158 57.782068) - (xy 201.26626 57.725) (xy 200.97374 57.725) (xy 200.686842 57.782068) (xy 200.416589 57.89401) (xy 200.173368 58.056525) - (xy 199.966525 58.263368) (xy 199.85 58.43776) (xy 199.733475 58.263368) (xy 199.526632 58.056525) (xy 199.283411 57.89401) - (xy 199.013158 57.782068) (xy 198.72626 57.725) (xy 198.43374 57.725) (xy 198.146842 57.782068) (xy 197.876589 57.89401) - (xy 197.633368 58.056525) (xy 197.426525 58.263368) (xy 197.31 58.43776) (xy 197.193475 58.263368) (xy 196.986632 58.056525) - (xy 196.743411 57.89401) (xy 196.473158 57.782068) (xy 196.18626 57.725) (xy 195.89374 57.725) (xy 195.606842 57.782068) - (xy 195.336589 57.89401) (xy 195.093368 58.056525) (xy 194.886525 58.263368) (xy 194.77 58.43776) (xy 194.653475 58.263368) - (xy 194.446632 58.056525) (xy 194.203411 57.89401) (xy 193.933158 57.782068) (xy 193.64626 57.725) (xy 193.35374 57.725) - (xy 193.066842 57.782068) (xy 192.796589 57.89401) (xy 192.553368 58.056525) (xy 192.346525 58.263368) (xy 192.23 58.43776) - (xy 192.113475 58.263368) (xy 191.906632 58.056525) (xy 191.663411 57.89401) (xy 191.393158 57.782068) (xy 191.10626 57.725) - (xy 190.81374 57.725) (xy 190.526842 57.782068) (xy 190.256589 57.89401) (xy 190.013368 58.056525) (xy 189.806525 58.263368) - (xy 189.69 58.43776) (xy 189.573475 58.263368) (xy 189.366632 58.056525) (xy 189.123411 57.89401) (xy 188.853158 57.782068) - (xy 188.56626 57.725) (xy 188.27374 57.725) (xy 187.986842 57.782068) (xy 187.716589 57.89401) (xy 187.473368 58.056525) - (xy 187.266525 58.263368) (xy 187.15 58.43776) (xy 187.033475 58.263368) (xy 186.826632 58.056525) (xy 186.583411 57.89401) - (xy 186.313158 57.782068) (xy 186.02626 57.725) (xy 185.73374 57.725) (xy 185.446842 57.782068) (xy 185.176589 57.89401) - (xy 184.933368 58.056525) (xy 184.726525 58.263368) (xy 184.61 58.43776) (xy 184.493475 58.263368) (xy 184.286632 58.056525) - (xy 184.043411 57.89401) (xy 183.773158 57.782068) (xy 183.48626 57.725) (xy 183.19374 57.725) (xy 182.906842 57.782068) - (xy 182.636589 57.89401) (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) (xy 181.953475 58.263368) - (xy 181.746632 58.056525) (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) (xy 180.65374 57.725) - (xy 180.366842 57.782068) (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) (xy 179.53 58.43776) - (xy 179.413475 58.263368) (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) (xy 178.40626 57.725) - (xy 178.11374 57.725) (xy 177.826842 57.782068) (xy 177.556589 57.89401) (xy 177.313368 58.056525) (xy 177.106525 58.263368) - (xy 176.99 58.43776) (xy 176.873475 58.263368) (xy 176.666632 58.056525) (xy 176.423411 57.89401) (xy 176.153158 57.782068) - (xy 175.86626 57.725) (xy 175.57374 57.725) (xy 175.286842 57.782068) (xy 175.016589 57.89401) (xy 174.773368 58.056525) - (xy 174.566525 58.263368) (xy 174.45 58.43776) (xy 174.333475 58.263368) (xy 174.126632 58.056525) (xy 173.883411 57.89401) - (xy 173.613158 57.782068) (xy 173.32626 57.725) (xy 173.03374 57.725) (xy 172.746842 57.782068) (xy 172.476589 57.89401) - (xy 172.233368 58.056525) (xy 172.026525 58.263368) (xy 171.91 58.43776) (xy 171.793475 58.263368) (xy 171.586632 58.056525) - (xy 171.343411 57.89401) (xy 171.073158 57.782068) (xy 170.78626 57.725) (xy 170.49374 57.725) (xy 170.206842 57.782068) - (xy 169.936589 57.89401) (xy 169.693368 58.056525) (xy 169.486525 58.263368) (xy 169.364805 58.445534) (xy 169.295178 58.328645) - (xy 169.100269 58.112412) (xy 168.86692 57.938359) (xy 168.604099 57.813175) (xy 168.45689 57.768524) (xy 168.227 57.889845) - (xy 168.227 59.083) (xy 168.247 59.083) (xy 168.247 59.337) (xy 168.227 59.337) (xy 168.227 61.623) - (xy 168.247 61.623) (xy 168.247 61.877) (xy 168.227 61.877) (xy 168.227 63.070155) (xy 168.45689 63.191476) - (xy 168.604099 63.146825) (xy 168.86692 63.021641) (xy 169.100269 62.847588) (xy 169.295178 62.631355) (xy 169.364805 62.514466) - (xy 169.486525 62.696632) (xy 169.693368 62.903475) (xy 169.923379 63.057163) (xy 163.43431 69.546233) (xy 163.407174 69.568503) - (xy 163.384904 69.595639) (xy 163.384903 69.59564) (xy 163.318298 69.676798) (xy 163.292405 69.725241) (xy 163.252259 69.800349) - (xy 163.211592 69.93441) (xy 163.205546 69.995798) (xy 163.19786 70.07383) (xy 163.201301 70.108766) (xy 163.201301 71.124449) - (xy 163.127 71.19875) (xy 163.127 71.9855) (xy 163.147 71.9855) (xy 163.147 72.2395) (xy 163.127 72.2395) - (xy 163.127 72.2595) (xy 162.873 72.2595) (xy 162.873 72.2395) (xy 162.853 72.2395) (xy 162.853 71.9855) - (xy 162.873 71.9855) (xy 162.873 71.19875) (xy 162.71425 71.04) (xy 162.525 71.036928) (xy 162.400518 71.049188) - (xy 162.28082 71.085498) (xy 162.170506 71.144463) (xy 162.073815 71.223815) (xy 162.03329 71.273195) (xy 161.982275 71.231329) - (xy 161.834142 71.15215) (xy 161.673408 71.103392) (xy 161.50625 71.086928) (xy 161.06875 71.086928) (xy 160.901592 71.103392) - (xy 160.740858 71.15215) (xy 160.592725 71.231329) (xy 160.5 71.307426) (xy 160.4237 71.244809) (xy 160.4237 65.352087) - (xy 162.596029 63.179759) (xy 162.87374 63.235) (xy 163.16626 63.235) (xy 163.453158 63.177932) (xy 163.723411 63.06599) - (xy 163.966632 62.903475) (xy 164.173475 62.696632) (xy 164.29 62.52224) (xy 164.406525 62.696632) (xy 164.613368 62.903475) - (xy 164.856589 63.06599) (xy 165.126842 63.177932) (xy 165.41374 63.235) (xy 165.70626 63.235) (xy 165.993158 63.177932) - (xy 166.263411 63.06599) (xy 166.506632 62.903475) (xy 166.713475 62.696632) (xy 166.835195 62.514466) (xy 166.904822 62.631355) - (xy 167.099731 62.847588) (xy 167.33308 63.021641) (xy 167.595901 63.146825) (xy 167.74311 63.191476) (xy 167.973 63.070155) - (xy 167.973 61.877) (xy 167.953 61.877) (xy 167.953 61.623) (xy 167.973 61.623) (xy 167.973 59.337) - (xy 167.953 59.337) (xy 167.953 59.083) (xy 167.973 59.083) (xy 167.973 57.889845) (xy 167.74311 57.768524) - (xy 167.595901 57.813175) (xy 167.33308 57.938359) (xy 167.099731 58.112412) (xy 166.904822 58.328645) (xy 166.835195 58.445534) - (xy 166.8125 58.411569) (xy 166.8125 56.746657) (xy 166.835506 56.765537) (xy 166.94582 56.824502) (xy 167.065518 56.860812) - (xy 167.19 56.873072) (xy 167.34175 56.87) (xy 167.5005 56.71125) (xy 167.5005 55.887) (xy 167.7545 55.887) - (xy 167.7545 56.71125) (xy 167.91325 56.87) (xy 168.065 56.873072) (xy 168.189482 56.860812) (xy 168.30918 56.824502) - (xy 168.419494 56.765537) (xy 168.516185 56.686185) (xy 168.595537 56.589494) (xy 168.654502 56.47918) (xy 168.690812 56.359482) - (xy 168.703072 56.235) (xy 168.7 56.04575) (xy 168.54125 55.887) (xy 167.7545 55.887) (xy 167.5005 55.887) - (xy 167.4805 55.887) (xy 167.4805 55.633) (xy 167.5005 55.633) (xy 167.5005 54.80875) (xy 167.7545 54.80875) - (xy 167.7545 55.633) (xy 168.54125 55.633) (xy 168.7 55.47425) (xy 168.703072 55.285) (xy 168.690812 55.160518) - (xy 168.654502 55.04082) (xy 168.595537 54.930506) (xy 168.516185 54.833815) (xy 168.419494 54.754463) (xy 168.30918 54.695498) - (xy 168.189482 54.659188) (xy 168.065 54.646928) (xy 167.91325 54.65) (xy 167.7545 54.80875) (xy 167.5005 54.80875) - (xy 167.34175 54.65) (xy 167.19 54.646928) (xy 167.065518 54.659188) (xy 166.94582 54.695498) (xy 166.835506 54.754463) - (xy 166.76893 54.8091) (xy 166.747275 54.791329) (xy 166.599142 54.71215) (xy 166.438408 54.663392) (xy 166.27125 54.646928) - (xy 165.83375 54.646928) (xy 165.666592 54.663392) (xy 165.505858 54.71215) (xy 165.357725 54.791329) (xy 165.227885 54.897885) - (xy 165.121329 55.027725) (xy 165.04215 55.175858) (xy 164.993392 55.336592) (xy 164.976928 55.50375) (xy 164.976928 56.01625) - (xy 164.993392 56.183408) (xy 165.04215 56.344142) (xy 165.121329 56.492275) (xy 165.227885 56.622115) (xy 165.2925 56.675143) - (xy 165.292501 57.749116) (xy 165.126842 57.782068) (xy 164.856589 57.89401) (xy 164.613368 58.056525) (xy 164.406525 58.263368) - (xy 164.29 58.43776) (xy 164.173475 58.263368) (xy 163.966632 58.056525) (xy 163.723411 57.89401) (xy 163.453158 57.782068) - (xy 163.16626 57.725) (xy 162.87374 57.725) (xy 162.586842 57.782068) (xy 162.316589 57.89401) (xy 162.073368 58.056525) - (xy 161.866525 58.263368) (xy 161.75 58.43776) (xy 161.633475 58.263368) (xy 161.426632 58.056525) (xy 161.183411 57.89401) - (xy 160.913158 57.782068) (xy 160.62626 57.725) (xy 160.33374 57.725) (xy 160.046842 57.782068) (xy 159.776589 57.89401) - (xy 159.533368 58.056525) (xy 159.326525 58.263368) (xy 159.21 58.43776) (xy 159.093475 58.263368) (xy 158.886632 58.056525) - (xy 158.643411 57.89401) (xy 158.373158 57.782068) (xy 158.08626 57.725) (xy 157.79374 57.725) (xy 157.506842 57.782068) - (xy 157.236589 57.89401) (xy 156.993368 58.056525) (xy 156.786525 58.263368) (xy 156.67 58.43776) (xy 156.553475 58.263368) - (xy 156.346632 58.056525) (xy 156.103411 57.89401) (xy 155.833158 57.782068) (xy 155.54626 57.725) (xy 155.25374 57.725) - (xy 154.966842 57.782068) (xy 154.696589 57.89401) (xy 154.453368 58.056525) (xy 154.246525 58.263368) (xy 154.13 58.43776) - (xy 154.013475 58.263368) (xy 153.806632 58.056525) (xy 153.563411 57.89401) (xy 153.293158 57.782068) (xy 153.00626 57.725) - (xy 152.71374 57.725) (xy 152.426842 57.782068) (xy 152.156589 57.89401) (xy 151.913368 58.056525) (xy 151.706525 58.263368) - (xy 151.59 58.43776) (xy 151.473475 58.263368) (xy 151.266632 58.056525) (xy 151.023411 57.89401) (xy 150.753158 57.782068) - (xy 150.46626 57.725) (xy 150.17374 57.725) (xy 149.886842 57.782068) (xy 149.616589 57.89401) (xy 149.373368 58.056525) - (xy 149.166525 58.263368) (xy 149.05 58.43776) (xy 148.933475 58.263368) (xy 148.726632 58.056525) (xy 148.483411 57.89401) - (xy 148.213158 57.782068) (xy 147.92626 57.725) (xy 147.63374 57.725) (xy 147.346842 57.782068) (xy 147.188419 57.847689) - (xy 147.152597 57.80404) (xy 147.152593 57.804036) (xy 147.130326 57.776904) (xy 147.103195 57.754638) (xy 145.1862 55.837644) - (xy 145.1862 53.01) (xy 145.252002 53.01) (xy 145.252002 52.880252) (xy 145.38175 53.01) (xy 145.483561 52.998937) - (xy 145.602719 52.960892) (xy 145.712165 52.900331) (xy 145.807693 52.819582) (xy 145.885632 52.721748) (xy 145.942987 52.610589) - (xy 145.977554 52.490376) (xy 145.988005 52.365729) (xy 145.985 51.88575) (xy 145.82625 51.727) (xy 145.338072 51.727) - (xy 145.338072 51.497224) (xy 180.723366 51.497224) (xy 180.726371 51.977203) (xy 180.885121 52.135953) (xy 181.488371 52.135953) - (xy 181.488371 52.089203) (xy 181.535121 52.135953) (xy 183.033371 52.135953) (xy 183.033371 52.389953) (xy 181.535121 52.389953) - (xy 181.488371 52.436703) (xy 181.488371 52.389953) (xy 180.885121 52.389953) (xy 180.726371 52.548703) (xy 180.723366 53.028682) - (xy 180.733817 53.153329) (xy 180.768384 53.273542) (xy 180.825739 53.384701) (xy 180.903678 53.482535) (xy 180.999206 53.563284) - (xy 181.108652 53.623845) (xy 181.22781 53.66189) (xy 181.329621 53.672953) (xy 181.488371 53.514203) (xy 181.488371 53.400557) - (xy 181.553678 53.482535) (xy 181.649206 53.563284) (xy 181.758652 53.623845) (xy 181.810599 53.640431) (xy 181.843121 53.672953) - (xy 181.911371 53.665537) (xy 181.979621 53.672953) (xy 182.012143 53.640431) (xy 182.06409 53.623845) (xy 182.173536 53.563284) - (xy 182.236371 53.51017) (xy 182.299206 53.563284) (xy 182.408652 53.623845) (xy 182.460599 53.640431) (xy 182.493121 53.672953) - (xy 182.561371 53.665537) (xy 182.629621 53.672953) (xy 182.662143 53.640431) (xy 182.71409 53.623845) (xy 182.823536 53.563284) - (xy 182.886371 53.51017) (xy 182.949206 53.563284) (xy 183.058652 53.623845) (xy 183.110599 53.640431) (xy 183.143121 53.672953) - (xy 183.211371 53.665537) (xy 183.279621 53.672953) (xy 183.312143 53.640431) (xy 183.36409 53.623845) (xy 183.409369 53.59879) - (xy 183.409369 53.672953) (xy 183.475172 53.672953) (xy 183.475172 54.061117) (xy 183.396063 54.140226) (xy 183.282795 54.309744) - (xy 183.204774 54.498102) (xy 183.165 54.698061) (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) - (xy 183.396063 55.459774) (xy 183.540226 55.603937) (xy 183.709744 55.717205) (xy 183.898102 55.795226) (xy 184.098061 55.835) - (xy 184.301939 55.835) (xy 184.501898 55.795226) (xy 184.690256 55.717205) (xy 184.8 55.643877) (xy 184.909744 55.717205) - (xy 185.098102 55.795226) (xy 185.298061 55.835) (xy 185.501939 55.835) (xy 185.701898 55.795226) (xy 185.890256 55.717205) - (xy 186 55.643877) (xy 186.109744 55.717205) (xy 186.298102 55.795226) (xy 186.498061 55.835) (xy 186.701939 55.835) - (xy 186.901898 55.795226) (xy 187.090256 55.717205) (xy 187.2 55.643877) (xy 187.309744 55.717205) (xy 187.498102 55.795226) - (xy 187.698061 55.835) (xy 187.901939 55.835) (xy 188.101898 55.795226) (xy 188.290256 55.717205) (xy 188.459774 55.603937) - (xy 188.603937 55.459774) (xy 188.717205 55.290256) (xy 188.795226 55.101898) (xy 188.835 54.901939) (xy 188.835 54.698061) - (xy 188.797991 54.512001) (xy 188.909473 54.558179) (xy 189.109432 54.597953) (xy 189.31331 54.597953) (xy 189.513269 54.558179) - (xy 189.701627 54.480158) (xy 189.871145 54.36689) (xy 190.015308 54.222727) (xy 190.128576 54.053209) (xy 190.206597 53.864851) - (xy 190.246371 53.664892) (xy 190.246371 53.461014) (xy 190.206597 53.261055) (xy 190.128576 53.072697) (xy 190.104726 53.037002) - (xy 190.180042 52.945228) (xy 190.259221 52.797095) (xy 190.307979 52.636361) (xy 190.324443 52.469203) (xy 190.324443 52.031703) - (xy 190.307979 51.864545) (xy 190.259221 51.703811) (xy 190.180042 51.555678) (xy 190.162271 51.534023) (xy 190.216908 51.467447) - (xy 190.275873 51.357133) (xy 190.312183 51.237435) (xy 190.324443 51.112953) (xy 190.321371 50.961203) (xy 190.162621 50.802453) - (xy 189.338371 50.802453) (xy 189.338371 50.822453) (xy 189.084371 50.822453) (xy 189.084371 50.802453) (xy 188.260121 50.802453) - (xy 188.101371 50.961203) (xy 188.100049 51.026504) (xy 188.015865 50.957416) (xy 187.905551 50.898451) (xy 187.785853 50.862141) - (xy 187.661371 50.849881) (xy 187.211371 50.849881) (xy 187.108284 50.860034) (xy 187.043121 50.852953) (xy 187.010874 50.8852) - (xy 186.967191 50.898451) (xy 186.856877 50.957416) (xy 186.786371 51.015279) (xy 186.715865 50.957416) (xy 186.605551 50.898451) - (xy 186.561868 50.8852) (xy 186.529621 50.852953) (xy 186.464458 50.860034) (xy 186.361371 50.849881) (xy 185.911371 50.849881) - (xy 185.811371 50.85973) (xy 185.711371 50.849881) (xy 185.261371 50.849881) (xy 185.161371 50.85973) (xy 185.061371 50.849881) - (xy 184.611371 50.849881) (xy 184.511371 50.85973) (xy 184.411371 50.849881) (xy 183.961371 50.849881) (xy 183.858284 50.860034) - (xy 183.793121 50.852953) (xy 183.760874 50.8852) (xy 183.717191 50.898451) (xy 183.606877 50.957416) (xy 183.536097 51.015504) - (xy 183.473536 50.962622) (xy 183.36409 50.902061) (xy 183.312143 50.885475) (xy 183.279621 50.852953) (xy 183.211371 50.860369) - (xy 183.143121 50.852953) (xy 183.110599 50.885475) (xy 183.058652 50.902061) (xy 182.949206 50.962622) (xy 182.886371 51.015736) - (xy 182.823536 50.962622) (xy 182.71409 50.902061) (xy 182.662143 50.885475) (xy 182.629621 50.852953) (xy 182.561371 50.860369) - (xy 182.493121 50.852953) (xy 182.460599 50.885475) (xy 182.408652 50.902061) (xy 182.299206 50.962622) (xy 182.236371 51.015736) - (xy 182.173536 50.962622) (xy 182.06409 50.902061) (xy 182.012143 50.885475) (xy 181.979621 50.852953) (xy 181.911371 50.860369) - (xy 181.843121 50.852953) (xy 181.810599 50.885475) (xy 181.758652 50.902061) (xy 181.649206 50.962622) (xy 181.553678 51.043371) - (xy 181.488371 51.125349) (xy 181.488371 51.011703) (xy 181.329621 50.852953) (xy 181.22781 50.864016) (xy 181.108652 50.902061) - (xy 180.999206 50.962622) (xy 180.903678 51.043371) (xy 180.825739 51.141205) (xy 180.768384 51.252364) (xy 180.733817 51.372577) - (xy 180.723366 51.497224) (xy 145.338072 51.497224) (xy 145.338072 51.473) (xy 145.82625 51.473) (xy 145.985 51.31425) - (xy 145.988005 50.834271) (xy 145.977554 50.709624) (xy 145.942987 50.589411) (xy 145.885632 50.478252) (xy 145.807693 50.380418) - (xy 145.712165 50.299669) (xy 145.602719 50.239108) (xy 145.599102 50.237953) (xy 188.098299 50.237953) (xy 188.101371 50.389703) - (xy 188.260121 50.548453) (xy 189.084371 50.548453) (xy 189.084371 49.761703) (xy 189.338371 49.761703) (xy 189.338371 50.548453) - (xy 190.162621 50.548453) (xy 190.321371 50.389703) (xy 190.324443 50.237953) (xy 190.312183 50.113471) (xy 190.275873 49.993773) - (xy 190.216908 49.883459) (xy 190.137556 49.786768) (xy 190.040865 49.707416) (xy 189.930551 49.648451) (xy 189.810853 49.612141) - (xy 189.686371 49.599881) (xy 189.497121 49.602953) (xy 189.338371 49.761703) (xy 189.084371 49.761703) (xy 188.925621 49.602953) - (xy 188.736371 49.599881) (xy 188.611889 49.612141) (xy 188.492191 49.648451) (xy 188.381877 49.707416) (xy 188.285186 49.786768) - (xy 188.205834 49.883459) (xy 188.146869 49.993773) (xy 188.110559 50.113471) (xy 188.098299 50.237953) (xy 145.599102 50.237953) - (xy 145.483561 50.201063) (xy 145.38175 50.19) (xy 145.223 50.34875) (xy 145.223 50.461322) (xy 145.151185 50.373815) - (xy 145.054494 50.294463) (xy 144.94418 50.235498) (xy 144.900497 50.222247) (xy 144.86825 50.19) (xy 144.803087 50.197081) - (xy 144.7 50.186928) (xy 144.25 50.186928) (xy 144.15 50.196777) (xy 144.05 50.186928) (xy 143.6 50.186928) - (xy 143.5 50.196777) (xy 143.4 50.186928) (xy 142.95 50.186928) (xy 142.85 50.196777) (xy 142.75 50.186928) - (xy 142.3 50.186928) (xy 142.2 50.196777) (xy 142.1 50.186928) (xy 141.65 50.186928) (xy 141.55 50.196777) - (xy 141.45 50.186928) (xy 141 50.186928) (xy 140.9 50.196777) (xy 140.8 50.186928) (xy 140.448881 50.186928) - (xy 140.522249 50.164672) (xy 140.645801 50.098632) (xy 140.754095 50.009757) (xy 140.77637 49.982615) (xy 143.003195 47.755791) - (xy 143.030326 47.733525) (xy 143.052593 47.706393) (xy 143.052597 47.706389) (xy 143.119202 47.625231) (xy 143.185241 47.50168) - (xy 143.185242 47.501679) (xy 143.212121 47.413072) (xy 143.4 47.413072) (xy 143.5 47.403223) (xy 143.6 47.413072) - (xy 144.05 47.413072) (xy 144.15 47.403223) (xy 144.25 47.413072) (xy 144.7 47.413072) (xy 144.8 47.403223) - (xy 144.9 47.413072) (xy 145.35 47.413072) (xy 145.474482 47.400812) (xy 145.59418 47.364502) (xy 145.704494 47.305537) - (xy 145.801185 47.226185) (xy 145.880537 47.129494) (xy 145.939502 47.01918) (xy 145.975812 46.899482) (xy 145.988072 46.775) - (xy 145.988072 45.225) (xy 145.975812 45.100518) (xy 145.939502 44.98082) (xy 145.880537 44.870506) (xy 145.8362 44.816481) - (xy 145.8362 32.869587) (xy 146.796797 31.908992) (xy 146.823928 31.886726) (xy 146.846194 31.859595) (xy 146.846199 31.85959) - (xy 146.912803 31.778432) (xy 146.978843 31.654881) (xy 147.01951 31.520819) (xy 147.01983 31.517565) (xy 147.029801 31.416335) - (xy 147.029801 31.416328) (xy 147.033241 31.381399) (xy 147.029801 31.346471) (xy 147.029801 31.284727) (xy 147.076589 31.31599) - (xy 147.346842 31.427932) (xy 147.63374 31.485) (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) - (xy 148.726632 31.153475) (xy 148.933475 30.946632) (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) - (xy 149.616589 31.31599) (xy 149.886842 31.427932) (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) - (xy 151.023411 31.31599) (xy 151.266632 31.153475) (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) - (xy 151.913368 31.153475) (xy 152.156589 31.31599) (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) - (xy 153.293158 31.427932) (xy 153.563411 31.31599) (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) - (xy 154.246525 30.946632) (xy 154.453368 31.153475) (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) - (xy 155.54626 31.485) (xy 155.833158 31.427932) (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) - (xy 156.67 30.77224) (xy 156.786525 30.946632) (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) - (xy 157.79374 31.485) (xy 158.08626 31.485) (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) - (xy 159.093475 30.946632) (xy 159.21 30.77224) (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) - (xy 160.046842 31.427932) (xy 160.33374 31.485) (xy 160.62626 31.485) (xy 160.903972 31.429759) (xy 173.352407 43.878196) - (xy 173.374673 43.905327) (xy 173.401804 43.927593) (xy 173.401809 43.927598) (xy 173.482967 43.994202) (xy 173.606518 44.060242) - (xy 173.700438 44.088732) (xy 173.74058 44.100909) (xy 173.845064 44.1112) (xy 173.845071 44.1112) (xy 173.88 44.11464) - (xy 173.914928 44.1112) (xy 182.206231 44.1112) (xy 183.409369 45.314339) (xy 183.409369 45.327116) (xy 183.36409 45.302061) - (xy 183.312143 45.285475) (xy 183.279621 45.252953) (xy 183.211371 45.260369) (xy 183.143121 45.252953) (xy 183.110599 45.285475) - (xy 183.058652 45.302061) (xy 182.949206 45.362622) (xy 182.886371 45.415736) (xy 182.823536 45.362622) (xy 182.71409 45.302061) - (xy 182.662143 45.285475) (xy 182.629621 45.252953) (xy 182.561371 45.260369) (xy 182.493121 45.252953) (xy 182.460599 45.285475) - (xy 182.408652 45.302061) (xy 182.299206 45.362622) (xy 182.236371 45.415736) (xy 182.173536 45.362622) (xy 182.06409 45.302061) - (xy 182.012143 45.285475) (xy 181.979621 45.252953) (xy 181.911371 45.260369) (xy 181.843121 45.252953) (xy 181.810599 45.285475) - (xy 181.758652 45.302061) (xy 181.649206 45.362622) (xy 181.553678 45.443371) (xy 181.488371 45.525349) (xy 181.488371 45.411703) - (xy 181.329621 45.252953) (xy 181.22781 45.264016) (xy 181.108652 45.302061) (xy 180.999206 45.362622) (xy 180.903678 45.443371) - (xy 180.825739 45.541205) (xy 180.768384 45.652364) (xy 180.733817 45.772577) (xy 180.723366 45.897224) (xy 180.726371 46.377203) - (xy 180.885121 46.535953) (xy 181.488371 46.535953) (xy 181.488371 46.489203) (xy 181.535121 46.535953) (xy 183.683371 46.535953) - (xy 183.683371 46.789953) (xy 181.535121 46.789953) (xy 181.488371 46.836703) (xy 181.488371 46.789953) (xy 180.885121 46.789953) - (xy 180.726371 46.948703) (xy 180.723366 47.428682) (xy 180.733817 47.553329) (xy 180.768384 47.673542) (xy 180.825739 47.784701) - (xy 180.903678 47.882535) (xy 180.999206 47.963284) (xy 181.108652 48.023845) (xy 181.22781 48.06189) (xy 181.329621 48.072953) - (xy 181.488371 47.914203) (xy 181.488371 47.800557) (xy 181.553678 47.882535) (xy 181.649206 47.963284) (xy 181.758652 48.023845) - (xy 181.810599 48.040431) (xy 181.843121 48.072953) (xy 181.911371 48.065537) (xy 181.979621 48.072953) (xy 182.012143 48.040431) - (xy 182.06409 48.023845) (xy 182.173536 47.963284) (xy 182.236371 47.91017) (xy 182.299206 47.963284) (xy 182.408652 48.023845) - (xy 182.460599 48.040431) (xy 182.493121 48.072953) (xy 182.561371 48.065537) (xy 182.629621 48.072953) (xy 182.662143 48.040431) - (xy 182.71409 48.023845) (xy 182.823536 47.963284) (xy 182.886371 47.91017) (xy 182.949206 47.963284) (xy 183.058652 48.023845) - (xy 183.110599 48.040431) (xy 183.143121 48.072953) (xy 183.211371 48.065537) (xy 183.279621 48.072953) (xy 183.312143 48.040431) - (xy 183.36409 48.023845) (xy 183.473536 47.963284) (xy 183.536371 47.91017) (xy 183.599206 47.963284) (xy 183.708652 48.023845) - (xy 183.760599 48.040431) (xy 183.793121 48.072953) (xy 183.861371 48.065537) (xy 183.929621 48.072953) (xy 183.962143 48.040431) - (xy 184.01409 48.023845) (xy 184.123536 47.963284) (xy 184.186097 47.910402) (xy 184.256877 47.96849) (xy 184.367191 48.027455) - (xy 184.410874 48.040706) (xy 184.443121 48.072953) (xy 184.508284 48.065872) (xy 184.611371 48.076025) (xy 185.061371 48.076025) - (xy 185.161371 48.066176) (xy 185.261371 48.076025) (xy 185.711371 48.076025) (xy 185.811371 48.066176) (xy 185.911371 48.076025) - (xy 186.361371 48.076025) (xy 186.461371 48.066176) (xy 186.561371 48.076025) (xy 187.011371 48.076025) (xy 187.111371 48.066176) - (xy 187.211371 48.076025) (xy 187.661371 48.076025) (xy 187.785853 48.063765) (xy 187.905551 48.027455) (xy 188.015865 47.96849) - (xy 188.112556 47.889138) (xy 188.191908 47.792447) (xy 188.250873 47.682133) (xy 188.287183 47.562435) (xy 188.299443 47.437953) - (xy 188.299443 45.887953) (xy 188.287183 45.763471) (xy 188.250873 45.643773) (xy 188.191908 45.533459) (xy 188.112556 45.436768) - (xy 188.015865 45.357416) (xy 187.905551 45.298451) (xy 187.785853 45.262141) (xy 187.661371 45.249881) (xy 187.307829 45.249881) - (xy 187.291698 45.230226) (xy 187.264567 45.20796) (xy 184.02842 41.971815) (xy 184.006145 41.944673) (xy 183.897851 41.855798) - (xy 183.774299 41.789758) (xy 183.640238 41.749091) (xy 183.535754 41.7388) (xy 183.535744 41.7388) (xy 183.500818 41.73536) - (xy 183.465892 41.7388) (xy 179.248259 41.7388) (xy 172.196122 34.686664) (xy 172.26918 34.664502) (xy 172.379494 34.605537) - (xy 172.44607 34.5509) (xy 172.467725 34.568671) (xy 172.615858 34.64785) (xy 172.776592 34.696608) (xy 172.94375 34.713072) - (xy 173.38125 34.713072) (xy 173.548408 34.696608) (xy 173.709142 34.64785) (xy 173.857275 34.568671) (xy 173.987115 34.462115) - (xy 174.093671 34.332275) (xy 174.17285 34.184142) (xy 174.221608 34.023408) (xy 174.238072 33.85625) (xy 174.238072 33.34375) - (xy 174.221608 33.176592) (xy 174.17285 33.015858) (xy 174.093671 32.867725) (xy 173.987115 32.737885) (xy 173.9225 32.684857) - (xy 173.9225 31.289872) (xy 174.126632 31.153475) (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) - (xy 174.773368 31.153475) (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) - (xy 176.143972 31.429759) (xy 201.763792 57.04958) (xy 201.786063 57.076717) (xy 201.894357 57.165592) (xy 202.017909 57.231632) - (xy 202.15197 57.272299) (xy 202.256454 57.28259) (xy 202.256463 57.28259) (xy 202.291389 57.28603) (xy 202.326315 57.28259) - (xy 222.421966 57.28259) (xy 222.942571 57.803196) (xy 222.964837 57.830327) (xy 222.991968 57.852593) (xy 222.991972 57.852597) - (xy 223.043194 57.894633) (xy 223.073131 57.919202) (xy 223.196683 57.985242) (xy 223.330744 58.025909) (xy 223.435228 58.0362) - (xy 223.435245 58.0362) (xy 223.470163 58.039639) (xy 223.505081 58.0362) (xy 244.515 58.0362) (xy 244.515 58.076939) - (xy 244.554774 58.276898) (xy 244.632795 58.465256) (xy 244.746063 58.634774) (xy 244.890226 58.778937) (xy 245.059744 58.892205) - (xy 245.248102 58.970226) (xy 245.448061 59.01) (xy 245.651939 59.01) (xy 245.851898 58.970226) (xy 246.040256 58.892205) - (xy 246.209774 58.778937) (xy 246.278711 58.71) (xy 246.66 58.71) (xy 246.66 58.752002) (xy 246.744955 58.752002) - (xy 246.716485 58.805265) (xy 246.66 58.86175) (xy 246.669144 58.945968) (xy 246.656928 59.07) (xy 246.656928 59.48) - (xy 246.668747 59.6) (xy 246.656928 59.72) (xy 246.656928 60.13) (xy 246.668747 60.25) (xy 246.656928 60.37) - (xy 246.656928 60.78) (xy 246.668747 60.9) (xy 246.665533 60.932628) (xy 246.531898 60.877274) (xy 246.331939 60.8375) - (xy 246.128061 60.8375) (xy 245.958783 60.871171) (xy 245.960812 60.864482) (xy 245.973072 60.74) (xy 245.97 60.58825) - (xy 245.81125 60.4295) (xy 244.987 60.4295) (xy 244.987 60.4495) (xy 244.733 60.4495) (xy 244.733 60.4295) - (xy 243.90875 60.4295) (xy 243.75 60.58825) (xy 243.746928 60.74) (xy 243.759188 60.864482) (xy 243.795498 60.98418) - (xy 243.854463 61.094494) (xy 243.9091 61.16107) (xy 243.891329 61.182725) (xy 243.81215 61.330858) (xy 243.763392 61.491592) - (xy 243.746928 61.65875) (xy 243.746928 62.09625) (xy 243.763392 62.263408) (xy 243.81215 62.424142) (xy 243.891329 62.572275) - (xy 243.997885 62.702115) (xy 244.127725 62.808671) (xy 244.275858 62.88785) (xy 244.436592 62.936608) (xy 244.60375 62.953072) - (xy 245.11625 62.953072) (xy 245.283408 62.936608) (xy 245.444142 62.88785) (xy 245.592275 62.808671) (xy 245.671196 62.743903) - (xy 245.739744 62.789705) (xy 245.928102 62.867726) (xy 246.128061 62.9075) (xy 246.331939 62.9075) (xy 246.531898 62.867726) - (xy 246.66506 62.812568) (xy 246.669188 62.854482) (xy 246.705498 62.97418) (xy 246.764463 63.084494) (xy 246.843815 63.181185) - (xy 246.940506 63.260537) (xy 247.05082 63.319502) (xy 247.128306 63.343007) (xy 247.084774 63.448102) (xy 247.045 63.648061) - (xy 247.045 63.851939) (xy 247.084774 64.051898) (xy 247.162795 64.240256) (xy 247.276063 64.409774) (xy 247.420226 64.553937) - (xy 247.589744 64.667205) (xy 247.778102 64.745226) (xy 247.978061 64.785) (xy 248.181939 64.785) (xy 248.381898 64.745226) - (xy 248.570256 64.667205) (xy 248.739774 64.553937) (xy 248.883937 64.409774) (xy 248.997205 64.240256) (xy 249.075226 64.051898) - (xy 249.115 63.851939) (xy 249.115 63.648061) (xy 249.075226 63.448102) (xy 249.031694 63.343007) (xy 249.10918 63.319502) - (xy 249.219494 63.260537) (xy 249.316185 63.181185) (xy 249.395537 63.084494) (xy 249.454502 62.97418) (xy 249.490812 62.854482) - (xy 249.503072 62.73) (xy 249.503072 62.716459) (xy 253.814807 67.028196) (xy 253.837073 67.055327) (xy 253.864204 67.077593) - (xy 253.864208 67.077597) (xy 253.923151 67.12597) (xy 253.945367 67.144202) (xy 254.068919 67.210242) (xy 254.20298 67.250909) - (xy 254.307464 67.2612) (xy 254.307473 67.2612) (xy 254.342399 67.26464) (xy 254.377325 67.2612) (xy 264.702872 67.2612) - (xy 264.75582 67.289502) (xy 264.875518 67.325812) (xy 265 67.338072) (xy 266.9 67.338072) (xy 267.024482 67.325812) - (xy 267.14418 67.289502) (xy 267.254494 67.230537) (xy 267.351185 67.151185) (xy 267.430537 67.054494) (xy 267.489502 66.94418) - (xy 267.525812 66.824482) (xy 267.538072 66.7) (xy 267.538072 66.4) (xy 267.528223 66.3) (xy 267.538072 66.2) - (xy 267.538072 65.9) (xy 267.52796 65.797326) (xy 267.535 65.73175) (xy 267.502753 65.699503) (xy 267.489502 65.65582) - (xy 267.432939 65.55) (xy 267.489502 65.44418) (xy 267.502753 65.400497) (xy 267.535 65.36825) (xy 267.52796 65.302674) - (xy 267.538072 65.2) (xy 267.538072 64.9) (xy 267.528223 64.8) (xy 267.538072 64.7) (xy 267.538072 64.4) - (xy 267.528223 64.3) (xy 267.538072 64.2) (xy 267.538072 63.9) (xy 267.528223 63.8) (xy 267.538072 63.7) - (xy 267.538072 63.4) (xy 267.528223 63.3) (xy 267.538072 63.2) (xy 267.538072 62.9) (xy 267.52796 62.797326) - (xy 267.535 62.73175) (xy 267.502753 62.699503) (xy 267.489502 62.65582) (xy 267.432939 62.55) (xy 267.489502 62.44418) - (xy 267.502753 62.400497) (xy 267.535 62.36825) (xy 267.52796 62.302674) (xy 267.538072 62.2) (xy 267.538072 61.9) - (xy 267.528223 61.8) (xy 267.538072 61.7) (xy 267.538072 61.4) (xy 267.52796 61.297326) (xy 267.535 61.23175) - (xy 267.502753 61.199503) (xy 267.489502 61.15582) (xy 267.432939 61.05) (xy 267.489502 60.94418) (xy 267.502753 60.900497) - (xy 267.535 60.86825) (xy 267.52796 60.802674) (xy 267.538072 60.7) (xy 267.538072 60.4) (xy 267.528223 60.3) - (xy 267.538072 60.2) (xy 267.538072 59.9) (xy 267.52796 59.797326) (xy 267.535 59.73175) (xy 267.502753 59.699503) - (xy 267.489502 59.65582) (xy 267.432939 59.55) (xy 267.489502 59.44418) (xy 267.502753 59.400497) (xy 267.535 59.36825) - (xy 267.52796 59.302674) (xy 267.538072 59.2) (xy 267.538072 58.9) (xy 267.528223 58.8) (xy 267.538072 58.7) - (xy 267.538072 58.4) (xy 267.52796 58.297326) (xy 267.535 58.23175) (xy 267.502753 58.199503) (xy 267.489502 58.15582) - (xy 267.432939 58.05) (xy 267.489502 57.94418) (xy 267.502753 57.900497) (xy 267.535 57.86825) (xy 267.52796 57.802674) - (xy 267.538072 57.7) (xy 267.538072 57.4) (xy 267.525812 57.275518) (xy 267.489502 57.15582) (xy 267.430537 57.045506) - (xy 267.351185 56.948815) (xy 267.254494 56.869463) (xy 267.14418 56.810498) (xy 267.024482 56.774188) (xy 266.9 56.761928) - (xy 265 56.761928) (xy 264.875518 56.774188) (xy 264.75582 56.810498) (xy 264.702872 56.8388) (xy 264.559927 56.8388) - (xy 264.524999 56.83536) (xy 264.49007 56.8388) (xy 264.490063 56.8388) (xy 264.385579 56.849091) (xy 264.251517 56.889758) - (xy 264.233419 56.899432) (xy 264.127966 56.955798) (xy 264.019672 57.044673) (xy 263.997401 57.07181) (xy 263.882811 57.1864) - (xy 262.727716 57.1864) (xy 261.26073 55.719415) (xy 261.238455 55.692273) (xy 261.130161 55.603398) (xy 261.006609 55.537358) - (xy 260.872548 55.496691) (xy 260.768064 55.4864) (xy 260.768054 55.4864) (xy 260.733128 55.48296) (xy 260.698202 55.4864) - (xy 259.875901 55.4864) (xy 260.21598 55.146322) (xy 260.23375 55.148072) (xy 260.72125 55.148072) (xy 260.893285 55.131128) - (xy 261.058709 55.080947) (xy 261.211164 54.999458) (xy 261.344792 54.889792) (xy 261.454458 54.756164) (xy 261.535947 54.603709) - (xy 261.586128 54.438285) (xy 261.603072 54.26625) (xy 261.603072 53.35375) (xy 261.586128 53.181715) (xy 261.535947 53.016291) - (xy 261.454458 52.863836) (xy 261.344792 52.730208) (xy 261.211164 52.620542) (xy 261.058709 52.539053) (xy 260.893285 52.488872) - (xy 260.72125 52.471928) (xy 260.23375 52.471928) (xy 260.061715 52.488872) (xy 259.896291 52.539053) (xy 259.743836 52.620542) - (xy 259.610208 52.730208) (xy 259.604992 52.736564) (xy 259.541185 52.658815) (xy 259.444494 52.579463) (xy 259.33418 52.520498) - (xy 259.214482 52.484188) (xy 259.09 52.471928) (xy 258.88825 52.475) (xy 258.7295 52.63375) (xy 258.7295 53.683) - (xy 258.7495 53.683) (xy 258.7495 53.937) (xy 258.7295 53.937) (xy 258.7295 53.957) (xy 258.4755 53.957) - (xy 258.4755 53.937) (xy 257.63875 53.937) (xy 257.48 54.09575) (xy 257.478096 54.3525) (xy 257.448061 54.3525) - (xy 257.391876 54.363676) (xy 257.403072 54.25) (xy 257.4 54.09825) (xy 257.24125 53.9395) (xy 256.417 53.9395) - (xy 256.417 53.9595) (xy 256.163 53.9595) (xy 256.163 53.9395) (xy 255.33875 53.9395) (xy 255.18 54.09825) - (xy 255.176928 54.25) (xy 255.189188 54.374482) (xy 255.225498 54.49418) (xy 255.284463 54.604494) (xy 255.293085 54.615) - (xy 254.913728 54.615) (xy 254.84918 54.580498) (xy 254.749332 54.55021) (xy 254.788671 54.502275) (xy 254.86785 54.354142) - (xy 254.916608 54.193408) (xy 254.933072 54.02625) (xy 254.933072 53.58875) (xy 254.916608 53.421592) (xy 254.902475 53.375) - (xy 255.176928 53.375) (xy 255.18 53.52675) (xy 255.33875 53.6855) (xy 256.163 53.6855) (xy 256.163 52.89875) - (xy 256.417 52.89875) (xy 256.417 53.6855) (xy 257.24125 53.6855) (xy 257.4 53.52675) (xy 257.403072 53.375) - (xy 257.390812 53.250518) (xy 257.354502 53.13082) (xy 257.343374 53.11) (xy 257.476928 53.11) (xy 257.48 53.52425) - (xy 257.63875 53.683) (xy 258.4755 53.683) (xy 258.4755 52.63375) (xy 258.31675 52.475) (xy 258.115 52.471928) - (xy 257.990518 52.484188) (xy 257.87082 52.520498) (xy 257.760506 52.579463) (xy 257.663815 52.658815) (xy 257.584463 52.755506) - (xy 257.525498 52.86582) (xy 257.489188 52.985518) (xy 257.476928 53.11) (xy 257.343374 53.11) (xy 257.295537 53.020506) - (xy 257.216185 52.923815) (xy 257.119494 52.844463) (xy 257.00918 52.785498) (xy 256.889482 52.749188) (xy 256.765 52.736928) - (xy 256.57575 52.74) (xy 256.417 52.89875) (xy 256.163 52.89875) (xy 256.00425 52.74) (xy 255.815 52.736928) - (xy 255.690518 52.749188) (xy 255.57082 52.785498) (xy 255.460506 52.844463) (xy 255.363815 52.923815) (xy 255.284463 53.020506) - (xy 255.225498 53.13082) (xy 255.189188 53.250518) (xy 255.176928 53.375) (xy 254.902475 53.375) (xy 254.86785 53.260858) - (xy 254.788671 53.112725) (xy 254.7709 53.09107) (xy 254.825537 53.024494) (xy 254.884502 52.91418) (xy 254.920812 52.794482) - (xy 254.933072 52.67) (xy 254.93 52.51825) (xy 254.77125 52.3595) (xy 253.947 52.3595) (xy 253.947 52.3795) - (xy 253.693 52.3795) (xy 253.693 52.3595) (xy 252.86875 52.3595) (xy 252.71 52.51825) (xy 252.706928 52.67) - (xy 252.719188 52.794482) (xy 252.755498 52.91418) (xy 252.814463 53.024494) (xy 252.8691 53.09107) (xy 252.851329 53.112725) - (xy 252.77215 53.260858) (xy 252.723392 53.421592) (xy 252.706928 53.58875) (xy 252.706928 54.02625) (xy 252.723392 54.193408) - (xy 252.728365 54.209803) (xy 252.725079 54.205798) (xy 252.669997 54.13868) (xy 252.669988 54.138671) (xy 252.647726 54.111545) - (xy 252.620601 54.089284) (xy 250.40073 51.869415) (xy 250.378455 51.842273) (xy 250.320853 51.795) (xy 252.706928 51.795) - (xy 252.71 51.94675) (xy 252.86875 52.1055) (xy 253.693 52.1055) (xy 253.693 51.31875) (xy 253.947 51.31875) - (xy 253.947 52.1055) (xy 254.77125 52.1055) (xy 254.93 51.94675) (xy 254.933072 51.795) (xy 254.920812 51.670518) - (xy 254.913072 51.645) (xy 262.786928 51.645) (xy 262.799188 51.769482) (xy 262.835498 51.88918) (xy 262.894463 51.999494) - (xy 262.973815 52.096185) (xy 263.070506 52.175537) (xy 263.18082 52.234502) (xy 263.300518 52.270812) (xy 263.425 52.283072) - (xy 263.76425 52.28) (xy 263.923 52.12125) (xy 263.923 50.447) (xy 264.177 50.447) (xy 264.177 52.12125) - (xy 264.33575 52.28) (xy 264.675 52.283072) (xy 264.799482 52.270812) (xy 264.91918 52.234502) (xy 265.029494 52.175537) - (xy 265.126185 52.096185) (xy 265.205537 51.999494) (xy 265.264502 51.88918) (xy 265.300812 51.769482) (xy 265.313072 51.645) - (xy 265.31 50.60575) (xy 265.15125 50.447) (xy 264.177 50.447) (xy 263.923 50.447) (xy 262.94875 50.447) - (xy 262.79 50.60575) (xy 262.786928 51.645) (xy 254.913072 51.645) (xy 254.884502 51.55082) (xy 254.825537 51.440506) - (xy 254.746185 51.343815) (xy 254.649494 51.264463) (xy 254.53918 51.205498) (xy 254.419482 51.169188) (xy 254.295 51.156928) - (xy 254.10575 51.16) (xy 253.947 51.31875) (xy 253.693 51.31875) (xy 253.53425 51.16) (xy 253.345 51.156928) - (xy 253.220518 51.169188) (xy 253.10082 51.205498) (xy 252.990506 51.264463) (xy 252.893815 51.343815) (xy 252.814463 51.440506) - (xy 252.755498 51.55082) (xy 252.719188 51.670518) (xy 252.706928 51.795) (xy 250.320853 51.795) (xy 250.270161 51.753398) - (xy 250.146609 51.687358) (xy 250.012548 51.646691) (xy 249.908064 51.6364) (xy 249.908054 51.6364) (xy 249.873128 51.63296) - (xy 249.838202 51.6364) (xy 224.193241 51.6364) (xy 219.367618 46.810777) (xy 254.515 46.810777) (xy 254.515 47.369223) - (xy 254.623948 47.916939) (xy 254.837656 48.432876) (xy 255.147912 48.897207) (xy 255.542793 49.292088) (xy 256.007124 49.602344) - (xy 256.523061 49.816052) (xy 257.070777 49.925) (xy 257.629223 49.925) (xy 258.176939 49.816052) (xy 258.692876 49.602344) - (xy 259.157207 49.292088) (xy 259.454295 48.995) (xy 262.786928 48.995) (xy 262.79 50.03425) (xy 262.94875 50.193) - (xy 263.923 50.193) (xy 263.923 48.51875) (xy 264.177 48.51875) (xy 264.177 50.193) (xy 265.15125 50.193) - (xy 265.31 50.03425) (xy 265.313072 48.995) (xy 265.300812 48.870518) (xy 265.264502 48.75082) (xy 265.205537 48.640506) - (xy 265.126185 48.543815) (xy 265.029494 48.464463) (xy 264.91918 48.405498) (xy 264.799482 48.369188) (xy 264.675 48.356928) - (xy 264.33575 48.36) (xy 264.177 48.51875) (xy 263.923 48.51875) (xy 263.76425 48.36) (xy 263.425 48.356928) - (xy 263.300518 48.369188) (xy 263.18082 48.405498) (xy 263.070506 48.464463) (xy 262.973815 48.543815) (xy 262.894463 48.640506) - (xy 262.835498 48.75082) (xy 262.799188 48.870518) (xy 262.786928 48.995) (xy 259.454295 48.995) (xy 259.552088 48.897207) - (xy 259.862344 48.432876) (xy 260.076052 47.916939) (xy 260.185 47.369223) (xy 260.185 46.810777) (xy 260.076052 46.263061) - (xy 259.862344 45.747124) (xy 259.552088 45.282793) (xy 259.541997 45.272702) (xy 263.536903 45.272702) (xy 263.608486 45.516671) - (xy 263.863996 45.637571) (xy 264.138184 45.7063) (xy 264.420512 45.720217) (xy 264.70013 45.678787) (xy 264.966292 45.583603) - (xy 265.091514 45.516671) (xy 265.163097 45.272702) (xy 264.35 44.459605) (xy 263.536903 45.272702) (xy 259.541997 45.272702) - (xy 259.157207 44.887912) (xy 258.692876 44.577656) (xy 258.176939 44.363948) (xy 258.109392 44.350512) (xy 262.909783 44.350512) - (xy 262.951213 44.63013) (xy 263.046397 44.896292) (xy 263.113329 45.021514) (xy 263.357298 45.093097) (xy 264.170395 44.28) - (xy 264.529605 44.28) (xy 265.342702 45.093097) (xy 265.411928 45.072785) (xy 265.411928 45.08) (xy 265.424188 45.204482) - (xy 265.460498 45.32418) (xy 265.519463 45.434494) (xy 265.598815 45.531185) (xy 265.695506 45.610537) (xy 265.80582 45.669502) - (xy 265.815001 45.672287) (xy 265.815 48.656076) (xy 265.736595 48.751614) (xy 265.654528 48.90515) (xy 265.603992 49.071746) - (xy 265.586928 49.245) (xy 265.586928 51.395) (xy 265.603992 51.568254) (xy 265.654528 51.73485) (xy 265.736595 51.888386) - (xy 265.815001 51.983924) (xy 265.815 53.775167) (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) - (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) (xy 265.401082 55.824463) - (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) (xy 266.688967 56.685) - (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) (xy 268.119987 56.092252) - (xy 268.298918 55.824463) (xy 268.422168 55.526912) (xy 268.485 55.211033) (xy 268.485 54.888967) (xy 268.422168 54.573088) - (xy 268.298918 54.275537) (xy 268.119987 54.007748) (xy 267.892252 53.780013) (xy 267.885 53.775167) (xy 267.885 51.983923) - (xy 267.963405 51.888386) (xy 268.045472 51.73485) (xy 268.096008 51.568254) (xy 268.113072 51.395) (xy 268.113072 49.245) - (xy 268.096008 49.071746) (xy 268.045472 48.90515) (xy 267.963405 48.751614) (xy 267.885 48.656077) (xy 267.885 45.672287) - (xy 267.89418 45.669502) (xy 268.004494 45.610537) (xy 268.101185 45.531185) (xy 268.180537 45.434494) (xy 268.239502 45.32418) - (xy 268.275812 45.204482) (xy 268.288072 45.08) (xy 268.288072 43.48) (xy 268.275812 43.355518) (xy 268.239502 43.23582) - (xy 268.180537 43.125506) (xy 268.101185 43.028815) (xy 268.004494 42.949463) (xy 267.89418 42.890498) (xy 267.774482 42.854188) - (xy 267.65 42.841928) (xy 266.05 42.841928) (xy 265.925518 42.854188) (xy 265.80582 42.890498) (xy 265.695506 42.949463) - (xy 265.598815 43.028815) (xy 265.519463 43.125506) (xy 265.460498 43.23582) (xy 265.424188 43.355518) (xy 265.411928 43.48) - (xy 265.411928 43.487215) (xy 265.342702 43.466903) (xy 264.529605 44.28) (xy 264.170395 44.28) (xy 263.357298 43.466903) - (xy 263.113329 43.538486) (xy 262.992429 43.793996) (xy 262.9237 44.068184) (xy 262.909783 44.350512) (xy 258.109392 44.350512) - (xy 257.629223 44.255) (xy 257.070777 44.255) (xy 256.523061 44.363948) (xy 256.007124 44.577656) (xy 255.542793 44.887912) - (xy 255.147912 45.282793) (xy 254.837656 45.747124) (xy 254.623948 46.263061) (xy 254.515 46.810777) (xy 219.367618 46.810777) - (xy 215.844139 43.287298) (xy 263.536903 43.287298) (xy 264.35 44.100395) (xy 265.163097 43.287298) (xy 265.091514 43.043329) - (xy 264.836004 42.922429) (xy 264.561816 42.8537) (xy 264.279488 42.839783) (xy 263.99987 42.881213) (xy 263.733708 42.976397) - (xy 263.608486 43.043329) (xy 263.536903 43.287298) (xy 215.844139 43.287298) (xy 211.91618 39.35934) (xy 211.922973 39.348185) - (xy 211.95565 39.297763) (xy 211.97232 39.255865) (xy 211.979822 39.240287) (xy 212.002191 39.20112) (xy 212.02123 39.144157) - (xy 212.041863 39.087743) (xy 212.048795 39.043172) (xy 212.052643 39.02631) (xy 212.06573 38.983172) (xy 212.071619 38.923389) - (xy 212.079183 38.863777) (xy 212.076024 38.818806) (xy 212.076024 38.801505) (xy 212.079184 38.756509) (xy 212.071622 38.696931) - (xy 212.065736 38.637155) (xy 212.052641 38.593985) (xy 212.048794 38.577132) (xy 212.041867 38.532582) (xy 212.021225 38.476138) - (xy 212.002181 38.419167) (xy 211.979825 38.380026) (xy 211.972321 38.364442) (xy 211.955647 38.322536) (xy 211.922978 38.272127) - (xy 211.891741 38.220828) (xy 211.861218 38.187621) (xy 211.850431 38.174094) (xy 211.824851 38.136951) (xy 211.781775 38.095067) - (xy 211.739906 38.052007) (xy 211.702768 38.026432) (xy 211.689235 38.01564) (xy 211.656047 37.985133) (xy 211.604755 37.953898) - (xy 211.554321 37.921214) (xy 211.512421 37.904543) (xy 211.49684 37.89704) (xy 211.457679 37.874674) (xy 211.400698 37.855629) - (xy 211.344295 37.835) (xy 211.299735 37.82807) (xy 211.282871 37.824221) (xy 211.239709 37.811129) (xy 211.219225 37.809112) - (xy 211.217208 37.788627) (xy 211.204113 37.745457) (xy 211.200266 37.728604) (xy 211.193339 37.684054) (xy 211.172697 37.62761) - (xy 211.153653 37.570639) (xy 211.131297 37.531498) (xy 211.123793 37.515914) (xy 211.107119 37.474008) (xy 211.07445 37.423599) - (xy 211.043213 37.3723) (xy 211.01269 37.339093) (xy 211.001903 37.325566) (xy 210.976323 37.288423) (xy 210.933247 37.246539) - (xy 210.891378 37.203479) (xy 210.85424 37.177904) (xy 210.840707 37.167112) (xy 210.807519 37.136605) (xy 210.756227 37.10537) - (xy 210.705793 37.072686) (xy 210.663893 37.056015) (xy 210.648312 37.048512) (xy 210.609151 37.026146) (xy 210.55217 37.007101) - (xy 210.495767 36.986472) (xy 210.451207 36.979542) (xy 210.434343 36.975693) (xy 210.391181 36.962601) (xy 210.370697 36.960584) - (xy 210.36868 36.940099) (xy 210.355585 36.896929) (xy 210.351738 36.880076) (xy 210.344811 36.835526) (xy 210.324169 36.779082) - (xy 210.305125 36.722111) (xy 210.282769 36.68297) (xy 210.275265 36.667386) (xy 210.258591 36.62548) (xy 210.225922 36.575071) - (xy 210.194685 36.523772) (xy 210.164162 36.490565) (xy 210.153375 36.477038) (xy 210.127795 36.439895) (xy 210.084719 36.398011) - (xy 210.04285 36.354951) (xy 210.005712 36.329376) (xy 209.992179 36.318584) (xy 209.958991 36.288077) (xy 209.907699 36.256842) - (xy 209.857265 36.224158) (xy 209.815365 36.207487) (xy 209.799784 36.199984) (xy 209.760623 36.177618) (xy 209.703642 36.158573) - (xy 209.647239 36.137944) (xy 209.602679 36.131014) (xy 209.585815 36.127165) (xy 209.542653 36.114073) (xy 209.522169 36.112056) - (xy 209.520152 36.091571) (xy 209.507057 36.048401) (xy 209.50321 36.031548) (xy 209.496283 35.986998) (xy 209.475641 35.930554) - (xy 209.456597 35.873583) (xy 209.434241 35.834442) (xy 209.426737 35.818858) (xy 209.410063 35.776952) (xy 209.394217 35.7525) - (xy 252.886928 35.7525) (xy 252.899188 35.876982) (xy 252.935498 35.99668) (xy 252.994463 36.106994) (xy 253.0491 36.17357) - (xy 253.031329 36.195225) (xy 252.95215 36.343358) (xy 252.903392 36.504092) (xy 252.886928 36.67125) (xy 252.886928 37.10875) - (xy 252.903392 37.275908) (xy 252.95215 37.436642) (xy 253.031329 37.584775) (xy 253.137885 37.714615) (xy 253.267725 37.821171) - (xy 253.415858 37.90035) (xy 253.576592 37.949108) (xy 253.74375 37.965572) (xy 254.25625 37.965572) (xy 254.423408 37.949108) - (xy 254.584142 37.90035) (xy 254.732275 37.821171) (xy 254.788535 37.775) (xy 255.461546 37.775) (xy 255.509744 37.807205) - (xy 255.698102 37.885226) (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) - (xy 256.538454 37.775) (xy 257.269511 37.775) (xy 257.290498 37.844185) (xy 257.349463 37.954499) (xy 257.428815 38.05119) - (xy 257.525506 38.130542) (xy 257.63582 38.189507) (xy 257.755518 38.225817) (xy 257.88 38.238077) (xy 260.12 38.238077) - (xy 260.244482 38.225817) (xy 260.36418 38.189507) (xy 260.474494 38.130542) (xy 260.571185 38.05119) (xy 260.650537 37.954499) - (xy 260.709502 37.844185) (xy 260.730489 37.775) (xy 261.080511 37.775) (xy 261.101498 37.844185) (xy 261.160463 37.954499) - (xy 261.239815 38.05119) (xy 261.336506 38.130542) (xy 261.44682 38.189507) (xy 261.566518 38.225817) (xy 261.691 38.238077) - (xy 264.031 38.238077) (xy 264.155482 38.225817) (xy 264.27518 38.189507) (xy 264.385494 38.130542) (xy 264.482185 38.05119) - (xy 264.561537 37.954499) (xy 264.620502 37.844185) (xy 264.656812 37.724487) (xy 264.669072 37.600005) (xy 264.669072 36.179995) - (xy 264.656812 36.055513) (xy 264.620502 35.935815) (xy 264.561537 35.825501) (xy 264.482185 35.72881) (xy 264.385494 35.649458) - (xy 264.330383 35.62) (xy 264.385494 35.590542) (xy 264.482185 35.51119) (xy 264.561537 35.414499) (xy 264.620502 35.304185) - (xy 264.656812 35.184487) (xy 264.669072 35.060005) (xy 264.666 34.63575) (xy 264.50725 34.477) (xy 262.988 34.477) - (xy 262.988 34.497) (xy 262.734 34.497) (xy 262.734 34.477) (xy 261.21475 34.477) (xy 261.056 34.63575) - (xy 261.052928 35.060005) (xy 261.065188 35.184487) (xy 261.101498 35.304185) (xy 261.160463 35.414499) (xy 261.239815 35.51119) - (xy 261.336506 35.590542) (xy 261.391617 35.62) (xy 261.336506 35.649458) (xy 261.239815 35.72881) (xy 261.160463 35.825501) - (xy 261.101498 35.935815) (xy 261.080511 36.005) (xy 260.730489 36.005) (xy 260.709502 35.935815) (xy 260.650537 35.825501) - (xy 260.571185 35.72881) (xy 260.474494 35.649458) (xy 260.419383 35.62) (xy 260.474494 35.590542) (xy 260.571185 35.51119) - (xy 260.650537 35.414499) (xy 260.709502 35.304185) (xy 260.745812 35.184487) (xy 260.758072 35.060005) (xy 260.755 34.63575) - (xy 260.59625 34.477) (xy 259.127 34.477) (xy 259.127 34.497) (xy 258.873 34.497) (xy 258.873 34.477) - (xy 257.40375 34.477) (xy 257.245 34.63575) (xy 257.241928 35.060005) (xy 257.254188 35.184487) (xy 257.290498 35.304185) - (xy 257.349463 35.414499) (xy 257.428815 35.51119) (xy 257.525506 35.590542) (xy 257.580617 35.62) (xy 257.525506 35.649458) - (xy 257.428815 35.72881) (xy 257.349463 35.825501) (xy 257.290498 35.935815) (xy 257.269511 36.005) (xy 256.538454 36.005) - (xy 256.490256 35.972795) (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) - (xy 255.509744 35.972795) (xy 255.461546 36.005) (xy 255.060055 36.005) (xy 255.064502 35.99668) (xy 255.100812 35.876982) - (xy 255.113072 35.7525) (xy 255.11 35.60075) (xy 254.95125 35.442) (xy 254.127 35.442) (xy 254.127 35.462) - (xy 253.873 35.462) (xy 253.873 35.442) (xy 253.04875 35.442) (xy 252.89 35.60075) (xy 252.886928 35.7525) - (xy 209.394217 35.7525) (xy 209.377394 35.726543) (xy 209.346157 35.675244) (xy 209.315634 35.642037) (xy 209.304847 35.62851) - (xy 209.279267 35.591367) (xy 209.236191 35.549483) (xy 209.194322 35.506423) (xy 209.157184 35.480848) (xy 209.143651 35.470056) - (xy 209.110463 35.439549) (xy 209.059171 35.408314) (xy 209.008737 35.37563) (xy 208.966837 35.358959) (xy 208.951256 35.351456) - (xy 208.912095 35.32909) (xy 208.855114 35.310045) (xy 208.798711 35.289416) (xy 208.754151 35.282486) (xy 208.737287 35.278637) - (xy 208.694125 35.265545) (xy 208.673641 35.263528) (xy 208.671624 35.243043) (xy 208.658529 35.199873) (xy 208.654682 35.18302) - (xy 208.647755 35.13847) (xy 208.627113 35.082026) (xy 208.608069 35.025055) (xy 208.585713 34.985914) (xy 208.578209 34.97033) - (xy 208.561535 34.928424) (xy 208.528866 34.878015) (xy 208.497629 34.826716) (xy 208.467106 34.793509) (xy 208.456319 34.779982) - (xy 208.430739 34.742839) (xy 208.387663 34.700955) (xy 208.345794 34.657895) (xy 208.308656 34.63232) (xy 208.295123 34.621528) - (xy 208.261935 34.591021) (xy 208.210643 34.559786) (xy 208.160209 34.527102) (xy 208.118309 34.510431) (xy 208.102728 34.502928) - (xy 208.063567 34.480562) (xy 208.006586 34.461517) (xy 207.950183 34.440888) (xy 207.905623 34.433958) (xy 207.888759 34.430109) - (xy 207.845597 34.417017) (xy 207.825113 34.415) (xy 207.823096 34.394515) (xy 207.810001 34.351345) (xy 207.806154 34.334492) - (xy 207.799227 34.289942) (xy 207.778585 34.233498) (xy 207.759541 34.176527) (xy 207.737185 34.137386) (xy 207.729681 34.121802) - (xy 207.713007 34.079896) (xy 207.680338 34.029487) (xy 207.649101 33.978188) (xy 207.618578 33.944981) (xy 207.607791 33.931454) - (xy 207.582211 33.894311) (xy 207.539135 33.852427) (xy 207.497266 33.809367) (xy 207.460128 33.783792) (xy 207.446595 33.773) - (xy 207.413407 33.742493) (xy 207.362115 33.711258) (xy 207.311681 33.678574) (xy 207.269781 33.661903) (xy 207.2542 33.6544) - (xy 207.215039 33.632034) (xy 207.158058 33.612989) (xy 207.101655 33.59236) (xy 207.057095 33.58543) (xy 207.040231 33.581581) - (xy 206.997069 33.568489) (xy 206.976585 33.566472) (xy 206.974568 33.545987) (xy 206.961473 33.502817) (xy 206.957626 33.485964) - (xy 206.950699 33.441414) (xy 206.930057 33.38497) (xy 206.911013 33.327999) (xy 206.888657 33.288858) (xy 206.881153 33.273274) - (xy 206.864479 33.231368) (xy 206.83181 33.180959) (xy 206.800573 33.12966) (xy 206.77005 33.096453) (xy 206.759263 33.082926) - (xy 206.733683 33.045783) (xy 206.690607 33.003899) (xy 206.648738 32.960839) (xy 206.6116 32.935264) (xy 206.598067 32.924472) - (xy 206.564879 32.893965) (xy 206.513587 32.86273) (xy 206.463153 32.830046) (xy 206.421253 32.813375) (xy 206.405672 32.805872) - (xy 206.366511 32.783506) (xy 206.30953 32.764461) (xy 206.253127 32.743832) (xy 206.208567 32.736902) (xy 206.191703 32.733053) - (xy 206.148541 32.719961) (xy 206.128057 32.717944) (xy 206.12604 32.697459) (xy 206.112945 32.654289) (xy 206.109098 32.637436) - (xy 206.102171 32.592886) (xy 206.081529 32.536442) (xy 206.062485 32.479471) (xy 206.040129 32.44033) (xy 206.032625 32.424746) - (xy 206.015951 32.38284) (xy 205.983282 32.332431) (xy 205.952045 32.281132) (xy 205.921522 32.247925) (xy 205.910735 32.234398) - (xy 205.885155 32.197255) (xy 205.842079 32.155371) (xy 205.80021 32.112311) (xy 205.763072 32.086736) (xy 205.749539 32.075944) - (xy 205.716351 32.045437) (xy 205.665059 32.014202) (xy 205.614625 31.981518) (xy 205.572725 31.964847) (xy 205.557144 31.957344) - (xy 205.517983 31.934978) (xy 205.461002 31.915933) (xy 205.404599 31.895304) (xy 205.360039 31.888374) (xy 205.343175 31.884525) - (xy 205.300013 31.871433) (xy 205.240215 31.865544) (xy 205.18064 31.857985) (xy 205.135658 31.861145) (xy 205.11836 31.861145) - (xy 205.073389 31.857986) (xy 205.013787 31.865549) (xy 204.953996 31.871439) (xy 204.910858 31.884525) (xy 204.89399 31.888375) - (xy 204.849431 31.895305) (xy 204.793028 31.915934) (xy 204.736047 31.934979) (xy 204.696884 31.957346) (xy 204.681302 31.96485) - (xy 204.639412 31.981516) (xy 204.588996 32.014188) (xy 204.577829 32.020988) (xy 204.3712 31.814359) (xy 204.3712 31.310786) - (xy 204.606632 31.153475) (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) - (xy 205.496589 31.31599) (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) - (xy 206.903411 31.31599) (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.475195 30.764466) (xy 207.544822 30.881355) - (xy 207.739731 31.097588) (xy 207.97308 31.271641) (xy 208.235901 31.396825) (xy 208.38311 31.441476) (xy 208.613 31.320155) - (xy 208.613 30.127) (xy 208.867 30.127) (xy 208.867 31.320155) (xy 209.09689 31.441476) (xy 209.244099 31.396825) - (xy 209.50692 31.271641) (xy 209.740269 31.097588) (xy 209.935178 30.881355) (xy 210.084157 30.631252) (xy 210.181481 30.356891) - (xy 210.060814 30.127) (xy 208.867 30.127) (xy 208.613 30.127) (xy 208.593 30.127) (xy 208.593 29.873) - (xy 208.613 29.873) (xy 208.613 27.587) (xy 208.867 27.587) (xy 208.867 29.873) (xy 210.060814 29.873) - (xy 210.181481 29.643109) (xy 210.084157 29.368748) (xy 209.935178 29.118645) (xy 209.740269 28.902412) (xy 209.649892 28.835) - (xy 218.861928 28.835) (xy 218.874188 28.959482) (xy 218.910498 29.07918) (xy 218.969463 29.189494) (xy 219.048815 29.286185) - (xy 219.145506 29.365537) (xy 219.25582 29.424502) (xy 219.375518 29.460812) (xy 219.5 29.473072) (xy 219.71425 29.47) - (xy 219.872652 29.311598) (xy 219.84 29.47575) (xy 219.84 29.70425) (xy 219.840533 29.706928) (xy 219.5 29.706928) - (xy 219.375518 29.719188) (xy 219.25582 29.755498) (xy 219.145506 29.814463) (xy 219.048815 29.893815) (xy 218.969463 29.990506) - (xy 218.910498 30.10082) (xy 218.874188 30.220518) (xy 218.861928 30.345) (xy 218.861928 33.095) (xy 218.874188 33.219482) - (xy 218.910498 33.33918) (xy 218.969463 33.449494) (xy 219.048815 33.546185) (xy 219.145506 33.625537) (xy 219.25582 33.684502) - (xy 219.375518 33.720812) (xy 219.5 33.733072) (xy 220.5 33.733072) (xy 220.624482 33.720812) (xy 220.74418 33.684502) - (xy 220.854494 33.625537) (xy 220.951185 33.546185) (xy 221 33.486704) (xy 221.048815 33.546185) (xy 221.145506 33.625537) - (xy 221.25582 33.684502) (xy 221.375518 33.720812) (xy 221.5 33.733072) (xy 222.5 33.733072) (xy 222.624482 33.720812) - (xy 222.74418 33.684502) (xy 222.854494 33.625537) (xy 222.951185 33.546185) (xy 223 33.486704) (xy 223.048815 33.546185) - (xy 223.062909 33.557752) (xy 223.004774 33.698102) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) - (xy 223.082795 34.490256) (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) - (xy 223.898061 35.035) (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) - (xy 224.803937 34.659774) (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) - (xy 225.082795 34.490256) (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) - (xy 225.898061 35.035) (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) - (xy 226.803937 34.659774) (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) - (xy 227.082795 34.490256) (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) - (xy 227.898061 35.035) (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) - (xy 228.803937 34.659774) (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) - (xy 229.082795 34.490256) (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) - (xy 229.898061 35.035) (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) - (xy 230.803937 34.659774) (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) - (xy 231.082795 34.490256) (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) - (xy 231.898061 35.035) (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.549678 34.8775) - (xy 252.886928 34.8775) (xy 252.89 35.02925) (xy 253.04875 35.188) (xy 253.873 35.188) (xy 253.873 34.40125) - (xy 254.127 34.40125) (xy 254.127 35.188) (xy 254.95125 35.188) (xy 255.11 35.02925) (xy 255.113072 34.8775) - (xy 255.100812 34.753018) (xy 255.064502 34.63332) (xy 255.005537 34.523006) (xy 254.926185 34.426315) (xy 254.829494 34.346963) - (xy 254.71918 34.287998) (xy 254.599482 34.251688) (xy 254.475 34.239428) (xy 254.28575 34.2425) (xy 254.127 34.40125) - (xy 253.873 34.40125) (xy 253.71425 34.2425) (xy 253.525 34.239428) (xy 253.400518 34.251688) (xy 253.28082 34.287998) - (xy 253.170506 34.346963) (xy 253.073815 34.426315) (xy 252.994463 34.523006) (xy 252.935498 34.63332) (xy 252.899188 34.753018) - (xy 252.886928 34.8775) (xy 232.549678 34.8775) (xy 232.659774 34.803937) (xy 232.803937 34.659774) (xy 232.917205 34.490256) - (xy 232.995226 34.301898) (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.937091 33.557752) - (xy 232.951185 33.546185) (xy 233.030537 33.449494) (xy 233.089502 33.33918) (xy 233.125812 33.219482) (xy 233.138072 33.095) - (xy 233.138072 30.345) (xy 233.125812 30.220518) (xy 233.089502 30.10082) (xy 233.030537 29.990506) (xy 232.951185 29.893815) - (xy 232.854494 29.814463) (xy 232.74418 29.755498) (xy 232.624482 29.719188) (xy 232.5 29.706928) (xy 232.159467 29.706928) - (xy 232.16 29.70425) (xy 232.16 29.47575) (xy 232.127348 29.311598) (xy 232.28575 29.47) (xy 232.5 29.473072) - (xy 232.624482 29.460812) (xy 232.74418 29.424502) (xy 232.854494 29.365537) (xy 232.951185 29.286185) (xy 233.030537 29.189494) - (xy 233.089502 29.07918) (xy 233.125812 28.959482) (xy 233.138072 28.835) (xy 233.135 27.74575) (xy 232.97625 27.587) - (xy 232.127 27.587) (xy 232.127 27.607) (xy 231.873 27.607) (xy 231.873 27.587) (xy 231.02375 27.587) - (xy 231 27.61075) (xy 230.97625 27.587) (xy 230.127 27.587) (xy 230.127 27.607) (xy 229.873 27.607) - (xy 229.873 27.587) (xy 229.02375 27.587) (xy 229 27.61075) (xy 228.97625 27.587) (xy 228.127 27.587) - (xy 228.127 29.31125) (xy 228.28575 29.47) (xy 228.5 29.473072) (xy 228.624482 29.460812) (xy 228.74418 29.424502) - (xy 228.854494 29.365537) (xy 228.951185 29.286185) (xy 229 29.226704) (xy 229.048815 29.286185) (xy 229.145506 29.365537) - (xy 229.25582 29.424502) (xy 229.375518 29.460812) (xy 229.5 29.473072) (xy 229.71425 29.47) (xy 229.872652 29.311598) - (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.840533 29.706928) (xy 229.5 29.706928) (xy 229.375518 29.719188) - (xy 229.25582 29.755498) (xy 229.145506 29.814463) (xy 229.048815 29.893815) (xy 229 29.953296) (xy 228.951185 29.893815) - (xy 228.854494 29.814463) (xy 228.74418 29.755498) (xy 228.624482 29.719188) (xy 228.5 29.706928) (xy 227.5 29.706928) - (xy 227.375518 29.719188) (xy 227.25582 29.755498) (xy 227.145506 29.814463) (xy 227.048815 29.893815) (xy 227 29.953296) - (xy 226.951185 29.893815) (xy 226.854494 29.814463) (xy 226.74418 29.755498) (xy 226.624482 29.719188) (xy 226.5 29.706928) - (xy 225.5 29.706928) (xy 225.375518 29.719188) (xy 225.25582 29.755498) (xy 225.145506 29.814463) (xy 225.048815 29.893815) - (xy 225 29.953296) (xy 224.951185 29.893815) (xy 224.854494 29.814463) (xy 224.74418 29.755498) (xy 224.624482 29.719188) - (xy 224.5 29.706928) (xy 223.5 29.706928) (xy 223.375518 29.719188) (xy 223.25582 29.755498) (xy 223.145506 29.814463) - (xy 223.048815 29.893815) (xy 223 29.953296) (xy 222.951185 29.893815) (xy 222.854494 29.814463) (xy 222.74418 29.755498) - (xy 222.624482 29.719188) (xy 222.5 29.706928) (xy 222.159467 29.706928) (xy 222.16 29.70425) (xy 222.16 29.47575) - (xy 222.127348 29.311598) (xy 222.28575 29.47) (xy 222.5 29.473072) (xy 222.624482 29.460812) (xy 222.74418 29.424502) - (xy 222.854494 29.365537) (xy 222.951185 29.286185) (xy 223 29.226704) (xy 223.048815 29.286185) (xy 223.145506 29.365537) - (xy 223.25582 29.424502) (xy 223.375518 29.460812) (xy 223.5 29.473072) (xy 223.71425 29.47) (xy 223.873 29.31125) - (xy 223.873 27.587) (xy 224.127 27.587) (xy 224.127 29.31125) (xy 224.28575 29.47) (xy 224.5 29.473072) - (xy 224.624482 29.460812) (xy 224.74418 29.424502) (xy 224.854494 29.365537) (xy 224.951185 29.286185) (xy 225 29.226704) - (xy 225.048815 29.286185) (xy 225.145506 29.365537) (xy 225.25582 29.424502) (xy 225.375518 29.460812) (xy 225.5 29.473072) - (xy 225.71425 29.47) (xy 225.873 29.31125) (xy 225.873 27.587) (xy 226.127 27.587) (xy 226.127 29.31125) - (xy 226.28575 29.47) (xy 226.5 29.473072) (xy 226.624482 29.460812) (xy 226.74418 29.424502) (xy 226.854494 29.365537) - (xy 226.951185 29.286185) (xy 227 29.226704) (xy 227.048815 29.286185) (xy 227.145506 29.365537) (xy 227.25582 29.424502) - (xy 227.375518 29.460812) (xy 227.5 29.473072) (xy 227.71425 29.47) (xy 227.873 29.31125) (xy 227.873 27.587) - (xy 227.02375 27.587) (xy 227 27.61075) (xy 226.97625 27.587) (xy 226.127 27.587) (xy 225.873 27.587) - (xy 225.02375 27.587) (xy 225 27.61075) (xy 224.97625 27.587) (xy 224.127 27.587) (xy 223.873 27.587) - (xy 223.02375 27.587) (xy 223 27.61075) (xy 222.97625 27.587) (xy 222.127 27.587) (xy 222.127 27.607) - (xy 221.873 27.607) (xy 221.873 27.587) (xy 221.02375 27.587) (xy 221 27.61075) (xy 220.97625 27.587) - (xy 220.127 27.587) (xy 220.127 27.607) (xy 219.873 27.607) (xy 219.873 27.587) (xy 219.02375 27.587) - (xy 218.865 27.74575) (xy 218.861928 28.835) (xy 209.649892 28.835) (xy 209.50912 28.73) (xy 209.740269 28.557588) - (xy 209.935178 28.341355) (xy 210.084157 28.091252) (xy 210.181481 27.816891) (xy 210.060814 27.587) (xy 208.867 27.587) - (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) (xy 208.613 26.139845) - (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) (xy 210.084157 26.828748) - (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.289921 26.085) (xy 218.861928 26.085) - (xy 218.865 27.17425) (xy 219.02375 27.333) (xy 219.873 27.333) (xy 219.873 25.60875) (xy 220.127 25.60875) - (xy 220.127 27.333) (xy 220.97625 27.333) (xy 221 27.30925) (xy 221.02375 27.333) (xy 221.873 27.333) - (xy 221.873 25.60875) (xy 222.127 25.60875) (xy 222.127 27.333) (xy 222.97625 27.333) (xy 223 27.30925) - (xy 223.02375 27.333) (xy 223.873 27.333) (xy 223.873 25.60875) (xy 224.127 25.60875) (xy 224.127 27.333) - (xy 224.97625 27.333) (xy 225 27.30925) (xy 225.02375 27.333) (xy 225.873 27.333) (xy 225.873 25.60875) - (xy 226.127 25.60875) (xy 226.127 27.333) (xy 226.97625 27.333) (xy 227 27.30925) (xy 227.02375 27.333) - (xy 227.873 27.333) (xy 227.873 25.60875) (xy 228.127 25.60875) (xy 228.127 27.333) (xy 228.97625 27.333) - (xy 229 27.30925) (xy 229.02375 27.333) (xy 229.873 27.333) (xy 229.873 25.60875) (xy 230.127 25.60875) - (xy 230.127 27.333) (xy 230.97625 27.333) (xy 231 27.30925) (xy 231.02375 27.333) (xy 231.873 27.333) - (xy 231.873 25.60875) (xy 232.127 25.60875) (xy 232.127 27.333) (xy 232.97625 27.333) (xy 233.135 27.17425) - (xy 233.138072 26.085) (xy 233.125812 25.960518) (xy 233.089502 25.84082) (xy 233.030537 25.730506) (xy 232.951185 25.633815) - (xy 232.854494 25.554463) (xy 232.74418 25.495498) (xy 232.624482 25.459188) (xy 232.5 25.446928) (xy 232.28575 25.45) - (xy 232.127 25.60875) (xy 231.873 25.60875) (xy 231.71425 25.45) (xy 231.5 25.446928) (xy 231.375518 25.459188) - (xy 231.25582 25.495498) (xy 231.145506 25.554463) (xy 231.048815 25.633815) (xy 231 25.693296) (xy 230.951185 25.633815) - (xy 230.854494 25.554463) (xy 230.74418 25.495498) (xy 230.624482 25.459188) (xy 230.5 25.446928) (xy 230.28575 25.45) - (xy 230.127 25.60875) (xy 229.873 25.60875) (xy 229.71425 25.45) (xy 229.5 25.446928) (xy 229.375518 25.459188) - (xy 229.25582 25.495498) (xy 229.145506 25.554463) (xy 229.048815 25.633815) (xy 229 25.693296) (xy 228.951185 25.633815) - (xy 228.854494 25.554463) (xy 228.74418 25.495498) (xy 228.624482 25.459188) (xy 228.5 25.446928) (xy 228.28575 25.45) - (xy 228.127 25.60875) (xy 227.873 25.60875) (xy 227.71425 25.45) (xy 227.5 25.446928) (xy 227.375518 25.459188) - (xy 227.25582 25.495498) (xy 227.145506 25.554463) (xy 227.048815 25.633815) (xy 227 25.693296) (xy 226.951185 25.633815) - (xy 226.854494 25.554463) (xy 226.74418 25.495498) (xy 226.624482 25.459188) (xy 226.5 25.446928) (xy 226.28575 25.45) - (xy 226.127 25.60875) (xy 225.873 25.60875) (xy 225.71425 25.45) (xy 225.5 25.446928) (xy 225.375518 25.459188) - (xy 225.25582 25.495498) (xy 225.145506 25.554463) (xy 225.048815 25.633815) (xy 225 25.693296) (xy 224.951185 25.633815) - (xy 224.854494 25.554463) (xy 224.74418 25.495498) (xy 224.624482 25.459188) (xy 224.5 25.446928) (xy 224.28575 25.45) - (xy 224.127 25.60875) (xy 223.873 25.60875) (xy 223.71425 25.45) (xy 223.5 25.446928) (xy 223.375518 25.459188) - (xy 223.25582 25.495498) (xy 223.145506 25.554463) (xy 223.048815 25.633815) (xy 223 25.693296) (xy 222.951185 25.633815) - (xy 222.854494 25.554463) (xy 222.74418 25.495498) (xy 222.624482 25.459188) (xy 222.5 25.446928) (xy 222.28575 25.45) - (xy 222.127 25.60875) (xy 221.873 25.60875) (xy 221.71425 25.45) (xy 221.5 25.446928) (xy 221.375518 25.459188) - (xy 221.25582 25.495498) (xy 221.145506 25.554463) (xy 221.048815 25.633815) (xy 221 25.693296) (xy 220.951185 25.633815) - (xy 220.854494 25.554463) (xy 220.74418 25.495498) (xy 220.624482 25.459188) (xy 220.5 25.446928) (xy 220.28575 25.45) - (xy 220.127 25.60875) (xy 219.873 25.60875) (xy 219.71425 25.45) (xy 219.5 25.446928) (xy 219.375518 25.459188) - (xy 219.25582 25.495498) (xy 219.145506 25.554463) (xy 219.048815 25.633815) (xy 218.969463 25.730506) (xy 218.910498 25.84082) - (xy 218.874188 25.960518) (xy 218.861928 26.085) (xy 209.289921 26.085) (xy 209.244099 26.063175) (xy 209.09689 26.018524) - (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) (xy 207.97308 26.188359) - (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) (xy 207.146632 26.306525) - (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.150788 25.975) (xy 207.234589 24.8912) - (xy 233.125413 24.8912) (xy 241.792407 33.558196) (xy 241.814673 33.585327) (xy 241.841804 33.607593) (xy 241.841809 33.607598) - (xy 241.922967 33.674202) (xy 242.046518 33.740242) (xy 242.168096 33.777122) (xy 242.18058 33.780909) (xy 242.285064 33.7912) - (xy 242.285071 33.7912) (xy 242.32 33.79464) (xy 242.354928 33.7912) (xy 257.243023 33.7912) (xy 257.245 34.06425) - (xy 257.40375 34.223) (xy 258.873 34.223) (xy 258.873 34.203) (xy 259.127 34.203) (xy 259.127 34.223) - (xy 260.59625 34.223) (xy 260.755 34.06425) (xy 260.756977 33.7912) (xy 261.054023 33.7912) (xy 261.056 34.06425) - (xy 261.21475 34.223) (xy 262.734 34.223) (xy 262.734 34.203) (xy 262.988 34.203) (xy 262.988 34.223) - (xy 264.50725 34.223) (xy 264.666 34.06425) (xy 264.669072 33.639995) (xy 264.656812 33.515513) (xy 264.620502 33.395815) - (xy 264.561537 33.285501) (xy 264.482185 33.18881) (xy 264.385494 33.109458) (xy 264.330383 33.08) (xy 264.385494 33.050542) - (xy 264.482185 32.97119) (xy 264.561537 32.874499) (xy 264.620502 32.764185) (xy 264.656812 32.644487) (xy 264.669072 32.520005) - (xy 264.669072 31.099995) (xy 264.656812 30.975513) (xy 264.620502 30.855815) (xy 264.561537 30.745501) (xy 264.482185 30.64881) - (xy 264.385494 30.569458) (xy 264.330383 30.54) (xy 264.385494 30.510542) (xy 264.482185 30.43119) (xy 264.561537 30.334499) - (xy 264.620502 30.224185) (xy 264.656812 30.104487) (xy 264.669072 29.980005) (xy 264.669072 28.559995) (xy 264.656812 28.435513) - (xy 264.620502 28.315815) (xy 264.561537 28.205501) (xy 264.482185 28.10881) (xy 264.385494 28.029458) (xy 264.330383 28) - (xy 264.385494 27.970542) (xy 264.482185 27.89119) (xy 264.561537 27.794499) (xy 264.620502 27.684185) (xy 264.656812 27.564487) - (xy 264.669072 27.440005) (xy 264.669072 26.019995) (xy 264.656812 25.895513) (xy 264.620502 25.775815) (xy 264.561537 25.665501) - (xy 264.482185 25.56881) (xy 264.385494 25.489458) (xy 264.330383 25.46) (xy 264.385494 25.430542) (xy 264.482185 25.35119) - (xy 264.561537 25.254499) (xy 264.620502 25.144185) (xy 264.656812 25.024487) (xy 264.669072 24.900005) (xy 264.669072 23.479995) - (xy 264.656812 23.355513) (xy 264.620502 23.235815) (xy 264.561537 23.125501) (xy 264.482185 23.02881) (xy 264.385494 22.949458) - (xy 264.330383 22.92) (xy 264.385494 22.890542) (xy 264.482185 22.81119) (xy 264.561537 22.714499) (xy 264.620502 22.604185) - (xy 264.656812 22.484487) (xy 264.669072 22.360005) (xy 264.669072 20.939995) (xy 264.656812 20.815513) (xy 264.620502 20.695815) - (xy 264.561537 20.585501) (xy 264.482185 20.48881) (xy 264.385494 20.409458) (xy 264.330383 20.38) (xy 264.385494 20.350542) - (xy 264.482185 20.27119) (xy 264.561537 20.174499) (xy 264.620502 20.064185) (xy 264.656812 19.944487) (xy 264.669072 19.820005) - (xy 264.669072 18.399995) (xy 264.656812 18.275513) (xy 264.620502 18.155815) (xy 264.561537 18.045501) (xy 264.482185 17.94881) - (xy 264.385494 17.869458) (xy 264.27518 17.810493) (xy 264.155482 17.774183) (xy 264.031 17.761923) (xy 261.691 17.761923) - (xy 261.566518 17.774183) (xy 261.44682 17.810493) (xy 261.336506 17.869458) (xy 261.239815 17.94881) (xy 261.160463 18.045501) - (xy 261.101498 18.155815) (xy 261.065188 18.275513) (xy 261.052928 18.399995) (xy 261.052928 19.6688) (xy 260.758072 19.6688) - (xy 260.758072 18.399995) (xy 260.745812 18.275513) (xy 260.709502 18.155815) (xy 260.650537 18.045501) (xy 260.571185 17.94881) - (xy 260.474494 17.869458) (xy 260.36418 17.810493) (xy 260.244482 17.774183) (xy 260.12 17.761923) (xy 257.88 17.761923) - (xy 257.755518 17.774183) (xy 257.63582 17.810493) (xy 257.525506 17.869458) (xy 257.428815 17.94881) (xy 257.349463 18.045501) - (xy 257.290498 18.155815) (xy 257.254188 18.275513) (xy 257.242046 18.3988) (xy 184.104925 18.3988) (xy 184.069999 18.39536) - (xy 184.035073 18.3988) (xy 184.035064 18.3988) (xy 183.93058 18.409091) (xy 183.796519 18.449758) (xy 183.712899 18.494454) - (xy 183.672967 18.515798) (xy 183.591808 18.582403) (xy 183.591804 18.582407) (xy 183.564673 18.604673) (xy 183.542407 18.631804) - (xy 176.143972 26.030241) (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) - (xy 174.773368 26.306525) (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) - (xy 173.883411 26.14401) (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) - (xy 172.476589 26.14401) (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) - (xy 171.640269 26.362412) (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) - (xy 170.767 27.333) (xy 170.787 27.333) (xy 170.787 27.587) (xy 170.767 27.587) (xy 170.767 29.873) - (xy 170.787 29.873) (xy 170.787 30.127) (xy 170.767 30.127) (xy 170.767 31.320155) (xy 170.99689 31.441476) - (xy 171.144099 31.396825) (xy 171.40692 31.271641) (xy 171.640269 31.097588) (xy 171.835178 30.881355) (xy 171.904805 30.764466) - (xy 172.026525 30.946632) (xy 172.233368 31.153475) (xy 172.402501 31.266486) (xy 172.4025 32.613344) (xy 172.379494 32.594463) - (xy 172.26918 32.535498) (xy 172.149482 32.499188) (xy 172.025 32.486928) (xy 171.87325 32.49) (xy 171.7145 32.64875) - (xy 171.7145 33.473) (xy 171.7345 33.473) (xy 171.7345 33.727) (xy 171.7145 33.727) (xy 171.7145 33.747) - (xy 171.4605 33.747) (xy 171.4605 33.727) (xy 171.4405 33.727) (xy 171.4405 33.473) (xy 171.4605 33.473) - (xy 171.4605 32.64875) (xy 171.30175 32.49) (xy 171.15 32.486928) (xy 171.025518 32.499188) (xy 170.90582 32.535498) - (xy 170.795506 32.594463) (xy 170.698815 32.673815) (xy 170.619463 32.770506) (xy 170.560498 32.88082) (xy 170.524188 33.000518) - (xy 170.522914 33.013456) (xy 168.816621 31.307164) (xy 169.046632 31.153475) (xy 169.253475 30.946632) (xy 169.375195 30.764466) - (xy 169.444822 30.881355) (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) (xy 170.28311 31.441476) - (xy 170.513 31.320155) (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) (xy 170.513 29.873) - (xy 170.513 27.587) (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) (xy 170.513 26.139845) - (xy 170.28311 26.018524) (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) (xy 169.444822 26.578645) - (xy 169.375195 26.695534) (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) (xy 168.533158 26.032068) - (xy 168.24626 25.975) (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) (xy 167.153368 26.306525) - (xy 166.946525 26.513368) (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.276621 26.152836) - (xy 174.266117 18.163341) (xy 174.266117 18.192285) (xy 174.283061 18.36432) (xy 174.333242 18.529744) (xy 174.414731 18.682199) - (xy 174.524397 18.815827) (xy 174.658025 18.925493) (xy 174.81048 19.006982) (xy 174.892989 19.032011) (xy 174.892989 19.161099) - (xy 174.871914 19.172364) (xy 174.742074 19.27892) (xy 174.635518 19.40876) (xy 174.556339 19.556893) (xy 174.507581 19.717627) - (xy 174.491117 19.884785) (xy 174.491117 20.322285) (xy 174.507581 20.489443) (xy 174.556339 20.650177) (xy 174.635518 20.79831) - (xy 174.711615 20.891035) (xy 174.635518 20.98376) (xy 174.556339 21.131893) (xy 174.507581 21.292627) (xy 174.491117 21.459785) - (xy 174.491117 21.897285) (xy 174.507581 22.064443) (xy 174.556339 22.225177) (xy 174.635518 22.37331) (xy 174.742074 22.50315) - (xy 174.871914 22.609706) (xy 175.020047 22.688885) (xy 175.180781 22.737643) (xy 175.347939 22.754107) (xy 175.860439 22.754107) - (xy 176.027597 22.737643) (xy 176.188331 22.688885) (xy 176.336464 22.609706) (xy 176.372302 22.580295) (xy 176.395417 22.59574) - (xy 176.583775 22.673761) (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) - (xy 177.386384 22.588754) (xy 177.411914 22.609706) (xy 177.560047 22.688885) (xy 177.720781 22.737643) (xy 177.887939 22.754107) - (xy 178.400439 22.754107) (xy 178.567597 22.737643) (xy 178.728331 22.688885) (xy 178.876464 22.609706) (xy 179.006304 22.50315) - (xy 179.11286 22.37331) (xy 179.192039 22.225177) (xy 179.240797 22.064443) (xy 179.257261 21.897285) (xy 179.257261 21.459785) - (xy 179.240797 21.292627) (xy 179.192039 21.131893) (xy 179.11286 20.98376) (xy 179.036763 20.891035) (xy 179.11286 20.79831) - (xy 179.192039 20.650177) (xy 179.240797 20.489443) (xy 179.257261 20.322285) (xy 179.257261 19.884785) (xy 179.240797 19.717627) - (xy 179.192039 19.556893) (xy 179.11286 19.40876) (xy 179.006304 19.27892) (xy 178.876464 19.172364) (xy 178.855389 19.161099) - (xy 178.855389 19.032011) (xy 178.937898 19.006982) (xy 179.090353 18.925493) (xy 179.223981 18.815827) (xy 179.333647 18.682199) - (xy 179.415136 18.529744) (xy 179.465317 18.36432) (xy 179.482261 18.192285) (xy 179.482261 17.704785) (xy 179.465317 17.53275) - (xy 179.415136 17.367326) (xy 179.333647 17.214871) (xy 179.223981 17.081243) (xy 179.138433 17.011035) (xy 179.223981 16.940827) - (xy 179.333647 16.807199) (xy 179.415136 16.654744) (xy 179.465317 16.48932) (xy 179.482261 16.317285) (xy 179.482261 15.829785) - (xy 179.465317 15.65775) (xy 179.415136 15.492326) (xy 179.333647 15.339871) (xy 179.223981 15.206243) (xy 179.090353 15.096577) - (xy 178.937898 15.015088) (xy 178.772474 14.964907) (xy 178.600439 14.947963) (xy 177.687939 14.947963) (xy 177.515904 14.964907) - (xy 177.35048 15.015088) (xy 177.198025 15.096577) (xy 177.064397 15.206243) (xy 176.954731 15.339871) (xy 176.874189 15.490554) - (xy 176.793647 15.339871) (xy 176.683981 15.206243) (xy 176.550353 15.096577) (xy 176.397898 15.015088) (xy 176.232474 14.964907) - (xy 176.060439 14.947963) (xy 175.147939 14.947963) (xy 174.975904 14.964907) (xy 174.81048 15.015088) (xy 174.658025 15.096577) - (xy 174.524397 15.206243) (xy 174.414731 15.339871) (xy 174.376512 15.411374) (xy 174.370186 15.413293) (xy 174.339319 15.429792) - (xy 174.246634 15.479333) (xy 174.165475 15.545938) (xy 174.165471 15.545942) (xy 174.13834 15.568208) (xy 174.116074 15.595339) - (xy 163.691119 26.020296) (xy 163.680242 25.984438) (xy 163.614202 25.860886) (xy 163.590133 25.831558) (xy 163.547597 25.779727) - (xy 163.547593 25.779723) (xy 163.525327 25.752592) (xy 163.498196 25.730326) (xy 161.6412 23.873332) (xy 161.6412 17.405) - (xy 161.916928 17.405) (xy 161.929188 17.529482) (xy 161.965498 17.64918) (xy 162.024463 17.759494) (xy 162.103815 17.856185) - (xy 162.200506 17.935537) (xy 162.31082 17.994502) (xy 162.430518 18.030812) (xy 162.555 18.043072) (xy 163.01925 18.04) - (xy 163.178 17.88125) (xy 163.178 16.507) (xy 163.432 16.507) (xy 163.432 17.88125) (xy 163.59075 18.04) - (xy 164.055 18.043072) (xy 164.179482 18.030812) (xy 164.29918 17.994502) (xy 164.409494 17.935537) (xy 164.506185 17.856185) - (xy 164.585537 17.759494) (xy 164.644502 17.64918) (xy 164.680812 17.529482) (xy 164.693072 17.405) (xy 164.69 16.66575) - (xy 164.53125 16.507) (xy 163.432 16.507) (xy 163.178 16.507) (xy 162.07875 16.507) (xy 161.92 16.66575) - (xy 161.916928 17.405) (xy 161.6412 17.405) (xy 161.6412 15.355) (xy 161.916928 15.355) (xy 161.92 16.09425) - (xy 162.07875 16.253) (xy 163.178 16.253) (xy 163.178 14.87875) (xy 163.432 14.87875) (xy 163.432 16.253) - (xy 164.53125 16.253) (xy 164.69 16.09425) (xy 164.693072 15.355) (xy 164.680812 15.230518) (xy 164.644502 15.11082) - (xy 164.585537 15.000506) (xy 164.506185 14.903815) (xy 164.409494 14.824463) (xy 164.29918 14.765498) (xy 164.179482 14.729188) - (xy 164.055 14.716928) (xy 163.59075 14.72) (xy 163.432 14.87875) (xy 163.178 14.87875) (xy 163.01925 14.72) - (xy 162.555 14.716928) (xy 162.430518 14.729188) (xy 162.31082 14.765498) (xy 162.200506 14.824463) (xy 162.103815 14.903815) - (xy 162.024463 15.000506) (xy 161.965498 15.11082) (xy 161.929188 15.230518) (xy 161.916928 15.355) (xy 161.6412 15.355) - (xy 161.6412 12.24917) (xy 166.98634 12.24917) (xy 166.98634 12.71083) (xy 167.076406 13.16362) (xy 167.253075 13.590139) - (xy 167.50956 13.973996) (xy 167.836004 14.30044) (xy 168.219861 14.556925) (xy 168.64638 14.733594) (xy 169.09917 14.82366) - (xy 169.56083 14.82366) (xy 170.01362 14.733594) (xy 170.440139 14.556925) (xy 170.823996 14.30044) (xy 171.15044 13.973996) - (xy 171.406925 13.590139) (xy 171.583594 13.16362) (xy 171.67366 12.71083) (xy 171.67366 12.24917) (xy 196.98634 12.24917) - (xy 196.98634 12.71083) (xy 197.076406 13.16362) (xy 197.253075 13.590139) (xy 197.50956 13.973996) (xy 197.836004 14.30044) - (xy 198.219861 14.556925) (xy 198.64638 14.733594) (xy 199.09917 14.82366) (xy 199.56083 14.82366) (xy 200.01362 14.733594) - (xy 200.440139 14.556925) (xy 200.823996 14.30044) (xy 201.15044 13.973996) (xy 201.406925 13.590139) (xy 201.583594 13.16362) - (xy 201.67366 12.71083) (xy 201.67366 12.24917) (xy 226.98634 12.24917) (xy 226.98634 12.71083) (xy 227.076406 13.16362) - (xy 227.253075 13.590139) (xy 227.50956 13.973996) (xy 227.836004 14.30044) (xy 228.219861 14.556925) (xy 228.64638 14.733594) - (xy 229.09917 14.82366) (xy 229.56083 14.82366) (xy 230.01362 14.733594) (xy 230.440139 14.556925) (xy 230.823996 14.30044) - (xy 231.15044 13.973996) (xy 231.406925 13.590139) (xy 231.583594 13.16362) (xy 231.67366 12.71083) (xy 231.67366 12.24917) - (xy 231.583594 11.79638) (xy 231.406925 11.369861) (xy 231.15044 10.986004) (xy 230.823996 10.65956) (xy 230.440139 10.403075) - (xy 230.01362 10.226406) (xy 229.56083 10.13634) (xy 229.09917 10.13634) (xy 228.64638 10.226406) (xy 228.219861 10.403075) - (xy 227.836004 10.65956) (xy 227.50956 10.986004) (xy 227.253075 11.369861) (xy 227.076406 11.79638) (xy 226.98634 12.24917) - (xy 201.67366 12.24917) (xy 201.583594 11.79638) (xy 201.406925 11.369861) (xy 201.15044 10.986004) (xy 200.823996 10.65956) - (xy 200.440139 10.403075) (xy 200.01362 10.226406) (xy 199.56083 10.13634) (xy 199.09917 10.13634) (xy 198.64638 10.226406) - (xy 198.219861 10.403075) (xy 197.836004 10.65956) (xy 197.50956 10.986004) (xy 197.253075 11.369861) (xy 197.076406 11.79638) - (xy 196.98634 12.24917) (xy 171.67366 12.24917) (xy 171.583594 11.79638) (xy 171.406925 11.369861) (xy 171.15044 10.986004) - (xy 170.823996 10.65956) (xy 170.440139 10.403075) (xy 170.01362 10.226406) (xy 169.56083 10.13634) (xy 169.09917 10.13634) - (xy 168.64638 10.226406) (xy 168.219861 10.403075) (xy 167.836004 10.65956) (xy 167.50956 10.986004) (xy 167.253075 11.369861) - (xy 167.076406 11.79638) (xy 166.98634 12.24917) (xy 161.6412 12.24917) (xy 161.6412 11.983678) (xy 161.684494 11.960537) - (xy 161.781185 11.881185) (xy 161.860537 11.784494) (xy 161.919502 11.67418) (xy 161.955812 11.554482) (xy 161.968072 11.43) - (xy 161.968072 9.93) (xy 161.955812 9.805518) (xy 161.919502 9.68582) (xy 161.860537 9.575506) (xy 161.781185 9.478815) - (xy 161.684494 9.399463) (xy 161.57418 9.340498) (xy 161.454482 9.304188) (xy 161.33 9.291928) (xy 160.53 9.291928) - (xy 160.405518 9.304188) (xy 160.38 9.311929) (xy 160.354482 9.304188) (xy 160.23 9.291928) (xy 159.43 9.291928) - (xy 159.305518 9.304188) (xy 159.28 9.311929) (xy 159.254482 9.304188) (xy 159.13 9.291928) (xy 159.01575 9.295) - (xy 158.857 9.45375) (xy 158.857 9.654947) (xy 158.840498 9.68582) (xy 158.804188 9.805518) (xy 158.791928 9.93) - (xy 158.791928 11.43) (xy 158.804188 11.554482) (xy 158.840498 11.67418) (xy 158.857 11.705053) (xy 158.857 11.90625) - (xy 159.01575 12.065) (xy 159.118801 12.067771) (xy 159.118801 15.513013) (xy 158.3412 14.735413) (xy 158.3412 12.067771) - (xy 158.44425 12.065) (xy 158.603 11.90625) (xy 158.603 11.705053) (xy 158.619502 11.67418) (xy 158.655812 11.554482) - (xy 158.668072 11.43) (xy 158.668072 9.93) (xy 158.655812 9.805518) (xy 158.619502 9.68582) (xy 158.603 9.654947) - (xy 158.603 9.45375) (xy 158.44425 9.295) (xy 158.33 9.291928) (xy 158.205518 9.304188) (xy 158.18 9.311929) - (xy 158.154482 9.304188) (xy 158.03 9.291928) (xy 157.565 9.291928) (xy 157.565 9.105) (xy 161.916928 9.105) - (xy 161.929188 9.229482) (xy 161.965498 9.34918) (xy 162.024463 9.459494) (xy 162.103815 9.556185) (xy 162.200506 9.635537) - (xy 162.31082 9.694502) (xy 162.430518 9.730812) (xy 162.555 9.743072) (xy 163.01925 9.74) (xy 163.178 9.58125) - (xy 163.178 8.207) (xy 163.432 8.207) (xy 163.432 9.58125) (xy 163.59075 9.74) (xy 164.055 9.743072) - (xy 164.179482 9.730812) (xy 164.29918 9.694502) (xy 164.409494 9.635537) (xy 164.506185 9.556185) (xy 164.585537 9.459494) - (xy 164.644502 9.34918) (xy 164.680812 9.229482) (xy 164.693072 9.105) (xy 164.69 8.36575) (xy 164.53125 8.207) - (xy 163.432 8.207) (xy 163.178 8.207) (xy 162.07875 8.207) (xy 161.92 8.36575) (xy 161.916928 9.105) - (xy 157.565 9.105) (xy 157.565 9.098061) (xy 157.525226 8.898102) (xy 157.447205 8.709744) (xy 157.333937 8.540226) - (xy 157.189774 8.396063) (xy 157.020256 8.282795) (xy 156.831898 8.204774) (xy 156.631939 8.165) (xy 156.428061 8.165) - (xy 156.228102 8.204774) (xy 156.039744 8.282795) (xy 155.870226 8.396063) (xy 155.726063 8.540226) (xy 155.612795 8.709744) - (xy 155.534774 8.898102) (xy 155.495 9.098061) (xy 155.495 9.291928) (xy 155.03 9.291928) (xy 154.905518 9.304188) - (xy 154.88 9.311929) (xy 154.854482 9.304188) (xy 154.73 9.291928) (xy 153.93 9.291928) (xy 153.805518 9.304188) - (xy 153.78 9.311929) (xy 153.754482 9.304188) (xy 153.63 9.291928) (xy 152.83 9.291928) (xy 152.705518 9.304188) - (xy 152.58582 9.340498) (xy 152.475506 9.399463) (xy 152.378815 9.478815) (xy 152.299463 9.575506) (xy 152.240498 9.68582) - (xy 152.204188 9.805518) (xy 152.191928 9.93) (xy 152.191928 11.43) (xy 152.204188 11.554482) (xy 152.240498 11.67418) - (xy 152.299463 11.784494) (xy 152.378815 11.881185) (xy 152.475506 11.960537) (xy 152.518801 11.983679) (xy 152.5188 24.255411) - (xy 150.743972 26.030241) (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) (xy 149.616589 26.14401) - (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) (xy 148.726632 26.306525) - (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) (xy 147.346842 26.032068) - (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) (xy 146.393475 26.513368) - (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) (xy 145.09374 25.975) - (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) (xy 143.97 26.68776) - (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) (xy 142.84626 25.975) - (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) (xy 141.546525 26.513368) - (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) (xy 140.593158 26.032068) - (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) (xy 139.213368 26.306525) - (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) (xy 138.323411 26.14401) - (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) (xy 136.916589 26.14401) - (xy 136.673368 26.306525) (xy 136.466525 26.513368) (xy 136.35 26.68776) (xy 136.233475 26.513368) (xy 136.026632 26.306525) - (xy 135.783411 26.14401) (xy 135.513158 26.032068) (xy 135.22626 25.975) (xy 134.93374 25.975) (xy 134.656028 26.030241) - (xy 131.487602 22.861815) (xy 131.465327 22.834673) (xy 131.357033 22.745798) (xy 131.233481 22.679758) (xy 131.09942 22.639091) - (xy 130.994936 22.6288) (xy 130.994926 22.6288) (xy 130.96 22.62536) (xy 130.925074 22.6288) (xy 123.210786 22.6288) - (xy 123.053475 22.393368) (xy 122.846632 22.186525) (xy 122.67224 22.07) (xy 122.846632 21.953475) (xy 123.053475 21.746632) - (xy 123.21599 21.503411) (xy 123.327932 21.233158) (xy 123.385 20.94626) (xy 123.385 20.65374) (xy 123.327932 20.366842) - (xy 123.21599 20.096589) (xy 123.053475 19.853368) (xy 122.846632 19.646525) (xy 122.603411 19.48401) (xy 122.333158 19.372068) - (xy 122.04626 19.315) (xy 121.75374 19.315) (xy 121.466842 19.372068) (xy 121.196589 19.48401) (xy 120.953368 19.646525) - (xy 120.746525 19.853368) (xy 120.58401 20.096589) (xy 120.472068 20.366842) (xy 120.415 20.65374) (xy 120.415 20.94626) - (xy 120.472068 21.233158) (xy 120.58401 21.503411) (xy 120.746525 21.746632) (xy 120.953368 21.953475) (xy 121.12776 22.07) - (xy 120.953368 22.186525) (xy 120.746525 22.393368) (xy 120.58401 22.636589) (xy 120.472068 22.906842) (xy 120.415 23.19374) - (xy 120.415 23.48626) (xy 120.470241 23.763972) (xy 119.66181 24.572402) (xy 119.634673 24.594674) (xy 119.545798 24.702968) - (xy 119.479758 24.82652) (xy 119.439091 24.960581) (xy 119.4288 25.065065) (xy 119.4288 25.065074) (xy 119.42536 25.1) - (xy 119.4288 25.134926) (xy 119.428801 35.265064) (xy 119.42536 35.3) (xy 119.428801 35.334936) (xy 119.439092 35.43942) - (xy 119.477821 35.567092) (xy 119.479759 35.573481) (xy 119.545798 35.697032) (xy 119.612391 35.778175) (xy 119.634674 35.805327) - (xy 119.66181 35.827597) (xy 120.8188 36.984588) (xy 120.8188 37.045262) (xy 120.51375 37.045262) (xy 120.346592 37.061726) - (xy 120.185858 37.110484) (xy 120.037725 37.189663) (xy 119.907885 37.296219) (xy 119.896681 37.309872) (xy 119.844458 37.21217) - (xy 119.734792 37.078542) (xy 119.601164 36.968876) (xy 119.448709 36.887387) (xy 119.283285 36.837206) (xy 119.11125 36.820262) - (xy 118.62375 36.820262) (xy 118.451715 36.837206) (xy 118.286291 36.887387) (xy 118.133836 36.968876) (xy 118.000208 37.078542) - (xy 117.994992 37.084898) (xy 117.931185 37.007149) (xy 117.834494 36.927797) (xy 117.72418 36.868832) (xy 117.604482 36.832522) - (xy 117.48 36.820262) (xy 117.27825 36.823334) (xy 117.1195 36.982084) (xy 117.1195 38.031334) (xy 117.1395 38.031334) - (xy 117.1395 38.285334) (xy 117.1195 38.285334) (xy 117.1195 40.283) (xy 117.1395 40.283) (xy 117.1395 40.537) - (xy 117.1195 40.537) (xy 117.1195 41.58625) (xy 117.27825 41.745) (xy 117.48 41.748072) (xy 117.604482 41.735812) - (xy 117.72418 41.699502) (xy 117.834494 41.640537) (xy 117.931185 41.561185) (xy 117.994992 41.483436) (xy 118.000208 41.489792) - (xy 118.133836 41.599458) (xy 118.286291 41.680947) (xy 118.451715 41.731128) (xy 118.62375 41.748072) (xy 119.11125 41.748072) - (xy 119.283285 41.731128) (xy 119.448709 41.680947) (xy 119.601164 41.599458) (xy 119.734792 41.489792) (xy 119.844458 41.356164) - (xy 119.896681 41.258462) (xy 119.907885 41.272115) (xy 120.037725 41.378671) (xy 120.185858 41.45785) (xy 120.346592 41.506608) - (xy 120.51375 41.523072) (xy 120.95125 41.523072) (xy 121.118408 41.506608) (xy 121.279142 41.45785) (xy 121.427275 41.378671) - (xy 121.52 41.302574) (xy 121.612725 41.378671) (xy 121.760858 41.45785) (xy 121.921592 41.506608) (xy 122.08875 41.523072) - (xy 122.52625 41.523072) (xy 122.693408 41.506608) (xy 122.854142 41.45785) (xy 123.002275 41.378671) (xy 123.132115 41.272115) - (xy 123.238671 41.142275) (xy 123.31785 40.994142) (xy 123.366608 40.833408) (xy 123.383072 40.66625) (xy 123.383072 40.15375) - (xy 123.366608 39.986592) (xy 123.31785 39.825858) (xy 123.238671 39.677725) (xy 123.132115 39.547885) (xy 123.002275 39.441329) - (xy 122.854142 39.36215) (xy 122.693408 39.313392) (xy 122.52625 39.296928) (xy 122.2412 39.296928) (xy 122.2412 39.271406) - (xy 122.52625 39.271406) (xy 122.693408 39.254942) (xy 122.854142 39.206184) (xy 123.002275 39.127005) (xy 123.132115 39.020449) - (xy 123.238671 38.890609) (xy 123.31785 38.742476) (xy 123.366608 38.581742) (xy 123.383072 38.414584) (xy 123.383072 37.902084) - (xy 123.366608 37.734926) (xy 123.31785 37.574192) (xy 123.238671 37.426059) (xy 123.132115 37.296219) (xy 123.0187 37.203143) - (xy 123.0187 36.662425) (xy 123.02214 36.627499) (xy 123.0187 36.592573) (xy 123.0187 36.592564) (xy 123.008409 36.48808) - (xy 122.967742 36.354019) (xy 122.901702 36.230467) (xy 122.897965 36.225913) (xy 122.835097 36.149308) (xy 122.835093 36.149304) - (xy 122.812827 36.122173) (xy 122.785696 36.099907) (xy 121.642519 34.956731) (xy 121.773 34.82625) (xy 121.773 33.627) - (xy 122.027 33.627) (xy 122.027 34.82625) (xy 122.18575 34.985) (xy 122.75 34.988072) (xy 122.874482 34.975812) - (xy 122.99418 34.939502) (xy 123.104494 34.880537) (xy 123.201185 34.801185) (xy 123.280537 34.704494) (xy 123.339502 34.59418) - (xy 123.375812 34.474482) (xy 123.388072 34.35) (xy 123.385 33.78575) (xy 123.22625 33.627) (xy 122.027 33.627) - (xy 121.773 33.627) (xy 121.753 33.627) (xy 121.753 33.373) (xy 121.773 33.373) (xy 121.773 33.353) - (xy 122.027 33.353) (xy 122.027 33.373) (xy 123.22625 33.373) (xy 123.385 33.21425) (xy 123.388072 32.65) - (xy 123.375812 32.525518) (xy 123.339502 32.40582) (xy 123.280537 32.295506) (xy 123.201185 32.198815) (xy 123.104494 32.119463) - (xy 122.99418 32.060498) (xy 122.92162 32.038487) (xy 123.053475 31.906632) (xy 123.21599 31.663411) (xy 123.327932 31.393158) - (xy 123.385 31.10626) (xy 123.385 30.81374) (xy 123.327932 30.526842) (xy 123.21599 30.256589) (xy 123.053475 30.013368) - (xy 122.846632 29.806525) (xy 122.67224 29.69) (xy 122.846632 29.573475) (xy 123.053475 29.366632) (xy 123.21599 29.123411) - (xy 123.327932 28.853158) (xy 123.385 28.56626) (xy 123.385 28.27374) (xy 123.327932 27.986842) (xy 123.21599 27.716589) - (xy 123.053475 27.473368) (xy 122.846632 27.266525) (xy 122.67224 27.15) (xy 122.846632 27.033475) (xy 122.98661 26.893497) - (xy 125.492402 29.39929) (xy 125.514673 29.426427) (xy 125.622967 29.515302) (xy 125.746519 29.581342) (xy 125.88058 29.622009) - (xy 125.985064 29.6323) (xy 125.985071 29.6323) (xy 126.02 29.63574) (xy 126.054928 29.6323) (xy 128.511928 29.6323) - (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) - (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) - (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) - (xy 131.439502 31.09418) (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) - (xy 132.18311 31.441476) (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) - (xy 132.413 29.873) (xy 132.413 29.853) (xy 132.667 29.853) (xy 132.667 29.873) (xy 132.687 29.873) + (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 44.747414) + (xy 272.50235 44.684528) (xy 272.335754 44.633992) (xy 272.1625 44.616928) (xy 271.4375 44.616928) (xy 271.264246 44.633992) + (xy 271.09765 44.684528) (xy 270.944114 44.766595) (xy 270.809538 44.877038) (xy 270.699095 45.011614) (xy 270.617028 45.16515) + (xy 270.566492 45.331746) (xy 270.549428 45.505) (xy 270.549428 48.355) (xy 270.566492 48.528254) (xy 270.617028 48.69485) + (xy 270.699095 48.848386) (xy 270.809538 48.982962) (xy 270.835393 49.004181) (xy 270.804774 49.078102) (xy 270.765 49.278061) + (xy 270.765 49.481939) (xy 270.804774 49.681898) (xy 270.865 49.827296) (xy 270.865 49.840649) (xy 270.851185 49.823815) + (xy 270.754494 49.744463) (xy 270.64418 49.685498) (xy 270.524482 49.649188) (xy 270.4 49.636928) (xy 270.18575 49.64) + (xy 270.027 49.79875) (xy 270.027 50.873) (xy 270.047 50.873) (xy 270.047 51.127) (xy 270.027 51.127) + (xy 270.027 52.20125) (xy 270.18575 52.36) (xy 270.4 52.363072) (xy 270.524482 52.350812) (xy 270.64418 52.314502) + (xy 270.754494 52.255537) (xy 270.851185 52.176185) (xy 270.865001 52.159351) (xy 270.865 53.108349) (xy 270.757748 53.180013) + (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) (xy 270.165 54.288967) (xy 270.165 54.611033) + (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) (xy 270.757748 55.719987) (xy 271.025537 55.898918) + (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) (xy 272.276912 56.022168) (xy 272.574463 55.898918) + (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) (xy 272.276912 68.577832) (xy 271.961033 68.515) + (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) (xy 270.757748 68.880013) (xy 270.530013 69.107748) + (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) (xy 270.165 70.311033) (xy 270.227832 70.626912) + (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 271.025537 71.598918) (xy 271.323088 71.722168) + (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) (xy 272.574463 71.598918) (xy 272.62 71.568491) + (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) (xy 271.486835 74.335) (xy 271.305398 74.35287) + (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) (xy 270.515667 74.880551) (xy 270.400989 75.095099) + (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.309428 75.599475) (xy 270.309428 75.786183) (xy 270.253881 75.703051) + (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) (xy 269.331448 75.21) (xy 269.063552 75.21) + (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) (xy 267.992284 75.925799) + (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) (xy 267.889764 76.966697) (xy 267.992284 77.214201) + (xy 267.993079 77.21539) (xy 267.936979 77.316424) (xy 267.898759 77.435526) (xy 267.8875 77.53825) (xy 267.992248 77.642998) + (xy 267.948885 77.642998) (xy 267.679101 77.373214) (xy 267.656826 77.346072) (xy 267.548532 77.257197) (xy 267.42498 77.191157) + (xy 267.290919 77.15049) (xy 267.186435 77.140199) (xy 267.186425 77.140199) (xy 267.151499 77.136759) (xy 267.116573 77.140199) + (xy 265.570926 77.140199) (xy 265.536 77.136759) (xy 265.501074 77.140199) (xy 265.501065 77.140199) (xy 265.396581 77.15049) + (xy 265.286629 77.183844) (xy 265.30785 77.144142) (xy 265.356608 76.983408) (xy 265.373072 76.81625) (xy 265.373072 76.30375) + (xy 265.356608 76.136592) (xy 265.30785 75.975858) (xy 265.228671 75.827725) (xy 265.122115 75.697885) (xy 264.992275 75.591329) + (xy 264.844142 75.51215) (xy 264.683408 75.463392) (xy 264.51625 75.446928) (xy 264.07875 75.446928) (xy 263.911592 75.463392) + (xy 263.750858 75.51215) (xy 263.602725 75.591329) (xy 263.51 75.667426) (xy 263.417275 75.591329) (xy 263.269142 75.51215) + (xy 263.108408 75.463392) (xy 262.94125 75.446928) (xy 262.550799 75.446928) (xy 262.534369 75.438146) (xy 262.400308 75.397479) + (xy 262.295824 75.387188) (xy 262.295814 75.387188) (xy 262.260888 75.383748) (xy 262.225962 75.387188) (xy 259.21414 75.387188) + (xy 259.179212 75.383748) (xy 259.144283 75.387188) (xy 259.144276 75.387188) (xy 259.039792 75.397479) (xy 258.90573 75.438146) + (xy 258.819355 75.484315) (xy 258.782179 75.504186) (xy 258.673885 75.593061) (xy 258.651615 75.620197) (xy 255.775413 78.4964) + (xy 225.117726 78.4964) (xy 216.010293 69.388967) (xy 265.215 69.388967) (xy 265.215 69.711033) (xy 265.277832 70.026912) + (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) (xy 266.075537 70.998918) (xy 266.373088 71.122168) + (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) (xy 267.624463 70.998918) (xy 267.892252 70.819987) + (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) (xy 268.485 69.711033) (xy 268.485 69.388967) + (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) (xy 267.892252 68.280013) (xy 267.624463 68.101082) + (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) (xy 266.373088 67.977832) (xy 266.075537 68.101082) + (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) (xy 265.277832 69.073088) (xy 265.215 69.388967) + (xy 216.010293 69.388967) (xy 214.416326 67.795) (xy 261.916928 67.795) (xy 261.929188 67.919482) (xy 261.965498 68.03918) + (xy 262.024463 68.149494) (xy 262.103815 68.246185) (xy 262.200506 68.325537) (xy 262.31082 68.384502) (xy 262.430518 68.420812) + (xy 262.555 68.433072) (xy 262.74425 68.43) (xy 262.903 68.27125) (xy 262.903 67.4845) (xy 263.157 67.4845) + (xy 263.157 68.27125) (xy 263.31575 68.43) (xy 263.505 68.433072) (xy 263.629482 68.420812) (xy 263.74918 68.384502) + (xy 263.859494 68.325537) (xy 263.956185 68.246185) (xy 264.035537 68.149494) (xy 264.094502 68.03918) (xy 264.130812 67.919482) + (xy 264.143072 67.795) (xy 264.14 67.64325) (xy 263.98125 67.4845) (xy 263.157 67.4845) (xy 262.903 67.4845) + (xy 262.07875 67.4845) (xy 261.92 67.64325) (xy 261.916928 67.795) (xy 214.416326 67.795) (xy 210.21314 63.591815) + (xy 210.190865 63.564673) (xy 210.082571 63.475798) (xy 209.959019 63.409758) (xy 209.824958 63.369091) (xy 209.720474 63.3588) + (xy 209.720464 63.3588) (xy 209.685538 63.35536) (xy 209.650612 63.3588) (xy 208.503588 63.3588) (xy 208.501501 63.337608) + (xy 208.500101 63.304364) (xy 208.485913 63.245989) (xy 208.478679 63.212113) (xy 208.59374 63.235) (xy 208.88626 63.235) + (xy 209.173158 63.177932) (xy 209.443411 63.06599) (xy 209.686632 62.903475) (xy 209.893475 62.696632) (xy 210.05599 62.453411) + (xy 210.167932 62.183158) (xy 210.225 61.89626) (xy 210.225 61.60374) (xy 210.167932 61.316842) (xy 210.05599 61.046589) + (xy 209.893475 60.803368) (xy 209.686632 60.596525) (xy 209.510594 60.4789) (xy 209.740269 60.307588) (xy 209.935178 60.091355) + (xy 210.070011 59.865) (xy 243.746928 59.865) (xy 243.75 60.01675) (xy 243.90875 60.1755) (xy 244.733 60.1755) + (xy 244.733 59.38875) (xy 244.987 59.38875) (xy 244.987 60.1755) (xy 245.81125 60.1755) (xy 245.97 60.01675) + (xy 245.973072 59.865) (xy 245.960812 59.740518) (xy 245.924502 59.62082) (xy 245.865537 59.510506) (xy 245.786185 59.413815) + (xy 245.689494 59.334463) (xy 245.57918 59.275498) (xy 245.459482 59.239188) (xy 245.335 59.226928) (xy 245.14575 59.23) + (xy 244.987 59.38875) (xy 244.733 59.38875) (xy 244.57425 59.23) (xy 244.385 59.226928) (xy 244.260518 59.239188) + (xy 244.14082 59.275498) (xy 244.030506 59.334463) (xy 243.933815 59.413815) (xy 243.854463 59.510506) (xy 243.795498 59.62082) + (xy 243.759188 59.740518) (xy 243.746928 59.865) (xy 210.070011 59.865) (xy 210.084157 59.841252) (xy 210.181481 59.566891) + (xy 210.060814 59.337) (xy 208.867 59.337) (xy 208.867 59.357) (xy 208.613 59.357) (xy 208.613 59.337) + (xy 208.593 59.337) (xy 208.593 59.083) (xy 208.613 59.083) (xy 208.613 57.889845) (xy 208.867 57.889845) + (xy 208.867 59.083) (xy 210.060814 59.083) (xy 210.181481 58.853109) (xy 210.084157 58.578748) (xy 209.935178 58.328645) + (xy 209.740269 58.112412) (xy 209.50692 57.938359) (xy 209.244099 57.813175) (xy 209.09689 57.768524) (xy 208.867 57.889845) + (xy 208.613 57.889845) (xy 208.38311 57.768524) (xy 208.235901 57.813175) (xy 207.97308 57.938359) (xy 207.739731 58.112412) + (xy 207.544822 58.328645) (xy 207.475195 58.445534) (xy 207.353475 58.263368) (xy 207.146632 58.056525) (xy 206.903411 57.89401) + (xy 206.633158 57.782068) (xy 206.34626 57.725) (xy 206.05374 57.725) (xy 205.766842 57.782068) (xy 205.496589 57.89401) + (xy 205.253368 58.056525) (xy 205.046525 58.263368) (xy 204.93 58.43776) (xy 204.813475 58.263368) (xy 204.606632 58.056525) + (xy 204.363411 57.89401) (xy 204.093158 57.782068) (xy 203.80626 57.725) (xy 203.51374 57.725) (xy 203.226842 57.782068) + (xy 202.956589 57.89401) (xy 202.713368 58.056525) (xy 202.506525 58.263368) (xy 202.39 58.43776) (xy 202.273475 58.263368) + (xy 202.066632 58.056525) (xy 201.823411 57.89401) (xy 201.553158 57.782068) (xy 201.26626 57.725) (xy 200.97374 57.725) + (xy 200.686842 57.782068) (xy 200.416589 57.89401) (xy 200.173368 58.056525) (xy 199.966525 58.263368) (xy 199.85 58.43776) + (xy 199.733475 58.263368) (xy 199.526632 58.056525) (xy 199.283411 57.89401) (xy 199.013158 57.782068) (xy 198.72626 57.725) + (xy 198.43374 57.725) (xy 198.146842 57.782068) (xy 197.876589 57.89401) (xy 197.633368 58.056525) (xy 197.426525 58.263368) + (xy 197.31 58.43776) (xy 197.193475 58.263368) (xy 196.986632 58.056525) (xy 196.743411 57.89401) (xy 196.473158 57.782068) + (xy 196.18626 57.725) (xy 195.89374 57.725) (xy 195.606842 57.782068) (xy 195.336589 57.89401) (xy 195.093368 58.056525) + (xy 194.886525 58.263368) (xy 194.77 58.43776) (xy 194.653475 58.263368) (xy 194.446632 58.056525) (xy 194.203411 57.89401) + (xy 193.933158 57.782068) (xy 193.64626 57.725) (xy 193.35374 57.725) (xy 193.066842 57.782068) (xy 192.796589 57.89401) + (xy 192.553368 58.056525) (xy 192.346525 58.263368) (xy 192.23 58.43776) (xy 192.113475 58.263368) (xy 191.906632 58.056525) + (xy 191.663411 57.89401) (xy 191.393158 57.782068) (xy 191.10626 57.725) (xy 190.81374 57.725) (xy 190.526842 57.782068) + (xy 190.256589 57.89401) (xy 190.013368 58.056525) (xy 189.806525 58.263368) (xy 189.69 58.43776) (xy 189.573475 58.263368) + (xy 189.366632 58.056525) (xy 189.123411 57.89401) (xy 188.853158 57.782068) (xy 188.56626 57.725) (xy 188.27374 57.725) + (xy 187.986842 57.782068) (xy 187.716589 57.89401) (xy 187.473368 58.056525) (xy 187.266525 58.263368) (xy 187.15 58.43776) + (xy 187.033475 58.263368) (xy 186.826632 58.056525) (xy 186.583411 57.89401) (xy 186.313158 57.782068) (xy 186.02626 57.725) + (xy 185.73374 57.725) (xy 185.446842 57.782068) (xy 185.176589 57.89401) (xy 184.933368 58.056525) (xy 184.726525 58.263368) + (xy 184.61 58.43776) (xy 184.493475 58.263368) (xy 184.286632 58.056525) (xy 184.043411 57.89401) (xy 183.773158 57.782068) + (xy 183.48626 57.725) (xy 183.19374 57.725) (xy 182.906842 57.782068) (xy 182.636589 57.89401) (xy 182.393368 58.056525) + (xy 182.186525 58.263368) (xy 182.07 58.43776) (xy 181.953475 58.263368) (xy 181.746632 58.056525) (xy 181.503411 57.89401) + (xy 181.233158 57.782068) (xy 180.94626 57.725) (xy 180.65374 57.725) (xy 180.366842 57.782068) (xy 180.096589 57.89401) + (xy 179.853368 58.056525) (xy 179.646525 58.263368) (xy 179.53 58.43776) (xy 179.413475 58.263368) (xy 179.206632 58.056525) + (xy 178.963411 57.89401) (xy 178.693158 57.782068) (xy 178.40626 57.725) (xy 178.11374 57.725) (xy 177.826842 57.782068) + (xy 177.556589 57.89401) (xy 177.313368 58.056525) (xy 177.106525 58.263368) (xy 176.99 58.43776) (xy 176.873475 58.263368) + (xy 176.666632 58.056525) (xy 176.423411 57.89401) (xy 176.153158 57.782068) (xy 175.86626 57.725) (xy 175.57374 57.725) + (xy 175.286842 57.782068) (xy 175.016589 57.89401) (xy 174.773368 58.056525) (xy 174.566525 58.263368) (xy 174.45 58.43776) + (xy 174.333475 58.263368) (xy 174.126632 58.056525) (xy 173.883411 57.89401) (xy 173.613158 57.782068) (xy 173.32626 57.725) + (xy 173.03374 57.725) (xy 172.746842 57.782068) (xy 172.476589 57.89401) (xy 172.233368 58.056525) (xy 172.026525 58.263368) + (xy 171.91 58.43776) (xy 171.793475 58.263368) (xy 171.586632 58.056525) (xy 171.343411 57.89401) (xy 171.073158 57.782068) + (xy 170.78626 57.725) (xy 170.49374 57.725) (xy 170.206842 57.782068) (xy 169.936589 57.89401) (xy 169.693368 58.056525) + (xy 169.486525 58.263368) (xy 169.364805 58.445534) (xy 169.295178 58.328645) (xy 169.100269 58.112412) (xy 168.86692 57.938359) + (xy 168.604099 57.813175) (xy 168.45689 57.768524) (xy 168.227 57.889845) (xy 168.227 59.083) (xy 168.247 59.083) + (xy 168.247 59.337) (xy 168.227 59.337) (xy 168.227 61.623) (xy 168.247 61.623) (xy 168.247 61.877) + (xy 168.227 61.877) (xy 168.227 63.070155) (xy 168.45689 63.191476) (xy 168.604099 63.146825) (xy 168.86692 63.021641) + (xy 169.100269 62.847588) (xy 169.295178 62.631355) (xy 169.364805 62.514466) (xy 169.486525 62.696632) (xy 169.693368 62.903475) + (xy 169.923379 63.057163) (xy 163.43431 69.546233) (xy 163.407174 69.568503) (xy 163.384904 69.595639) (xy 163.384903 69.59564) + (xy 163.318298 69.676798) (xy 163.292405 69.725241) (xy 163.252259 69.800349) (xy 163.211592 69.93441) (xy 163.205546 69.995798) + (xy 163.19786 70.07383) (xy 163.201301 70.108766) (xy 163.201301 71.124449) (xy 163.127 71.19875) (xy 163.127 71.9855) + (xy 163.147 71.9855) (xy 163.147 72.2395) (xy 163.127 72.2395) (xy 163.127 72.2595) (xy 162.873 72.2595) + (xy 162.873 72.2395) (xy 162.853 72.2395) (xy 162.853 71.9855) (xy 162.873 71.9855) (xy 162.873 71.19875) + (xy 162.71425 71.04) (xy 162.525 71.036928) (xy 162.400518 71.049188) (xy 162.28082 71.085498) (xy 162.170506 71.144463) + (xy 162.073815 71.223815) (xy 162.03329 71.273195) (xy 161.982275 71.231329) (xy 161.834142 71.15215) (xy 161.673408 71.103392) + (xy 161.50625 71.086928) (xy 161.06875 71.086928) (xy 160.901592 71.103392) (xy 160.740858 71.15215) (xy 160.592725 71.231329) + (xy 160.5 71.307426) (xy 160.4237 71.244809) (xy 160.4237 65.352087) (xy 162.596029 63.179759) (xy 162.87374 63.235) + (xy 163.16626 63.235) (xy 163.453158 63.177932) (xy 163.723411 63.06599) (xy 163.966632 62.903475) (xy 164.173475 62.696632) + (xy 164.29 62.52224) (xy 164.406525 62.696632) (xy 164.613368 62.903475) (xy 164.856589 63.06599) (xy 165.126842 63.177932) + (xy 165.41374 63.235) (xy 165.70626 63.235) (xy 165.993158 63.177932) (xy 166.263411 63.06599) (xy 166.506632 62.903475) + (xy 166.713475 62.696632) (xy 166.835195 62.514466) (xy 166.904822 62.631355) (xy 167.099731 62.847588) (xy 167.33308 63.021641) + (xy 167.595901 63.146825) (xy 167.74311 63.191476) (xy 167.973 63.070155) (xy 167.973 61.877) (xy 167.953 61.877) + (xy 167.953 61.623) (xy 167.973 61.623) (xy 167.973 59.337) (xy 167.953 59.337) (xy 167.953 59.083) + (xy 167.973 59.083) (xy 167.973 57.889845) (xy 167.74311 57.768524) (xy 167.595901 57.813175) (xy 167.33308 57.938359) + (xy 167.099731 58.112412) (xy 166.904822 58.328645) (xy 166.835195 58.445534) (xy 166.8125 58.411569) (xy 166.8125 56.746657) + (xy 166.835506 56.765537) (xy 166.94582 56.824502) (xy 167.065518 56.860812) (xy 167.19 56.873072) (xy 167.34175 56.87) + (xy 167.5005 56.71125) (xy 167.5005 55.887) (xy 167.7545 55.887) (xy 167.7545 56.71125) (xy 167.91325 56.87) + (xy 168.065 56.873072) (xy 168.189482 56.860812) (xy 168.30918 56.824502) (xy 168.419494 56.765537) (xy 168.516185 56.686185) + (xy 168.595537 56.589494) (xy 168.654502 56.47918) (xy 168.690812 56.359482) (xy 168.703072 56.235) (xy 168.7 56.04575) + (xy 168.54125 55.887) (xy 167.7545 55.887) (xy 167.5005 55.887) (xy 167.4805 55.887) (xy 167.4805 55.633) + (xy 167.5005 55.633) (xy 167.5005 54.80875) (xy 167.7545 54.80875) (xy 167.7545 55.633) (xy 168.54125 55.633) + (xy 168.7 55.47425) (xy 168.703072 55.285) (xy 168.690812 55.160518) (xy 168.654502 55.04082) (xy 168.595537 54.930506) + (xy 168.516185 54.833815) (xy 168.419494 54.754463) (xy 168.30918 54.695498) (xy 168.189482 54.659188) (xy 168.065 54.646928) + (xy 167.91325 54.65) (xy 167.7545 54.80875) (xy 167.5005 54.80875) (xy 167.34175 54.65) (xy 167.19 54.646928) + (xy 167.065518 54.659188) (xy 166.94582 54.695498) (xy 166.835506 54.754463) (xy 166.76893 54.8091) (xy 166.747275 54.791329) + (xy 166.599142 54.71215) (xy 166.438408 54.663392) (xy 166.27125 54.646928) (xy 165.83375 54.646928) (xy 165.666592 54.663392) + (xy 165.505858 54.71215) (xy 165.357725 54.791329) (xy 165.227885 54.897885) (xy 165.121329 55.027725) (xy 165.04215 55.175858) + (xy 164.993392 55.336592) (xy 164.976928 55.50375) (xy 164.976928 56.01625) (xy 164.993392 56.183408) (xy 165.04215 56.344142) + (xy 165.121329 56.492275) (xy 165.227885 56.622115) (xy 165.2925 56.675143) (xy 165.292501 57.749116) (xy 165.126842 57.782068) + (xy 164.856589 57.89401) (xy 164.613368 58.056525) (xy 164.406525 58.263368) (xy 164.29 58.43776) (xy 164.173475 58.263368) + (xy 163.966632 58.056525) (xy 163.723411 57.89401) (xy 163.453158 57.782068) (xy 163.16626 57.725) (xy 162.87374 57.725) + (xy 162.586842 57.782068) (xy 162.316589 57.89401) (xy 162.073368 58.056525) (xy 161.866525 58.263368) (xy 161.75 58.43776) + (xy 161.633475 58.263368) (xy 161.426632 58.056525) (xy 161.183411 57.89401) (xy 160.913158 57.782068) (xy 160.62626 57.725) + (xy 160.33374 57.725) (xy 160.046842 57.782068) (xy 159.776589 57.89401) (xy 159.533368 58.056525) (xy 159.326525 58.263368) + (xy 159.21 58.43776) (xy 159.093475 58.263368) (xy 158.886632 58.056525) (xy 158.643411 57.89401) (xy 158.373158 57.782068) + (xy 158.08626 57.725) (xy 157.79374 57.725) (xy 157.506842 57.782068) (xy 157.236589 57.89401) (xy 156.993368 58.056525) + (xy 156.786525 58.263368) (xy 156.67 58.43776) (xy 156.553475 58.263368) (xy 156.346632 58.056525) (xy 156.103411 57.89401) + (xy 155.833158 57.782068) (xy 155.54626 57.725) (xy 155.25374 57.725) (xy 154.966842 57.782068) (xy 154.696589 57.89401) + (xy 154.453368 58.056525) (xy 154.246525 58.263368) (xy 154.13 58.43776) (xy 154.013475 58.263368) (xy 153.806632 58.056525) + (xy 153.563411 57.89401) (xy 153.293158 57.782068) (xy 153.00626 57.725) (xy 152.71374 57.725) (xy 152.426842 57.782068) + (xy 152.156589 57.89401) (xy 151.913368 58.056525) (xy 151.706525 58.263368) (xy 151.59 58.43776) (xy 151.473475 58.263368) + (xy 151.266632 58.056525) (xy 151.023411 57.89401) (xy 150.753158 57.782068) (xy 150.46626 57.725) (xy 150.17374 57.725) + (xy 149.886842 57.782068) (xy 149.616589 57.89401) (xy 149.373368 58.056525) (xy 149.166525 58.263368) (xy 149.05 58.43776) + (xy 148.933475 58.263368) (xy 148.726632 58.056525) (xy 148.483411 57.89401) (xy 148.213158 57.782068) (xy 147.92626 57.725) + (xy 147.63374 57.725) (xy 147.346842 57.782068) (xy 147.188419 57.847689) (xy 147.152597 57.80404) (xy 147.152593 57.804036) + (xy 147.130326 57.776904) (xy 147.103195 57.754638) (xy 145.1862 55.837644) (xy 145.1862 53.01) (xy 145.252002 53.01) + (xy 145.252002 52.880252) (xy 145.38175 53.01) (xy 145.483561 52.998937) (xy 145.602719 52.960892) (xy 145.712165 52.900331) + (xy 145.807693 52.819582) (xy 145.885632 52.721748) (xy 145.942987 52.610589) (xy 145.977554 52.490376) (xy 145.988005 52.365729) + (xy 145.985 51.88575) (xy 145.82625 51.727) (xy 145.338072 51.727) (xy 145.338072 51.497224) (xy 180.723366 51.497224) + (xy 180.726371 51.977203) (xy 180.885121 52.135953) (xy 181.488371 52.135953) (xy 181.488371 52.089203) (xy 181.535121 52.135953) + (xy 183.033371 52.135953) (xy 183.033371 52.389953) (xy 181.535121 52.389953) (xy 181.488371 52.436703) (xy 181.488371 52.389953) + (xy 180.885121 52.389953) (xy 180.726371 52.548703) (xy 180.723366 53.028682) (xy 180.733817 53.153329) (xy 180.768384 53.273542) + (xy 180.825739 53.384701) (xy 180.903678 53.482535) (xy 180.999206 53.563284) (xy 181.108652 53.623845) (xy 181.22781 53.66189) + (xy 181.329621 53.672953) (xy 181.488371 53.514203) (xy 181.488371 53.400557) (xy 181.553678 53.482535) (xy 181.649206 53.563284) + (xy 181.758652 53.623845) (xy 181.810599 53.640431) (xy 181.843121 53.672953) (xy 181.911371 53.665537) (xy 181.979621 53.672953) + (xy 182.012143 53.640431) (xy 182.06409 53.623845) (xy 182.173536 53.563284) (xy 182.236371 53.51017) (xy 182.299206 53.563284) + (xy 182.408652 53.623845) (xy 182.460599 53.640431) (xy 182.493121 53.672953) (xy 182.561371 53.665537) (xy 182.629621 53.672953) + (xy 182.662143 53.640431) (xy 182.71409 53.623845) (xy 182.823536 53.563284) (xy 182.886371 53.51017) (xy 182.949206 53.563284) + (xy 183.058652 53.623845) (xy 183.110599 53.640431) (xy 183.143121 53.672953) (xy 183.211371 53.665537) (xy 183.279621 53.672953) + (xy 183.312143 53.640431) (xy 183.36409 53.623845) (xy 183.409369 53.59879) (xy 183.409369 53.672953) (xy 183.475172 53.672953) + (xy 183.475172 54.061117) (xy 183.396063 54.140226) (xy 183.282795 54.309744) (xy 183.204774 54.498102) (xy 183.165 54.698061) + (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) (xy 183.540226 55.603937) + (xy 183.709744 55.717205) (xy 183.898102 55.795226) (xy 184.098061 55.835) (xy 184.301939 55.835) (xy 184.501898 55.795226) + (xy 184.690256 55.717205) (xy 184.8 55.643877) (xy 184.909744 55.717205) (xy 185.098102 55.795226) (xy 185.298061 55.835) + (xy 185.501939 55.835) (xy 185.701898 55.795226) (xy 185.890256 55.717205) (xy 186 55.643877) (xy 186.109744 55.717205) + (xy 186.298102 55.795226) (xy 186.498061 55.835) (xy 186.701939 55.835) (xy 186.901898 55.795226) (xy 187.090256 55.717205) + (xy 187.2 55.643877) (xy 187.309744 55.717205) (xy 187.498102 55.795226) (xy 187.698061 55.835) (xy 187.901939 55.835) + (xy 188.101898 55.795226) (xy 188.290256 55.717205) (xy 188.459774 55.603937) (xy 188.603937 55.459774) (xy 188.717205 55.290256) + (xy 188.795226 55.101898) (xy 188.835 54.901939) (xy 188.835 54.698061) (xy 188.797991 54.512001) (xy 188.909473 54.558179) + (xy 189.109432 54.597953) (xy 189.31331 54.597953) (xy 189.513269 54.558179) (xy 189.701627 54.480158) (xy 189.871145 54.36689) + (xy 190.015308 54.222727) (xy 190.128576 54.053209) (xy 190.206597 53.864851) (xy 190.246371 53.664892) (xy 190.246371 53.461014) + (xy 190.206597 53.261055) (xy 190.128576 53.072697) (xy 190.104726 53.037002) (xy 190.180042 52.945228) (xy 190.259221 52.797095) + (xy 190.307979 52.636361) (xy 190.324443 52.469203) (xy 190.324443 52.031703) (xy 190.307979 51.864545) (xy 190.259221 51.703811) + (xy 190.180042 51.555678) (xy 190.162271 51.534023) (xy 190.216908 51.467447) (xy 190.275873 51.357133) (xy 190.312183 51.237435) + (xy 190.324443 51.112953) (xy 190.321371 50.961203) (xy 190.162621 50.802453) (xy 189.338371 50.802453) (xy 189.338371 50.822453) + (xy 189.084371 50.822453) (xy 189.084371 50.802453) (xy 188.260121 50.802453) (xy 188.101371 50.961203) (xy 188.100049 51.026504) + (xy 188.015865 50.957416) (xy 187.905551 50.898451) (xy 187.785853 50.862141) (xy 187.661371 50.849881) (xy 187.211371 50.849881) + (xy 187.108284 50.860034) (xy 187.043121 50.852953) (xy 187.010874 50.8852) (xy 186.967191 50.898451) (xy 186.856877 50.957416) + (xy 186.786371 51.015279) (xy 186.715865 50.957416) (xy 186.605551 50.898451) (xy 186.561868 50.8852) (xy 186.529621 50.852953) + (xy 186.464458 50.860034) (xy 186.361371 50.849881) (xy 185.911371 50.849881) (xy 185.811371 50.85973) (xy 185.711371 50.849881) + (xy 185.261371 50.849881) (xy 185.161371 50.85973) (xy 185.061371 50.849881) (xy 184.611371 50.849881) (xy 184.511371 50.85973) + (xy 184.411371 50.849881) (xy 183.961371 50.849881) (xy 183.858284 50.860034) (xy 183.793121 50.852953) (xy 183.760874 50.8852) + (xy 183.717191 50.898451) (xy 183.606877 50.957416) (xy 183.536097 51.015504) (xy 183.473536 50.962622) (xy 183.36409 50.902061) + (xy 183.312143 50.885475) (xy 183.279621 50.852953) (xy 183.211371 50.860369) (xy 183.143121 50.852953) (xy 183.110599 50.885475) + (xy 183.058652 50.902061) (xy 182.949206 50.962622) (xy 182.886371 51.015736) (xy 182.823536 50.962622) (xy 182.71409 50.902061) + (xy 182.662143 50.885475) (xy 182.629621 50.852953) (xy 182.561371 50.860369) (xy 182.493121 50.852953) (xy 182.460599 50.885475) + (xy 182.408652 50.902061) (xy 182.299206 50.962622) (xy 182.236371 51.015736) (xy 182.173536 50.962622) (xy 182.06409 50.902061) + (xy 182.012143 50.885475) (xy 181.979621 50.852953) (xy 181.911371 50.860369) (xy 181.843121 50.852953) (xy 181.810599 50.885475) + (xy 181.758652 50.902061) (xy 181.649206 50.962622) (xy 181.553678 51.043371) (xy 181.488371 51.125349) (xy 181.488371 51.011703) + (xy 181.329621 50.852953) (xy 181.22781 50.864016) (xy 181.108652 50.902061) (xy 180.999206 50.962622) (xy 180.903678 51.043371) + (xy 180.825739 51.141205) (xy 180.768384 51.252364) (xy 180.733817 51.372577) (xy 180.723366 51.497224) (xy 145.338072 51.497224) + (xy 145.338072 51.473) (xy 145.82625 51.473) (xy 145.985 51.31425) (xy 145.988005 50.834271) (xy 145.977554 50.709624) + (xy 145.942987 50.589411) (xy 145.885632 50.478252) (xy 145.807693 50.380418) (xy 145.712165 50.299669) (xy 145.602719 50.239108) + (xy 145.599102 50.237953) (xy 188.098299 50.237953) (xy 188.101371 50.389703) (xy 188.260121 50.548453) (xy 189.084371 50.548453) + (xy 189.084371 49.761703) (xy 189.338371 49.761703) (xy 189.338371 50.548453) (xy 190.162621 50.548453) (xy 190.321371 50.389703) + (xy 190.324443 50.237953) (xy 190.312183 50.113471) (xy 190.275873 49.993773) (xy 190.216908 49.883459) (xy 190.137556 49.786768) + (xy 190.040865 49.707416) (xy 189.930551 49.648451) (xy 189.810853 49.612141) (xy 189.686371 49.599881) (xy 189.497121 49.602953) + (xy 189.338371 49.761703) (xy 189.084371 49.761703) (xy 188.925621 49.602953) (xy 188.736371 49.599881) (xy 188.611889 49.612141) + (xy 188.492191 49.648451) (xy 188.381877 49.707416) (xy 188.285186 49.786768) (xy 188.205834 49.883459) (xy 188.146869 49.993773) + (xy 188.110559 50.113471) (xy 188.098299 50.237953) (xy 145.599102 50.237953) (xy 145.483561 50.201063) (xy 145.38175 50.19) + (xy 145.223 50.34875) (xy 145.223 50.461322) (xy 145.151185 50.373815) (xy 145.054494 50.294463) (xy 144.94418 50.235498) + (xy 144.900497 50.222247) (xy 144.86825 50.19) (xy 144.803087 50.197081) (xy 144.7 50.186928) (xy 144.25 50.186928) + (xy 144.15 50.196777) (xy 144.05 50.186928) (xy 143.6 50.186928) (xy 143.5 50.196777) (xy 143.4 50.186928) + (xy 142.95 50.186928) (xy 142.85 50.196777) (xy 142.75 50.186928) (xy 142.3 50.186928) (xy 142.2 50.196777) + (xy 142.1 50.186928) (xy 141.65 50.186928) (xy 141.55 50.196777) (xy 141.45 50.186928) (xy 141 50.186928) + (xy 140.9 50.196777) (xy 140.8 50.186928) (xy 140.448881 50.186928) (xy 140.522249 50.164672) (xy 140.645801 50.098632) + (xy 140.754095 50.009757) (xy 140.77637 49.982615) (xy 143.003195 47.755791) (xy 143.030326 47.733525) (xy 143.052593 47.706393) + (xy 143.052597 47.706389) (xy 143.119202 47.625231) (xy 143.185241 47.50168) (xy 143.185242 47.501679) (xy 143.212121 47.413072) + (xy 143.4 47.413072) (xy 143.5 47.403223) (xy 143.6 47.413072) (xy 144.05 47.413072) (xy 144.15 47.403223) + (xy 144.25 47.413072) (xy 144.7 47.413072) (xy 144.8 47.403223) (xy 144.9 47.413072) (xy 145.35 47.413072) + (xy 145.474482 47.400812) (xy 145.59418 47.364502) (xy 145.704494 47.305537) (xy 145.801185 47.226185) (xy 145.880537 47.129494) + (xy 145.939502 47.01918) (xy 145.975812 46.899482) (xy 145.988072 46.775) (xy 145.988072 45.225) (xy 145.975812 45.100518) + (xy 145.939502 44.98082) (xy 145.880537 44.870506) (xy 145.8362 44.816481) (xy 145.8362 32.869587) (xy 146.796797 31.908992) + (xy 146.823928 31.886726) (xy 146.846194 31.859595) (xy 146.846199 31.85959) (xy 146.912803 31.778432) (xy 146.978843 31.654881) + (xy 147.01951 31.520819) (xy 147.01983 31.517565) (xy 147.029801 31.416335) (xy 147.029801 31.416328) (xy 147.033241 31.381399) + (xy 147.029801 31.346471) (xy 147.029801 31.284727) (xy 147.076589 31.31599) (xy 147.346842 31.427932) (xy 147.63374 31.485) + (xy 147.92626 31.485) (xy 148.213158 31.427932) (xy 148.483411 31.31599) (xy 148.726632 31.153475) (xy 148.933475 30.946632) + (xy 149.05 30.77224) (xy 149.166525 30.946632) (xy 149.373368 31.153475) (xy 149.616589 31.31599) (xy 149.886842 31.427932) + (xy 150.17374 31.485) (xy 150.46626 31.485) (xy 150.753158 31.427932) (xy 151.023411 31.31599) (xy 151.266632 31.153475) + (xy 151.473475 30.946632) (xy 151.59 30.77224) (xy 151.706525 30.946632) (xy 151.913368 31.153475) (xy 152.156589 31.31599) + (xy 152.426842 31.427932) (xy 152.71374 31.485) (xy 153.00626 31.485) (xy 153.293158 31.427932) (xy 153.563411 31.31599) + (xy 153.806632 31.153475) (xy 154.013475 30.946632) (xy 154.13 30.77224) (xy 154.246525 30.946632) (xy 154.453368 31.153475) + (xy 154.696589 31.31599) (xy 154.966842 31.427932) (xy 155.25374 31.485) (xy 155.54626 31.485) (xy 155.833158 31.427932) + (xy 156.103411 31.31599) (xy 156.346632 31.153475) (xy 156.553475 30.946632) (xy 156.67 30.77224) (xy 156.786525 30.946632) + (xy 156.993368 31.153475) (xy 157.236589 31.31599) (xy 157.506842 31.427932) (xy 157.79374 31.485) (xy 158.08626 31.485) + (xy 158.373158 31.427932) (xy 158.643411 31.31599) (xy 158.886632 31.153475) (xy 159.093475 30.946632) (xy 159.21 30.77224) + (xy 159.326525 30.946632) (xy 159.533368 31.153475) (xy 159.776589 31.31599) (xy 160.046842 31.427932) (xy 160.33374 31.485) + (xy 160.62626 31.485) (xy 160.903972 31.429759) (xy 173.352407 43.878196) (xy 173.374673 43.905327) (xy 173.401804 43.927593) + (xy 173.401809 43.927598) (xy 173.482967 43.994202) (xy 173.606518 44.060242) (xy 173.700438 44.088732) (xy 173.74058 44.100909) + (xy 173.845064 44.1112) (xy 173.845071 44.1112) (xy 173.88 44.11464) (xy 173.914928 44.1112) (xy 182.206231 44.1112) + (xy 183.409369 45.314339) (xy 183.409369 45.327116) (xy 183.36409 45.302061) (xy 183.312143 45.285475) (xy 183.279621 45.252953) + (xy 183.211371 45.260369) (xy 183.143121 45.252953) (xy 183.110599 45.285475) (xy 183.058652 45.302061) (xy 182.949206 45.362622) + (xy 182.886371 45.415736) (xy 182.823536 45.362622) (xy 182.71409 45.302061) (xy 182.662143 45.285475) (xy 182.629621 45.252953) + (xy 182.561371 45.260369) (xy 182.493121 45.252953) (xy 182.460599 45.285475) (xy 182.408652 45.302061) (xy 182.299206 45.362622) + (xy 182.236371 45.415736) (xy 182.173536 45.362622) (xy 182.06409 45.302061) (xy 182.012143 45.285475) (xy 181.979621 45.252953) + (xy 181.911371 45.260369) (xy 181.843121 45.252953) (xy 181.810599 45.285475) (xy 181.758652 45.302061) (xy 181.649206 45.362622) + (xy 181.553678 45.443371) (xy 181.488371 45.525349) (xy 181.488371 45.411703) (xy 181.329621 45.252953) (xy 181.22781 45.264016) + (xy 181.108652 45.302061) (xy 180.999206 45.362622) (xy 180.903678 45.443371) (xy 180.825739 45.541205) (xy 180.768384 45.652364) + (xy 180.733817 45.772577) (xy 180.723366 45.897224) (xy 180.726371 46.377203) (xy 180.885121 46.535953) (xy 181.488371 46.535953) + (xy 181.488371 46.489203) (xy 181.535121 46.535953) (xy 183.683371 46.535953) (xy 183.683371 46.789953) (xy 181.535121 46.789953) + (xy 181.488371 46.836703) (xy 181.488371 46.789953) (xy 180.885121 46.789953) (xy 180.726371 46.948703) (xy 180.723366 47.428682) + (xy 180.733817 47.553329) (xy 180.768384 47.673542) (xy 180.825739 47.784701) (xy 180.903678 47.882535) (xy 180.999206 47.963284) + (xy 181.108652 48.023845) (xy 181.22781 48.06189) (xy 181.329621 48.072953) (xy 181.488371 47.914203) (xy 181.488371 47.800557) + (xy 181.553678 47.882535) (xy 181.649206 47.963284) (xy 181.758652 48.023845) (xy 181.810599 48.040431) (xy 181.843121 48.072953) + (xy 181.911371 48.065537) (xy 181.979621 48.072953) (xy 182.012143 48.040431) (xy 182.06409 48.023845) (xy 182.173536 47.963284) + (xy 182.236371 47.91017) (xy 182.299206 47.963284) (xy 182.408652 48.023845) (xy 182.460599 48.040431) (xy 182.493121 48.072953) + (xy 182.561371 48.065537) (xy 182.629621 48.072953) (xy 182.662143 48.040431) (xy 182.71409 48.023845) (xy 182.823536 47.963284) + (xy 182.886371 47.91017) (xy 182.949206 47.963284) (xy 183.058652 48.023845) (xy 183.110599 48.040431) (xy 183.143121 48.072953) + (xy 183.211371 48.065537) (xy 183.279621 48.072953) (xy 183.312143 48.040431) (xy 183.36409 48.023845) (xy 183.473536 47.963284) + (xy 183.536371 47.91017) (xy 183.599206 47.963284) (xy 183.708652 48.023845) (xy 183.760599 48.040431) (xy 183.793121 48.072953) + (xy 183.861371 48.065537) (xy 183.929621 48.072953) (xy 183.962143 48.040431) (xy 184.01409 48.023845) (xy 184.123536 47.963284) + (xy 184.186097 47.910402) (xy 184.256877 47.96849) (xy 184.367191 48.027455) (xy 184.410874 48.040706) (xy 184.443121 48.072953) + (xy 184.508284 48.065872) (xy 184.611371 48.076025) (xy 185.061371 48.076025) (xy 185.161371 48.066176) (xy 185.261371 48.076025) + (xy 185.711371 48.076025) (xy 185.811371 48.066176) (xy 185.911371 48.076025) (xy 186.361371 48.076025) (xy 186.461371 48.066176) + (xy 186.561371 48.076025) (xy 187.011371 48.076025) (xy 187.111371 48.066176) (xy 187.211371 48.076025) (xy 187.661371 48.076025) + (xy 187.785853 48.063765) (xy 187.905551 48.027455) (xy 188.015865 47.96849) (xy 188.112556 47.889138) (xy 188.191908 47.792447) + (xy 188.250873 47.682133) (xy 188.287183 47.562435) (xy 188.299443 47.437953) (xy 188.299443 45.887953) (xy 188.287183 45.763471) + (xy 188.250873 45.643773) (xy 188.191908 45.533459) (xy 188.112556 45.436768) (xy 188.015865 45.357416) (xy 187.905551 45.298451) + (xy 187.785853 45.262141) (xy 187.661371 45.249881) (xy 187.307829 45.249881) (xy 187.291698 45.230226) (xy 187.264567 45.20796) + (xy 184.02842 41.971815) (xy 184.006145 41.944673) (xy 183.897851 41.855798) (xy 183.774299 41.789758) (xy 183.640238 41.749091) + (xy 183.535754 41.7388) (xy 183.535744 41.7388) (xy 183.500818 41.73536) (xy 183.465892 41.7388) (xy 179.248259 41.7388) + (xy 172.196122 34.686664) (xy 172.26918 34.664502) (xy 172.379494 34.605537) (xy 172.44607 34.5509) (xy 172.467725 34.568671) + (xy 172.615858 34.64785) (xy 172.776592 34.696608) (xy 172.94375 34.713072) (xy 173.38125 34.713072) (xy 173.548408 34.696608) + (xy 173.709142 34.64785) (xy 173.857275 34.568671) (xy 173.987115 34.462115) (xy 174.093671 34.332275) (xy 174.17285 34.184142) + (xy 174.221608 34.023408) (xy 174.238072 33.85625) (xy 174.238072 33.34375) (xy 174.221608 33.176592) (xy 174.17285 33.015858) + (xy 174.093671 32.867725) (xy 173.987115 32.737885) (xy 173.9225 32.684857) (xy 173.9225 31.289872) (xy 174.126632 31.153475) + (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) (xy 174.773368 31.153475) (xy 175.016589 31.31599) + (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) (xy 176.143972 31.429759) (xy 201.763792 57.04958) + (xy 201.786063 57.076717) (xy 201.894357 57.165592) (xy 202.017909 57.231632) (xy 202.15197 57.272299) (xy 202.256454 57.28259) + (xy 202.256463 57.28259) (xy 202.291389 57.28603) (xy 202.326315 57.28259) (xy 222.421966 57.28259) (xy 222.942571 57.803196) + (xy 222.964837 57.830327) (xy 222.991968 57.852593) (xy 222.991972 57.852597) (xy 223.043194 57.894633) (xy 223.073131 57.919202) + (xy 223.196683 57.985242) (xy 223.330744 58.025909) (xy 223.435228 58.0362) (xy 223.435245 58.0362) (xy 223.470163 58.039639) + (xy 223.505081 58.0362) (xy 244.515 58.0362) (xy 244.515 58.076939) (xy 244.554774 58.276898) (xy 244.632795 58.465256) + (xy 244.746063 58.634774) (xy 244.890226 58.778937) (xy 245.059744 58.892205) (xy 245.248102 58.970226) (xy 245.448061 59.01) + (xy 245.651939 59.01) (xy 245.851898 58.970226) (xy 246.040256 58.892205) (xy 246.209774 58.778937) (xy 246.278711 58.71) + (xy 246.66 58.71) (xy 246.66 58.752002) (xy 246.744955 58.752002) (xy 246.716485 58.805265) (xy 246.66 58.86175) + (xy 246.669144 58.945968) (xy 246.656928 59.07) (xy 246.656928 59.48) (xy 246.668747 59.6) (xy 246.656928 59.72) + (xy 246.656928 60.13) (xy 246.668747 60.25) (xy 246.656928 60.37) (xy 246.656928 60.78) (xy 246.668747 60.9) + (xy 246.665533 60.932628) (xy 246.531898 60.877274) (xy 246.331939 60.8375) (xy 246.128061 60.8375) (xy 245.958783 60.871171) + (xy 245.960812 60.864482) (xy 245.973072 60.74) (xy 245.97 60.58825) (xy 245.81125 60.4295) (xy 244.987 60.4295) + (xy 244.987 60.4495) (xy 244.733 60.4495) (xy 244.733 60.4295) (xy 243.90875 60.4295) (xy 243.75 60.58825) + (xy 243.746928 60.74) (xy 243.759188 60.864482) (xy 243.795498 60.98418) (xy 243.854463 61.094494) (xy 243.9091 61.16107) + (xy 243.891329 61.182725) (xy 243.81215 61.330858) (xy 243.763392 61.491592) (xy 243.746928 61.65875) (xy 243.746928 62.09625) + (xy 243.763392 62.263408) (xy 243.81215 62.424142) (xy 243.891329 62.572275) (xy 243.997885 62.702115) (xy 244.127725 62.808671) + (xy 244.275858 62.88785) (xy 244.436592 62.936608) (xy 244.60375 62.953072) (xy 245.11625 62.953072) (xy 245.283408 62.936608) + (xy 245.444142 62.88785) (xy 245.592275 62.808671) (xy 245.671196 62.743903) (xy 245.739744 62.789705) (xy 245.928102 62.867726) + (xy 246.128061 62.9075) (xy 246.331939 62.9075) (xy 246.531898 62.867726) (xy 246.66506 62.812568) (xy 246.669188 62.854482) + (xy 246.705498 62.97418) (xy 246.764463 63.084494) (xy 246.843815 63.181185) (xy 246.940506 63.260537) (xy 247.05082 63.319502) + (xy 247.128306 63.343007) (xy 247.084774 63.448102) (xy 247.045 63.648061) (xy 247.045 63.851939) (xy 247.084774 64.051898) + (xy 247.162795 64.240256) (xy 247.276063 64.409774) (xy 247.420226 64.553937) (xy 247.589744 64.667205) (xy 247.778102 64.745226) + (xy 247.978061 64.785) (xy 248.181939 64.785) (xy 248.381898 64.745226) (xy 248.570256 64.667205) (xy 248.739774 64.553937) + (xy 248.883937 64.409774) (xy 248.997205 64.240256) (xy 249.075226 64.051898) (xy 249.115 63.851939) (xy 249.115 63.648061) + (xy 249.075226 63.448102) (xy 249.031694 63.343007) (xy 249.10918 63.319502) (xy 249.219494 63.260537) (xy 249.316185 63.181185) + (xy 249.395537 63.084494) (xy 249.454502 62.97418) (xy 249.490812 62.854482) (xy 249.503072 62.73) (xy 249.503072 62.716459) + (xy 253.814807 67.028196) (xy 253.837073 67.055327) (xy 253.864204 67.077593) (xy 253.864208 67.077597) (xy 253.923151 67.12597) + (xy 253.945367 67.144202) (xy 254.068919 67.210242) (xy 254.20298 67.250909) (xy 254.307464 67.2612) (xy 254.307473 67.2612) + (xy 254.342399 67.26464) (xy 254.377325 67.2612) (xy 264.702872 67.2612) (xy 264.75582 67.289502) (xy 264.875518 67.325812) + (xy 265 67.338072) (xy 266.9 67.338072) (xy 267.024482 67.325812) (xy 267.14418 67.289502) (xy 267.254494 67.230537) + (xy 267.351185 67.151185) (xy 267.430537 67.054494) (xy 267.489502 66.94418) (xy 267.525812 66.824482) (xy 267.538072 66.7) + (xy 267.538072 66.4) (xy 267.528223 66.3) (xy 267.538072 66.2) (xy 267.538072 65.9) (xy 267.52796 65.797326) + (xy 267.535 65.73175) (xy 267.502753 65.699503) (xy 267.489502 65.65582) (xy 267.432939 65.55) (xy 267.489502 65.44418) + (xy 267.502753 65.400497) (xy 267.535 65.36825) (xy 267.52796 65.302674) (xy 267.538072 65.2) (xy 267.538072 64.9) + (xy 267.528223 64.8) (xy 267.538072 64.7) (xy 267.538072 64.4) (xy 267.528223 64.3) (xy 267.538072 64.2) + (xy 267.538072 63.9) (xy 267.528223 63.8) (xy 267.538072 63.7) (xy 267.538072 63.4) (xy 267.528223 63.3) + (xy 267.538072 63.2) (xy 267.538072 62.9) (xy 267.52796 62.797326) (xy 267.535 62.73175) (xy 267.502753 62.699503) + (xy 267.489502 62.65582) (xy 267.432939 62.55) (xy 267.489502 62.44418) (xy 267.502753 62.400497) (xy 267.535 62.36825) + (xy 267.52796 62.302674) (xy 267.538072 62.2) (xy 267.538072 61.9) (xy 267.528223 61.8) (xy 267.538072 61.7) + (xy 267.538072 61.4) (xy 267.52796 61.297326) (xy 267.535 61.23175) (xy 267.502753 61.199503) (xy 267.489502 61.15582) + (xy 267.432939 61.05) (xy 267.489502 60.94418) (xy 267.502753 60.900497) (xy 267.535 60.86825) (xy 267.52796 60.802674) + (xy 267.538072 60.7) (xy 267.538072 60.4) (xy 267.528223 60.3) (xy 267.538072 60.2) (xy 267.538072 59.9) + (xy 267.52796 59.797326) (xy 267.535 59.73175) (xy 267.502753 59.699503) (xy 267.489502 59.65582) (xy 267.432939 59.55) + (xy 267.489502 59.44418) (xy 267.502753 59.400497) (xy 267.535 59.36825) (xy 267.52796 59.302674) (xy 267.538072 59.2) + (xy 267.538072 58.9) (xy 267.528223 58.8) (xy 267.538072 58.7) (xy 267.538072 58.4) (xy 267.52796 58.297326) + (xy 267.535 58.23175) (xy 267.502753 58.199503) (xy 267.489502 58.15582) (xy 267.432939 58.05) (xy 267.489502 57.94418) + (xy 267.502753 57.900497) (xy 267.535 57.86825) (xy 267.52796 57.802674) (xy 267.538072 57.7) (xy 267.538072 57.4) + (xy 267.525812 57.275518) (xy 267.489502 57.15582) (xy 267.430537 57.045506) (xy 267.351185 56.948815) (xy 267.254494 56.869463) + (xy 267.14418 56.810498) (xy 267.024482 56.774188) (xy 266.9 56.761928) (xy 265 56.761928) (xy 264.875518 56.774188) + (xy 264.75582 56.810498) (xy 264.702872 56.8388) (xy 264.559927 56.8388) (xy 264.524999 56.83536) (xy 264.49007 56.8388) + (xy 264.490063 56.8388) (xy 264.385579 56.849091) (xy 264.251517 56.889758) (xy 264.233419 56.899432) (xy 264.127966 56.955798) + (xy 264.019672 57.044673) (xy 263.997401 57.07181) (xy 263.882811 57.1864) (xy 262.727716 57.1864) (xy 261.26073 55.719415) + (xy 261.238455 55.692273) (xy 261.130161 55.603398) (xy 261.006609 55.537358) (xy 260.872548 55.496691) (xy 260.768064 55.4864) + (xy 260.768054 55.4864) (xy 260.733128 55.48296) (xy 260.698202 55.4864) (xy 259.875901 55.4864) (xy 260.21598 55.146322) + (xy 260.23375 55.148072) (xy 260.72125 55.148072) (xy 260.893285 55.131128) (xy 261.058709 55.080947) (xy 261.211164 54.999458) + (xy 261.344792 54.889792) (xy 261.345469 54.888967) (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) + (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) + (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) + (xy 268.119987 56.092252) (xy 268.298918 55.824463) (xy 268.422168 55.526912) (xy 268.485 55.211033) (xy 268.485 54.888967) + (xy 268.422168 54.573088) (xy 268.298918 54.275537) (xy 268.119987 54.007748) (xy 267.892252 53.780013) (xy 267.624463 53.601082) + (xy 267.326912 53.477832) (xy 267.011033 53.415) (xy 266.688967 53.415) (xy 266.373088 53.477832) (xy 266.075537 53.601082) + (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) (xy 265.277832 54.573088) (xy 265.215 54.888967) + (xy 261.345469 54.888967) (xy 261.454458 54.756164) (xy 261.535947 54.603709) (xy 261.586128 54.438285) (xy 261.603072 54.26625) + (xy 261.603072 53.35375) (xy 261.586128 53.181715) (xy 261.535947 53.016291) (xy 261.454458 52.863836) (xy 261.344792 52.730208) + (xy 261.211164 52.620542) (xy 261.058709 52.539053) (xy 260.893285 52.488872) (xy 260.72125 52.471928) (xy 260.23375 52.471928) + (xy 260.061715 52.488872) (xy 259.896291 52.539053) (xy 259.743836 52.620542) (xy 259.610208 52.730208) (xy 259.604992 52.736564) + (xy 259.541185 52.658815) (xy 259.444494 52.579463) (xy 259.33418 52.520498) (xy 259.214482 52.484188) (xy 259.09 52.471928) + (xy 258.88825 52.475) (xy 258.7295 52.63375) (xy 258.7295 53.683) (xy 258.7495 53.683) (xy 258.7495 53.937) + (xy 258.7295 53.937) (xy 258.7295 53.957) (xy 258.4755 53.957) (xy 258.4755 53.937) (xy 257.63875 53.937) + (xy 257.48 54.09575) (xy 257.478096 54.3525) (xy 257.448061 54.3525) (xy 257.391876 54.363676) (xy 257.403072 54.25) + (xy 257.4 54.09825) (xy 257.24125 53.9395) (xy 256.417 53.9395) (xy 256.417 53.9595) (xy 256.163 53.9595) + (xy 256.163 53.9395) (xy 255.33875 53.9395) (xy 255.18 54.09825) (xy 255.176928 54.25) (xy 255.189188 54.374482) + (xy 255.225498 54.49418) (xy 255.284463 54.604494) (xy 255.293085 54.615) (xy 254.913728 54.615) (xy 254.84918 54.580498) + (xy 254.749332 54.55021) (xy 254.788671 54.502275) (xy 254.86785 54.354142) (xy 254.916608 54.193408) (xy 254.933072 54.02625) + (xy 254.933072 53.58875) (xy 254.916608 53.421592) (xy 254.902475 53.375) (xy 255.176928 53.375) (xy 255.18 53.52675) + (xy 255.33875 53.6855) (xy 256.163 53.6855) (xy 256.163 52.89875) (xy 256.417 52.89875) (xy 256.417 53.6855) + (xy 257.24125 53.6855) (xy 257.4 53.52675) (xy 257.403072 53.375) (xy 257.390812 53.250518) (xy 257.354502 53.13082) + (xy 257.343374 53.11) (xy 257.476928 53.11) (xy 257.48 53.52425) (xy 257.63875 53.683) (xy 258.4755 53.683) + (xy 258.4755 52.63375) (xy 258.31675 52.475) (xy 258.115 52.471928) (xy 257.990518 52.484188) (xy 257.87082 52.520498) + (xy 257.760506 52.579463) (xy 257.663815 52.658815) (xy 257.584463 52.755506) (xy 257.525498 52.86582) (xy 257.489188 52.985518) + (xy 257.476928 53.11) (xy 257.343374 53.11) (xy 257.295537 53.020506) (xy 257.216185 52.923815) (xy 257.119494 52.844463) + (xy 257.00918 52.785498) (xy 256.889482 52.749188) (xy 256.765 52.736928) (xy 256.57575 52.74) (xy 256.417 52.89875) + (xy 256.163 52.89875) (xy 256.00425 52.74) (xy 255.815 52.736928) (xy 255.690518 52.749188) (xy 255.57082 52.785498) + (xy 255.460506 52.844463) (xy 255.363815 52.923815) (xy 255.284463 53.020506) (xy 255.225498 53.13082) (xy 255.189188 53.250518) + (xy 255.176928 53.375) (xy 254.902475 53.375) (xy 254.86785 53.260858) (xy 254.788671 53.112725) (xy 254.7709 53.09107) + (xy 254.825537 53.024494) (xy 254.884502 52.91418) (xy 254.920812 52.794482) (xy 254.933072 52.67) (xy 254.93 52.51825) + (xy 254.77125 52.3595) (xy 253.947 52.3595) (xy 253.947 52.3795) (xy 253.693 52.3795) (xy 253.693 52.3595) + (xy 252.86875 52.3595) (xy 252.71 52.51825) (xy 252.706928 52.67) (xy 252.719188 52.794482) (xy 252.755498 52.91418) + (xy 252.814463 53.024494) (xy 252.8691 53.09107) (xy 252.851329 53.112725) (xy 252.77215 53.260858) (xy 252.723392 53.421592) + (xy 252.706928 53.58875) (xy 252.706928 54.02625) (xy 252.723392 54.193408) (xy 252.728365 54.209803) (xy 252.725079 54.205798) + (xy 252.669997 54.13868) (xy 252.669988 54.138671) (xy 252.647726 54.111545) (xy 252.620601 54.089284) (xy 250.40073 51.869415) + (xy 250.378455 51.842273) (xy 250.320853 51.795) (xy 252.706928 51.795) (xy 252.71 51.94675) (xy 252.86875 52.1055) + (xy 253.693 52.1055) (xy 253.693 51.31875) (xy 253.947 51.31875) (xy 253.947 52.1055) (xy 254.77125 52.1055) + (xy 254.93 51.94675) (xy 254.933072 51.795) (xy 254.926178 51.725) (xy 268.761928 51.725) (xy 268.774188 51.849482) + (xy 268.810498 51.96918) (xy 268.869463 52.079494) (xy 268.948815 52.176185) (xy 269.045506 52.255537) (xy 269.15582 52.314502) + (xy 269.275518 52.350812) (xy 269.4 52.363072) (xy 269.61425 52.36) (xy 269.773 52.20125) (xy 269.773 51.127) + (xy 268.92375 51.127) (xy 268.765 51.28575) (xy 268.761928 51.725) (xy 254.926178 51.725) (xy 254.920812 51.670518) + (xy 254.884502 51.55082) (xy 254.825537 51.440506) (xy 254.746185 51.343815) (xy 254.649494 51.264463) (xy 254.53918 51.205498) + (xy 254.419482 51.169188) (xy 254.295 51.156928) (xy 254.10575 51.16) (xy 253.947 51.31875) (xy 253.693 51.31875) + (xy 253.53425 51.16) (xy 253.345 51.156928) (xy 253.220518 51.169188) (xy 253.10082 51.205498) (xy 252.990506 51.264463) + (xy 252.893815 51.343815) (xy 252.814463 51.440506) (xy 252.755498 51.55082) (xy 252.719188 51.670518) (xy 252.706928 51.795) + (xy 250.320853 51.795) (xy 250.270161 51.753398) (xy 250.146609 51.687358) (xy 250.012548 51.646691) (xy 249.908064 51.6364) + (xy 249.908054 51.6364) (xy 249.873128 51.63296) (xy 249.838202 51.6364) (xy 224.193241 51.6364) (xy 222.831841 50.275) + (xy 268.761928 50.275) (xy 268.765 50.71425) (xy 268.92375 50.873) (xy 269.773 50.873) (xy 269.773 49.79875) + (xy 269.61425 49.64) (xy 269.4 49.636928) (xy 269.275518 49.649188) (xy 269.15582 49.685498) (xy 269.045506 49.744463) + (xy 268.948815 49.823815) (xy 268.869463 49.920506) (xy 268.810498 50.03082) (xy 268.774188 50.150518) (xy 268.761928 50.275) + (xy 222.831841 50.275) (xy 221.161841 48.605) (xy 264.624428 48.605) (xy 264.636688 48.729482) (xy 264.672998 48.84918) + (xy 264.731963 48.959494) (xy 264.811315 49.056185) (xy 264.908006 49.135537) (xy 265.01832 49.194502) (xy 265.138018 49.230812) + (xy 265.2625 49.243072) (xy 265.58925 49.24) (xy 265.748 49.08125) (xy 265.748 47.057) (xy 266.002 47.057) + (xy 266.002 49.08125) (xy 266.16075 49.24) (xy 266.4875 49.243072) (xy 266.611982 49.230812) (xy 266.73168 49.194502) + (xy 266.841994 49.135537) (xy 266.938685 49.056185) (xy 267.018037 48.959494) (xy 267.077002 48.84918) (xy 267.113312 48.729482) + (xy 267.125572 48.605) (xy 267.1225 47.21575) (xy 266.96375 47.057) (xy 266.002 47.057) (xy 265.748 47.057) + (xy 264.78625 47.057) (xy 264.6275 47.21575) (xy 264.624428 48.605) (xy 221.161841 48.605) (xy 217.811841 45.255) + (xy 264.624428 45.255) (xy 264.6275 46.64425) (xy 264.78625 46.803) (xy 265.748 46.803) (xy 265.748 44.77875) + (xy 266.002 44.77875) (xy 266.002 46.803) (xy 266.96375 46.803) (xy 267.1225 46.64425) (xy 267.125572 45.255) + (xy 267.113312 45.130518) (xy 267.077002 45.01082) (xy 267.018037 44.900506) (xy 266.938685 44.803815) (xy 266.841994 44.724463) + (xy 266.73168 44.665498) (xy 266.611982 44.629188) (xy 266.4875 44.616928) (xy 266.16075 44.62) (xy 266.002 44.77875) + (xy 265.748 44.77875) (xy 265.58925 44.62) (xy 265.2625 44.616928) (xy 265.138018 44.629188) (xy 265.01832 44.665498) + (xy 264.908006 44.724463) (xy 264.811315 44.803815) (xy 264.731963 44.900506) (xy 264.672998 45.01082) (xy 264.636688 45.130518) + (xy 264.624428 45.255) (xy 217.811841 45.255) (xy 211.91618 39.35934) (xy 211.922973 39.348185) (xy 211.95565 39.297763) + (xy 211.97232 39.255865) (xy 211.979822 39.240287) (xy 212.002191 39.20112) (xy 212.02123 39.144157) (xy 212.041863 39.087743) + (xy 212.048795 39.043172) (xy 212.052643 39.02631) (xy 212.06573 38.983172) (xy 212.071619 38.923389) (xy 212.079183 38.863777) + (xy 212.076024 38.818806) (xy 212.076024 38.801505) (xy 212.079184 38.756509) (xy 212.071622 38.696931) (xy 212.065736 38.637155) + (xy 212.052641 38.593985) (xy 212.048794 38.577132) (xy 212.041867 38.532582) (xy 212.021225 38.476138) (xy 212.002181 38.419167) + (xy 211.979825 38.380026) (xy 211.972321 38.364442) (xy 211.955647 38.322536) (xy 211.922978 38.272127) (xy 211.891741 38.220828) + (xy 211.861218 38.187621) (xy 211.850431 38.174094) (xy 211.824851 38.136951) (xy 211.781775 38.095067) (xy 211.739906 38.052007) + (xy 211.702768 38.026432) (xy 211.689235 38.01564) (xy 211.656047 37.985133) (xy 211.604755 37.953898) (xy 211.554321 37.921214) + (xy 211.512421 37.904543) (xy 211.49684 37.89704) (xy 211.457679 37.874674) (xy 211.400698 37.855629) (xy 211.344295 37.835) + (xy 211.299735 37.82807) (xy 211.282871 37.824221) (xy 211.239709 37.811129) (xy 211.219225 37.809112) (xy 211.217208 37.788627) + (xy 211.204113 37.745457) (xy 211.200266 37.728604) (xy 211.193339 37.684054) (xy 211.172697 37.62761) (xy 211.153653 37.570639) + (xy 211.131297 37.531498) (xy 211.123793 37.515914) (xy 211.107119 37.474008) (xy 211.07445 37.423599) (xy 211.043213 37.3723) + (xy 211.01269 37.339093) (xy 211.001903 37.325566) (xy 210.976323 37.288423) (xy 210.933247 37.246539) (xy 210.891378 37.203479) + (xy 210.85424 37.177904) (xy 210.840707 37.167112) (xy 210.807519 37.136605) (xy 210.756227 37.10537) (xy 210.705793 37.072686) + (xy 210.663893 37.056015) (xy 210.648312 37.048512) (xy 210.609151 37.026146) (xy 210.55217 37.007101) (xy 210.495767 36.986472) + (xy 210.451207 36.979542) (xy 210.434343 36.975693) (xy 210.391181 36.962601) (xy 210.370697 36.960584) (xy 210.36868 36.940099) + (xy 210.355585 36.896929) (xy 210.351738 36.880076) (xy 210.344811 36.835526) (xy 210.324169 36.779082) (xy 210.305125 36.722111) + (xy 210.282769 36.68297) (xy 210.275265 36.667386) (xy 210.258591 36.62548) (xy 210.225922 36.575071) (xy 210.194685 36.523772) + (xy 210.164162 36.490565) (xy 210.153375 36.477038) (xy 210.127795 36.439895) (xy 210.084719 36.398011) (xy 210.04285 36.354951) + (xy 210.005712 36.329376) (xy 209.992179 36.318584) (xy 209.958991 36.288077) (xy 209.907699 36.256842) (xy 209.857265 36.224158) + (xy 209.815365 36.207487) (xy 209.799784 36.199984) (xy 209.760623 36.177618) (xy 209.703642 36.158573) (xy 209.647239 36.137944) + (xy 209.602679 36.131014) (xy 209.585815 36.127165) (xy 209.542653 36.114073) (xy 209.522169 36.112056) (xy 209.520152 36.091571) + (xy 209.507057 36.048401) (xy 209.50321 36.031548) (xy 209.496283 35.986998) (xy 209.475641 35.930554) (xy 209.456597 35.873583) + (xy 209.434241 35.834442) (xy 209.426737 35.818858) (xy 209.410063 35.776952) (xy 209.394217 35.7525) (xy 252.886928 35.7525) + (xy 252.899188 35.876982) (xy 252.935498 35.99668) (xy 252.994463 36.106994) (xy 253.0491 36.17357) (xy 253.031329 36.195225) + (xy 252.95215 36.343358) (xy 252.903392 36.504092) (xy 252.886928 36.67125) (xy 252.886928 37.10875) (xy 252.903392 37.275908) + (xy 252.95215 37.436642) (xy 253.031329 37.584775) (xy 253.137885 37.714615) (xy 253.267725 37.821171) (xy 253.415858 37.90035) + (xy 253.576592 37.949108) (xy 253.74375 37.965572) (xy 254.25625 37.965572) (xy 254.423408 37.949108) (xy 254.584142 37.90035) + (xy 254.732275 37.821171) (xy 254.788535 37.775) (xy 255.461546 37.775) (xy 255.509744 37.807205) (xy 255.698102 37.885226) + (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) (xy 256.538454 37.775) + (xy 257.269511 37.775) (xy 257.290498 37.844185) (xy 257.349463 37.954499) (xy 257.428815 38.05119) (xy 257.525506 38.130542) + (xy 257.63582 38.189507) (xy 257.755518 38.225817) (xy 257.88 38.238077) (xy 260.12 38.238077) (xy 260.244482 38.225817) + (xy 260.36418 38.189507) (xy 260.474494 38.130542) (xy 260.571185 38.05119) (xy 260.650537 37.954499) (xy 260.709502 37.844185) + (xy 260.730489 37.775) (xy 261.080511 37.775) (xy 261.101498 37.844185) (xy 261.160463 37.954499) (xy 261.239815 38.05119) + (xy 261.336506 38.130542) (xy 261.44682 38.189507) (xy 261.566518 38.225817) (xy 261.691 38.238077) (xy 264.031 38.238077) + (xy 264.155482 38.225817) (xy 264.27518 38.189507) (xy 264.385494 38.130542) (xy 264.482185 38.05119) (xy 264.561537 37.954499) + (xy 264.620502 37.844185) (xy 264.656812 37.724487) (xy 264.669072 37.600005) (xy 264.669072 36.179995) (xy 264.656812 36.055513) + (xy 264.620502 35.935815) (xy 264.561537 35.825501) (xy 264.482185 35.72881) (xy 264.385494 35.649458) (xy 264.330383 35.62) + (xy 264.385494 35.590542) (xy 264.482185 35.51119) (xy 264.561537 35.414499) (xy 264.620502 35.304185) (xy 264.656812 35.184487) + (xy 264.669072 35.060005) (xy 264.666 34.63575) (xy 264.50725 34.477) (xy 262.988 34.477) (xy 262.988 34.497) + (xy 262.734 34.497) (xy 262.734 34.477) (xy 261.21475 34.477) (xy 261.056 34.63575) (xy 261.052928 35.060005) + (xy 261.065188 35.184487) (xy 261.101498 35.304185) (xy 261.160463 35.414499) (xy 261.239815 35.51119) (xy 261.336506 35.590542) + (xy 261.391617 35.62) (xy 261.336506 35.649458) (xy 261.239815 35.72881) (xy 261.160463 35.825501) (xy 261.101498 35.935815) + (xy 261.080511 36.005) (xy 260.730489 36.005) (xy 260.709502 35.935815) (xy 260.650537 35.825501) (xy 260.571185 35.72881) + (xy 260.474494 35.649458) (xy 260.419383 35.62) (xy 260.474494 35.590542) (xy 260.571185 35.51119) (xy 260.650537 35.414499) + (xy 260.709502 35.304185) (xy 260.745812 35.184487) (xy 260.758072 35.060005) (xy 260.755 34.63575) (xy 260.59625 34.477) + (xy 259.127 34.477) (xy 259.127 34.497) (xy 258.873 34.497) (xy 258.873 34.477) (xy 257.40375 34.477) + (xy 257.245 34.63575) (xy 257.241928 35.060005) (xy 257.254188 35.184487) (xy 257.290498 35.304185) (xy 257.349463 35.414499) + (xy 257.428815 35.51119) (xy 257.525506 35.590542) (xy 257.580617 35.62) (xy 257.525506 35.649458) (xy 257.428815 35.72881) + (xy 257.349463 35.825501) (xy 257.290498 35.935815) (xy 257.269511 36.005) (xy 256.538454 36.005) (xy 256.490256 35.972795) + (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) (xy 255.509744 35.972795) + (xy 255.461546 36.005) (xy 255.060055 36.005) (xy 255.064502 35.99668) (xy 255.100812 35.876982) (xy 255.113072 35.7525) + (xy 255.11 35.60075) (xy 254.95125 35.442) (xy 254.127 35.442) (xy 254.127 35.462) (xy 253.873 35.462) + (xy 253.873 35.442) (xy 253.04875 35.442) (xy 252.89 35.60075) (xy 252.886928 35.7525) (xy 209.394217 35.7525) + (xy 209.377394 35.726543) (xy 209.346157 35.675244) (xy 209.315634 35.642037) (xy 209.304847 35.62851) (xy 209.279267 35.591367) + (xy 209.236191 35.549483) (xy 209.194322 35.506423) (xy 209.157184 35.480848) (xy 209.143651 35.470056) (xy 209.110463 35.439549) + (xy 209.059171 35.408314) (xy 209.008737 35.37563) (xy 208.966837 35.358959) (xy 208.951256 35.351456) (xy 208.912095 35.32909) + (xy 208.855114 35.310045) (xy 208.798711 35.289416) (xy 208.754151 35.282486) (xy 208.737287 35.278637) (xy 208.694125 35.265545) + (xy 208.673641 35.263528) (xy 208.671624 35.243043) (xy 208.658529 35.199873) (xy 208.654682 35.18302) (xy 208.647755 35.13847) + (xy 208.627113 35.082026) (xy 208.608069 35.025055) (xy 208.585713 34.985914) (xy 208.578209 34.97033) (xy 208.561535 34.928424) + (xy 208.528866 34.878015) (xy 208.497629 34.826716) (xy 208.467106 34.793509) (xy 208.456319 34.779982) (xy 208.430739 34.742839) + (xy 208.387663 34.700955) (xy 208.345794 34.657895) (xy 208.308656 34.63232) (xy 208.295123 34.621528) (xy 208.261935 34.591021) + (xy 208.210643 34.559786) (xy 208.160209 34.527102) (xy 208.118309 34.510431) (xy 208.102728 34.502928) (xy 208.063567 34.480562) + (xy 208.006586 34.461517) (xy 207.950183 34.440888) (xy 207.905623 34.433958) (xy 207.888759 34.430109) (xy 207.845597 34.417017) + (xy 207.825113 34.415) (xy 207.823096 34.394515) (xy 207.810001 34.351345) (xy 207.806154 34.334492) (xy 207.799227 34.289942) + (xy 207.778585 34.233498) (xy 207.759541 34.176527) (xy 207.737185 34.137386) (xy 207.729681 34.121802) (xy 207.713007 34.079896) + (xy 207.680338 34.029487) (xy 207.649101 33.978188) (xy 207.618578 33.944981) (xy 207.607791 33.931454) (xy 207.582211 33.894311) + (xy 207.539135 33.852427) (xy 207.497266 33.809367) (xy 207.460128 33.783792) (xy 207.446595 33.773) (xy 207.413407 33.742493) + (xy 207.362115 33.711258) (xy 207.311681 33.678574) (xy 207.269781 33.661903) (xy 207.2542 33.6544) (xy 207.215039 33.632034) + (xy 207.158058 33.612989) (xy 207.101655 33.59236) (xy 207.057095 33.58543) (xy 207.040231 33.581581) (xy 206.997069 33.568489) + (xy 206.976585 33.566472) (xy 206.974568 33.545987) (xy 206.961473 33.502817) (xy 206.957626 33.485964) (xy 206.950699 33.441414) + (xy 206.930057 33.38497) (xy 206.911013 33.327999) (xy 206.888657 33.288858) (xy 206.881153 33.273274) (xy 206.864479 33.231368) + (xy 206.83181 33.180959) (xy 206.800573 33.12966) (xy 206.77005 33.096453) (xy 206.759263 33.082926) (xy 206.733683 33.045783) + (xy 206.690607 33.003899) (xy 206.648738 32.960839) (xy 206.6116 32.935264) (xy 206.598067 32.924472) (xy 206.564879 32.893965) + (xy 206.513587 32.86273) (xy 206.463153 32.830046) (xy 206.421253 32.813375) (xy 206.405672 32.805872) (xy 206.366511 32.783506) + (xy 206.30953 32.764461) (xy 206.253127 32.743832) (xy 206.208567 32.736902) (xy 206.191703 32.733053) (xy 206.148541 32.719961) + (xy 206.128057 32.717944) (xy 206.12604 32.697459) (xy 206.112945 32.654289) (xy 206.109098 32.637436) (xy 206.102171 32.592886) + (xy 206.081529 32.536442) (xy 206.062485 32.479471) (xy 206.040129 32.44033) (xy 206.032625 32.424746) (xy 206.015951 32.38284) + (xy 205.983282 32.332431) (xy 205.952045 32.281132) (xy 205.921522 32.247925) (xy 205.910735 32.234398) (xy 205.885155 32.197255) + (xy 205.842079 32.155371) (xy 205.80021 32.112311) (xy 205.763072 32.086736) (xy 205.749539 32.075944) (xy 205.716351 32.045437) + (xy 205.665059 32.014202) (xy 205.614625 31.981518) (xy 205.572725 31.964847) (xy 205.557144 31.957344) (xy 205.517983 31.934978) + (xy 205.461002 31.915933) (xy 205.404599 31.895304) (xy 205.360039 31.888374) (xy 205.343175 31.884525) (xy 205.300013 31.871433) + (xy 205.240215 31.865544) (xy 205.18064 31.857985) (xy 205.135658 31.861145) (xy 205.11836 31.861145) (xy 205.073389 31.857986) + (xy 205.013787 31.865549) (xy 204.953996 31.871439) (xy 204.910858 31.884525) (xy 204.89399 31.888375) (xy 204.849431 31.895305) + (xy 204.793028 31.915934) (xy 204.736047 31.934979) (xy 204.696884 31.957346) (xy 204.681302 31.96485) (xy 204.639412 31.981516) + (xy 204.588996 32.014188) (xy 204.577829 32.020988) (xy 204.3712 31.814359) (xy 204.3712 31.310786) (xy 204.606632 31.153475) + (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) + (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) + (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.475195 30.764466) (xy 207.544822 30.881355) (xy 207.739731 31.097588) + (xy 207.97308 31.271641) (xy 208.235901 31.396825) (xy 208.38311 31.441476) (xy 208.613 31.320155) (xy 208.613 30.127) + (xy 208.867 30.127) (xy 208.867 31.320155) (xy 209.09689 31.441476) (xy 209.244099 31.396825) (xy 209.50692 31.271641) + (xy 209.740269 31.097588) (xy 209.935178 30.881355) (xy 210.084157 30.631252) (xy 210.181481 30.356891) (xy 210.060814 30.127) + (xy 208.867 30.127) (xy 208.613 30.127) (xy 208.593 30.127) (xy 208.593 29.873) (xy 208.613 29.873) + (xy 208.613 27.587) (xy 208.867 27.587) (xy 208.867 29.873) (xy 210.060814 29.873) (xy 210.181481 29.643109) + (xy 210.084157 29.368748) (xy 209.935178 29.118645) (xy 209.740269 28.902412) (xy 209.649892 28.835) (xy 218.861928 28.835) + (xy 218.874188 28.959482) (xy 218.910498 29.07918) (xy 218.969463 29.189494) (xy 219.048815 29.286185) (xy 219.145506 29.365537) + (xy 219.25582 29.424502) (xy 219.375518 29.460812) (xy 219.5 29.473072) (xy 219.71425 29.47) (xy 219.872652 29.311598) + (xy 219.84 29.47575) (xy 219.84 29.70425) (xy 219.840533 29.706928) (xy 219.5 29.706928) (xy 219.375518 29.719188) + (xy 219.25582 29.755498) (xy 219.145506 29.814463) (xy 219.048815 29.893815) (xy 218.969463 29.990506) (xy 218.910498 30.10082) + (xy 218.874188 30.220518) (xy 218.861928 30.345) (xy 218.861928 33.095) (xy 218.874188 33.219482) (xy 218.910498 33.33918) + (xy 218.969463 33.449494) (xy 219.048815 33.546185) (xy 219.145506 33.625537) (xy 219.25582 33.684502) (xy 219.375518 33.720812) + (xy 219.5 33.733072) (xy 220.5 33.733072) (xy 220.624482 33.720812) (xy 220.74418 33.684502) (xy 220.854494 33.625537) + (xy 220.951185 33.546185) (xy 221 33.486704) (xy 221.048815 33.546185) (xy 221.145506 33.625537) (xy 221.25582 33.684502) + (xy 221.375518 33.720812) (xy 221.5 33.733072) (xy 222.5 33.733072) (xy 222.624482 33.720812) (xy 222.74418 33.684502) + (xy 222.854494 33.625537) (xy 222.951185 33.546185) (xy 223 33.486704) (xy 223.048815 33.546185) (xy 223.062909 33.557752) + (xy 223.004774 33.698102) (xy 222.965 33.898061) (xy 222.965 34.101939) (xy 223.004774 34.301898) (xy 223.082795 34.490256) + (xy 223.196063 34.659774) (xy 223.340226 34.803937) (xy 223.509744 34.917205) (xy 223.698102 34.995226) (xy 223.898061 35.035) + (xy 224.101939 35.035) (xy 224.301898 34.995226) (xy 224.490256 34.917205) (xy 224.659774 34.803937) (xy 224.803937 34.659774) + (xy 224.917205 34.490256) (xy 224.995226 34.301898) (xy 225 34.277897) (xy 225.004774 34.301898) (xy 225.082795 34.490256) + (xy 225.196063 34.659774) (xy 225.340226 34.803937) (xy 225.509744 34.917205) (xy 225.698102 34.995226) (xy 225.898061 35.035) + (xy 226.101939 35.035) (xy 226.301898 34.995226) (xy 226.490256 34.917205) (xy 226.659774 34.803937) (xy 226.803937 34.659774) + (xy 226.917205 34.490256) (xy 226.995226 34.301898) (xy 227 34.277897) (xy 227.004774 34.301898) (xy 227.082795 34.490256) + (xy 227.196063 34.659774) (xy 227.340226 34.803937) (xy 227.509744 34.917205) (xy 227.698102 34.995226) (xy 227.898061 35.035) + (xy 228.101939 35.035) (xy 228.301898 34.995226) (xy 228.490256 34.917205) (xy 228.659774 34.803937) (xy 228.803937 34.659774) + (xy 228.917205 34.490256) (xy 228.995226 34.301898) (xy 229 34.277897) (xy 229.004774 34.301898) (xy 229.082795 34.490256) + (xy 229.196063 34.659774) (xy 229.340226 34.803937) (xy 229.509744 34.917205) (xy 229.698102 34.995226) (xy 229.898061 35.035) + (xy 230.101939 35.035) (xy 230.301898 34.995226) (xy 230.490256 34.917205) (xy 230.659774 34.803937) (xy 230.803937 34.659774) + (xy 230.917205 34.490256) (xy 230.995226 34.301898) (xy 231 34.277897) (xy 231.004774 34.301898) (xy 231.082795 34.490256) + (xy 231.196063 34.659774) (xy 231.340226 34.803937) (xy 231.509744 34.917205) (xy 231.698102 34.995226) (xy 231.898061 35.035) + (xy 232.101939 35.035) (xy 232.301898 34.995226) (xy 232.490256 34.917205) (xy 232.549678 34.8775) (xy 252.886928 34.8775) + (xy 252.89 35.02925) (xy 253.04875 35.188) (xy 253.873 35.188) (xy 253.873 34.40125) (xy 254.127 34.40125) + (xy 254.127 35.188) (xy 254.95125 35.188) (xy 255.11 35.02925) (xy 255.113072 34.8775) (xy 255.100812 34.753018) + (xy 255.064502 34.63332) (xy 255.005537 34.523006) (xy 254.926185 34.426315) (xy 254.829494 34.346963) (xy 254.71918 34.287998) + (xy 254.599482 34.251688) (xy 254.475 34.239428) (xy 254.28575 34.2425) (xy 254.127 34.40125) (xy 253.873 34.40125) + (xy 253.71425 34.2425) (xy 253.525 34.239428) (xy 253.400518 34.251688) (xy 253.28082 34.287998) (xy 253.170506 34.346963) + (xy 253.073815 34.426315) (xy 252.994463 34.523006) (xy 252.935498 34.63332) (xy 252.899188 34.753018) (xy 252.886928 34.8775) + (xy 232.549678 34.8775) (xy 232.659774 34.803937) (xy 232.803937 34.659774) (xy 232.917205 34.490256) (xy 232.995226 34.301898) + (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.937091 33.557752) (xy 232.951185 33.546185) + (xy 233.030537 33.449494) (xy 233.089502 33.33918) (xy 233.125812 33.219482) (xy 233.138072 33.095) (xy 233.138072 30.345) + (xy 233.125812 30.220518) (xy 233.089502 30.10082) (xy 233.030537 29.990506) (xy 232.951185 29.893815) (xy 232.854494 29.814463) + (xy 232.74418 29.755498) (xy 232.624482 29.719188) (xy 232.5 29.706928) (xy 232.159467 29.706928) (xy 232.16 29.70425) + (xy 232.16 29.47575) (xy 232.127348 29.311598) (xy 232.28575 29.47) (xy 232.5 29.473072) (xy 232.624482 29.460812) + (xy 232.74418 29.424502) (xy 232.854494 29.365537) (xy 232.951185 29.286185) (xy 233.030537 29.189494) (xy 233.089502 29.07918) + (xy 233.125812 28.959482) (xy 233.138072 28.835) (xy 233.135 27.74575) (xy 232.97625 27.587) (xy 232.127 27.587) + (xy 232.127 27.607) (xy 231.873 27.607) (xy 231.873 27.587) (xy 231.02375 27.587) (xy 231 27.61075) + (xy 230.97625 27.587) (xy 230.127 27.587) (xy 230.127 27.607) (xy 229.873 27.607) (xy 229.873 27.587) + (xy 229.02375 27.587) (xy 229 27.61075) (xy 228.97625 27.587) (xy 228.127 27.587) (xy 228.127 29.31125) + (xy 228.28575 29.47) (xy 228.5 29.473072) (xy 228.624482 29.460812) (xy 228.74418 29.424502) (xy 228.854494 29.365537) + (xy 228.951185 29.286185) (xy 229 29.226704) (xy 229.048815 29.286185) (xy 229.145506 29.365537) (xy 229.25582 29.424502) + (xy 229.375518 29.460812) (xy 229.5 29.473072) (xy 229.71425 29.47) (xy 229.872652 29.311598) (xy 229.84 29.47575) + (xy 229.84 29.70425) (xy 229.840533 29.706928) (xy 229.5 29.706928) (xy 229.375518 29.719188) (xy 229.25582 29.755498) + (xy 229.145506 29.814463) (xy 229.048815 29.893815) (xy 229 29.953296) (xy 228.951185 29.893815) (xy 228.854494 29.814463) + (xy 228.74418 29.755498) (xy 228.624482 29.719188) (xy 228.5 29.706928) (xy 227.5 29.706928) (xy 227.375518 29.719188) + (xy 227.25582 29.755498) (xy 227.145506 29.814463) (xy 227.048815 29.893815) (xy 227 29.953296) (xy 226.951185 29.893815) + (xy 226.854494 29.814463) (xy 226.74418 29.755498) (xy 226.624482 29.719188) (xy 226.5 29.706928) (xy 225.5 29.706928) + (xy 225.375518 29.719188) (xy 225.25582 29.755498) (xy 225.145506 29.814463) (xy 225.048815 29.893815) (xy 225 29.953296) + (xy 224.951185 29.893815) (xy 224.854494 29.814463) (xy 224.74418 29.755498) (xy 224.624482 29.719188) (xy 224.5 29.706928) + (xy 223.5 29.706928) (xy 223.375518 29.719188) (xy 223.25582 29.755498) (xy 223.145506 29.814463) (xy 223.048815 29.893815) + (xy 223 29.953296) (xy 222.951185 29.893815) (xy 222.854494 29.814463) (xy 222.74418 29.755498) (xy 222.624482 29.719188) + (xy 222.5 29.706928) (xy 222.159467 29.706928) (xy 222.16 29.70425) (xy 222.16 29.47575) (xy 222.127348 29.311598) + (xy 222.28575 29.47) (xy 222.5 29.473072) (xy 222.624482 29.460812) (xy 222.74418 29.424502) (xy 222.854494 29.365537) + (xy 222.951185 29.286185) (xy 223 29.226704) (xy 223.048815 29.286185) (xy 223.145506 29.365537) (xy 223.25582 29.424502) + (xy 223.375518 29.460812) (xy 223.5 29.473072) (xy 223.71425 29.47) (xy 223.873 29.31125) (xy 223.873 27.587) + (xy 224.127 27.587) (xy 224.127 29.31125) (xy 224.28575 29.47) (xy 224.5 29.473072) (xy 224.624482 29.460812) + (xy 224.74418 29.424502) (xy 224.854494 29.365537) (xy 224.951185 29.286185) (xy 225 29.226704) (xy 225.048815 29.286185) + (xy 225.145506 29.365537) (xy 225.25582 29.424502) (xy 225.375518 29.460812) (xy 225.5 29.473072) (xy 225.71425 29.47) + (xy 225.873 29.31125) (xy 225.873 27.587) (xy 226.127 27.587) (xy 226.127 29.31125) (xy 226.28575 29.47) + (xy 226.5 29.473072) (xy 226.624482 29.460812) (xy 226.74418 29.424502) (xy 226.854494 29.365537) (xy 226.951185 29.286185) + (xy 227 29.226704) (xy 227.048815 29.286185) (xy 227.145506 29.365537) (xy 227.25582 29.424502) (xy 227.375518 29.460812) + (xy 227.5 29.473072) (xy 227.71425 29.47) (xy 227.873 29.31125) (xy 227.873 27.587) (xy 227.02375 27.587) + (xy 227 27.61075) (xy 226.97625 27.587) (xy 226.127 27.587) (xy 225.873 27.587) (xy 225.02375 27.587) + (xy 225 27.61075) (xy 224.97625 27.587) (xy 224.127 27.587) (xy 223.873 27.587) (xy 223.02375 27.587) + (xy 223 27.61075) (xy 222.97625 27.587) (xy 222.127 27.587) (xy 222.127 27.607) (xy 221.873 27.607) + (xy 221.873 27.587) (xy 221.02375 27.587) (xy 221 27.61075) (xy 220.97625 27.587) (xy 220.127 27.587) + (xy 220.127 27.607) (xy 219.873 27.607) (xy 219.873 27.587) (xy 219.02375 27.587) (xy 218.865 27.74575) + (xy 218.861928 28.835) (xy 209.649892 28.835) (xy 209.50912 28.73) (xy 209.740269 28.557588) (xy 209.935178 28.341355) + (xy 210.084157 28.091252) (xy 210.181481 27.816891) (xy 210.060814 27.587) (xy 208.867 27.587) (xy 208.613 27.587) + (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) (xy 208.613 26.139845) (xy 208.867 26.139845) + (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) (xy 210.084157 26.828748) (xy 209.935178 26.578645) + (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.289921 26.085) (xy 218.861928 26.085) (xy 218.865 27.17425) + (xy 219.02375 27.333) (xy 219.873 27.333) (xy 219.873 25.60875) (xy 220.127 25.60875) (xy 220.127 27.333) + (xy 220.97625 27.333) (xy 221 27.30925) (xy 221.02375 27.333) (xy 221.873 27.333) (xy 221.873 25.60875) + (xy 222.127 25.60875) (xy 222.127 27.333) (xy 222.97625 27.333) (xy 223 27.30925) (xy 223.02375 27.333) + (xy 223.873 27.333) (xy 223.873 25.60875) (xy 224.127 25.60875) (xy 224.127 27.333) (xy 224.97625 27.333) + (xy 225 27.30925) (xy 225.02375 27.333) (xy 225.873 27.333) (xy 225.873 25.60875) (xy 226.127 25.60875) + (xy 226.127 27.333) (xy 226.97625 27.333) (xy 227 27.30925) (xy 227.02375 27.333) (xy 227.873 27.333) + (xy 227.873 25.60875) (xy 228.127 25.60875) (xy 228.127 27.333) (xy 228.97625 27.333) (xy 229 27.30925) + (xy 229.02375 27.333) (xy 229.873 27.333) (xy 229.873 25.60875) (xy 230.127 25.60875) (xy 230.127 27.333) + (xy 230.97625 27.333) (xy 231 27.30925) (xy 231.02375 27.333) (xy 231.873 27.333) (xy 231.873 25.60875) + (xy 232.127 25.60875) (xy 232.127 27.333) (xy 232.97625 27.333) (xy 233.135 27.17425) (xy 233.138072 26.085) + (xy 233.125812 25.960518) (xy 233.089502 25.84082) (xy 233.030537 25.730506) (xy 232.951185 25.633815) (xy 232.854494 25.554463) + (xy 232.74418 25.495498) (xy 232.624482 25.459188) (xy 232.5 25.446928) (xy 232.28575 25.45) (xy 232.127 25.60875) + (xy 231.873 25.60875) (xy 231.71425 25.45) (xy 231.5 25.446928) (xy 231.375518 25.459188) (xy 231.25582 25.495498) + (xy 231.145506 25.554463) (xy 231.048815 25.633815) (xy 231 25.693296) (xy 230.951185 25.633815) (xy 230.854494 25.554463) + (xy 230.74418 25.495498) (xy 230.624482 25.459188) (xy 230.5 25.446928) (xy 230.28575 25.45) (xy 230.127 25.60875) + (xy 229.873 25.60875) (xy 229.71425 25.45) (xy 229.5 25.446928) (xy 229.375518 25.459188) (xy 229.25582 25.495498) + (xy 229.145506 25.554463) (xy 229.048815 25.633815) (xy 229 25.693296) (xy 228.951185 25.633815) (xy 228.854494 25.554463) + (xy 228.74418 25.495498) (xy 228.624482 25.459188) (xy 228.5 25.446928) (xy 228.28575 25.45) (xy 228.127 25.60875) + (xy 227.873 25.60875) (xy 227.71425 25.45) (xy 227.5 25.446928) (xy 227.375518 25.459188) (xy 227.25582 25.495498) + (xy 227.145506 25.554463) (xy 227.048815 25.633815) (xy 227 25.693296) (xy 226.951185 25.633815) (xy 226.854494 25.554463) + (xy 226.74418 25.495498) (xy 226.624482 25.459188) (xy 226.5 25.446928) (xy 226.28575 25.45) (xy 226.127 25.60875) + (xy 225.873 25.60875) (xy 225.71425 25.45) (xy 225.5 25.446928) (xy 225.375518 25.459188) (xy 225.25582 25.495498) + (xy 225.145506 25.554463) (xy 225.048815 25.633815) (xy 225 25.693296) (xy 224.951185 25.633815) (xy 224.854494 25.554463) + (xy 224.74418 25.495498) (xy 224.624482 25.459188) (xy 224.5 25.446928) (xy 224.28575 25.45) (xy 224.127 25.60875) + (xy 223.873 25.60875) (xy 223.71425 25.45) (xy 223.5 25.446928) (xy 223.375518 25.459188) (xy 223.25582 25.495498) + (xy 223.145506 25.554463) (xy 223.048815 25.633815) (xy 223 25.693296) (xy 222.951185 25.633815) (xy 222.854494 25.554463) + (xy 222.74418 25.495498) (xy 222.624482 25.459188) (xy 222.5 25.446928) (xy 222.28575 25.45) (xy 222.127 25.60875) + (xy 221.873 25.60875) (xy 221.71425 25.45) (xy 221.5 25.446928) (xy 221.375518 25.459188) (xy 221.25582 25.495498) + (xy 221.145506 25.554463) (xy 221.048815 25.633815) (xy 221 25.693296) (xy 220.951185 25.633815) (xy 220.854494 25.554463) + (xy 220.74418 25.495498) (xy 220.624482 25.459188) (xy 220.5 25.446928) (xy 220.28575 25.45) (xy 220.127 25.60875) + (xy 219.873 25.60875) (xy 219.71425 25.45) (xy 219.5 25.446928) (xy 219.375518 25.459188) (xy 219.25582 25.495498) + (xy 219.145506 25.554463) (xy 219.048815 25.633815) (xy 218.969463 25.730506) (xy 218.910498 25.84082) (xy 218.874188 25.960518) + (xy 218.861928 26.085) (xy 209.289921 26.085) (xy 209.244099 26.063175) (xy 209.09689 26.018524) (xy 208.867 26.139845) + (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) (xy 207.97308 26.188359) (xy 207.739731 26.362412) + (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) (xy 207.146632 26.306525) (xy 206.903411 26.14401) + (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.150788 25.975) (xy 207.234589 24.8912) (xy 233.125413 24.8912) + (xy 241.792407 33.558196) (xy 241.814673 33.585327) (xy 241.841804 33.607593) (xy 241.841809 33.607598) (xy 241.922967 33.674202) + (xy 242.046518 33.740242) (xy 242.168096 33.777122) (xy 242.18058 33.780909) (xy 242.285064 33.7912) (xy 242.285071 33.7912) + (xy 242.32 33.79464) (xy 242.354928 33.7912) (xy 257.243023 33.7912) (xy 257.245 34.06425) (xy 257.40375 34.223) + (xy 258.873 34.223) (xy 258.873 34.203) (xy 259.127 34.203) (xy 259.127 34.223) (xy 260.59625 34.223) + (xy 260.755 34.06425) (xy 260.756977 33.7912) (xy 261.054023 33.7912) (xy 261.056 34.06425) (xy 261.21475 34.223) + (xy 262.734 34.223) (xy 262.734 34.203) (xy 262.988 34.203) (xy 262.988 34.223) (xy 264.50725 34.223) + (xy 264.666 34.06425) (xy 264.669072 33.639995) (xy 264.656812 33.515513) (xy 264.620502 33.395815) (xy 264.561537 33.285501) + (xy 264.482185 33.18881) (xy 264.385494 33.109458) (xy 264.330383 33.08) (xy 264.385494 33.050542) (xy 264.482185 32.97119) + (xy 264.561537 32.874499) (xy 264.620502 32.764185) (xy 264.656812 32.644487) (xy 264.669072 32.520005) (xy 264.669072 31.099995) + (xy 264.656812 30.975513) (xy 264.620502 30.855815) (xy 264.561537 30.745501) (xy 264.482185 30.64881) (xy 264.385494 30.569458) + (xy 264.330383 30.54) (xy 264.385494 30.510542) (xy 264.482185 30.43119) (xy 264.561537 30.334499) (xy 264.620502 30.224185) + (xy 264.656812 30.104487) (xy 264.669072 29.980005) (xy 264.669072 28.559995) (xy 264.656812 28.435513) (xy 264.620502 28.315815) + (xy 264.561537 28.205501) (xy 264.482185 28.10881) (xy 264.385494 28.029458) (xy 264.330383 28) (xy 264.385494 27.970542) + (xy 264.482185 27.89119) (xy 264.561537 27.794499) (xy 264.620502 27.684185) (xy 264.656812 27.564487) (xy 264.669072 27.440005) + (xy 264.669072 26.019995) (xy 264.656812 25.895513) (xy 264.620502 25.775815) (xy 264.561537 25.665501) (xy 264.482185 25.56881) + (xy 264.385494 25.489458) (xy 264.330383 25.46) (xy 264.385494 25.430542) (xy 264.482185 25.35119) (xy 264.561537 25.254499) + (xy 264.620502 25.144185) (xy 264.656812 25.024487) (xy 264.669072 24.900005) (xy 264.669072 23.479995) (xy 264.656812 23.355513) + (xy 264.620502 23.235815) (xy 264.561537 23.125501) (xy 264.482185 23.02881) (xy 264.385494 22.949458) (xy 264.330383 22.92) + (xy 264.385494 22.890542) (xy 264.482185 22.81119) (xy 264.561537 22.714499) (xy 264.620502 22.604185) (xy 264.656812 22.484487) + (xy 264.669072 22.360005) (xy 264.669072 20.939995) (xy 264.656812 20.815513) (xy 264.620502 20.695815) (xy 264.561537 20.585501) + (xy 264.482185 20.48881) (xy 264.385494 20.409458) (xy 264.330383 20.38) (xy 264.385494 20.350542) (xy 264.482185 20.27119) + (xy 264.561537 20.174499) (xy 264.620502 20.064185) (xy 264.656812 19.944487) (xy 264.669072 19.820005) (xy 264.669072 18.399995) + (xy 264.656812 18.275513) (xy 264.620502 18.155815) (xy 264.561537 18.045501) (xy 264.482185 17.94881) (xy 264.385494 17.869458) + (xy 264.27518 17.810493) (xy 264.155482 17.774183) (xy 264.031 17.761923) (xy 261.691 17.761923) (xy 261.566518 17.774183) + (xy 261.44682 17.810493) (xy 261.336506 17.869458) (xy 261.239815 17.94881) (xy 261.160463 18.045501) (xy 261.101498 18.155815) + (xy 261.065188 18.275513) (xy 261.052928 18.399995) (xy 261.052928 19.6688) (xy 260.758072 19.6688) (xy 260.758072 18.399995) + (xy 260.745812 18.275513) (xy 260.709502 18.155815) (xy 260.650537 18.045501) (xy 260.571185 17.94881) (xy 260.474494 17.869458) + (xy 260.36418 17.810493) (xy 260.244482 17.774183) (xy 260.12 17.761923) (xy 257.88 17.761923) (xy 257.755518 17.774183) + (xy 257.63582 17.810493) (xy 257.525506 17.869458) (xy 257.428815 17.94881) (xy 257.349463 18.045501) (xy 257.290498 18.155815) + (xy 257.254188 18.275513) (xy 257.242046 18.3988) (xy 184.104925 18.3988) (xy 184.069999 18.39536) (xy 184.035073 18.3988) + (xy 184.035064 18.3988) (xy 183.93058 18.409091) (xy 183.796519 18.449758) (xy 183.712899 18.494454) (xy 183.672967 18.515798) + (xy 183.591808 18.582403) (xy 183.591804 18.582407) (xy 183.564673 18.604673) (xy 183.542407 18.631804) (xy 176.143972 26.030241) + (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) + (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) (xy 173.883411 26.14401) + (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) (xy 172.476589 26.14401) + (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) (xy 171.640269 26.362412) + (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) (xy 170.767 27.333) + (xy 170.787 27.333) (xy 170.787 27.587) (xy 170.767 27.587) (xy 170.767 29.873) (xy 170.787 29.873) + (xy 170.787 30.127) (xy 170.767 30.127) (xy 170.767 31.320155) (xy 170.99689 31.441476) (xy 171.144099 31.396825) + (xy 171.40692 31.271641) (xy 171.640269 31.097588) (xy 171.835178 30.881355) (xy 171.904805 30.764466) (xy 172.026525 30.946632) + (xy 172.233368 31.153475) (xy 172.402501 31.266486) (xy 172.4025 32.613344) (xy 172.379494 32.594463) (xy 172.26918 32.535498) + (xy 172.149482 32.499188) (xy 172.025 32.486928) (xy 171.87325 32.49) (xy 171.7145 32.64875) (xy 171.7145 33.473) + (xy 171.7345 33.473) (xy 171.7345 33.727) (xy 171.7145 33.727) (xy 171.7145 33.747) (xy 171.4605 33.747) + (xy 171.4605 33.727) (xy 171.4405 33.727) (xy 171.4405 33.473) (xy 171.4605 33.473) (xy 171.4605 32.64875) + (xy 171.30175 32.49) (xy 171.15 32.486928) (xy 171.025518 32.499188) (xy 170.90582 32.535498) (xy 170.795506 32.594463) + (xy 170.698815 32.673815) (xy 170.619463 32.770506) (xy 170.560498 32.88082) (xy 170.524188 33.000518) (xy 170.522914 33.013456) + (xy 168.816621 31.307164) (xy 169.046632 31.153475) (xy 169.253475 30.946632) (xy 169.375195 30.764466) (xy 169.444822 30.881355) + (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) (xy 170.28311 31.441476) (xy 170.513 31.320155) + (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) (xy 170.513 29.873) (xy 170.513 27.587) + (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) (xy 170.513 26.139845) (xy 170.28311 26.018524) + (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) (xy 169.444822 26.578645) (xy 169.375195 26.695534) + (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) (xy 168.533158 26.032068) (xy 168.24626 25.975) + (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) (xy 167.153368 26.306525) (xy 166.946525 26.513368) + (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.276621 26.152836) (xy 174.266117 18.163341) + (xy 174.266117 18.192285) (xy 174.283061 18.36432) (xy 174.333242 18.529744) (xy 174.414731 18.682199) (xy 174.524397 18.815827) + (xy 174.658025 18.925493) (xy 174.81048 19.006982) (xy 174.892989 19.032011) (xy 174.892989 19.161099) (xy 174.871914 19.172364) + (xy 174.742074 19.27892) (xy 174.635518 19.40876) (xy 174.556339 19.556893) (xy 174.507581 19.717627) (xy 174.491117 19.884785) + (xy 174.491117 20.322285) (xy 174.507581 20.489443) (xy 174.556339 20.650177) (xy 174.635518 20.79831) (xy 174.711615 20.891035) + (xy 174.635518 20.98376) (xy 174.556339 21.131893) (xy 174.507581 21.292627) (xy 174.491117 21.459785) (xy 174.491117 21.897285) + (xy 174.507581 22.064443) (xy 174.556339 22.225177) (xy 174.635518 22.37331) (xy 174.742074 22.50315) (xy 174.871914 22.609706) + (xy 175.020047 22.688885) (xy 175.180781 22.737643) (xy 175.347939 22.754107) (xy 175.860439 22.754107) (xy 176.027597 22.737643) + (xy 176.188331 22.688885) (xy 176.336464 22.609706) (xy 176.372302 22.580295) (xy 176.395417 22.59574) (xy 176.583775 22.673761) + (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) (xy 177.386384 22.588754) + (xy 177.411914 22.609706) (xy 177.560047 22.688885) (xy 177.720781 22.737643) (xy 177.887939 22.754107) (xy 178.400439 22.754107) + (xy 178.567597 22.737643) (xy 178.728331 22.688885) (xy 178.876464 22.609706) (xy 179.006304 22.50315) (xy 179.11286 22.37331) + (xy 179.192039 22.225177) (xy 179.240797 22.064443) (xy 179.257261 21.897285) (xy 179.257261 21.459785) (xy 179.240797 21.292627) + (xy 179.192039 21.131893) (xy 179.11286 20.98376) (xy 179.036763 20.891035) (xy 179.11286 20.79831) (xy 179.192039 20.650177) + (xy 179.240797 20.489443) (xy 179.257261 20.322285) (xy 179.257261 19.884785) (xy 179.240797 19.717627) (xy 179.192039 19.556893) + (xy 179.11286 19.40876) (xy 179.006304 19.27892) (xy 178.876464 19.172364) (xy 178.855389 19.161099) (xy 178.855389 19.032011) + (xy 178.937898 19.006982) (xy 179.090353 18.925493) (xy 179.223981 18.815827) (xy 179.333647 18.682199) (xy 179.415136 18.529744) + (xy 179.465317 18.36432) (xy 179.482261 18.192285) (xy 179.482261 17.704785) (xy 179.465317 17.53275) (xy 179.415136 17.367326) + (xy 179.333647 17.214871) (xy 179.223981 17.081243) (xy 179.138433 17.011035) (xy 179.223981 16.940827) (xy 179.333647 16.807199) + (xy 179.415136 16.654744) (xy 179.465317 16.48932) (xy 179.482261 16.317285) (xy 179.482261 15.829785) (xy 179.465317 15.65775) + (xy 179.415136 15.492326) (xy 179.333647 15.339871) (xy 179.223981 15.206243) (xy 179.090353 15.096577) (xy 178.937898 15.015088) + (xy 178.772474 14.964907) (xy 178.600439 14.947963) (xy 177.687939 14.947963) (xy 177.515904 14.964907) (xy 177.35048 15.015088) + (xy 177.198025 15.096577) (xy 177.064397 15.206243) (xy 176.954731 15.339871) (xy 176.874189 15.490554) (xy 176.793647 15.339871) + (xy 176.683981 15.206243) (xy 176.550353 15.096577) (xy 176.397898 15.015088) (xy 176.232474 14.964907) (xy 176.060439 14.947963) + (xy 175.147939 14.947963) (xy 174.975904 14.964907) (xy 174.81048 15.015088) (xy 174.658025 15.096577) (xy 174.524397 15.206243) + (xy 174.414731 15.339871) (xy 174.376512 15.411374) (xy 174.370186 15.413293) (xy 174.339319 15.429792) (xy 174.246634 15.479333) + (xy 174.165475 15.545938) (xy 174.165471 15.545942) (xy 174.13834 15.568208) (xy 174.116074 15.595339) (xy 163.691119 26.020296) + (xy 163.680242 25.984438) (xy 163.614202 25.860886) (xy 163.590133 25.831558) (xy 163.547597 25.779727) (xy 163.547593 25.779723) + (xy 163.525327 25.752592) (xy 163.498196 25.730326) (xy 161.6412 23.873332) (xy 161.6412 17.405) (xy 161.916928 17.405) + (xy 161.929188 17.529482) (xy 161.965498 17.64918) (xy 162.024463 17.759494) (xy 162.103815 17.856185) (xy 162.200506 17.935537) + (xy 162.31082 17.994502) (xy 162.430518 18.030812) (xy 162.555 18.043072) (xy 163.01925 18.04) (xy 163.178 17.88125) + (xy 163.178 16.507) (xy 163.432 16.507) (xy 163.432 17.88125) (xy 163.59075 18.04) (xy 164.055 18.043072) + (xy 164.179482 18.030812) (xy 164.29918 17.994502) (xy 164.409494 17.935537) (xy 164.506185 17.856185) (xy 164.585537 17.759494) + (xy 164.644502 17.64918) (xy 164.680812 17.529482) (xy 164.693072 17.405) (xy 164.69 16.66575) (xy 164.53125 16.507) + (xy 163.432 16.507) (xy 163.178 16.507) (xy 162.07875 16.507) (xy 161.92 16.66575) (xy 161.916928 17.405) + (xy 161.6412 17.405) (xy 161.6412 15.355) (xy 161.916928 15.355) (xy 161.92 16.09425) (xy 162.07875 16.253) + (xy 163.178 16.253) (xy 163.178 14.87875) (xy 163.432 14.87875) (xy 163.432 16.253) (xy 164.53125 16.253) + (xy 164.69 16.09425) (xy 164.693072 15.355) (xy 164.680812 15.230518) (xy 164.644502 15.11082) (xy 164.585537 15.000506) + (xy 164.506185 14.903815) (xy 164.409494 14.824463) (xy 164.29918 14.765498) (xy 164.179482 14.729188) (xy 164.055 14.716928) + (xy 163.59075 14.72) (xy 163.432 14.87875) (xy 163.178 14.87875) (xy 163.01925 14.72) (xy 162.555 14.716928) + (xy 162.430518 14.729188) (xy 162.31082 14.765498) (xy 162.200506 14.824463) (xy 162.103815 14.903815) (xy 162.024463 15.000506) + (xy 161.965498 15.11082) (xy 161.929188 15.230518) (xy 161.916928 15.355) (xy 161.6412 15.355) (xy 161.6412 12.24917) + (xy 166.98634 12.24917) (xy 166.98634 12.71083) (xy 167.076406 13.16362) (xy 167.253075 13.590139) (xy 167.50956 13.973996) + (xy 167.836004 14.30044) (xy 168.219861 14.556925) (xy 168.64638 14.733594) (xy 169.09917 14.82366) (xy 169.56083 14.82366) + (xy 170.01362 14.733594) (xy 170.440139 14.556925) (xy 170.823996 14.30044) (xy 171.15044 13.973996) (xy 171.406925 13.590139) + (xy 171.583594 13.16362) (xy 171.67366 12.71083) (xy 171.67366 12.24917) (xy 196.98634 12.24917) (xy 196.98634 12.71083) + (xy 197.076406 13.16362) (xy 197.253075 13.590139) (xy 197.50956 13.973996) (xy 197.836004 14.30044) (xy 198.219861 14.556925) + (xy 198.64638 14.733594) (xy 199.09917 14.82366) (xy 199.56083 14.82366) (xy 200.01362 14.733594) (xy 200.440139 14.556925) + (xy 200.823996 14.30044) (xy 201.15044 13.973996) (xy 201.406925 13.590139) (xy 201.583594 13.16362) (xy 201.67366 12.71083) + (xy 201.67366 12.24917) (xy 226.98634 12.24917) (xy 226.98634 12.71083) (xy 227.076406 13.16362) (xy 227.253075 13.590139) + (xy 227.50956 13.973996) (xy 227.836004 14.30044) (xy 228.219861 14.556925) (xy 228.64638 14.733594) (xy 229.09917 14.82366) + (xy 229.56083 14.82366) (xy 230.01362 14.733594) (xy 230.440139 14.556925) (xy 230.823996 14.30044) (xy 231.15044 13.973996) + (xy 231.406925 13.590139) (xy 231.583594 13.16362) (xy 231.67366 12.71083) (xy 231.67366 12.24917) (xy 231.583594 11.79638) + (xy 231.406925 11.369861) (xy 231.15044 10.986004) (xy 230.823996 10.65956) (xy 230.440139 10.403075) (xy 230.01362 10.226406) + (xy 229.56083 10.13634) (xy 229.09917 10.13634) (xy 228.64638 10.226406) (xy 228.219861 10.403075) (xy 227.836004 10.65956) + (xy 227.50956 10.986004) (xy 227.253075 11.369861) (xy 227.076406 11.79638) (xy 226.98634 12.24917) (xy 201.67366 12.24917) + (xy 201.583594 11.79638) (xy 201.406925 11.369861) (xy 201.15044 10.986004) (xy 200.823996 10.65956) (xy 200.440139 10.403075) + (xy 200.01362 10.226406) (xy 199.56083 10.13634) (xy 199.09917 10.13634) (xy 198.64638 10.226406) (xy 198.219861 10.403075) + (xy 197.836004 10.65956) (xy 197.50956 10.986004) (xy 197.253075 11.369861) (xy 197.076406 11.79638) (xy 196.98634 12.24917) + (xy 171.67366 12.24917) (xy 171.583594 11.79638) (xy 171.406925 11.369861) (xy 171.15044 10.986004) (xy 170.823996 10.65956) + (xy 170.440139 10.403075) (xy 170.01362 10.226406) (xy 169.56083 10.13634) (xy 169.09917 10.13634) (xy 168.64638 10.226406) + (xy 168.219861 10.403075) (xy 167.836004 10.65956) (xy 167.50956 10.986004) (xy 167.253075 11.369861) (xy 167.076406 11.79638) + (xy 166.98634 12.24917) (xy 161.6412 12.24917) (xy 161.6412 11.983678) (xy 161.684494 11.960537) (xy 161.781185 11.881185) + (xy 161.860537 11.784494) (xy 161.919502 11.67418) (xy 161.955812 11.554482) (xy 161.968072 11.43) (xy 161.968072 9.93) + (xy 161.955812 9.805518) (xy 161.919502 9.68582) (xy 161.860537 9.575506) (xy 161.781185 9.478815) (xy 161.684494 9.399463) + (xy 161.57418 9.340498) (xy 161.454482 9.304188) (xy 161.33 9.291928) (xy 160.53 9.291928) (xy 160.405518 9.304188) + (xy 160.38 9.311929) (xy 160.354482 9.304188) (xy 160.23 9.291928) (xy 159.43 9.291928) (xy 159.305518 9.304188) + (xy 159.28 9.311929) (xy 159.254482 9.304188) (xy 159.13 9.291928) (xy 159.01575 9.295) (xy 158.857 9.45375) + (xy 158.857 9.654947) (xy 158.840498 9.68582) (xy 158.804188 9.805518) (xy 158.791928 9.93) (xy 158.791928 11.43) + (xy 158.804188 11.554482) (xy 158.840498 11.67418) (xy 158.857 11.705053) (xy 158.857 11.90625) (xy 159.01575 12.065) + (xy 159.118801 12.067771) (xy 159.118801 15.513013) (xy 158.3412 14.735413) (xy 158.3412 12.067771) (xy 158.44425 12.065) + (xy 158.603 11.90625) (xy 158.603 11.705053) (xy 158.619502 11.67418) (xy 158.655812 11.554482) (xy 158.668072 11.43) + (xy 158.668072 9.93) (xy 158.655812 9.805518) (xy 158.619502 9.68582) (xy 158.603 9.654947) (xy 158.603 9.45375) + (xy 158.44425 9.295) (xy 158.33 9.291928) (xy 158.205518 9.304188) (xy 158.18 9.311929) (xy 158.154482 9.304188) + (xy 158.03 9.291928) (xy 157.565 9.291928) (xy 157.565 9.105) (xy 161.916928 9.105) (xy 161.929188 9.229482) + (xy 161.965498 9.34918) (xy 162.024463 9.459494) (xy 162.103815 9.556185) (xy 162.200506 9.635537) (xy 162.31082 9.694502) + (xy 162.430518 9.730812) (xy 162.555 9.743072) (xy 163.01925 9.74) (xy 163.178 9.58125) (xy 163.178 8.207) + (xy 163.432 8.207) (xy 163.432 9.58125) (xy 163.59075 9.74) (xy 164.055 9.743072) (xy 164.179482 9.730812) + (xy 164.29918 9.694502) (xy 164.409494 9.635537) (xy 164.506185 9.556185) (xy 164.585537 9.459494) (xy 164.644502 9.34918) + (xy 164.680812 9.229482) (xy 164.693072 9.105) (xy 164.69 8.36575) (xy 164.53125 8.207) (xy 163.432 8.207) + (xy 163.178 8.207) (xy 162.07875 8.207) (xy 161.92 8.36575) (xy 161.916928 9.105) (xy 157.565 9.105) + (xy 157.565 9.098061) (xy 157.525226 8.898102) (xy 157.447205 8.709744) (xy 157.333937 8.540226) (xy 157.189774 8.396063) + (xy 157.020256 8.282795) (xy 156.831898 8.204774) (xy 156.631939 8.165) (xy 156.428061 8.165) (xy 156.228102 8.204774) + (xy 156.039744 8.282795) (xy 155.870226 8.396063) (xy 155.726063 8.540226) (xy 155.612795 8.709744) (xy 155.534774 8.898102) + (xy 155.495 9.098061) (xy 155.495 9.291928) (xy 155.03 9.291928) (xy 154.905518 9.304188) (xy 154.88 9.311929) + (xy 154.854482 9.304188) (xy 154.73 9.291928) (xy 153.93 9.291928) (xy 153.805518 9.304188) (xy 153.78 9.311929) + (xy 153.754482 9.304188) (xy 153.63 9.291928) (xy 152.83 9.291928) (xy 152.705518 9.304188) (xy 152.58582 9.340498) + (xy 152.475506 9.399463) (xy 152.378815 9.478815) (xy 152.299463 9.575506) (xy 152.240498 9.68582) (xy 152.204188 9.805518) + (xy 152.191928 9.93) (xy 152.191928 11.43) (xy 152.204188 11.554482) (xy 152.240498 11.67418) (xy 152.299463 11.784494) + (xy 152.378815 11.881185) (xy 152.475506 11.960537) (xy 152.518801 11.983679) (xy 152.5188 24.255411) (xy 150.743972 26.030241) + (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) (xy 149.616589 26.14401) (xy 149.373368 26.306525) + (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) (xy 148.726632 26.306525) (xy 148.483411 26.14401) + (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) (xy 147.346842 26.032068) (xy 147.076589 26.14401) + (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) (xy 146.393475 26.513368) (xy 146.186632 26.306525) + (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) (xy 145.09374 25.975) (xy 144.806842 26.032068) + (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) (xy 143.97 26.68776) (xy 143.853475 26.513368) + (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) (xy 142.84626 25.975) (xy 142.55374 25.975) + (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) (xy 141.546525 26.513368) (xy 141.43 26.68776) + (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) (xy 140.593158 26.032068) (xy 140.30626 25.975) + (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) (xy 139.213368 26.306525) (xy 139.006525 26.513368) + (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) (xy 138.323411 26.14401) (xy 138.053158 26.032068) + (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) (xy 136.916589 26.14401) (xy 136.673368 26.306525) + (xy 136.466525 26.513368) (xy 136.35 26.68776) (xy 136.233475 26.513368) (xy 136.026632 26.306525) (xy 135.783411 26.14401) + (xy 135.513158 26.032068) (xy 135.22626 25.975) (xy 134.93374 25.975) (xy 134.656028 26.030241) (xy 131.515602 22.889815) + (xy 131.493327 22.862673) (xy 131.385033 22.773798) (xy 131.261481 22.707758) (xy 131.12742 22.667091) (xy 131.022936 22.6568) + (xy 131.022926 22.6568) (xy 130.988 22.65336) (xy 130.953074 22.6568) (xy 125.356567 22.6568) (xy 125.351812 22.608518) + (xy 125.315502 22.48882) (xy 125.256537 22.378506) (xy 125.177185 22.281815) (xy 125.080494 22.202463) (xy 124.97018 22.143498) + (xy 124.850482 22.107188) (xy 124.757192 22.098) (xy 124.850482 22.088812) (xy 124.97018 22.052502) (xy 125.080494 21.993537) + (xy 125.177185 21.914185) (xy 125.256537 21.817494) (xy 125.315502 21.70718) (xy 125.351812 21.587482) (xy 125.364072 21.463) + (xy 125.364072 20.193) (xy 125.351812 20.068518) (xy 125.315502 19.94882) (xy 125.256537 19.838506) (xy 125.177185 19.741815) + (xy 125.080494 19.662463) (xy 124.97018 19.603498) (xy 124.850482 19.567188) (xy 124.726 19.554928) (xy 121.546 19.554928) + (xy 121.421518 19.567188) (xy 121.30182 19.603498) (xy 121.191506 19.662463) (xy 121.094815 19.741815) (xy 121.015463 19.838506) + (xy 120.956498 19.94882) (xy 120.920188 20.068518) (xy 120.907928 20.193) (xy 120.907928 21.463) (xy 120.920188 21.587482) + (xy 120.956498 21.70718) (xy 121.015463 21.817494) (xy 121.094815 21.914185) (xy 121.191506 21.993537) (xy 121.30182 22.052502) + (xy 121.421518 22.088812) (xy 121.514808 22.098) (xy 121.421518 22.107188) (xy 121.30182 22.143498) (xy 121.191506 22.202463) + (xy 121.094815 22.281815) (xy 121.015463 22.378506) (xy 120.956498 22.48882) (xy 120.920188 22.608518) (xy 120.908852 22.723615) + (xy 120.864008 22.747585) (xy 120.814967 22.773798) (xy 120.706673 22.862673) (xy 120.684403 22.88981) (xy 119.82181 23.752403) + (xy 119.794674 23.774673) (xy 119.772404 23.801809) (xy 119.772403 23.80181) (xy 119.705798 23.882968) (xy 119.660661 23.967414) + (xy 119.639759 24.006519) (xy 119.599092 24.14058) (xy 119.588801 24.245064) (xy 119.58536 24.28) (xy 119.588801 24.314936) + (xy 119.5888 35.325074) (xy 119.58536 35.36) (xy 119.5888 35.394926) (xy 119.5888 35.394935) (xy 119.599091 35.499419) + (xy 119.639758 35.63348) (xy 119.705798 35.757032) (xy 119.794673 35.865326) (xy 119.82181 35.887597) (xy 120.838801 36.904589) + (xy 120.838801 37.045262) (xy 120.51375 37.045262) (xy 120.346592 37.061726) (xy 120.185858 37.110484) (xy 120.037725 37.189663) + (xy 119.907885 37.296219) (xy 119.896681 37.309872) (xy 119.844458 37.21217) (xy 119.734792 37.078542) (xy 119.601164 36.968876) + (xy 119.448709 36.887387) (xy 119.283285 36.837206) (xy 119.11125 36.820262) (xy 118.62375 36.820262) (xy 118.451715 36.837206) + (xy 118.286291 36.887387) (xy 118.133836 36.968876) (xy 118.000208 37.078542) (xy 117.994992 37.084898) (xy 117.931185 37.007149) + (xy 117.834494 36.927797) (xy 117.72418 36.868832) (xy 117.604482 36.832522) (xy 117.48 36.820262) (xy 117.27825 36.823334) + (xy 117.1195 36.982084) (xy 117.1195 38.031334) (xy 117.1395 38.031334) (xy 117.1395 38.285334) (xy 117.1195 38.285334) + (xy 117.1195 40.283) (xy 117.1395 40.283) (xy 117.1395 40.537) (xy 117.1195 40.537) (xy 117.1195 41.58625) + (xy 117.27825 41.745) (xy 117.48 41.748072) (xy 117.604482 41.735812) (xy 117.72418 41.699502) (xy 117.834494 41.640537) + (xy 117.931185 41.561185) (xy 117.994992 41.483436) (xy 118.000208 41.489792) (xy 118.133836 41.599458) (xy 118.286291 41.680947) + (xy 118.451715 41.731128) (xy 118.62375 41.748072) (xy 119.11125 41.748072) (xy 119.283285 41.731128) (xy 119.448709 41.680947) + (xy 119.601164 41.599458) (xy 119.734792 41.489792) (xy 119.844458 41.356164) (xy 119.896681 41.258462) (xy 119.907885 41.272115) + (xy 120.037725 41.378671) (xy 120.185858 41.45785) (xy 120.346592 41.506608) (xy 120.51375 41.523072) (xy 120.95125 41.523072) + (xy 121.118408 41.506608) (xy 121.279142 41.45785) (xy 121.427275 41.378671) (xy 121.52 41.302574) (xy 121.612725 41.378671) + (xy 121.760858 41.45785) (xy 121.921592 41.506608) (xy 122.08875 41.523072) (xy 122.52625 41.523072) (xy 122.693408 41.506608) + (xy 122.854142 41.45785) (xy 123.002275 41.378671) (xy 123.132115 41.272115) (xy 123.238671 41.142275) (xy 123.31785 40.994142) + (xy 123.366608 40.833408) (xy 123.383072 40.66625) (xy 123.383072 40.15375) (xy 123.366608 39.986592) (xy 123.31785 39.825858) + (xy 123.238671 39.677725) (xy 123.132115 39.547885) (xy 123.002275 39.441329) (xy 122.854142 39.36215) (xy 122.693408 39.313392) + (xy 122.52625 39.296928) (xy 122.2612 39.296928) (xy 122.2612 39.271406) (xy 122.52625 39.271406) (xy 122.693408 39.254942) + (xy 122.854142 39.206184) (xy 123.002275 39.127005) (xy 123.132115 39.020449) (xy 123.238671 38.890609) (xy 123.31785 38.742476) + (xy 123.366608 38.581742) (xy 123.383072 38.414584) (xy 123.383072 37.902084) (xy 123.366608 37.734926) (xy 123.31785 37.574192) + (xy 123.238671 37.426059) (xy 123.132115 37.296219) (xy 123.0187 37.203143) (xy 123.0187 36.812425) (xy 123.02214 36.777499) + (xy 123.0187 36.742573) (xy 123.0187 36.742564) (xy 123.008409 36.63808) (xy 122.967742 36.504019) (xy 122.901702 36.380467) + (xy 122.90082 36.379392) (xy 122.835097 36.299308) (xy 122.835088 36.299299) (xy 122.812826 36.272173) (xy 122.785701 36.249912) + (xy 121.4812 34.945413) (xy 121.4812 34.79469) (xy 121.546 34.801072) (xy 122.85025 34.798) (xy 123.009 34.63925) + (xy 123.009 33.655) (xy 123.263 33.655) (xy 123.263 34.63925) (xy 123.42175 34.798) (xy 124.726 34.801072) + (xy 124.850482 34.788812) (xy 124.97018 34.752502) (xy 125.080494 34.693537) (xy 125.177185 34.614185) (xy 125.256537 34.517494) + (xy 125.315502 34.40718) (xy 125.351812 34.287482) (xy 125.364072 34.163) (xy 125.361 33.81375) (xy 125.20225 33.655) + (xy 123.263 33.655) (xy 123.009 33.655) (xy 122.989 33.655) (xy 122.989 33.401) (xy 123.009 33.401) + (xy 123.009 33.381) (xy 123.263 33.381) (xy 123.263 33.401) (xy 125.20225 33.401) (xy 125.361 33.24225) + (xy 125.364072 32.893) (xy 125.351812 32.768518) (xy 125.315502 32.64882) (xy 125.256537 32.538506) (xy 125.177185 32.441815) + (xy 125.080494 32.362463) (xy 124.97018 32.303498) (xy 124.850482 32.267188) (xy 124.757192 32.258) (xy 124.850482 32.248812) + (xy 124.97018 32.212502) (xy 125.080494 32.153537) (xy 125.177185 32.074185) (xy 125.256537 31.977494) (xy 125.315502 31.86718) + (xy 125.351812 31.747482) (xy 125.364072 31.623) (xy 125.364072 30.353) (xy 125.351812 30.228518) (xy 125.315502 30.10882) + (xy 125.256537 29.998506) (xy 125.177185 29.901815) (xy 125.080494 29.822463) (xy 124.97018 29.763498) (xy 124.850482 29.727188) + (xy 124.757192 29.718) (xy 124.850482 29.708812) (xy 124.97018 29.672502) (xy 125.080494 29.613537) (xy 125.177185 29.534185) + (xy 125.256537 29.437494) (xy 125.315502 29.32718) (xy 125.351812 29.207482) (xy 125.364072 29.083) (xy 125.364072 27.813) + (xy 125.351812 27.688518) (xy 125.315502 27.56882) (xy 125.256537 27.458506) (xy 125.177185 27.361815) (xy 125.080494 27.282463) + (xy 124.97018 27.223498) (xy 124.850482 27.187188) (xy 124.757192 27.178) (xy 124.850482 27.168812) (xy 124.97018 27.132502) + (xy 125.080494 27.073537) (xy 125.177185 26.994185) (xy 125.256537 26.897494) (xy 125.315502 26.78718) (xy 125.351812 26.667482) + (xy 125.356567 26.6192) (xy 128.77581 26.6192) (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) + (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) + (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) + (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) + (xy 128.619463 31.204494) (xy 128.698815 31.301185) (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) + (xy 129.15 31.488072) (xy 130.85 31.488072) (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) + (xy 131.301185 31.301185) (xy 131.380537 31.204494) (xy 131.439502 31.09418) (xy 131.463966 31.013534) (xy 131.539731 31.097588) + (xy 131.77308 31.271641) (xy 132.035901 31.396825) (xy 132.18311 31.441476) (xy 132.413 31.320155) (xy 132.413 30.127) + (xy 132.393 30.127) (xy 132.393 29.873) (xy 132.413 29.873) (xy 132.413 27.587) (xy 132.393 27.587) + (xy 132.393 27.333) (xy 132.413 27.333) (xy 132.413 27.313) (xy 132.667 27.313) (xy 132.667 27.333) + (xy 132.687 27.333) (xy 132.687 27.587) (xy 132.667 27.587) (xy 132.667 29.873) (xy 132.687 29.873) (xy 132.687 30.127) (xy 132.667 30.127) (xy 132.667 31.320155) (xy 132.89689 31.441476) (xy 133.044099 31.396825) (xy 133.30692 31.271641) (xy 133.540269 31.097588) (xy 133.735178 30.881355) (xy 133.804805 30.764466) (xy 133.926525 30.946632) (xy 134.133368 31.153475) (xy 134.376589 31.31599) (xy 134.646842 31.427932) (xy 134.93374 31.485) (xy 135.22626 31.485) @@ -14160,6 +13939,12 @@ (xy 133.078801 64.756988) ) ) + (filled_polygon + (pts + (xy 143.067402 77.80181) (xy 142.947352 77.92186) (xy 142.960242 77.897745) (xy 143.000909 77.763684) (xy 143.0112 77.6592) + (xy 143.0112 77.659191) (xy 143.01464 77.624265) (xy 143.0112 77.589339) (xy 143.0112 66.552511) (xy 143.067401 66.49631) + ) + ) (filled_polygon (pts (xy 139.726842 63.177932) (xy 140.01374 63.235) (xy 140.30626 63.235) (xy 140.527402 63.191012) (xy 140.527401 76.027543) @@ -14309,20 +14094,6 @@ (xy 192.113475 30.946632) (xy 192.23 30.77224) ) ) - (filled_polygon - (pts - (xy 132.723985 26.109772) (xy 132.667 26.139845) (xy 132.667 27.333) (xy 132.687 27.333) (xy 132.687 27.587) - (xy 132.667 27.587) (xy 132.667 27.607) (xy 132.413 27.607) (xy 132.413 27.587) (xy 132.393 27.587) - (xy 132.393 27.333) (xy 132.413 27.333) (xy 132.413 26.139845) (xy 132.18311 26.018524) (xy 132.035901 26.063175) - (xy 131.77308 26.188359) (xy 131.539731 26.362412) (xy 131.344822 26.578645) (xy 131.275195 26.695534) (xy 131.153475 26.513368) - (xy 130.946632 26.306525) (xy 130.703411 26.14401) (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) - (xy 129.566842 26.032068) (xy 129.296589 26.14401) (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) - (xy 128.572068 27.026842) (xy 128.515 27.31374) (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) - (xy 128.715073 28.2099) (xy 126.314588 28.2099) (xy 123.506502 25.401815) (xy 123.484227 25.374673) (xy 123.375933 25.285798) - (xy 123.252381 25.219758) (xy 123.231211 25.213336) (xy 123.21599 25.176589) (xy 123.053475 24.933368) (xy 122.846632 24.726525) - (xy 122.67224 24.61) (xy 122.846632 24.493475) (xy 123.053475 24.286632) (xy 123.210786 24.0512) (xy 130.665413 24.0512) - ) - ) (filled_polygon (pts (xy 158.4045 23.873) (xy 158.4245 23.873) (xy 158.4245 24.127) (xy 158.4045 24.127) (xy 158.4045 25.17625) @@ -14377,543 +14148,498 @@ (xy 261.550378 13.370593) (xy 261.558017 13.376912) (xy 261.663887 13.463257) (xy 261.717474 13.498859) (xy 261.770583 13.535224) (xy 261.779303 13.539939) (xy 261.899928 13.604076) (xy 261.959402 13.628589) (xy 262.018565 13.653947) (xy 262.028035 13.656878) (xy 262.15882 13.696365) (xy 262.221939 13.708863) (xy 262.284895 13.722245) (xy 262.294754 13.723281) (xy 262.430718 13.736612) - (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 53.031509) - (xy 272.574463 53.001082) (xy 272.276912 52.877832) (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) - (xy 271.025537 53.001082) (xy 270.757748 53.180013) (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) - (xy 270.165 54.288967) (xy 270.165 54.611033) (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) - (xy 270.757748 55.719987) (xy 271.025537 55.898918) (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) - (xy 272.276912 56.022168) (xy 272.574463 55.898918) (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) - (xy 272.276912 68.577832) (xy 271.961033 68.515) (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) - (xy 270.757748 68.880013) (xy 270.530013 69.107748) (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) - (xy 270.165 70.311033) (xy 270.227832 70.626912) (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) - (xy 271.025537 71.598918) (xy 271.323088 71.722168) (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) - (xy 272.574463 71.598918) (xy 272.62 71.568491) (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) - (xy 271.486835 74.335) (xy 271.305398 74.35287) (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) - (xy 270.515667 74.880551) (xy 270.400989 75.095099) (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.33037 75.812102) - (xy 270.333383 75.822035) (xy 270.253881 75.703051) (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) - (xy 269.331448 75.21) (xy 269.063552 75.21) (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) - (xy 268.141119 75.703051) (xy 267.992284 75.925799) (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) - (xy 267.889764 76.966697) (xy 267.992284 77.214201) (xy 268.141119 77.436949) (xy 268.330551 77.626381) (xy 268.553299 77.775216) - (xy 268.800803 77.877736) (xy 269.063552 77.93) (xy 269.331448 77.93) (xy 269.594197 77.877736) (xy 269.841701 77.775216) - (xy 270.064449 77.626381) (xy 270.253881 77.436949) (xy 270.402716 77.214201) (xy 270.505236 76.966697) (xy 270.5575 76.703948) - (xy 270.5575 76.436052) (xy 270.524516 76.270232) (xy 270.669998 76.447502) (xy 270.858051 76.601833) (xy 271.072599 76.716511) - (xy 271.305398 76.78713) (xy 271.486835 76.805) (xy 272.308165 76.805) (xy 272.489602 76.78713) (xy 272.62 76.747574) - (xy 272.62 81.392426) (xy 272.489602 81.35287) (xy 272.308165 81.335) (xy 271.486835 81.335) (xy 271.305398 81.35287) - (xy 271.072599 81.423489) (xy 270.858051 81.538167) (xy 270.669998 81.692498) (xy 270.524516 81.869768) (xy 270.5575 81.703948) - (xy 270.5575 81.436052) (xy 270.505236 81.173303) (xy 270.402716 80.925799) (xy 270.253881 80.703051) (xy 270.064449 80.513619) - (xy 269.841701 80.364784) (xy 269.594197 80.262264) (xy 269.331448 80.21) (xy 269.063552 80.21) (xy 268.800803 80.262264) - (xy 268.553299 80.364784) (xy 268.330551 80.513619) (xy 268.141119 80.703051) (xy 267.992284 80.925799) (xy 267.889764 81.173303) - (xy 267.8375 81.436052) (xy 267.8375 81.703948) (xy 267.889764 81.966697) (xy 267.992284 82.214201) (xy 268.141119 82.436949) - (xy 268.330551 82.626381) (xy 268.553299 82.775216) (xy 268.800803 82.877736) (xy 269.063552 82.93) (xy 269.331448 82.93) - (xy 269.594197 82.877736) (xy 269.841701 82.775216) (xy 270.064449 82.626381) (xy 270.253881 82.436949) (xy 270.333383 82.317965) - (xy 270.33037 82.327898) (xy 270.306525 82.57) (xy 270.33037 82.812102) (xy 270.400989 83.044901) (xy 270.515667 83.259449) - (xy 270.669998 83.447502) (xy 270.858051 83.601833) (xy 271.072599 83.716511) (xy 271.305398 83.78713) (xy 271.486835 83.805) - (xy 272.308165 83.805) (xy 272.489602 83.78713) (xy 272.62 83.747574) (xy 272.62 97.635909) (xy 272.085909 98.17) - (xy 262.465123 98.17) (xy 262.430023 98.173457) (xy 262.420938 98.173457) (xy 262.411079 98.174493) (xy 262.275316 98.189722) - (xy 262.21241 98.203094) (xy 262.149252 98.215599) (xy 262.139782 98.218531) (xy 262.009561 98.259839) (xy 261.950438 98.285179) - (xy 261.890914 98.309713) (xy 261.882194 98.314428) (xy 261.762476 98.380244) (xy 261.709412 98.416579) (xy 261.655782 98.45221) - (xy 261.648144 98.458529) (xy 261.54349 98.546344) (xy 261.498477 98.59231) (xy 261.452804 98.637665) (xy 261.446539 98.645347) - (xy 261.360935 98.751818) (xy 261.325733 98.805613) (xy 261.289716 98.85901) (xy 261.285062 98.867763) (xy 261.221768 98.988832) - (xy 261.197669 99.048477) (xy 261.172722 99.107825) (xy 261.169857 99.117315) (xy 261.131285 99.248373) (xy 261.119231 99.311565) - (xy 261.106288 99.374618) (xy 261.105321 99.384484) (xy 261.092939 99.520537) (xy 261.093388 99.584853) (xy 261.092939 99.649235) - (xy 261.093906 99.659101) (xy 261.108186 99.794968) (xy 261.121121 99.857983) (xy 261.133185 99.921221) (xy 261.13605 99.930711) - (xy 261.176449 100.061217) (xy 261.201391 100.12055) (xy 261.225492 100.180202) (xy 261.230146 100.188955) (xy 261.295124 100.309129) - (xy 261.331086 100.362444) (xy 261.366342 100.416322) (xy 261.372608 100.424004) (xy 261.459689 100.529268) (xy 261.505328 100.57459) - (xy 261.550378 100.620593) (xy 261.558017 100.626912) (xy 261.663887 100.713257) (xy 261.717474 100.748859) (xy 261.770583 100.785224) - (xy 261.779303 100.789939) (xy 261.899928 100.854076) (xy 261.945842 100.873) (xy 206.293059 100.873) (xy 206.055776 100.714452) - (xy 205.68088 100.559165) (xy 205.282892 100.48) (xy 204.877108 100.48) (xy 204.550082 100.54505) (xy 205.990132 99.105) - (xy 243.484249 99.105) (xy 243.54 99.110491) (xy 243.595751 99.105) (xy 243.595752 99.105) (xy 243.762499 99.088577) - (xy 243.976447 99.023676) (xy 244.173623 98.918284) (xy 244.346449 98.776449) (xy 244.381996 98.733135) (xy 248.285565 94.829566) - (xy 265.717303 94.829566) (xy 265.717303 95.330434) (xy 265.815017 95.821677) (xy 266.006691 96.284419) (xy 266.284958 96.700875) - (xy 266.639125 97.055042) (xy 267.055581 97.333309) (xy 267.518323 97.524983) (xy 268.009566 97.622697) (xy 268.510434 97.622697) - (xy 269.001677 97.524983) (xy 269.464419 97.333309) (xy 269.880875 97.055042) (xy 270.235042 96.700875) (xy 270.513309 96.284419) - (xy 270.704983 95.821677) (xy 270.802697 95.330434) (xy 270.802697 94.829566) (xy 270.704983 94.338323) (xy 270.513309 93.875581) - (xy 270.235042 93.459125) (xy 269.880875 93.104958) (xy 269.464419 92.826691) (xy 269.001677 92.635017) (xy 268.510434 92.537303) - (xy 268.009566 92.537303) (xy 267.518323 92.635017) (xy 267.055581 92.826691) (xy 266.639125 93.104958) (xy 266.284958 93.459125) - (xy 266.006691 93.875581) (xy 265.815017 94.338323) (xy 265.717303 94.829566) (xy 248.285565 94.829566) (xy 254.691988 88.423144) - (xy 254.798284 88.293623) (xy 254.903676 88.096447) (xy 254.968577 87.882499) (xy 254.990491 87.66) (xy 254.968577 87.437501) - (xy 254.903676 87.223554) (xy 254.798284 87.026378) (xy 254.656449 86.853551) (xy 254.483622 86.711716) (xy 254.286446 86.606324) - (xy 254.072499 86.541423) (xy 253.85 86.519509) (xy 253.627501 86.541423) (xy 253.413553 86.606324) (xy 253.216377 86.711716) - (xy 253.086856 86.818012) (xy 243.069869 96.835) (xy 221.8 96.835) (xy 221.8 92.609841) (xy 221.849345 92.569345) - (xy 222.037417 92.340179) (xy 222.052302 92.312331) (xy 222.141744 92.448903) (xy 222.349506 92.660536) (xy 222.594563 92.827571) - (xy 222.867498 92.943588) (xy 222.959969 92.961302) (xy 223.193 92.840246) (xy 223.193 91.397) (xy 223.447 91.397) - (xy 223.447 92.840246) (xy 223.680031 92.961302) (xy 223.772502 92.943588) (xy 224.045437 92.827571) (xy 224.290494 92.660536) - (xy 224.498256 92.448903) (xy 224.660738 92.200804) (xy 224.771696 91.925773) (xy 224.826866 91.63438) (xy 224.670586 91.397) - (xy 223.447 91.397) (xy 223.193 91.397) (xy 223.173 91.397) (xy 223.173 91.143) (xy 223.193 91.143) - (xy 223.193 89.699754) (xy 223.447 89.699754) (xy 223.447 91.143) (xy 224.670586 91.143) (xy 224.826866 90.90562) - (xy 224.771696 90.614227) (xy 224.660738 90.339196) (xy 224.498256 90.091097) (xy 224.290494 89.879464) (xy 224.045437 89.712429) - (xy 223.772502 89.596412) (xy 223.680031 89.578698) (xy 223.447 89.699754) (xy 223.193 89.699754) (xy 222.959969 89.578698) - (xy 222.867498 89.596412) (xy 222.594563 89.712429) (xy 222.349506 89.879464) (xy 222.141744 90.091097) (xy 222.052302 90.227669) - (xy 222.037417 90.199821) (xy 221.849345 89.970655) (xy 221.620178 89.782583) (xy 221.358724 89.642834) (xy 221.075031 89.556776) - (xy 220.78 89.527718) (xy 220.484968 89.556776) (xy 220.201275 89.642834) (xy 219.939821 89.782583) (xy 219.712615 89.969047) - (xy 219.699502 89.92582) (xy 219.640537 89.815506) (xy 219.561185 89.718815) (xy 219.464494 89.639463) (xy 219.35418 89.580498) - (xy 219.234482 89.544188) (xy 219.11 89.531928) (xy 218.52575 89.535) (xy 218.367 89.69375) (xy 218.367 91.143) - (xy 218.387 91.143) (xy 218.387 91.397) (xy 218.367 91.397) (xy 218.367 92.84625) (xy 218.52575 93.005) - (xy 219.11 93.008072) (xy 219.234482 92.995812) (xy 219.35418 92.959502) (xy 219.464494 92.900537) (xy 219.561185 92.821185) - (xy 219.640537 92.724494) (xy 219.699502 92.61418) (xy 219.712615 92.570953) (xy 219.880001 92.708323) (xy 219.88 96.835) - (xy 208.11871 96.835) (xy 212.58371 92.37) (xy 216.731928 92.37) (xy 216.744188 92.494482) (xy 216.780498 92.61418) - (xy 216.839463 92.724494) (xy 216.918815 92.821185) (xy 217.015506 92.900537) (xy 217.12582 92.959502) (xy 217.245518 92.995812) - (xy 217.37 93.008072) (xy 217.95425 93.005) (xy 218.113 92.84625) (xy 218.113 91.397) (xy 216.89375 91.397) - (xy 216.735 91.55575) (xy 216.731928 92.37) (xy 212.58371 92.37) (xy 214.78371 90.17) (xy 216.731928 90.17) - (xy 216.735 90.98425) (xy 216.89375 91.143) (xy 218.113 91.143) (xy 218.113 89.69375) (xy 217.95425 89.535) - (xy 217.37 89.531928) (xy 217.245518 89.544188) (xy 217.12582 89.580498) (xy 217.015506 89.639463) (xy 216.918815 89.718815) - (xy 216.839463 89.815506) (xy 216.780498 89.92582) (xy 216.744188 90.045518) (xy 216.731928 90.17) (xy 214.78371 90.17) - (xy 235.564743 69.388967) (xy 265.215 69.388967) (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) - (xy 265.580013 70.592252) (xy 265.807748 70.819987) (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) - (xy 267.011033 71.185) (xy 267.326912 71.122168) (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.119987 70.592252) - (xy 268.298918 70.324463) (xy 268.422168 70.026912) (xy 268.485 69.711033) (xy 268.485 69.388967) (xy 268.422168 69.073088) - (xy 268.298918 68.775537) (xy 268.119987 68.507748) (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) - (xy 267.011033 67.915) (xy 266.688967 67.915) (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) - (xy 265.580013 68.507748) (xy 265.401082 68.775537) (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 235.564743 69.388967) - (xy 241.305649 63.648061) (xy 247.045 63.648061) (xy 247.045 63.851939) (xy 247.084774 64.051898) (xy 247.162795 64.240256) - (xy 247.276063 64.409774) (xy 247.420226 64.553937) (xy 247.589744 64.667205) (xy 247.778102 64.745226) (xy 247.978061 64.785) - (xy 248.181939 64.785) (xy 248.381898 64.745226) (xy 248.570256 64.667205) (xy 248.739774 64.553937) (xy 248.883937 64.409774) - (xy 248.997205 64.240256) (xy 249.075226 64.051898) (xy 249.115 63.851939) (xy 249.115 63.648061) (xy 249.075226 63.448102) - (xy 248.997205 63.259744) (xy 248.969354 63.218061) (xy 254.885 63.218061) (xy 254.885 63.421939) (xy 254.924774 63.621898) - (xy 255.002795 63.810256) (xy 255.116063 63.979774) (xy 255.260226 64.123937) (xy 255.429744 64.237205) (xy 255.618102 64.315226) - (xy 255.818061 64.355) (xy 255.880199 64.355) (xy 257.818701 66.293503) (xy 257.842499 66.322501) (xy 257.871497 66.346299) - (xy 257.958223 66.417474) (xy 258.038234 66.460241) (xy 258.090253 66.488046) (xy 258.233514 66.531503) (xy 258.345167 66.5425) - (xy 258.345177 66.5425) (xy 258.3825 66.546176) (xy 258.419823 66.5425) (xy 261.056289 66.5425) (xy 261.100226 66.586437) - (xy 261.269744 66.699705) (xy 261.458102 66.777726) (xy 261.658061 66.8175) (xy 261.861939 66.8175) (xy 262.061898 66.777726) - (xy 262.250256 66.699705) (xy 262.419774 66.586437) (xy 262.563937 66.442274) (xy 262.677205 66.272756) (xy 262.755226 66.084398) - (xy 262.795 65.884439) (xy 262.795 65.680561) (xy 262.755226 65.480602) (xy 262.677205 65.292244) (xy 262.563937 65.122726) - (xy 262.419774 64.978563) (xy 262.250256 64.865295) (xy 262.061898 64.787274) (xy 261.861939 64.7475) (xy 261.658061 64.7475) - (xy 261.458102 64.787274) (xy 261.269744 64.865295) (xy 261.100226 64.978563) (xy 261.056289 65.0225) (xy 258.697302 65.0225) - (xy 256.955 63.280199) (xy 256.955 63.218061) (xy 256.915226 63.018102) (xy 256.837205 62.829744) (xy 256.723937 62.660226) - (xy 256.579774 62.516063) (xy 256.410256 62.402795) (xy 256.221898 62.324774) (xy 256.021939 62.285) (xy 255.818061 62.285) - (xy 255.618102 62.324774) (xy 255.429744 62.402795) (xy 255.260226 62.516063) (xy 255.116063 62.660226) (xy 255.002795 62.829744) - (xy 254.924774 63.018102) (xy 254.885 63.218061) (xy 248.969354 63.218061) (xy 248.883937 63.090226) (xy 248.739774 62.946063) - (xy 248.570256 62.832795) (xy 248.381898 62.754774) (xy 248.181939 62.715) (xy 247.978061 62.715) (xy 247.778102 62.754774) - (xy 247.589744 62.832795) (xy 247.420226 62.946063) (xy 247.276063 63.090226) (xy 247.162795 63.259744) (xy 247.084774 63.448102) - (xy 247.045 63.648061) (xy 241.305649 63.648061) (xy 242.046211 62.9075) (xy 246.331939 62.9075) (xy 246.382057 62.897531) - (xy 246.432895 62.892524) (xy 246.481777 62.877696) (xy 246.531898 62.867726) (xy 246.579113 62.848169) (xy 246.627993 62.833341) - (xy 246.673042 62.809262) (xy 246.720256 62.789705) (xy 246.762746 62.761314) (xy 246.807797 62.737234) (xy 246.847284 62.704828) - (xy 246.889774 62.676437) (xy 246.925908 62.640303) (xy 246.965396 62.607896) (xy 246.997803 62.568408) (xy 247.033937 62.532274) - (xy 247.062328 62.489784) (xy 247.094734 62.450297) (xy 247.118814 62.405246) (xy 247.147205 62.362756) (xy 247.166762 62.315542) - (xy 247.190841 62.270493) (xy 247.205669 62.221613) (xy 247.225226 62.174398) (xy 247.235196 62.124277) (xy 247.250024 62.075395) - (xy 247.255031 62.024557) (xy 247.265 61.974439) (xy 247.265 61.923338) (xy 247.270007 61.8725) (xy 247.265 61.821662) - (xy 247.265 61.770561) (xy 247.255031 61.720443) (xy 247.250024 61.669605) (xy 247.235196 61.620723) (xy 247.225226 61.570602) - (xy 247.205669 61.523387) (xy 247.190841 61.474507) (xy 247.166762 61.429458) (xy 247.147205 61.382244) (xy 247.118814 61.339754) - (xy 247.094734 61.294703) (xy 247.062328 61.255216) (xy 247.033937 61.212726) (xy 246.997803 61.176592) (xy 246.965396 61.137104) - (xy 246.925908 61.104697) (xy 246.889774 61.068563) (xy 246.847284 61.040172) (xy 246.807797 61.007766) (xy 246.762746 60.983686) - (xy 246.720256 60.955295) (xy 246.673042 60.935738) (xy 246.627993 60.911659) (xy 246.579113 60.896831) (xy 246.531898 60.877274) - (xy 246.481777 60.867304) (xy 246.432895 60.852476) (xy 246.382057 60.847469) (xy 246.331939 60.8375) (xy 241.668327 60.8375) - (xy 241.617499 60.832494) (xy 241.566671 60.8375) (xy 241.566662 60.8375) (xy 241.414605 60.852476) (xy 241.219507 60.911659) - (xy 241.138197 60.95512) (xy 241.039702 61.007766) (xy 240.940498 61.089181) (xy 240.882104 61.137104) (xy 240.849697 61.176592) - (xy 205.121467 96.904823) (xy 205.083553 96.916324) (xy 204.886377 97.021716) (xy 204.713551 97.163551) (xy 204.678011 97.206857) - (xy 201.413072 100.471797) (xy 201.413072 99.474998) (xy 201.396008 99.301744) (xy 201.345472 99.135148) (xy 201.263405 98.981613) - (xy 201.152962 98.847038) (xy 201.018387 98.736595) (xy 200.864852 98.654528) (xy 200.785994 98.630607) (xy 200.898822 98.555218) - (xy 201.095218 98.358822) (xy 201.249525 98.127885) (xy 201.355814 97.871282) (xy 201.41 97.598873) (xy 201.41 97.321127) - (xy 201.355814 97.048718) (xy 201.249525 96.792115) (xy 201.095218 96.561178) (xy 200.898822 96.364782) (xy 200.667885 96.210475) - (xy 200.618454 96.19) (xy 200.667885 96.169525) (xy 200.898822 96.015218) (xy 201.095218 95.818822) (xy 201.249525 95.587885) - (xy 201.355814 95.331282) (xy 201.41 95.058873) (xy 201.41 94.781127) (xy 201.355814 94.508718) (xy 201.249525 94.252115) - (xy 201.095218 94.021178) (xy 200.898822 93.824782) (xy 200.7112 93.699417) (xy 200.7112 63.182777) (xy 200.97374 63.235) - (xy 201.26626 63.235) (xy 201.553158 63.177932) (xy 201.823411 63.06599) (xy 202.066632 62.903475) (xy 202.273475 62.696632) - (xy 202.39 62.52224) (xy 202.506525 62.696632) (xy 202.713368 62.903475) (xy 202.956589 63.06599) (xy 203.226842 63.177932) - (xy 203.51374 63.235) (xy 203.80626 63.235) (xy 204.093158 63.177932) (xy 204.363411 63.06599) (xy 204.606632 62.903475) - (xy 204.813475 62.696632) (xy 204.93 62.52224) (xy 205.046525 62.696632) (xy 205.253368 62.903475) (xy 205.496589 63.06599) - (xy 205.766842 63.177932) (xy 206.05374 63.235) (xy 206.34626 63.235) (xy 206.633158 63.177932) (xy 206.903411 63.06599) - (xy 207.146632 62.903475) (xy 207.353475 62.696632) (xy 207.47 62.52224) (xy 207.586525 62.696632) (xy 207.793368 62.903475) - (xy 208.036589 63.06599) (xy 208.306842 63.177932) (xy 208.59374 63.235) (xy 208.88626 63.235) (xy 209.173158 63.177932) - (xy 209.443411 63.06599) (xy 209.686632 62.903475) (xy 209.893475 62.696632) (xy 210.05599 62.453411) (xy 210.167932 62.183158) - (xy 210.225 61.89626) (xy 210.225 61.60374) (xy 210.169759 61.326028) (xy 213.622726 57.873061) (xy 244.515 57.873061) - (xy 244.515 58.076939) (xy 244.554774 58.276898) (xy 244.632795 58.465256) (xy 244.746063 58.634774) (xy 244.890226 58.778937) - (xy 245.059744 58.892205) (xy 245.248102 58.970226) (xy 245.448061 59.01) (xy 245.651939 59.01) (xy 245.851898 58.970226) - (xy 246.040256 58.892205) (xy 246.209774 58.778937) (xy 246.353937 58.634774) (xy 246.467205 58.465256) (xy 246.545226 58.276898) - (xy 246.585 58.076939) (xy 246.585 57.873061) (xy 246.545226 57.673102) (xy 246.467205 57.484744) (xy 246.353937 57.315226) - (xy 246.209774 57.171063) (xy 246.040256 57.057795) (xy 245.851898 56.979774) (xy 245.651939 56.94) (xy 245.448061 56.94) - (xy 245.248102 56.979774) (xy 245.059744 57.057795) (xy 244.890226 57.171063) (xy 244.746063 57.315226) (xy 244.632795 57.484744) - (xy 244.554774 57.673102) (xy 244.515 57.873061) (xy 213.622726 57.873061) (xy 216.210226 55.285561) (xy 256.515 55.285561) - (xy 256.515 55.489439) (xy 256.554774 55.689398) (xy 256.632795 55.877756) (xy 256.746063 56.047274) (xy 256.890226 56.191437) - (xy 257.059744 56.304705) (xy 257.248102 56.382726) (xy 257.448061 56.4225) (xy 257.651939 56.4225) (xy 257.851898 56.382726) - (xy 258.040256 56.304705) (xy 258.209774 56.191437) (xy 258.353937 56.047274) (xy 258.467205 55.877756) (xy 258.545226 55.689398) - (xy 258.585 55.489439) (xy 258.585 55.285561) (xy 258.545226 55.085602) (xy 258.467205 54.897244) (xy 258.461675 54.888967) - (xy 265.215 54.888967) (xy 265.215 55.211033) (xy 265.277832 55.526912) (xy 265.401082 55.824463) (xy 265.580013 56.092252) - (xy 265.807748 56.319987) (xy 266.075537 56.498918) (xy 266.373088 56.622168) (xy 266.688967 56.685) (xy 267.011033 56.685) - (xy 267.326912 56.622168) (xy 267.624463 56.498918) (xy 267.892252 56.319987) (xy 268.119987 56.092252) (xy 268.298918 55.824463) - (xy 268.422168 55.526912) (xy 268.485 55.211033) (xy 268.485 54.888967) (xy 268.422168 54.573088) (xy 268.298918 54.275537) - (xy 268.119987 54.007748) (xy 267.892252 53.780013) (xy 267.624463 53.601082) (xy 267.326912 53.477832) (xy 267.011033 53.415) - (xy 266.688967 53.415) (xy 266.373088 53.477832) (xy 266.075537 53.601082) (xy 265.807748 53.780013) (xy 265.580013 54.007748) - (xy 265.401082 54.275537) (xy 265.277832 54.573088) (xy 265.215 54.888967) (xy 258.461675 54.888967) (xy 258.353937 54.727726) - (xy 258.209774 54.583563) (xy 258.040256 54.470295) (xy 257.851898 54.392274) (xy 257.651939 54.3525) (xy 257.448061 54.3525) - (xy 257.248102 54.392274) (xy 257.059744 54.470295) (xy 256.890226 54.583563) (xy 256.746063 54.727726) (xy 256.632795 54.897244) - (xy 256.554774 55.085602) (xy 256.515 55.285561) (xy 216.210226 55.285561) (xy 224.685011 46.810777) (xy 254.515 46.810777) - (xy 254.515 47.369223) (xy 254.623948 47.916939) (xy 254.837656 48.432876) (xy 255.147912 48.897207) (xy 255.542793 49.292088) - (xy 256.007124 49.602344) (xy 256.523061 49.816052) (xy 257.070777 49.925) (xy 257.629223 49.925) (xy 258.176939 49.816052) - (xy 258.692876 49.602344) (xy 259.157207 49.292088) (xy 259.552088 48.897207) (xy 259.862344 48.432876) (xy 260.076052 47.916939) - (xy 260.185 47.369223) (xy 260.185 46.810777) (xy 260.076052 46.263061) (xy 259.862344 45.747124) (xy 259.552088 45.282793) - (xy 259.541997 45.272702) (xy 263.536903 45.272702) (xy 263.608486 45.516671) (xy 263.863996 45.637571) (xy 264.138184 45.7063) - (xy 264.420512 45.720217) (xy 264.70013 45.678787) (xy 264.966292 45.583603) (xy 265.091514 45.516671) (xy 265.163097 45.272702) - (xy 264.35 44.459605) (xy 263.536903 45.272702) (xy 259.541997 45.272702) (xy 259.157207 44.887912) (xy 258.692876 44.577656) - (xy 258.176939 44.363948) (xy 258.109392 44.350512) (xy 262.909783 44.350512) (xy 262.951213 44.63013) (xy 263.046397 44.896292) - (xy 263.113329 45.021514) (xy 263.357298 45.093097) (xy 264.170395 44.28) (xy 264.529605 44.28) (xy 265.342702 45.093097) - (xy 265.411928 45.072785) (xy 265.411928 45.08) (xy 265.424188 45.204482) (xy 265.460498 45.32418) (xy 265.519463 45.434494) - (xy 265.598815 45.531185) (xy 265.695506 45.610537) (xy 265.80582 45.669502) (xy 265.925518 45.705812) (xy 266.05 45.718072) - (xy 267.65 45.718072) (xy 267.774482 45.705812) (xy 267.89418 45.669502) (xy 268.004494 45.610537) (xy 268.101185 45.531185) - (xy 268.180537 45.434494) (xy 268.239502 45.32418) (xy 268.275812 45.204482) (xy 268.288072 45.08) (xy 268.288072 43.48) - (xy 268.275812 43.355518) (xy 268.239502 43.23582) (xy 268.180537 43.125506) (xy 268.101185 43.028815) (xy 268.004494 42.949463) - (xy 267.89418 42.890498) (xy 267.774482 42.854188) (xy 267.65 42.841928) (xy 266.05 42.841928) (xy 265.925518 42.854188) - (xy 265.80582 42.890498) (xy 265.695506 42.949463) (xy 265.598815 43.028815) (xy 265.519463 43.125506) (xy 265.460498 43.23582) - (xy 265.424188 43.355518) (xy 265.411928 43.48) (xy 265.411928 43.487215) (xy 265.342702 43.466903) (xy 264.529605 44.28) - (xy 264.170395 44.28) (xy 263.357298 43.466903) (xy 263.113329 43.538486) (xy 262.992429 43.793996) (xy 262.9237 44.068184) - (xy 262.909783 44.350512) (xy 258.109392 44.350512) (xy 257.629223 44.255) (xy 257.070777 44.255) (xy 256.523061 44.363948) - (xy 256.007124 44.577656) (xy 255.542793 44.887912) (xy 255.147912 45.282793) (xy 254.837656 45.747124) (xy 254.623948 46.263061) - (xy 254.515 46.810777) (xy 224.685011 46.810777) (xy 228.20849 43.287298) (xy 263.536903 43.287298) (xy 264.35 44.100395) - (xy 265.163097 43.287298) (xy 265.091514 43.043329) (xy 264.836004 42.922429) (xy 264.561816 42.8537) (xy 264.279488 42.839783) - (xy 263.99987 42.881213) (xy 263.733708 42.976397) (xy 263.608486 43.043329) (xy 263.536903 43.287298) (xy 228.20849 43.287298) - (xy 228.757597 42.738192) (xy 228.757606 42.738181) (xy 232.478191 39.017597) (xy 232.505327 38.995327) (xy 232.594202 38.887033) - (xy 232.660242 38.763481) (xy 232.700909 38.62942) (xy 232.7112 38.524936) (xy 232.7112 38.524927) (xy 232.71464 38.490001) - (xy 232.7112 38.455075) (xy 232.7112 36.788061) (xy 254.965 36.788061) (xy 254.965 36.991939) (xy 255.004774 37.191898) - (xy 255.082795 37.380256) (xy 255.196063 37.549774) (xy 255.340226 37.693937) (xy 255.509744 37.807205) (xy 255.698102 37.885226) - (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) (xy 256.659774 37.693937) - (xy 256.803937 37.549774) (xy 256.917205 37.380256) (xy 256.995226 37.191898) (xy 257.035 36.991939) (xy 257.035 36.788061) - (xy 256.995226 36.588102) (xy 256.917205 36.399744) (xy 256.803937 36.230226) (xy 256.659774 36.086063) (xy 256.490256 35.972795) - (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) (xy 255.509744 35.972795) - (xy 255.340226 36.086063) (xy 255.196063 36.230226) (xy 255.082795 36.399744) (xy 255.004774 36.588102) (xy 254.965 36.788061) - (xy 232.7112 36.788061) (xy 232.7112 34.752511) (xy 232.803937 34.659774) (xy 232.917205 34.490256) (xy 232.995226 34.301898) - (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.917205 33.509744) (xy 232.803937 33.340226) - (xy 232.659774 33.196063) (xy 232.490256 33.082795) (xy 232.301898 33.004774) (xy 232.101939 32.965) (xy 231.898061 32.965) - (xy 231.698102 33.004774) (xy 231.509744 33.082795) (xy 231.340226 33.196063) (xy 231.196063 33.340226) (xy 231.082795 33.509744) - (xy 231.004774 33.698102) (xy 231 33.722103) (xy 230.995226 33.698102) (xy 230.917205 33.509744) (xy 230.803937 33.340226) - (xy 230.659774 33.196063) (xy 230.490256 33.082795) (xy 230.301898 33.004774) (xy 230.101939 32.965) (xy 229.970789 32.965) - (xy 226.481538 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) (xy 229.972021 30.139466) - (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) (xy 230.88575 30.75) - (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) (xy 231.901031 30.329457) - (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) (xy 232.115422 29.25164) - (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) (xy 231.33836 28.474578) - (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) (xy 230.260543 28.688969) - (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) (xy 226.481538 29.47575) - (xy 225.066203 28.060416) (xy 225.043928 28.033274) (xy 224.935634 27.944399) (xy 224.812082 27.878359) (xy 224.678021 27.837692) - (xy 224.573537 27.827401) (xy 224.573527 27.827401) (xy 224.538601 27.823961) (xy 224.503675 27.827401) (xy 210.177753 27.827401) - (xy 210.181481 27.816891) (xy 210.060814 27.587) (xy 208.867 27.587) (xy 208.867 27.607) (xy 208.613 27.607) - (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) (xy 208.613 26.139845) - (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) (xy 210.084157 26.828748) - (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.244099 26.063175) (xy 209.09689 26.018524) - (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) (xy 207.97308 26.188359) - (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) (xy 207.146632 26.306525) - (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.05374 25.975) (xy 205.766842 26.032068) - (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) (xy 204.93 26.68776) (xy 204.813475 26.513368) - (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) (xy 203.80626 25.975) (xy 203.51374 25.975) - (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) (xy 202.506525 26.513368) (xy 202.39 26.68776) - (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) (xy 201.553158 26.032068) (xy 201.26626 25.975) - (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) (xy 200.173368 26.306525) (xy 199.966525 26.513368) - (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) (xy 199.283411 26.14401) (xy 199.013158 26.032068) - (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) (xy 197.876589 26.14401) (xy 197.633368 26.306525) - (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) (xy 196.986632 26.306525) (xy 196.743411 26.14401) - (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) (xy 195.606842 26.032068) (xy 195.336589 26.14401) - (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) (xy 194.653475 26.513368) (xy 194.446632 26.306525) - (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) (xy 193.35374 25.975) (xy 193.066842 26.032068) - (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) (xy 192.23 26.68776) (xy 192.113475 26.513368) - (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) (xy 191.10626 25.975) (xy 190.81374 25.975) - (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) (xy 189.806525 26.513368) (xy 189.69 26.68776) - (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) (xy 188.853158 26.032068) (xy 188.56626 25.975) - (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) (xy 187.473368 26.306525) (xy 187.266525 26.513368) - (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) (xy 186.583411 26.14401) (xy 186.313158 26.032068) - (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) (xy 185.176589 26.14401) (xy 184.933368 26.306525) - (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) (xy 184.286632 26.306525) (xy 184.043411 26.14401) - (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) (xy 182.906842 26.032068) (xy 182.636589 26.14401) - (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) (xy 181.953475 26.513368) (xy 181.746632 26.306525) - (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) (xy 180.65374 25.975) (xy 180.366842 26.032068) - (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) (xy 179.53 26.68776) (xy 179.413475 26.513368) - (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) (xy 178.40626 25.975) (xy 178.11374 25.975) - (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) (xy 177.106525 26.513368) (xy 176.99 26.68776) - (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) (xy 176.153158 26.032068) (xy 175.86626 25.975) - (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) (xy 174.566525 26.513368) - (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) (xy 173.883411 26.14401) (xy 173.613158 26.032068) - (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) (xy 172.476589 26.14401) (xy 172.233368 26.306525) - (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) (xy 171.640269 26.362412) (xy 171.40692 26.188359) - (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) (xy 170.767 27.333) (xy 170.787 27.333) - (xy 170.787 27.587) (xy 170.767 27.587) (xy 170.767 29.873) (xy 170.787 29.873) (xy 170.787 30.127) - (xy 170.767 30.127) (xy 170.767 31.320155) (xy 170.99689 31.441476) (xy 171.144099 31.396825) (xy 171.40692 31.271641) - (xy 171.640269 31.097588) (xy 171.835178 30.881355) (xy 171.904805 30.764466) (xy 172.026525 30.946632) (xy 172.233368 31.153475) - (xy 172.476589 31.31599) (xy 172.746842 31.427932) (xy 173.03374 31.485) (xy 173.32626 31.485) (xy 173.613158 31.427932) - (xy 173.883411 31.31599) (xy 174.126632 31.153475) (xy 174.333475 30.946632) (xy 174.45 30.77224) (xy 174.566525 30.946632) - (xy 174.773368 31.153475) (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) (xy 175.86626 31.485) - (xy 176.153158 31.427932) (xy 176.423411 31.31599) (xy 176.666632 31.153475) (xy 176.873475 30.946632) (xy 176.99 30.77224) - (xy 177.106525 30.946632) (xy 177.313368 31.153475) (xy 177.556589 31.31599) (xy 177.826842 31.427932) (xy 178.11374 31.485) - (xy 178.40626 31.485) (xy 178.693158 31.427932) (xy 178.963411 31.31599) (xy 179.206632 31.153475) (xy 179.413475 30.946632) - (xy 179.53 30.77224) (xy 179.646525 30.946632) (xy 179.853368 31.153475) (xy 180.096589 31.31599) (xy 180.366842 31.427932) - (xy 180.65374 31.485) (xy 180.94626 31.485) (xy 181.233158 31.427932) (xy 181.503411 31.31599) (xy 181.746632 31.153475) - (xy 181.953475 30.946632) (xy 182.07 30.77224) (xy 182.186525 30.946632) (xy 182.393368 31.153475) (xy 182.636589 31.31599) - (xy 182.906842 31.427932) (xy 183.19374 31.485) (xy 183.48626 31.485) (xy 183.773158 31.427932) (xy 184.043411 31.31599) - (xy 184.286632 31.153475) (xy 184.493475 30.946632) (xy 184.61 30.77224) (xy 184.726525 30.946632) (xy 184.933368 31.153475) - (xy 185.176589 31.31599) (xy 185.446842 31.427932) (xy 185.73374 31.485) (xy 186.02626 31.485) (xy 186.313158 31.427932) - (xy 186.583411 31.31599) (xy 186.826632 31.153475) (xy 187.033475 30.946632) (xy 187.15 30.77224) (xy 187.266525 30.946632) - (xy 187.473368 31.153475) (xy 187.716589 31.31599) (xy 187.986842 31.427932) (xy 188.27374 31.485) (xy 188.56626 31.485) - (xy 188.853158 31.427932) (xy 189.123411 31.31599) (xy 189.366632 31.153475) (xy 189.573475 30.946632) (xy 189.69 30.77224) - (xy 189.806525 30.946632) (xy 190.013368 31.153475) (xy 190.256589 31.31599) (xy 190.526842 31.427932) (xy 190.81374 31.485) - (xy 191.10626 31.485) (xy 191.393158 31.427932) (xy 191.663411 31.31599) (xy 191.906632 31.153475) (xy 192.113475 30.946632) - (xy 192.23 30.77224) (xy 192.346525 30.946632) (xy 192.553368 31.153475) (xy 192.796589 31.31599) (xy 193.066842 31.427932) - (xy 193.35374 31.485) (xy 193.64626 31.485) (xy 193.933158 31.427932) (xy 194.203411 31.31599) (xy 194.446632 31.153475) - (xy 194.653475 30.946632) (xy 194.77 30.77224) (xy 194.886525 30.946632) (xy 195.093368 31.153475) (xy 195.336589 31.31599) - (xy 195.606842 31.427932) (xy 195.89374 31.485) (xy 196.18626 31.485) (xy 196.473158 31.427932) (xy 196.743411 31.31599) - (xy 196.986632 31.153475) (xy 197.193475 30.946632) (xy 197.31 30.77224) (xy 197.426525 30.946632) (xy 197.633368 31.153475) - (xy 197.876589 31.31599) (xy 198.146842 31.427932) (xy 198.43374 31.485) (xy 198.72626 31.485) (xy 199.013158 31.427932) - (xy 199.283411 31.31599) (xy 199.526632 31.153475) (xy 199.733475 30.946632) (xy 199.85 30.77224) (xy 199.966525 30.946632) - (xy 200.173368 31.153475) (xy 200.416589 31.31599) (xy 200.686842 31.427932) (xy 200.97374 31.485) (xy 201.26626 31.485) - (xy 201.553158 31.427932) (xy 201.823411 31.31599) (xy 202.066632 31.153475) (xy 202.273475 30.946632) (xy 202.39 30.77224) - (xy 202.506525 30.946632) (xy 202.713368 31.153475) (xy 202.956589 31.31599) (xy 203.226842 31.427932) (xy 203.51374 31.485) - (xy 203.80626 31.485) (xy 204.093158 31.427932) (xy 204.363411 31.31599) (xy 204.606632 31.153475) (xy 204.813475 30.946632) - (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) (xy 205.766842 31.427932) - (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) (xy 207.146632 31.153475) - (xy 207.353475 30.946632) (xy 207.475195 30.764466) (xy 207.544822 30.881355) (xy 207.739731 31.097588) (xy 207.97308 31.271641) - (xy 208.235901 31.396825) (xy 208.38311 31.441476) (xy 208.613 31.320155) (xy 208.613 30.127) (xy 208.867 30.127) - (xy 208.867 31.320155) (xy 209.09689 31.441476) (xy 209.244099 31.396825) (xy 209.50692 31.271641) (xy 209.740269 31.097588) - (xy 209.935178 30.881355) (xy 210.084157 30.631252) (xy 210.181481 30.356891) (xy 210.060814 30.127) (xy 208.867 30.127) - (xy 208.613 30.127) (xy 208.593 30.127) (xy 208.593 29.873) (xy 208.613 29.873) (xy 208.613 29.853) - (xy 208.867 29.853) (xy 208.867 29.873) (xy 210.060814 29.873) (xy 210.181481 29.643109) (xy 210.177753 29.632599) - (xy 217.726812 29.632599) (xy 220.322402 32.22819) (xy 220.344673 32.255327) (xy 220.452967 32.344202) (xy 220.576519 32.410242) - (xy 220.71058 32.450909) (xy 220.815064 32.4612) (xy 220.815071 32.4612) (xy 220.85 32.46464) (xy 220.884928 32.4612) - (xy 223.455413 32.4612) (xy 223.959212 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) (xy 223.509744 33.082795) - (xy 223.340226 33.196063) (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) (xy 222.965 33.898061) - (xy 222.965 34.029211) (xy 205.071815 51.922398) (xy 205.071809 51.922403) (xy 204.42181 52.572403) (xy 204.394674 52.594673) - (xy 204.372404 52.621809) (xy 204.372403 52.62181) (xy 204.352758 52.645748) (xy 204.305799 52.702967) (xy 204.239759 52.826519) - (xy 204.200686 52.955327) (xy 204.199092 52.960581) (xy 204.18536 53.1) (xy 204.188801 53.134936) (xy 204.1888 57.821684) - (xy 204.093158 57.782068) (xy 203.80626 57.725) (xy 203.51374 57.725) (xy 203.226842 57.782068) (xy 202.956589 57.89401) - (xy 202.713368 58.056525) (xy 202.506525 58.263368) (xy 202.39 58.43776) (xy 202.273475 58.263368) (xy 202.066632 58.056525) - (xy 201.823411 57.89401) (xy 201.553158 57.782068) (xy 201.26626 57.725) (xy 200.97374 57.725) (xy 200.686842 57.782068) - (xy 200.416589 57.89401) (xy 200.173368 58.056525) (xy 200.140341 58.089552) (xy 196.372602 54.321815) (xy 196.350327 54.294673) - (xy 196.242033 54.205798) (xy 196.118481 54.139758) (xy 195.98442 54.099091) (xy 195.879936 54.0888) (xy 195.879926 54.0888) - (xy 195.845 54.08536) (xy 195.810074 54.0888) (xy 190.104795 54.0888) (xy 190.128576 54.053209) (xy 190.206597 53.864851) - (xy 190.246371 53.664892) (xy 190.246371 53.461014) (xy 190.206597 53.261055) (xy 190.128576 53.072697) (xy 190.015308 52.903179) - (xy 189.871145 52.759016) (xy 189.701627 52.645748) (xy 189.513269 52.567727) (xy 189.31331 52.527953) (xy 189.109432 52.527953) - (xy 188.909473 52.567727) (xy 188.721115 52.645748) (xy 188.551597 52.759016) (xy 188.407434 52.903179) (xy 188.294166 53.072697) - (xy 188.216145 53.261055) (xy 188.176371 53.461014) (xy 188.176371 53.664892) (xy 188.21338 53.850952) (xy 188.101898 53.804774) - (xy 187.901939 53.765) (xy 187.698061 53.765) (xy 187.498102 53.804774) (xy 187.309744 53.882795) (xy 187.2 53.956123) - (xy 187.090256 53.882795) (xy 186.901898 53.804774) (xy 186.701939 53.765) (xy 186.498061 53.765) (xy 186.298102 53.804774) - (xy 186.109744 53.882795) (xy 186 53.956123) (xy 185.890256 53.882795) (xy 185.701898 53.804774) (xy 185.501939 53.765) - (xy 185.298061 53.765) (xy 185.098102 53.804774) (xy 184.909744 53.882795) (xy 184.8 53.956123) (xy 184.690256 53.882795) - (xy 184.501898 53.804774) (xy 184.301939 53.765) (xy 184.098061 53.765) (xy 183.898102 53.804774) (xy 183.709744 53.882795) - (xy 183.540226 53.996063) (xy 183.396063 54.140226) (xy 183.282795 54.309744) (xy 183.204774 54.498102) (xy 183.165 54.698061) - (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) (xy 183.524214 55.587925) - (xy 183.539758 55.639166) (xy 183.551205 55.660581) (xy 183.605798 55.762717) (xy 183.672403 55.843875) (xy 183.694674 55.871012) - (xy 183.707401 55.881457) (xy 183.707401 57.768988) (xy 183.48626 57.725) (xy 183.19374 57.725) (xy 182.906842 57.782068) - (xy 182.636589 57.89401) (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) (xy 181.953475 58.263368) - (xy 181.746632 58.056525) (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) (xy 180.65374 57.725) - (xy 180.366842 57.782068) (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) (xy 179.53 58.43776) - (xy 179.413475 58.263368) (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) (xy 178.40626 57.725) - (xy 178.11374 57.725) (xy 177.826842 57.782068) (xy 177.814611 57.787134) (xy 177.814611 49.198339) (xy 177.818051 49.163411) - (xy 177.814611 49.128482) (xy 177.814611 49.128475) (xy 177.80432 49.023991) (xy 177.763653 48.889929) (xy 177.697613 48.766378) - (xy 177.631009 48.68522) (xy 177.631004 48.685215) (xy 177.608738 48.658084) (xy 177.581607 48.635818) (xy 160.430787 31.485) - (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) (xy 161.426632 31.153475) (xy 161.633475 30.946632) - (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) (xy 162.316589 31.31599) (xy 162.586842 31.427932) - (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) (xy 163.723411 31.31599) (xy 163.966632 31.153475) - (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) (xy 164.613368 31.153475) (xy 164.856589 31.31599) - (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) (xy 165.993158 31.427932) (xy 166.263411 31.31599) - (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.83 30.77224) (xy 166.946525 30.946632) (xy 167.153368 31.153475) - (xy 167.396589 31.31599) (xy 167.666842 31.427932) (xy 167.95374 31.485) (xy 168.24626 31.485) (xy 168.533158 31.427932) - (xy 168.803411 31.31599) (xy 169.046632 31.153475) (xy 169.253475 30.946632) (xy 169.375195 30.764466) (xy 169.444822 30.881355) - (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) (xy 170.28311 31.441476) (xy 170.513 31.320155) - (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) (xy 170.513 29.873) (xy 170.513 27.587) - (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) (xy 170.513 26.139845) (xy 170.28311 26.018524) - (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) (xy 169.444822 26.578645) (xy 169.375195 26.695534) - (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) (xy 168.533158 26.032068) (xy 168.24626 25.975) - (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) (xy 167.153368 26.306525) (xy 166.946525 26.513368) - (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) (xy 166.263411 26.14401) (xy 165.993158 26.032068) - (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) (xy 164.856589 26.14401) (xy 164.613368 26.306525) - (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) (xy 163.966632 26.306525) (xy 163.723411 26.14401) - (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) (xy 162.586842 26.032068) (xy 162.316589 26.14401) - (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) (xy 161.633475 26.513368) (xy 161.426632 26.306525) - (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) (xy 160.33374 25.975) (xy 160.046842 26.032068) - (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) (xy 159.21 26.68776) (xy 159.093475 26.513368) - (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) (xy 158.08626 25.975) (xy 157.79374 25.975) - (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) (xy 156.786525 26.513368) (xy 156.67 26.68776) - (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) (xy 155.833158 26.032068) (xy 155.54626 25.975) - (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) (xy 154.453368 26.306525) (xy 154.246525 26.513368) - (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) (xy 153.563411 26.14401) (xy 153.293158 26.032068) - (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) (xy 152.156589 26.14401) (xy 151.913368 26.306525) - (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) (xy 151.266632 26.306525) (xy 151.023411 26.14401) - (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) (xy 149.886842 26.032068) (xy 149.616589 26.14401) - (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) (xy 148.933475 26.513368) (xy 148.726632 26.306525) - (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) (xy 147.63374 25.975) (xy 147.346842 26.032068) - (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) (xy 146.51 26.68776) (xy 146.393475 26.513368) - (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) (xy 145.38626 25.975) (xy 145.09374 25.975) - (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) (xy 144.086525 26.513368) (xy 143.97 26.68776) - (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) (xy 143.133158 26.032068) (xy 142.84626 25.975) - (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) (xy 141.753368 26.306525) (xy 141.546525 26.513368) - (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) (xy 140.863411 26.14401) (xy 140.593158 26.032068) - (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) (xy 139.456589 26.14401) (xy 139.213368 26.306525) - (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) (xy 138.566632 26.306525) (xy 138.323411 26.14401) - (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) (xy 137.186842 26.032068) (xy 136.916589 26.14401) - (xy 136.869801 26.175273) (xy 136.869801 25.945986) (xy 137.380788 25.435) (xy 137.511939 25.435) (xy 137.711898 25.395226) - (xy 137.900256 25.317205) (xy 138.069774 25.203937) (xy 138.213937 25.059774) (xy 138.327205 24.890256) (xy 138.405226 24.701898) - (xy 138.445 24.501939) (xy 138.445 24.298061) (xy 138.405226 24.098102) (xy 138.327205 23.909744) (xy 138.213937 23.740226) - (xy 138.069774 23.596063) (xy 137.900256 23.482795) (xy 137.711898 23.404774) (xy 137.511939 23.365) (xy 137.308061 23.365) - (xy 137.108102 23.404774) (xy 136.919744 23.482795) (xy 136.750226 23.596063) (xy 136.606063 23.740226) (xy 136.492795 23.909744) - (xy 136.414774 24.098102) (xy 136.375 24.298061) (xy 136.375 24.429212) (xy 135.680411 25.123802) (xy 135.653274 25.146073) - (xy 135.564399 25.254367) (xy 135.498359 25.377919) (xy 135.457692 25.51198) (xy 135.447401 25.616464) (xy 135.447401 25.616473) - (xy 135.443961 25.651399) (xy 135.447401 25.686325) (xy 135.447401 26.018988) (xy 135.22626 25.975) (xy 134.93374 25.975) - (xy 134.712599 26.018988) (xy 134.712599 25.573189) (xy 134.850788 25.435) (xy 134.981939 25.435) (xy 135.181898 25.395226) - (xy 135.370256 25.317205) (xy 135.539774 25.203937) (xy 135.683937 25.059774) (xy 135.797205 24.890256) (xy 135.875226 24.701898) - (xy 135.915 24.501939) (xy 135.915 24.298061) (xy 135.875226 24.098102) (xy 135.797205 23.909744) (xy 135.683937 23.740226) - (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) (xy 134.981939 23.365) (xy 134.778061 23.365) - (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) (xy 134.076063 23.740226) (xy 133.962795 23.909744) - (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 133.845 24.429212) (xy 133.523209 24.751004) (xy 133.496073 24.773274) - (xy 133.473803 24.80041) (xy 133.473802 24.800411) (xy 133.409969 24.878192) (xy 133.407198 24.881568) (xy 133.341158 25.00512) - (xy 133.300491 25.139181) (xy 133.296807 25.176589) (xy 133.286759 25.278601) (xy 133.2902 25.313537) (xy 133.2902 26.180395) - (xy 133.044099 26.063175) (xy 132.89689 26.018524) (xy 132.667 26.139845) (xy 132.667 27.333) (xy 132.687 27.333) - (xy 132.687 27.587) (xy 132.667 27.587) (xy 132.667 29.873) (xy 132.687 29.873) (xy 132.687 30.127) - (xy 132.667 30.127) (xy 132.667 31.320155) (xy 132.89689 31.441476) (xy 133.044099 31.396825) (xy 133.2902 31.279605) - (xy 133.290199 55.556473) (xy 133.286759 55.591399) (xy 133.290199 55.626325) (xy 133.290199 55.626334) (xy 133.30049 55.730818) - (xy 133.341157 55.864879) (xy 133.407197 55.988431) (xy 133.496072 56.096725) (xy 133.523209 56.118997) (xy 135.129212 57.725) - (xy 134.93374 57.725) (xy 134.646842 57.782068) (xy 134.376589 57.89401) (xy 134.133368 58.056525) (xy 133.926525 58.263368) - (xy 133.804805 58.445534) (xy 133.735178 58.328645) (xy 133.540269 58.112412) (xy 133.30692 57.938359) (xy 133.044099 57.813175) - (xy 132.89689 57.768524) (xy 132.667 57.889845) (xy 132.667 59.083) (xy 132.687 59.083) (xy 132.687 59.337) - (xy 132.667 59.337) (xy 132.667 61.623) (xy 132.687 61.623) (xy 132.687 61.877) (xy 132.667 61.877) - (xy 132.667 63.070155) (xy 132.89689 63.191476) (xy 133.044099 63.146825) (xy 133.30692 63.021641) (xy 133.540269 62.847588) - (xy 133.735178 62.631355) (xy 133.804805 62.514466) (xy 133.926525 62.696632) (xy 134.133368 62.903475) (xy 134.376589 63.06599) - (xy 134.646842 63.177932) (xy 134.93374 63.235) (xy 135.22626 63.235) (xy 135.513158 63.177932) (xy 135.783411 63.06599) - (xy 136.026632 62.903475) (xy 136.233475 62.696632) (xy 136.35 62.52224) (xy 136.466525 62.696632) (xy 136.673368 62.903475) - (xy 136.903378 63.057163) (xy 125.095543 74.865) (xy 124.964391 74.865) (xy 124.764432 74.904774) (xy 124.576074 74.982795) - (xy 124.435 75.077057) (xy 124.435 68.271312) (xy 128.925347 63.781269) (xy 128.92535 63.781266) (xy 129.46858 63.238072) - (xy 130.85 63.238072) (xy 130.974482 63.225812) (xy 131.09418 63.189502) (xy 131.204494 63.130537) (xy 131.301185 63.051185) - (xy 131.380537 62.954494) (xy 131.439502 62.84418) (xy 131.463966 62.763534) (xy 131.539731 62.847588) (xy 131.77308 63.021641) - (xy 132.035901 63.146825) (xy 132.18311 63.191476) (xy 132.413 63.070155) (xy 132.413 61.877) (xy 132.393 61.877) - (xy 132.393 61.623) (xy 132.413 61.623) (xy 132.413 59.337) (xy 132.393 59.337) (xy 132.393 59.083) - (xy 132.413 59.083) (xy 132.413 57.889845) (xy 132.18311 57.768524) (xy 132.035901 57.813175) (xy 131.77308 57.938359) - (xy 131.539731 58.112412) (xy 131.344822 58.328645) (xy 131.275195 58.445534) (xy 131.153475 58.263368) (xy 130.946632 58.056525) - (xy 130.703411 57.89401) (xy 130.433158 57.782068) (xy 130.14626 57.725) (xy 129.85374 57.725) (xy 129.566842 57.782068) - (xy 129.296589 57.89401) (xy 129.053368 58.056525) (xy 129.04035 58.069543) (xy 129.04 58.069509) (xy 128.984249 58.075) - (xy 128.984248 58.075) (xy 128.817501 58.091423) (xy 128.603553 58.156324) (xy 128.406377 58.261716) (xy 128.233551 58.403551) - (xy 128.214617 58.426622) (xy 128.191552 58.445551) (xy 128.049717 58.618377) (xy 128.043349 58.630291) (xy 127.944324 58.815554) - (xy 127.879423 59.029502) (xy 127.857509 59.252) (xy 127.863001 59.307761) (xy 127.863 60.926303) (xy 126.96673 61.822516) - (xy 126.966728 61.822517) (xy 122.118784 66.670134) (xy 122.065919 66.71352) (xy 121.980585 66.8175) (xy 121.89287 66.924373) - (xy 121.892859 66.924393) (xy 121.892843 66.924413) (xy 121.827978 67.045767) (xy 121.764254 67.164976) (xy 121.764247 67.165) - (xy 121.764236 67.16502) (xy 121.722894 67.301305) (xy 121.68505 67.426047) (xy 121.685048 67.426068) (xy 121.68504 67.426094) - (xy 121.669008 67.588875) (xy 121.6583 67.697554) (xy 121.665 67.765606) (xy 121.665001 83.852334) (xy 121.501898 83.784774) - (xy 121.301939 83.745) (xy 121.098061 83.745) (xy 120.898102 83.784774) (xy 120.709744 83.862795) (xy 120.540226 83.976063) - (xy 120.396063 84.120226) (xy 120.282795 84.289744) (xy 120.204774 84.478102) (xy 120.165 84.678061) (xy 120.165 84.881939) - (xy 120.204774 85.081898) (xy 120.282795 85.270256) (xy 120.396063 85.439774) (xy 120.540226 85.583937) (xy 120.709744 85.697205) - (xy 120.898102 85.775226) (xy 121.098061 85.815) (xy 121.301939 85.815) (xy 121.501898 85.775226) (xy 121.665001 85.707666) - (xy 121.665001 89.681961) (xy 121.6583 89.75) (xy 121.68504 90.021507) (xy 121.764236 90.28258) (xy 121.892844 90.523188) - (xy 122.022548 90.681233) (xy 122.022551 90.681236) (xy 122.06592 90.734081) (xy 122.118765 90.77745) (xy 125.105001 93.763686) - (xy 125.105 94.230376) (xy 125.090475 94.252115) (xy 125.071799 94.297202) (xy 125.016268 94.19331) (xy 124.774849 94.124756) - (xy 123.979605 94.92) (xy 124.774849 95.715244) (xy 125.016268 95.64669) (xy 125.068725 95.535376) (xy 125.090475 95.587885) - (xy 125.244782 95.818822) (xy 125.441178 96.015218) (xy 125.672115 96.169525) (xy 125.717202 96.188201) (xy 125.61331 96.243732) - (xy 125.544756 96.485151) (xy 126.34 97.280395) (xy 127.135244 96.485151) (xy 127.06669 96.243732) (xy 126.955376 96.191275) - (xy 127.007885 96.169525) (xy 127.029623 96.155) (xy 127.495 96.155) (xy 127.495001 96.715912) (xy 127.314849 96.664756) - (xy 126.519605 97.46) (xy 127.314849 98.255244) (xy 127.495 98.204088) (xy 127.495001 99.190649) (xy 127.435218 99.101178) - (xy 127.238822 98.904782) (xy 127.007885 98.750475) (xy 126.962798 98.731799) (xy 127.06669 98.676268) (xy 127.135244 98.434849) - (xy 126.34 97.639605) (xy 125.544756 98.434849) (xy 125.61331 98.676268) (xy 125.724624 98.728725) (xy 125.672115 98.750475) - (xy 125.441178 98.904782) (xy 125.244782 99.101178) (xy 125.090475 99.332115) (xy 125.07 99.381546) (xy 125.049525 99.332115) - (xy 124.895218 99.101178) (xy 124.698822 98.904782) (xy 124.467885 98.750475) (xy 124.422798 98.731799) (xy 124.52669 98.676268) - (xy 124.595244 98.434849) (xy 123.8 97.639605) (xy 123.004756 98.434849) (xy 123.07331 98.676268) (xy 123.184624 98.728725) - (xy 123.132115 98.750475) (xy 122.901178 98.904782) (xy 122.704782 99.101178) (xy 122.550475 99.332115) (xy 122.53 99.381546) - (xy 122.509525 99.332115) (xy 122.355218 99.101178) (xy 122.158822 98.904782) (xy 122.045 98.828729) (xy 122.045 98.631271) - (xy 122.158822 98.555218) (xy 122.355218 98.358822) (xy 122.509525 98.127885) (xy 122.528201 98.082798) (xy 122.583732 98.18669) - (xy 122.825151 98.255244) (xy 123.620395 97.46) (xy 123.979605 97.46) (xy 124.774849 98.255244) (xy 125.016268 98.18669) - (xy 125.06662 98.079841) (xy 125.123732 98.18669) (xy 125.365151 98.255244) (xy 126.160395 97.46) (xy 125.365151 96.664756) - (xy 125.123732 96.73331) (xy 125.07338 96.840159) (xy 125.016268 96.73331) (xy 124.774849 96.664756) (xy 123.979605 97.46) - (xy 123.620395 97.46) (xy 122.825151 96.664756) (xy 122.583732 96.73331) (xy 122.531275 96.844624) (xy 122.509525 96.792115) - (xy 122.355218 96.561178) (xy 122.158822 96.364782) (xy 121.927885 96.210475) (xy 121.878454 96.19) (xy 121.927885 96.169525) - (xy 122.158822 96.015218) (xy 122.279191 95.894849) (xy 123.004756 95.894849) (xy 123.07331 96.136268) (xy 123.180159 96.18662) - (xy 123.07331 96.243732) (xy 123.004756 96.485151) (xy 123.8 97.280395) (xy 124.595244 96.485151) (xy 124.52669 96.243732) - (xy 124.419841 96.19338) (xy 124.52669 96.136268) (xy 124.595244 95.894849) (xy 123.8 95.099605) (xy 123.004756 95.894849) - (xy 122.279191 95.894849) (xy 122.355218 95.818822) (xy 122.509525 95.587885) (xy 122.528201 95.542798) (xy 122.583732 95.64669) - (xy 122.825151 95.715244) (xy 123.620395 94.92) (xy 122.825151 94.124756) (xy 122.583732 94.19331) (xy 122.531275 94.304624) - (xy 122.509525 94.252115) (xy 122.355218 94.021178) (xy 122.279191 93.945151) (xy 123.004756 93.945151) (xy 123.8 94.740395) - (xy 124.595244 93.945151) (xy 124.52669 93.703732) (xy 124.275444 93.585332) (xy 124.005929 93.518222) (xy 123.728498 93.504982) - (xy 123.453816 93.546121) (xy 123.192438 93.640057) (xy 123.07331 93.703732) (xy 123.004756 93.945151) (xy 122.279191 93.945151) - (xy 122.158822 93.824782) (xy 121.927885 93.670475) (xy 121.671282 93.564186) (xy 121.398873 93.51) (xy 121.121127 93.51) - (xy 120.848718 93.564186) (xy 120.592115 93.670475) (xy 120.361178 93.824782) (xy 120.164782 94.021178) (xy 120.010475 94.252115) - (xy 119.904186 94.508718) (xy 119.85 94.781127) (xy 119.85 95.058873) (xy 119.904186 95.331282) (xy 120.010475 95.587885) - (xy 120.164782 95.818822) (xy 120.361178 96.015218) (xy 120.592115 96.169525) (xy 120.641546 96.19) (xy 120.592115 96.210475) - (xy 120.361178 96.364782) (xy 120.164782 96.561178) (xy 120.010475 96.792115) (xy 119.904186 97.048718) (xy 119.85 97.321127) - (xy 119.85 97.598873) (xy 119.904186 97.871282) (xy 120.010475 98.127885) (xy 120.164782 98.358822) (xy 120.361178 98.555218) - (xy 120.475 98.631272) (xy 120.475001 98.828728) (xy 120.361178 98.904782) (xy 120.164782 99.101178) (xy 120.010475 99.332115) - (xy 119.904186 99.588718) (xy 119.85 99.861127) (xy 119.85 100.138873) (xy 119.904186 100.411282) (xy 120.010475 100.667885) - (xy 120.147528 100.873) (xy 117.393059 100.873) (xy 117.155776 100.714452) (xy 116.78088 100.559165) (xy 116.382892 100.48) - (xy 115.977108 100.48) (xy 115.57912 100.559165) (xy 115.204224 100.714452) (xy 114.966941 100.873) (xy 112.44 100.873) - (xy 112.44 82.398061) (xy 114.7775 82.398061) (xy 114.7775 82.601939) (xy 114.817274 82.801898) (xy 114.895295 82.990256) - (xy 115.008563 83.159774) (xy 115.152726 83.303937) (xy 115.322244 83.417205) (xy 115.510602 83.495226) (xy 115.710561 83.535) - (xy 115.914439 83.535) (xy 116.114398 83.495226) (xy 116.302756 83.417205) (xy 116.472274 83.303937) (xy 116.616437 83.159774) - (xy 116.729705 82.990256) (xy 116.807726 82.801898) (xy 116.8475 82.601939) (xy 116.8475 82.398061) (xy 116.807726 82.198102) - (xy 116.729705 82.009744) (xy 116.616437 81.840226) (xy 116.472274 81.696063) (xy 116.302756 81.582795) (xy 116.114398 81.504774) - (xy 115.914439 81.465) (xy 115.710561 81.465) (xy 115.510602 81.504774) (xy 115.322244 81.582795) (xy 115.152726 81.696063) - (xy 115.008563 81.840226) (xy 114.895295 82.009744) (xy 114.817274 82.198102) (xy 114.7775 82.398061) (xy 112.44 82.398061) - (xy 112.44 79.698061) (xy 117.265 79.698061) (xy 117.265 79.901939) (xy 117.304774 80.101898) (xy 117.382795 80.290256) - (xy 117.496063 80.459774) (xy 117.640226 80.603937) (xy 117.809744 80.717205) (xy 117.998102 80.795226) (xy 118.198061 80.835) - (xy 118.401939 80.835) (xy 118.601898 80.795226) (xy 118.790256 80.717205) (xy 118.959774 80.603937) (xy 119.103937 80.459774) - (xy 119.217205 80.290256) (xy 119.295226 80.101898) (xy 119.335 79.901939) (xy 119.335 79.698061) (xy 119.295226 79.498102) - (xy 119.217205 79.309744) (xy 119.103937 79.140226) (xy 118.959774 78.996063) (xy 118.790256 78.882795) (xy 118.601898 78.804774) - (xy 118.401939 78.765) (xy 118.198061 78.765) (xy 117.998102 78.804774) (xy 117.809744 78.882795) (xy 117.640226 78.996063) - (xy 117.496063 79.140226) (xy 117.382795 79.309744) (xy 117.304774 79.498102) (xy 117.265 79.698061) (xy 112.44 79.698061) - (xy 112.44 69.048061) (xy 115.465 69.048061) (xy 115.465 69.251939) (xy 115.504774 69.451898) (xy 115.582795 69.640256) - (xy 115.696063 69.809774) (xy 115.840226 69.953937) (xy 116.009744 70.067205) (xy 116.198102 70.145226) (xy 116.398061 70.185) - (xy 116.601939 70.185) (xy 116.801898 70.145226) (xy 116.990256 70.067205) (xy 117.159774 69.953937) (xy 117.303937 69.809774) - (xy 117.417205 69.640256) (xy 117.495226 69.451898) (xy 117.535 69.251939) (xy 117.535 69.048061) (xy 117.495226 68.848102) - (xy 117.417205 68.659744) (xy 117.303937 68.490226) (xy 117.159774 68.346063) (xy 116.990256 68.232795) (xy 116.801898 68.154774) - (xy 116.601939 68.115) (xy 116.398061 68.115) (xy 116.198102 68.154774) (xy 116.009744 68.232795) (xy 115.840226 68.346063) - (xy 115.696063 68.490226) (xy 115.582795 68.659744) (xy 115.504774 68.848102) (xy 115.465 69.048061) (xy 112.44 69.048061) - (xy 112.44 51.298061) (xy 117.230134 51.298061) (xy 117.230134 51.501939) (xy 117.269908 51.701898) (xy 117.347929 51.890256) - (xy 117.461197 52.059774) (xy 117.60536 52.203937) (xy 117.774878 52.317205) (xy 117.963236 52.395226) (xy 118.163195 52.435) - (xy 118.367073 52.435) (xy 118.567032 52.395226) (xy 118.75539 52.317205) (xy 118.924908 52.203937) (xy 119.069071 52.059774) - (xy 119.182339 51.890256) (xy 119.26036 51.701898) (xy 119.300134 51.501939) (xy 119.300134 51.298061) (xy 119.26036 51.098102) - (xy 119.182339 50.909744) (xy 119.069071 50.740226) (xy 118.924908 50.596063) (xy 118.75539 50.482795) (xy 118.567032 50.404774) - (xy 118.367073 50.365) (xy 118.163195 50.365) (xy 117.963236 50.404774) (xy 117.774878 50.482795) (xy 117.60536 50.596063) - (xy 117.461197 50.740226) (xy 117.347929 50.909744) (xy 117.269908 51.098102) (xy 117.230134 51.298061) (xy 112.44 51.298061) - (xy 112.44 45.298061) (xy 117.230134 45.298061) (xy 117.230134 45.501939) (xy 117.269908 45.701898) (xy 117.347929 45.890256) - (xy 117.461197 46.059774) (xy 117.60536 46.203937) (xy 117.774878 46.317205) (xy 117.963236 46.395226) (xy 118.163195 46.435) - (xy 118.367073 46.435) (xy 118.567032 46.395226) (xy 118.75539 46.317205) (xy 118.924908 46.203937) (xy 119.069071 46.059774) - (xy 119.182339 45.890256) (xy 119.26036 45.701898) (xy 119.300134 45.501939) (xy 119.300134 45.298061) (xy 119.26036 45.098102) - (xy 119.182339 44.909744) (xy 119.069071 44.740226) (xy 118.924908 44.596063) (xy 118.75539 44.482795) (xy 118.567032 44.404774) - (xy 118.367073 44.365) (xy 118.163195 44.365) (xy 117.963236 44.404774) (xy 117.774878 44.482795) (xy 117.60536 44.596063) - (xy 117.461197 44.740226) (xy 117.347929 44.909744) (xy 117.269908 45.098102) (xy 117.230134 45.298061) (xy 112.44 45.298061) - (xy 112.44 34.35) (xy 120.411928 34.35) (xy 120.424188 34.474482) (xy 120.460498 34.59418) (xy 120.519463 34.704494) - (xy 120.598815 34.801185) (xy 120.695506 34.880537) (xy 120.80582 34.939502) (xy 120.925518 34.975812) (xy 121.05 34.988072) - (xy 121.61425 34.985) (xy 121.773 34.82625) (xy 121.773 33.627) (xy 122.027 33.627) (xy 122.027 34.82625) - (xy 122.18575 34.985) (xy 122.75 34.988072) (xy 122.874482 34.975812) (xy 122.99418 34.939502) (xy 123.104494 34.880537) - (xy 123.201185 34.801185) (xy 123.280537 34.704494) (xy 123.339502 34.59418) (xy 123.375812 34.474482) (xy 123.388072 34.35) - (xy 123.385 33.78575) (xy 123.22625 33.627) (xy 122.027 33.627) (xy 121.773 33.627) (xy 120.57375 33.627) - (xy 120.415 33.78575) (xy 120.411928 34.35) (xy 112.44 34.35) (xy 112.44 32.65) (xy 120.411928 32.65) - (xy 120.415 33.21425) (xy 120.57375 33.373) (xy 121.773 33.373) (xy 121.773 33.353) (xy 122.027 33.353) - (xy 122.027 33.373) (xy 123.22625 33.373) (xy 123.385 33.21425) (xy 123.388072 32.65) (xy 123.375812 32.525518) - (xy 123.339502 32.40582) (xy 123.280537 32.295506) (xy 123.201185 32.198815) (xy 123.104494 32.119463) (xy 122.99418 32.060498) - (xy 122.92162 32.038487) (xy 123.053475 31.906632) (xy 123.21599 31.663411) (xy 123.327932 31.393158) (xy 123.385 31.10626) - (xy 123.385 30.81374) (xy 123.327932 30.526842) (xy 123.21599 30.256589) (xy 123.053475 30.013368) (xy 122.846632 29.806525) - (xy 122.67224 29.69) (xy 122.846632 29.573475) (xy 123.053475 29.366632) (xy 123.198223 29.15) (xy 128.511928 29.15) - (xy 128.511928 30.85) (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) - (xy 128.795506 31.380537) (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) - (xy 130.974482 31.475812) (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) - (xy 131.439502 31.09418) (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) - (xy 132.18311 31.441476) (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) - (xy 132.413 29.873) (xy 132.413 27.587) (xy 132.393 27.587) (xy 132.393 27.333) (xy 132.413 27.333) - (xy 132.413 26.139845) (xy 132.18311 26.018524) (xy 132.035901 26.063175) (xy 131.77308 26.188359) (xy 131.539731 26.362412) - (xy 131.344822 26.578645) (xy 131.275195 26.695534) (xy 131.153475 26.513368) (xy 130.946632 26.306525) (xy 130.703411 26.14401) - (xy 130.433158 26.032068) (xy 130.14626 25.975) (xy 129.85374 25.975) (xy 129.566842 26.032068) (xy 129.296589 26.14401) - (xy 129.053368 26.306525) (xy 128.846525 26.513368) (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) - (xy 128.515 27.60626) (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) - (xy 128.90582 28.560498) (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) - (xy 128.524188 29.025518) (xy 128.511928 29.15) (xy 123.198223 29.15) (xy 123.21599 29.123411) (xy 123.327932 28.853158) - (xy 123.385 28.56626) (xy 123.385 28.27374) (xy 123.327932 27.986842) (xy 123.21599 27.716589) (xy 123.053475 27.473368) - (xy 122.846632 27.266525) (xy 122.67224 27.15) (xy 122.846632 27.033475) (xy 123.053475 26.826632) (xy 123.21599 26.583411) - (xy 123.327932 26.313158) (xy 123.385 26.02626) (xy 123.385 25.73374) (xy 123.327932 25.446842) (xy 123.21599 25.176589) - (xy 123.053475 24.933368) (xy 122.846632 24.726525) (xy 122.67224 24.61) (xy 122.846632 24.493475) (xy 123.053475 24.286632) - (xy 123.21599 24.043411) (xy 123.327932 23.773158) (xy 123.385 23.48626) (xy 123.385 23.19374) (xy 123.327932 22.906842) - (xy 123.21599 22.636589) (xy 123.053475 22.393368) (xy 122.846632 22.186525) (xy 122.67224 22.07) (xy 122.846632 21.953475) - (xy 123.053475 21.746632) (xy 123.167089 21.576596) (xy 175.850673 21.576596) (xy 175.850673 21.780474) (xy 175.890447 21.980433) - (xy 175.968468 22.168791) (xy 176.081736 22.338309) (xy 176.225899 22.482472) (xy 176.395417 22.59574) (xy 176.583775 22.673761) - (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) (xy 177.545447 22.482472) - (xy 177.68961 22.338309) (xy 177.802878 22.168791) (xy 177.880899 21.980433) (xy 177.920673 21.780474) (xy 177.920673 21.576596) - (xy 177.880899 21.376637) (xy 177.802878 21.188279) (xy 177.68961 21.018761) (xy 177.545447 20.874598) (xy 177.375929 20.76133) - (xy 177.187571 20.683309) (xy 176.987612 20.643535) (xy 176.783734 20.643535) (xy 176.583775 20.683309) (xy 176.395417 20.76133) - (xy 176.225899 20.874598) (xy 176.081736 21.018761) (xy 175.968468 21.188279) (xy 175.890447 21.376637) (xy 175.850673 21.576596) - (xy 123.167089 21.576596) (xy 123.21599 21.503411) (xy 123.327932 21.233158) (xy 123.385 20.94626) (xy 123.385 20.65374) - (xy 123.327932 20.366842) (xy 123.21599 20.096589) (xy 123.053475 19.853368) (xy 122.846632 19.646525) (xy 122.603411 19.48401) - (xy 122.333158 19.372068) (xy 122.04626 19.315) (xy 121.75374 19.315) (xy 121.466842 19.372068) (xy 121.196589 19.48401) - (xy 120.953368 19.646525) (xy 120.746525 19.853368) (xy 120.58401 20.096589) (xy 120.472068 20.366842) (xy 120.415 20.65374) - (xy 120.415 20.94626) (xy 120.472068 21.233158) (xy 120.58401 21.503411) (xy 120.746525 21.746632) (xy 120.953368 21.953475) - (xy 121.12776 22.07) (xy 120.953368 22.186525) (xy 120.746525 22.393368) (xy 120.58401 22.636589) (xy 120.472068 22.906842) - (xy 120.415 23.19374) (xy 120.415 23.48626) (xy 120.472068 23.773158) (xy 120.58401 24.043411) (xy 120.746525 24.286632) - (xy 120.953368 24.493475) (xy 121.12776 24.61) (xy 120.953368 24.726525) (xy 120.746525 24.933368) (xy 120.58401 25.176589) - (xy 120.472068 25.446842) (xy 120.415 25.73374) (xy 120.415 26.02626) (xy 120.472068 26.313158) (xy 120.58401 26.583411) - (xy 120.746525 26.826632) (xy 120.953368 27.033475) (xy 121.12776 27.15) (xy 120.953368 27.266525) (xy 120.746525 27.473368) - (xy 120.58401 27.716589) (xy 120.472068 27.986842) (xy 120.415 28.27374) (xy 120.415 28.56626) (xy 120.472068 28.853158) - (xy 120.58401 29.123411) (xy 120.746525 29.366632) (xy 120.953368 29.573475) (xy 121.12776 29.69) (xy 120.953368 29.806525) - (xy 120.746525 30.013368) (xy 120.58401 30.256589) (xy 120.472068 30.526842) (xy 120.415 30.81374) (xy 120.415 31.10626) - (xy 120.472068 31.393158) (xy 120.58401 31.663411) (xy 120.746525 31.906632) (xy 120.87838 32.038487) (xy 120.80582 32.060498) - (xy 120.695506 32.119463) (xy 120.598815 32.198815) (xy 120.519463 32.295506) (xy 120.460498 32.40582) (xy 120.424188 32.525518) - (xy 120.411928 32.65) (xy 112.44 32.65) (xy 112.44 19.098061) (xy 155.495 19.098061) (xy 155.495 19.301939) + (xy 262.430723 13.736612) (xy 262.465123 13.74) (xy 272.085909 13.74) (xy 272.620001 14.274092) (xy 272.620001 48.744267) + (xy 272.603937 48.720226) (xy 272.459774 48.576063) (xy 272.290256 48.462795) (xy 272.101898 48.384774) (xy 271.901939 48.345) + (xy 271.698061 48.345) (xy 271.498102 48.384774) (xy 271.309744 48.462795) (xy 271.140226 48.576063) (xy 270.996063 48.720226) + (xy 270.882795 48.889744) (xy 270.804774 49.078102) (xy 270.765 49.278061) (xy 270.765 49.481939) (xy 270.804774 49.681898) + (xy 270.882795 49.870256) (xy 270.996063 50.039774) (xy 271.140226 50.183937) (xy 271.309744 50.297205) (xy 271.498102 50.375226) + (xy 271.698061 50.415) (xy 271.901939 50.415) (xy 272.101898 50.375226) (xy 272.290256 50.297205) (xy 272.459774 50.183937) + (xy 272.603937 50.039774) (xy 272.620001 50.015733) (xy 272.620001 53.031509) (xy 272.574463 53.001082) (xy 272.276912 52.877832) + (xy 271.961033 52.815) (xy 271.638967 52.815) (xy 271.323088 52.877832) (xy 271.025537 53.001082) (xy 270.757748 53.180013) + (xy 270.530013 53.407748) (xy 270.351082 53.675537) (xy 270.227832 53.973088) (xy 270.165 54.288967) (xy 270.165 54.611033) + (xy 270.227832 54.926912) (xy 270.351082 55.224463) (xy 270.530013 55.492252) (xy 270.757748 55.719987) (xy 271.025537 55.898918) + (xy 271.323088 56.022168) (xy 271.638967 56.085) (xy 271.961033 56.085) (xy 272.276912 56.022168) (xy 272.574463 55.898918) + (xy 272.620001 55.868491) (xy 272.62 68.731509) (xy 272.574463 68.701082) (xy 272.276912 68.577832) (xy 271.961033 68.515) + (xy 271.638967 68.515) (xy 271.323088 68.577832) (xy 271.025537 68.701082) (xy 270.757748 68.880013) (xy 270.530013 69.107748) + (xy 270.351082 69.375537) (xy 270.227832 69.673088) (xy 270.165 69.988967) (xy 270.165 70.311033) (xy 270.227832 70.626912) + (xy 270.351082 70.924463) (xy 270.530013 71.192252) (xy 270.757748 71.419987) (xy 271.025537 71.598918) (xy 271.323088 71.722168) + (xy 271.638967 71.785) (xy 271.961033 71.785) (xy 272.276912 71.722168) (xy 272.574463 71.598918) (xy 272.62 71.568491) + (xy 272.62 74.392426) (xy 272.489602 74.35287) (xy 272.308165 74.335) (xy 271.486835 74.335) (xy 271.305398 74.35287) + (xy 271.072599 74.423489) (xy 270.858051 74.538167) (xy 270.669998 74.692498) (xy 270.515667 74.880551) (xy 270.400989 75.095099) + (xy 270.33037 75.327898) (xy 270.306525 75.57) (xy 270.33037 75.812102) (xy 270.333383 75.822035) (xy 270.253881 75.703051) + (xy 270.064449 75.513619) (xy 269.841701 75.364784) (xy 269.594197 75.262264) (xy 269.331448 75.21) (xy 269.063552 75.21) + (xy 268.800803 75.262264) (xy 268.553299 75.364784) (xy 268.330551 75.513619) (xy 268.141119 75.703051) (xy 267.992284 75.925799) + (xy 267.889764 76.173303) (xy 267.8375 76.436052) (xy 267.8375 76.703948) (xy 267.889764 76.966697) (xy 267.992284 77.214201) + (xy 268.141119 77.436949) (xy 268.330551 77.626381) (xy 268.553299 77.775216) (xy 268.800803 77.877736) (xy 269.063552 77.93) + (xy 269.331448 77.93) (xy 269.594197 77.877736) (xy 269.841701 77.775216) (xy 270.064449 77.626381) (xy 270.253881 77.436949) + (xy 270.402716 77.214201) (xy 270.505236 76.966697) (xy 270.5575 76.703948) (xy 270.5575 76.436052) (xy 270.524516 76.270232) + (xy 270.669998 76.447502) (xy 270.858051 76.601833) (xy 271.072599 76.716511) (xy 271.305398 76.78713) (xy 271.486835 76.805) + (xy 272.308165 76.805) (xy 272.489602 76.78713) (xy 272.62 76.747574) (xy 272.62 81.392426) (xy 272.489602 81.35287) + (xy 272.308165 81.335) (xy 271.486835 81.335) (xy 271.305398 81.35287) (xy 271.072599 81.423489) (xy 270.858051 81.538167) + (xy 270.669998 81.692498) (xy 270.524516 81.869768) (xy 270.5575 81.703948) (xy 270.5575 81.436052) (xy 270.505236 81.173303) + (xy 270.402716 80.925799) (xy 270.253881 80.703051) (xy 270.064449 80.513619) (xy 269.841701 80.364784) (xy 269.594197 80.262264) + (xy 269.331448 80.21) (xy 269.063552 80.21) (xy 268.800803 80.262264) (xy 268.553299 80.364784) (xy 268.330551 80.513619) + (xy 268.141119 80.703051) (xy 267.992284 80.925799) (xy 267.889764 81.173303) (xy 267.8375 81.436052) (xy 267.8375 81.703948) + (xy 267.889764 81.966697) (xy 267.992284 82.214201) (xy 268.141119 82.436949) (xy 268.330551 82.626381) (xy 268.553299 82.775216) + (xy 268.800803 82.877736) (xy 269.063552 82.93) (xy 269.331448 82.93) (xy 269.594197 82.877736) (xy 269.841701 82.775216) + (xy 270.064449 82.626381) (xy 270.253881 82.436949) (xy 270.333383 82.317965) (xy 270.33037 82.327898) (xy 270.306525 82.57) + (xy 270.33037 82.812102) (xy 270.400989 83.044901) (xy 270.515667 83.259449) (xy 270.669998 83.447502) (xy 270.858051 83.601833) + (xy 271.072599 83.716511) (xy 271.305398 83.78713) (xy 271.486835 83.805) (xy 272.308165 83.805) (xy 272.489602 83.78713) + (xy 272.62 83.747574) (xy 272.62 97.635909) (xy 272.085909 98.17) (xy 262.465123 98.17) (xy 262.430023 98.173457) + (xy 262.420938 98.173457) (xy 262.411079 98.174493) (xy 262.275316 98.189722) (xy 262.21241 98.203094) (xy 262.149252 98.215599) + (xy 262.139782 98.218531) (xy 262.009561 98.259839) (xy 261.950438 98.285179) (xy 261.890914 98.309713) (xy 261.882194 98.314428) + (xy 261.762476 98.380244) (xy 261.709412 98.416579) (xy 261.655782 98.45221) (xy 261.648144 98.458529) (xy 261.54349 98.546344) + (xy 261.498477 98.59231) (xy 261.452804 98.637665) (xy 261.446539 98.645347) (xy 261.360935 98.751818) (xy 261.325733 98.805613) + (xy 261.289716 98.85901) (xy 261.285062 98.867763) (xy 261.221768 98.988832) (xy 261.197669 99.048477) (xy 261.172722 99.107825) + (xy 261.169857 99.117315) (xy 261.131285 99.248373) (xy 261.119231 99.311565) (xy 261.106288 99.374618) (xy 261.105321 99.384484) + (xy 261.092939 99.520537) (xy 261.093388 99.584853) (xy 261.092939 99.649235) (xy 261.093906 99.659101) (xy 261.108186 99.794968) + (xy 261.121121 99.857983) (xy 261.133185 99.921221) (xy 261.13605 99.930711) (xy 261.176449 100.061217) (xy 261.201391 100.12055) + (xy 261.225492 100.180202) (xy 261.230146 100.188955) (xy 261.295124 100.309129) (xy 261.331086 100.362444) (xy 261.366342 100.416322) + (xy 261.372608 100.424004) (xy 261.459689 100.529268) (xy 261.505328 100.57459) (xy 261.550378 100.620593) (xy 261.558017 100.626912) + (xy 261.663887 100.713257) (xy 261.717474 100.748859) (xy 261.770583 100.785224) (xy 261.779303 100.789939) (xy 261.899928 100.854076) + (xy 261.945842 100.873) (xy 206.293059 100.873) (xy 206.055776 100.714452) (xy 205.68088 100.559165) (xy 205.282892 100.48) + (xy 204.877108 100.48) (xy 204.550082 100.54505) (xy 205.990132 99.105) (xy 243.484249 99.105) (xy 243.54 99.110491) + (xy 243.595751 99.105) (xy 243.595752 99.105) (xy 243.762499 99.088577) (xy 243.976447 99.023676) (xy 244.173623 98.918284) + (xy 244.346449 98.776449) (xy 244.381996 98.733135) (xy 248.285565 94.829566) (xy 265.717303 94.829566) (xy 265.717303 95.330434) + (xy 265.815017 95.821677) (xy 266.006691 96.284419) (xy 266.284958 96.700875) (xy 266.639125 97.055042) (xy 267.055581 97.333309) + (xy 267.518323 97.524983) (xy 268.009566 97.622697) (xy 268.510434 97.622697) (xy 269.001677 97.524983) (xy 269.464419 97.333309) + (xy 269.880875 97.055042) (xy 270.235042 96.700875) (xy 270.513309 96.284419) (xy 270.704983 95.821677) (xy 270.802697 95.330434) + (xy 270.802697 94.829566) (xy 270.704983 94.338323) (xy 270.513309 93.875581) (xy 270.235042 93.459125) (xy 269.880875 93.104958) + (xy 269.464419 92.826691) (xy 269.001677 92.635017) (xy 268.510434 92.537303) (xy 268.009566 92.537303) (xy 267.518323 92.635017) + (xy 267.055581 92.826691) (xy 266.639125 93.104958) (xy 266.284958 93.459125) (xy 266.006691 93.875581) (xy 265.815017 94.338323) + (xy 265.717303 94.829566) (xy 248.285565 94.829566) (xy 253.894354 89.220777) (xy 266.3625 89.220777) (xy 266.3625 89.779223) + (xy 266.471448 90.326939) (xy 266.685156 90.842876) (xy 266.995412 91.307207) (xy 267.390293 91.702088) (xy 267.854624 92.012344) + (xy 268.370561 92.226052) (xy 268.918277 92.335) (xy 269.476723 92.335) (xy 270.024439 92.226052) (xy 270.540376 92.012344) + (xy 271.004707 91.702088) (xy 271.399588 91.307207) (xy 271.709844 90.842876) (xy 271.923552 90.326939) (xy 272.0325 89.779223) + (xy 272.0325 89.220777) (xy 271.923552 88.673061) (xy 271.709844 88.157124) (xy 271.399588 87.692793) (xy 271.004707 87.297912) + (xy 270.540376 86.987656) (xy 270.024439 86.773948) (xy 269.476723 86.665) (xy 268.918277 86.665) (xy 268.370561 86.773948) + (xy 267.854624 86.987656) (xy 267.390293 87.297912) (xy 266.995412 87.692793) (xy 266.685156 88.157124) (xy 266.471448 88.673061) + (xy 266.3625 89.220777) (xy 253.894354 89.220777) (xy 254.691988 88.423144) (xy 254.798284 88.293623) (xy 254.903676 88.096447) + (xy 254.968577 87.882499) (xy 254.990491 87.66) (xy 254.968577 87.437501) (xy 254.903676 87.223554) (xy 254.798284 87.026378) + (xy 254.656449 86.853551) (xy 254.483622 86.711716) (xy 254.286446 86.606324) (xy 254.072499 86.541423) (xy 253.85 86.519509) + (xy 253.627501 86.541423) (xy 253.413553 86.606324) (xy 253.216377 86.711716) (xy 253.086856 86.818012) (xy 243.069869 96.835) + (xy 221.8 96.835) (xy 221.8 92.609841) (xy 221.849345 92.569345) (xy 222.037417 92.340179) (xy 222.052302 92.312331) + (xy 222.141744 92.448903) (xy 222.349506 92.660536) (xy 222.594563 92.827571) (xy 222.867498 92.943588) (xy 222.959969 92.961302) + (xy 223.193 92.840246) (xy 223.193 91.397) (xy 223.447 91.397) (xy 223.447 92.840246) (xy 223.680031 92.961302) + (xy 223.772502 92.943588) (xy 224.045437 92.827571) (xy 224.290494 92.660536) (xy 224.498256 92.448903) (xy 224.660738 92.200804) + (xy 224.771696 91.925773) (xy 224.826866 91.63438) (xy 224.670586 91.397) (xy 223.447 91.397) (xy 223.193 91.397) + (xy 223.173 91.397) (xy 223.173 91.143) (xy 223.193 91.143) (xy 223.193 89.699754) (xy 223.447 89.699754) + (xy 223.447 91.143) (xy 224.670586 91.143) (xy 224.826866 90.90562) (xy 224.771696 90.614227) (xy 224.660738 90.339196) + (xy 224.498256 90.091097) (xy 224.290494 89.879464) (xy 224.045437 89.712429) (xy 223.772502 89.596412) (xy 223.680031 89.578698) + (xy 223.447 89.699754) (xy 223.193 89.699754) (xy 222.959969 89.578698) (xy 222.867498 89.596412) (xy 222.594563 89.712429) + (xy 222.349506 89.879464) (xy 222.141744 90.091097) (xy 222.052302 90.227669) (xy 222.037417 90.199821) (xy 221.849345 89.970655) + (xy 221.620178 89.782583) (xy 221.358724 89.642834) (xy 221.075031 89.556776) (xy 220.78 89.527718) (xy 220.484968 89.556776) + (xy 220.201275 89.642834) (xy 219.939821 89.782583) (xy 219.712615 89.969047) (xy 219.699502 89.92582) (xy 219.640537 89.815506) + (xy 219.561185 89.718815) (xy 219.464494 89.639463) (xy 219.35418 89.580498) (xy 219.234482 89.544188) (xy 219.11 89.531928) + (xy 218.52575 89.535) (xy 218.367 89.69375) (xy 218.367 91.143) (xy 218.387 91.143) (xy 218.387 91.397) + (xy 218.367 91.397) (xy 218.367 92.84625) (xy 218.52575 93.005) (xy 219.11 93.008072) (xy 219.234482 92.995812) + (xy 219.35418 92.959502) (xy 219.464494 92.900537) (xy 219.561185 92.821185) (xy 219.640537 92.724494) (xy 219.699502 92.61418) + (xy 219.712615 92.570953) (xy 219.880001 92.708323) (xy 219.88 96.835) (xy 208.11871 96.835) (xy 212.58371 92.37) + (xy 216.731928 92.37) (xy 216.744188 92.494482) (xy 216.780498 92.61418) (xy 216.839463 92.724494) (xy 216.918815 92.821185) + (xy 217.015506 92.900537) (xy 217.12582 92.959502) (xy 217.245518 92.995812) (xy 217.37 93.008072) (xy 217.95425 93.005) + (xy 218.113 92.84625) (xy 218.113 91.397) (xy 216.89375 91.397) (xy 216.735 91.55575) (xy 216.731928 92.37) + (xy 212.58371 92.37) (xy 214.78371 90.17) (xy 216.731928 90.17) (xy 216.735 90.98425) (xy 216.89375 91.143) + (xy 218.113 91.143) (xy 218.113 89.69375) (xy 217.95425 89.535) (xy 217.37 89.531928) (xy 217.245518 89.544188) + (xy 217.12582 89.580498) (xy 217.015506 89.639463) (xy 216.918815 89.718815) (xy 216.839463 89.815506) (xy 216.780498 89.92582) + (xy 216.744188 90.045518) (xy 216.731928 90.17) (xy 214.78371 90.17) (xy 235.564743 69.388967) (xy 265.215 69.388967) + (xy 265.215 69.711033) (xy 265.277832 70.026912) (xy 265.401082 70.324463) (xy 265.580013 70.592252) (xy 265.807748 70.819987) + (xy 266.075537 70.998918) (xy 266.373088 71.122168) (xy 266.688967 71.185) (xy 267.011033 71.185) (xy 267.326912 71.122168) + (xy 267.624463 70.998918) (xy 267.892252 70.819987) (xy 268.119987 70.592252) (xy 268.298918 70.324463) (xy 268.422168 70.026912) + (xy 268.485 69.711033) (xy 268.485 69.388967) (xy 268.422168 69.073088) (xy 268.298918 68.775537) (xy 268.119987 68.507748) + (xy 267.892252 68.280013) (xy 267.624463 68.101082) (xy 267.326912 67.977832) (xy 267.011033 67.915) (xy 266.688967 67.915) + (xy 266.373088 67.977832) (xy 266.075537 68.101082) (xy 265.807748 68.280013) (xy 265.580013 68.507748) (xy 265.401082 68.775537) + (xy 265.277832 69.073088) (xy 265.215 69.388967) (xy 235.564743 69.388967) (xy 241.305649 63.648061) (xy 247.045 63.648061) + (xy 247.045 63.851939) (xy 247.084774 64.051898) (xy 247.162795 64.240256) (xy 247.276063 64.409774) (xy 247.420226 64.553937) + (xy 247.589744 64.667205) (xy 247.778102 64.745226) (xy 247.978061 64.785) (xy 248.181939 64.785) (xy 248.381898 64.745226) + (xy 248.570256 64.667205) (xy 248.739774 64.553937) (xy 248.883937 64.409774) (xy 248.997205 64.240256) (xy 249.075226 64.051898) + (xy 249.115 63.851939) (xy 249.115 63.648061) (xy 249.075226 63.448102) (xy 248.997205 63.259744) (xy 248.969354 63.218061) + (xy 254.885 63.218061) (xy 254.885 63.421939) (xy 254.924774 63.621898) (xy 255.002795 63.810256) (xy 255.116063 63.979774) + (xy 255.260226 64.123937) (xy 255.429744 64.237205) (xy 255.618102 64.315226) (xy 255.818061 64.355) (xy 255.880199 64.355) + (xy 257.818701 66.293503) (xy 257.842499 66.322501) (xy 257.871497 66.346299) (xy 257.958223 66.417474) (xy 258.038234 66.460241) + (xy 258.090253 66.488046) (xy 258.233514 66.531503) (xy 258.345167 66.5425) (xy 258.345177 66.5425) (xy 258.3825 66.546176) + (xy 258.419823 66.5425) (xy 261.056289 66.5425) (xy 261.100226 66.586437) (xy 261.269744 66.699705) (xy 261.458102 66.777726) + (xy 261.658061 66.8175) (xy 261.861939 66.8175) (xy 262.061898 66.777726) (xy 262.250256 66.699705) (xy 262.419774 66.586437) + (xy 262.563937 66.442274) (xy 262.677205 66.272756) (xy 262.755226 66.084398) (xy 262.795 65.884439) (xy 262.795 65.680561) + (xy 262.755226 65.480602) (xy 262.677205 65.292244) (xy 262.563937 65.122726) (xy 262.419774 64.978563) (xy 262.250256 64.865295) + (xy 262.061898 64.787274) (xy 261.861939 64.7475) (xy 261.658061 64.7475) (xy 261.458102 64.787274) (xy 261.269744 64.865295) + (xy 261.100226 64.978563) (xy 261.056289 65.0225) (xy 258.697302 65.0225) (xy 256.955 63.280199) (xy 256.955 63.218061) + (xy 256.915226 63.018102) (xy 256.837205 62.829744) (xy 256.723937 62.660226) (xy 256.579774 62.516063) (xy 256.410256 62.402795) + (xy 256.221898 62.324774) (xy 256.021939 62.285) (xy 255.818061 62.285) (xy 255.618102 62.324774) (xy 255.429744 62.402795) + (xy 255.260226 62.516063) (xy 255.116063 62.660226) (xy 255.002795 62.829744) (xy 254.924774 63.018102) (xy 254.885 63.218061) + (xy 248.969354 63.218061) (xy 248.883937 63.090226) (xy 248.739774 62.946063) (xy 248.570256 62.832795) (xy 248.381898 62.754774) + (xy 248.181939 62.715) (xy 247.978061 62.715) (xy 247.778102 62.754774) (xy 247.589744 62.832795) (xy 247.420226 62.946063) + (xy 247.276063 63.090226) (xy 247.162795 63.259744) (xy 247.084774 63.448102) (xy 247.045 63.648061) (xy 241.305649 63.648061) + (xy 242.046211 62.9075) (xy 246.331939 62.9075) (xy 246.382057 62.897531) (xy 246.432895 62.892524) (xy 246.481777 62.877696) + (xy 246.531898 62.867726) (xy 246.579113 62.848169) (xy 246.627993 62.833341) (xy 246.673042 62.809262) (xy 246.720256 62.789705) + (xy 246.762746 62.761314) (xy 246.807797 62.737234) (xy 246.847284 62.704828) (xy 246.889774 62.676437) (xy 246.925908 62.640303) + (xy 246.965396 62.607896) (xy 246.997803 62.568408) (xy 247.033937 62.532274) (xy 247.062328 62.489784) (xy 247.094734 62.450297) + (xy 247.118814 62.405246) (xy 247.147205 62.362756) (xy 247.166762 62.315542) (xy 247.190841 62.270493) (xy 247.205669 62.221613) + (xy 247.225226 62.174398) (xy 247.235196 62.124277) (xy 247.250024 62.075395) (xy 247.255031 62.024557) (xy 247.265 61.974439) + (xy 247.265 61.923338) (xy 247.270007 61.8725) (xy 247.265 61.821662) (xy 247.265 61.770561) (xy 247.255031 61.720443) + (xy 247.250024 61.669605) (xy 247.235196 61.620723) (xy 247.225226 61.570602) (xy 247.205669 61.523387) (xy 247.190841 61.474507) + (xy 247.166762 61.429458) (xy 247.147205 61.382244) (xy 247.118814 61.339754) (xy 247.094734 61.294703) (xy 247.062328 61.255216) + (xy 247.033937 61.212726) (xy 246.997803 61.176592) (xy 246.965396 61.137104) (xy 246.925908 61.104697) (xy 246.889774 61.068563) + (xy 246.847284 61.040172) (xy 246.807797 61.007766) (xy 246.762746 60.983686) (xy 246.720256 60.955295) (xy 246.673042 60.935738) + (xy 246.627993 60.911659) (xy 246.579113 60.896831) (xy 246.531898 60.877274) (xy 246.481777 60.867304) (xy 246.432895 60.852476) + (xy 246.382057 60.847469) (xy 246.331939 60.8375) (xy 241.668327 60.8375) (xy 241.617499 60.832494) (xy 241.566671 60.8375) + (xy 241.566662 60.8375) (xy 241.414605 60.852476) (xy 241.219507 60.911659) (xy 241.138197 60.95512) (xy 241.039702 61.007766) + (xy 240.940498 61.089181) (xy 240.882104 61.137104) (xy 240.849697 61.176592) (xy 205.121467 96.904823) (xy 205.083553 96.916324) + (xy 204.886377 97.021716) (xy 204.713551 97.163551) (xy 204.678011 97.206857) (xy 201.413072 100.471797) (xy 201.413072 99.474998) + (xy 201.396008 99.301744) (xy 201.345472 99.135148) (xy 201.263405 98.981613) (xy 201.152962 98.847038) (xy 201.018387 98.736595) + (xy 200.864852 98.654528) (xy 200.785994 98.630607) (xy 200.898822 98.555218) (xy 201.095218 98.358822) (xy 201.249525 98.127885) + (xy 201.355814 97.871282) (xy 201.41 97.598873) (xy 201.41 97.321127) (xy 201.355814 97.048718) (xy 201.249525 96.792115) + (xy 201.095218 96.561178) (xy 200.898822 96.364782) (xy 200.667885 96.210475) (xy 200.618454 96.19) (xy 200.667885 96.169525) + (xy 200.898822 96.015218) (xy 201.095218 95.818822) (xy 201.249525 95.587885) (xy 201.355814 95.331282) (xy 201.41 95.058873) + (xy 201.41 94.781127) (xy 201.355814 94.508718) (xy 201.249525 94.252115) (xy 201.095218 94.021178) (xy 200.898822 93.824782) + (xy 200.7112 93.699417) (xy 200.7112 63.182777) (xy 200.97374 63.235) (xy 201.26626 63.235) (xy 201.553158 63.177932) + (xy 201.823411 63.06599) (xy 202.066632 62.903475) (xy 202.273475 62.696632) (xy 202.39 62.52224) (xy 202.506525 62.696632) + (xy 202.713368 62.903475) (xy 202.956589 63.06599) (xy 203.226842 63.177932) (xy 203.51374 63.235) (xy 203.80626 63.235) + (xy 204.093158 63.177932) (xy 204.363411 63.06599) (xy 204.606632 62.903475) (xy 204.813475 62.696632) (xy 204.93 62.52224) + (xy 205.046525 62.696632) (xy 205.253368 62.903475) (xy 205.496589 63.06599) (xy 205.766842 63.177932) (xy 206.05374 63.235) + (xy 206.34626 63.235) (xy 206.633158 63.177932) (xy 206.903411 63.06599) (xy 207.146632 62.903475) (xy 207.353475 62.696632) + (xy 207.47 62.52224) (xy 207.586525 62.696632) (xy 207.793368 62.903475) (xy 208.036589 63.06599) (xy 208.306842 63.177932) + (xy 208.59374 63.235) (xy 208.88626 63.235) (xy 209.173158 63.177932) (xy 209.443411 63.06599) (xy 209.686632 62.903475) + (xy 209.893475 62.696632) (xy 210.05599 62.453411) (xy 210.167932 62.183158) (xy 210.225 61.89626) (xy 210.225 61.60374) + (xy 210.169759 61.326028) (xy 213.622726 57.873061) (xy 244.515 57.873061) (xy 244.515 58.076939) (xy 244.554774 58.276898) + (xy 244.632795 58.465256) (xy 244.746063 58.634774) (xy 244.890226 58.778937) (xy 245.059744 58.892205) (xy 245.248102 58.970226) + (xy 245.448061 59.01) (xy 245.651939 59.01) (xy 245.851898 58.970226) (xy 246.040256 58.892205) (xy 246.209774 58.778937) + (xy 246.353937 58.634774) (xy 246.467205 58.465256) (xy 246.545226 58.276898) (xy 246.585 58.076939) (xy 246.585 57.873061) + (xy 246.545226 57.673102) (xy 246.467205 57.484744) (xy 246.353937 57.315226) (xy 246.209774 57.171063) (xy 246.040256 57.057795) + (xy 245.851898 56.979774) (xy 245.651939 56.94) (xy 245.448061 56.94) (xy 245.248102 56.979774) (xy 245.059744 57.057795) + (xy 244.890226 57.171063) (xy 244.746063 57.315226) (xy 244.632795 57.484744) (xy 244.554774 57.673102) (xy 244.515 57.873061) + (xy 213.622726 57.873061) (xy 216.210226 55.285561) (xy 256.515 55.285561) (xy 256.515 55.489439) (xy 256.554774 55.689398) + (xy 256.632795 55.877756) (xy 256.746063 56.047274) (xy 256.890226 56.191437) (xy 257.059744 56.304705) (xy 257.248102 56.382726) + (xy 257.448061 56.4225) (xy 257.651939 56.4225) (xy 257.851898 56.382726) (xy 258.040256 56.304705) (xy 258.209774 56.191437) + (xy 258.353937 56.047274) (xy 258.467205 55.877756) (xy 258.545226 55.689398) (xy 258.585 55.489439) (xy 258.585 55.285561) + (xy 258.545226 55.085602) (xy 258.467205 54.897244) (xy 258.461675 54.888967) (xy 265.215 54.888967) (xy 265.215 55.211033) + (xy 265.277832 55.526912) (xy 265.401082 55.824463) (xy 265.580013 56.092252) (xy 265.807748 56.319987) (xy 266.075537 56.498918) + (xy 266.373088 56.622168) (xy 266.688967 56.685) (xy 267.011033 56.685) (xy 267.326912 56.622168) (xy 267.624463 56.498918) + (xy 267.892252 56.319987) (xy 268.119987 56.092252) (xy 268.298918 55.824463) (xy 268.422168 55.526912) (xy 268.485 55.211033) + (xy 268.485 54.888967) (xy 268.422168 54.573088) (xy 268.298918 54.275537) (xy 268.119987 54.007748) (xy 267.892252 53.780013) + (xy 267.624463 53.601082) (xy 267.326912 53.477832) (xy 267.011033 53.415) (xy 266.688967 53.415) (xy 266.373088 53.477832) + (xy 266.075537 53.601082) (xy 265.807748 53.780013) (xy 265.580013 54.007748) (xy 265.401082 54.275537) (xy 265.277832 54.573088) + (xy 265.215 54.888967) (xy 258.461675 54.888967) (xy 258.353937 54.727726) (xy 258.209774 54.583563) (xy 258.040256 54.470295) + (xy 257.851898 54.392274) (xy 257.651939 54.3525) (xy 257.448061 54.3525) (xy 257.248102 54.392274) (xy 257.059744 54.470295) + (xy 256.890226 54.583563) (xy 256.746063 54.727726) (xy 256.632795 54.897244) (xy 256.554774 55.085602) (xy 256.515 55.285561) + (xy 216.210226 55.285561) (xy 228.757597 42.738192) (xy 228.757606 42.738181) (xy 232.478191 39.017597) (xy 232.505327 38.995327) + (xy 232.594202 38.887033) (xy 232.660242 38.763481) (xy 232.700909 38.62942) (xy 232.7112 38.524936) (xy 232.7112 38.524927) + (xy 232.71464 38.490001) (xy 232.7112 38.455075) (xy 232.7112 36.788061) (xy 254.965 36.788061) (xy 254.965 36.991939) + (xy 255.004774 37.191898) (xy 255.082795 37.380256) (xy 255.196063 37.549774) (xy 255.340226 37.693937) (xy 255.509744 37.807205) + (xy 255.698102 37.885226) (xy 255.898061 37.925) (xy 256.101939 37.925) (xy 256.301898 37.885226) (xy 256.490256 37.807205) + (xy 256.659774 37.693937) (xy 256.803937 37.549774) (xy 256.917205 37.380256) (xy 256.995226 37.191898) (xy 257.035 36.991939) + (xy 257.035 36.788061) (xy 256.995226 36.588102) (xy 256.917205 36.399744) (xy 256.803937 36.230226) (xy 256.659774 36.086063) + (xy 256.490256 35.972795) (xy 256.301898 35.894774) (xy 256.101939 35.855) (xy 255.898061 35.855) (xy 255.698102 35.894774) + (xy 255.509744 35.972795) (xy 255.340226 36.086063) (xy 255.196063 36.230226) (xy 255.082795 36.399744) (xy 255.004774 36.588102) + (xy 254.965 36.788061) (xy 232.7112 36.788061) (xy 232.7112 34.752511) (xy 232.803937 34.659774) (xy 232.917205 34.490256) + (xy 232.995226 34.301898) (xy 233.035 34.101939) (xy 233.035 33.898061) (xy 232.995226 33.698102) (xy 232.917205 33.509744) + (xy 232.803937 33.340226) (xy 232.659774 33.196063) (xy 232.490256 33.082795) (xy 232.301898 33.004774) (xy 232.101939 32.965) + (xy 231.898061 32.965) (xy 231.698102 33.004774) (xy 231.509744 33.082795) (xy 231.340226 33.196063) (xy 231.196063 33.340226) + (xy 231.082795 33.509744) (xy 231.004774 33.698102) (xy 231 33.722103) (xy 230.995226 33.698102) (xy 230.917205 33.509744) + (xy 230.803937 33.340226) (xy 230.659774 33.196063) (xy 230.490256 33.082795) (xy 230.301898 33.004774) (xy 230.101939 32.965) + (xy 229.970789 32.965) (xy 226.481538 29.47575) (xy 229.84 29.47575) (xy 229.84 29.70425) (xy 229.884578 29.92836) + (xy 229.972021 30.139466) (xy 230.098969 30.329457) (xy 230.260543 30.491031) (xy 230.450534 30.617979) (xy 230.66164 30.705422) + (xy 230.88575 30.75) (xy 231.11425 30.75) (xy 231.33836 30.705422) (xy 231.549466 30.617979) (xy 231.739457 30.491031) + (xy 231.901031 30.329457) (xy 232.027979 30.139466) (xy 232.115422 29.92836) (xy 232.16 29.70425) (xy 232.16 29.47575) + (xy 232.115422 29.25164) (xy 232.027979 29.040534) (xy 231.901031 28.850543) (xy 231.739457 28.688969) (xy 231.549466 28.562021) + (xy 231.33836 28.474578) (xy 231.11425 28.43) (xy 230.88575 28.43) (xy 230.66164 28.474578) (xy 230.450534 28.562021) + (xy 230.260543 28.688969) (xy 230.098969 28.850543) (xy 229.972021 29.040534) (xy 229.884578 29.25164) (xy 229.84 29.47575) + (xy 226.481538 29.47575) (xy 225.066203 28.060416) (xy 225.043928 28.033274) (xy 224.935634 27.944399) (xy 224.812082 27.878359) + (xy 224.678021 27.837692) (xy 224.573537 27.827401) (xy 224.573527 27.827401) (xy 224.538601 27.823961) (xy 224.503675 27.827401) + (xy 210.177753 27.827401) (xy 210.181481 27.816891) (xy 210.060814 27.587) (xy 208.867 27.587) (xy 208.867 27.607) + (xy 208.613 27.607) (xy 208.613 27.587) (xy 208.593 27.587) (xy 208.593 27.333) (xy 208.613 27.333) + (xy 208.613 26.139845) (xy 208.867 26.139845) (xy 208.867 27.333) (xy 210.060814 27.333) (xy 210.181481 27.103109) + (xy 210.084157 26.828748) (xy 209.935178 26.578645) (xy 209.740269 26.362412) (xy 209.50692 26.188359) (xy 209.244099 26.063175) + (xy 209.09689 26.018524) (xy 208.867 26.139845) (xy 208.613 26.139845) (xy 208.38311 26.018524) (xy 208.235901 26.063175) + (xy 207.97308 26.188359) (xy 207.739731 26.362412) (xy 207.544822 26.578645) (xy 207.475195 26.695534) (xy 207.353475 26.513368) + (xy 207.146632 26.306525) (xy 206.903411 26.14401) (xy 206.633158 26.032068) (xy 206.34626 25.975) (xy 206.05374 25.975) + (xy 205.766842 26.032068) (xy 205.496589 26.14401) (xy 205.253368 26.306525) (xy 205.046525 26.513368) (xy 204.93 26.68776) + (xy 204.813475 26.513368) (xy 204.606632 26.306525) (xy 204.363411 26.14401) (xy 204.093158 26.032068) (xy 203.80626 25.975) + (xy 203.51374 25.975) (xy 203.226842 26.032068) (xy 202.956589 26.14401) (xy 202.713368 26.306525) (xy 202.506525 26.513368) + (xy 202.39 26.68776) (xy 202.273475 26.513368) (xy 202.066632 26.306525) (xy 201.823411 26.14401) (xy 201.553158 26.032068) + (xy 201.26626 25.975) (xy 200.97374 25.975) (xy 200.686842 26.032068) (xy 200.416589 26.14401) (xy 200.173368 26.306525) + (xy 199.966525 26.513368) (xy 199.85 26.68776) (xy 199.733475 26.513368) (xy 199.526632 26.306525) (xy 199.283411 26.14401) + (xy 199.013158 26.032068) (xy 198.72626 25.975) (xy 198.43374 25.975) (xy 198.146842 26.032068) (xy 197.876589 26.14401) + (xy 197.633368 26.306525) (xy 197.426525 26.513368) (xy 197.31 26.68776) (xy 197.193475 26.513368) (xy 196.986632 26.306525) + (xy 196.743411 26.14401) (xy 196.473158 26.032068) (xy 196.18626 25.975) (xy 195.89374 25.975) (xy 195.606842 26.032068) + (xy 195.336589 26.14401) (xy 195.093368 26.306525) (xy 194.886525 26.513368) (xy 194.77 26.68776) (xy 194.653475 26.513368) + (xy 194.446632 26.306525) (xy 194.203411 26.14401) (xy 193.933158 26.032068) (xy 193.64626 25.975) (xy 193.35374 25.975) + (xy 193.066842 26.032068) (xy 192.796589 26.14401) (xy 192.553368 26.306525) (xy 192.346525 26.513368) (xy 192.23 26.68776) + (xy 192.113475 26.513368) (xy 191.906632 26.306525) (xy 191.663411 26.14401) (xy 191.393158 26.032068) (xy 191.10626 25.975) + (xy 190.81374 25.975) (xy 190.526842 26.032068) (xy 190.256589 26.14401) (xy 190.013368 26.306525) (xy 189.806525 26.513368) + (xy 189.69 26.68776) (xy 189.573475 26.513368) (xy 189.366632 26.306525) (xy 189.123411 26.14401) (xy 188.853158 26.032068) + (xy 188.56626 25.975) (xy 188.27374 25.975) (xy 187.986842 26.032068) (xy 187.716589 26.14401) (xy 187.473368 26.306525) + (xy 187.266525 26.513368) (xy 187.15 26.68776) (xy 187.033475 26.513368) (xy 186.826632 26.306525) (xy 186.583411 26.14401) + (xy 186.313158 26.032068) (xy 186.02626 25.975) (xy 185.73374 25.975) (xy 185.446842 26.032068) (xy 185.176589 26.14401) + (xy 184.933368 26.306525) (xy 184.726525 26.513368) (xy 184.61 26.68776) (xy 184.493475 26.513368) (xy 184.286632 26.306525) + (xy 184.043411 26.14401) (xy 183.773158 26.032068) (xy 183.48626 25.975) (xy 183.19374 25.975) (xy 182.906842 26.032068) + (xy 182.636589 26.14401) (xy 182.393368 26.306525) (xy 182.186525 26.513368) (xy 182.07 26.68776) (xy 181.953475 26.513368) + (xy 181.746632 26.306525) (xy 181.503411 26.14401) (xy 181.233158 26.032068) (xy 180.94626 25.975) (xy 180.65374 25.975) + (xy 180.366842 26.032068) (xy 180.096589 26.14401) (xy 179.853368 26.306525) (xy 179.646525 26.513368) (xy 179.53 26.68776) + (xy 179.413475 26.513368) (xy 179.206632 26.306525) (xy 178.963411 26.14401) (xy 178.693158 26.032068) (xy 178.40626 25.975) + (xy 178.11374 25.975) (xy 177.826842 26.032068) (xy 177.556589 26.14401) (xy 177.313368 26.306525) (xy 177.106525 26.513368) + (xy 176.99 26.68776) (xy 176.873475 26.513368) (xy 176.666632 26.306525) (xy 176.423411 26.14401) (xy 176.153158 26.032068) + (xy 175.86626 25.975) (xy 175.57374 25.975) (xy 175.286842 26.032068) (xy 175.016589 26.14401) (xy 174.773368 26.306525) + (xy 174.566525 26.513368) (xy 174.45 26.68776) (xy 174.333475 26.513368) (xy 174.126632 26.306525) (xy 173.883411 26.14401) + (xy 173.613158 26.032068) (xy 173.32626 25.975) (xy 173.03374 25.975) (xy 172.746842 26.032068) (xy 172.476589 26.14401) + (xy 172.233368 26.306525) (xy 172.026525 26.513368) (xy 171.904805 26.695534) (xy 171.835178 26.578645) (xy 171.640269 26.362412) + (xy 171.40692 26.188359) (xy 171.144099 26.063175) (xy 170.99689 26.018524) (xy 170.767 26.139845) (xy 170.767 27.333) + (xy 170.787 27.333) (xy 170.787 27.587) (xy 170.767 27.587) (xy 170.767 29.873) (xy 170.787 29.873) + (xy 170.787 30.127) (xy 170.767 30.127) (xy 170.767 31.320155) (xy 170.99689 31.441476) (xy 171.144099 31.396825) + (xy 171.40692 31.271641) (xy 171.640269 31.097588) (xy 171.835178 30.881355) (xy 171.904805 30.764466) (xy 172.026525 30.946632) + (xy 172.233368 31.153475) (xy 172.476589 31.31599) (xy 172.746842 31.427932) (xy 173.03374 31.485) (xy 173.32626 31.485) + (xy 173.613158 31.427932) (xy 173.883411 31.31599) (xy 174.126632 31.153475) (xy 174.333475 30.946632) (xy 174.45 30.77224) + (xy 174.566525 30.946632) (xy 174.773368 31.153475) (xy 175.016589 31.31599) (xy 175.286842 31.427932) (xy 175.57374 31.485) + (xy 175.86626 31.485) (xy 176.153158 31.427932) (xy 176.423411 31.31599) (xy 176.666632 31.153475) (xy 176.873475 30.946632) + (xy 176.99 30.77224) (xy 177.106525 30.946632) (xy 177.313368 31.153475) (xy 177.556589 31.31599) (xy 177.826842 31.427932) + (xy 178.11374 31.485) (xy 178.40626 31.485) (xy 178.693158 31.427932) (xy 178.963411 31.31599) (xy 179.206632 31.153475) + (xy 179.413475 30.946632) (xy 179.53 30.77224) (xy 179.646525 30.946632) (xy 179.853368 31.153475) (xy 180.096589 31.31599) + (xy 180.366842 31.427932) (xy 180.65374 31.485) (xy 180.94626 31.485) (xy 181.233158 31.427932) (xy 181.503411 31.31599) + (xy 181.746632 31.153475) (xy 181.953475 30.946632) (xy 182.07 30.77224) (xy 182.186525 30.946632) (xy 182.393368 31.153475) + (xy 182.636589 31.31599) (xy 182.906842 31.427932) (xy 183.19374 31.485) (xy 183.48626 31.485) (xy 183.773158 31.427932) + (xy 184.043411 31.31599) (xy 184.286632 31.153475) (xy 184.493475 30.946632) (xy 184.61 30.77224) (xy 184.726525 30.946632) + (xy 184.933368 31.153475) (xy 185.176589 31.31599) (xy 185.446842 31.427932) (xy 185.73374 31.485) (xy 186.02626 31.485) + (xy 186.313158 31.427932) (xy 186.583411 31.31599) (xy 186.826632 31.153475) (xy 187.033475 30.946632) (xy 187.15 30.77224) + (xy 187.266525 30.946632) (xy 187.473368 31.153475) (xy 187.716589 31.31599) (xy 187.986842 31.427932) (xy 188.27374 31.485) + (xy 188.56626 31.485) (xy 188.853158 31.427932) (xy 189.123411 31.31599) (xy 189.366632 31.153475) (xy 189.573475 30.946632) + (xy 189.69 30.77224) (xy 189.806525 30.946632) (xy 190.013368 31.153475) (xy 190.256589 31.31599) (xy 190.526842 31.427932) + (xy 190.81374 31.485) (xy 191.10626 31.485) (xy 191.393158 31.427932) (xy 191.663411 31.31599) (xy 191.906632 31.153475) + (xy 192.113475 30.946632) (xy 192.23 30.77224) (xy 192.346525 30.946632) (xy 192.553368 31.153475) (xy 192.796589 31.31599) + (xy 193.066842 31.427932) (xy 193.35374 31.485) (xy 193.64626 31.485) (xy 193.933158 31.427932) (xy 194.203411 31.31599) + (xy 194.446632 31.153475) (xy 194.653475 30.946632) (xy 194.77 30.77224) (xy 194.886525 30.946632) (xy 195.093368 31.153475) + (xy 195.336589 31.31599) (xy 195.606842 31.427932) (xy 195.89374 31.485) (xy 196.18626 31.485) (xy 196.473158 31.427932) + (xy 196.743411 31.31599) (xy 196.986632 31.153475) (xy 197.193475 30.946632) (xy 197.31 30.77224) (xy 197.426525 30.946632) + (xy 197.633368 31.153475) (xy 197.876589 31.31599) (xy 198.146842 31.427932) (xy 198.43374 31.485) (xy 198.72626 31.485) + (xy 199.013158 31.427932) (xy 199.283411 31.31599) (xy 199.526632 31.153475) (xy 199.733475 30.946632) (xy 199.85 30.77224) + (xy 199.966525 30.946632) (xy 200.173368 31.153475) (xy 200.416589 31.31599) (xy 200.686842 31.427932) (xy 200.97374 31.485) + (xy 201.26626 31.485) (xy 201.553158 31.427932) (xy 201.823411 31.31599) (xy 202.066632 31.153475) (xy 202.273475 30.946632) + (xy 202.39 30.77224) (xy 202.506525 30.946632) (xy 202.713368 31.153475) (xy 202.956589 31.31599) (xy 203.226842 31.427932) + (xy 203.51374 31.485) (xy 203.80626 31.485) (xy 204.093158 31.427932) (xy 204.363411 31.31599) (xy 204.606632 31.153475) + (xy 204.813475 30.946632) (xy 204.93 30.77224) (xy 205.046525 30.946632) (xy 205.253368 31.153475) (xy 205.496589 31.31599) + (xy 205.766842 31.427932) (xy 206.05374 31.485) (xy 206.34626 31.485) (xy 206.633158 31.427932) (xy 206.903411 31.31599) + (xy 207.146632 31.153475) (xy 207.353475 30.946632) (xy 207.475195 30.764466) (xy 207.544822 30.881355) (xy 207.739731 31.097588) + (xy 207.97308 31.271641) (xy 208.235901 31.396825) (xy 208.38311 31.441476) (xy 208.613 31.320155) (xy 208.613 30.127) + (xy 208.867 30.127) (xy 208.867 31.320155) (xy 209.09689 31.441476) (xy 209.244099 31.396825) (xy 209.50692 31.271641) + (xy 209.740269 31.097588) (xy 209.935178 30.881355) (xy 210.084157 30.631252) (xy 210.181481 30.356891) (xy 210.060814 30.127) + (xy 208.867 30.127) (xy 208.613 30.127) (xy 208.593 30.127) (xy 208.593 29.873) (xy 208.613 29.873) + (xy 208.613 29.853) (xy 208.867 29.853) (xy 208.867 29.873) (xy 210.060814 29.873) (xy 210.181481 29.643109) + (xy 210.177753 29.632599) (xy 217.726812 29.632599) (xy 220.322402 32.22819) (xy 220.344673 32.255327) (xy 220.452967 32.344202) + (xy 220.576519 32.410242) (xy 220.71058 32.450909) (xy 220.815064 32.4612) (xy 220.815071 32.4612) (xy 220.85 32.46464) + (xy 220.884928 32.4612) (xy 223.455413 32.4612) (xy 223.959212 32.965) (xy 223.898061 32.965) (xy 223.698102 33.004774) + (xy 223.509744 33.082795) (xy 223.340226 33.196063) (xy 223.196063 33.340226) (xy 223.082795 33.509744) (xy 223.004774 33.698102) + (xy 222.965 33.898061) (xy 222.965 34.029211) (xy 205.071815 51.922398) (xy 205.071809 51.922403) (xy 204.42181 52.572403) + (xy 204.394674 52.594673) (xy 204.372404 52.621809) (xy 204.372403 52.62181) (xy 204.352758 52.645748) (xy 204.305799 52.702967) + (xy 204.239759 52.826519) (xy 204.200686 52.955327) (xy 204.199092 52.960581) (xy 204.18536 53.1) (xy 204.188801 53.134936) + (xy 204.1888 57.821684) (xy 204.093158 57.782068) (xy 203.80626 57.725) (xy 203.51374 57.725) (xy 203.226842 57.782068) + (xy 202.956589 57.89401) (xy 202.713368 58.056525) (xy 202.506525 58.263368) (xy 202.39 58.43776) (xy 202.273475 58.263368) + (xy 202.066632 58.056525) (xy 201.823411 57.89401) (xy 201.553158 57.782068) (xy 201.26626 57.725) (xy 200.97374 57.725) + (xy 200.686842 57.782068) (xy 200.416589 57.89401) (xy 200.173368 58.056525) (xy 200.140341 58.089552) (xy 196.372602 54.321815) + (xy 196.350327 54.294673) (xy 196.242033 54.205798) (xy 196.118481 54.139758) (xy 195.98442 54.099091) (xy 195.879936 54.0888) + (xy 195.879926 54.0888) (xy 195.845 54.08536) (xy 195.810074 54.0888) (xy 190.104795 54.0888) (xy 190.128576 54.053209) + (xy 190.206597 53.864851) (xy 190.246371 53.664892) (xy 190.246371 53.461014) (xy 190.206597 53.261055) (xy 190.128576 53.072697) + (xy 190.015308 52.903179) (xy 189.871145 52.759016) (xy 189.701627 52.645748) (xy 189.513269 52.567727) (xy 189.31331 52.527953) + (xy 189.109432 52.527953) (xy 188.909473 52.567727) (xy 188.721115 52.645748) (xy 188.551597 52.759016) (xy 188.407434 52.903179) + (xy 188.294166 53.072697) (xy 188.216145 53.261055) (xy 188.176371 53.461014) (xy 188.176371 53.664892) (xy 188.21338 53.850952) + (xy 188.101898 53.804774) (xy 187.901939 53.765) (xy 187.698061 53.765) (xy 187.498102 53.804774) (xy 187.309744 53.882795) + (xy 187.2 53.956123) (xy 187.090256 53.882795) (xy 186.901898 53.804774) (xy 186.701939 53.765) (xy 186.498061 53.765) + (xy 186.298102 53.804774) (xy 186.109744 53.882795) (xy 186 53.956123) (xy 185.890256 53.882795) (xy 185.701898 53.804774) + (xy 185.501939 53.765) (xy 185.298061 53.765) (xy 185.098102 53.804774) (xy 184.909744 53.882795) (xy 184.8 53.956123) + (xy 184.690256 53.882795) (xy 184.501898 53.804774) (xy 184.301939 53.765) (xy 184.098061 53.765) (xy 183.898102 53.804774) + (xy 183.709744 53.882795) (xy 183.540226 53.996063) (xy 183.396063 54.140226) (xy 183.282795 54.309744) (xy 183.204774 54.498102) + (xy 183.165 54.698061) (xy 183.165 54.901939) (xy 183.204774 55.101898) (xy 183.282795 55.290256) (xy 183.396063 55.459774) + (xy 183.524214 55.587925) (xy 183.539758 55.639166) (xy 183.551205 55.660581) (xy 183.605798 55.762717) (xy 183.672403 55.843875) + (xy 183.694674 55.871012) (xy 183.707401 55.881457) (xy 183.707401 57.768988) (xy 183.48626 57.725) (xy 183.19374 57.725) + (xy 182.906842 57.782068) (xy 182.636589 57.89401) (xy 182.393368 58.056525) (xy 182.186525 58.263368) (xy 182.07 58.43776) + (xy 181.953475 58.263368) (xy 181.746632 58.056525) (xy 181.503411 57.89401) (xy 181.233158 57.782068) (xy 180.94626 57.725) + (xy 180.65374 57.725) (xy 180.366842 57.782068) (xy 180.096589 57.89401) (xy 179.853368 58.056525) (xy 179.646525 58.263368) + (xy 179.53 58.43776) (xy 179.413475 58.263368) (xy 179.206632 58.056525) (xy 178.963411 57.89401) (xy 178.693158 57.782068) + (xy 178.40626 57.725) (xy 178.11374 57.725) (xy 177.826842 57.782068) (xy 177.814611 57.787134) (xy 177.814611 49.198339) + (xy 177.818051 49.163411) (xy 177.814611 49.128482) (xy 177.814611 49.128475) (xy 177.80432 49.023991) (xy 177.763653 48.88993) + (xy 177.763653 48.889929) (xy 177.697613 48.766378) (xy 177.631009 48.68522) (xy 177.631004 48.685215) (xy 177.608738 48.658084) + (xy 177.581607 48.635818) (xy 160.430787 31.485) (xy 160.62626 31.485) (xy 160.913158 31.427932) (xy 161.183411 31.31599) + (xy 161.426632 31.153475) (xy 161.633475 30.946632) (xy 161.75 30.77224) (xy 161.866525 30.946632) (xy 162.073368 31.153475) + (xy 162.316589 31.31599) (xy 162.586842 31.427932) (xy 162.87374 31.485) (xy 163.16626 31.485) (xy 163.453158 31.427932) + (xy 163.723411 31.31599) (xy 163.966632 31.153475) (xy 164.173475 30.946632) (xy 164.29 30.77224) (xy 164.406525 30.946632) + (xy 164.613368 31.153475) (xy 164.856589 31.31599) (xy 165.126842 31.427932) (xy 165.41374 31.485) (xy 165.70626 31.485) + (xy 165.993158 31.427932) (xy 166.263411 31.31599) (xy 166.506632 31.153475) (xy 166.713475 30.946632) (xy 166.83 30.77224) + (xy 166.946525 30.946632) (xy 167.153368 31.153475) (xy 167.396589 31.31599) (xy 167.666842 31.427932) (xy 167.95374 31.485) + (xy 168.24626 31.485) (xy 168.533158 31.427932) (xy 168.803411 31.31599) (xy 169.046632 31.153475) (xy 169.253475 30.946632) + (xy 169.375195 30.764466) (xy 169.444822 30.881355) (xy 169.639731 31.097588) (xy 169.87308 31.271641) (xy 170.135901 31.396825) + (xy 170.28311 31.441476) (xy 170.513 31.320155) (xy 170.513 30.127) (xy 170.493 30.127) (xy 170.493 29.873) + (xy 170.513 29.873) (xy 170.513 27.587) (xy 170.493 27.587) (xy 170.493 27.333) (xy 170.513 27.333) + (xy 170.513 26.139845) (xy 170.28311 26.018524) (xy 170.135901 26.063175) (xy 169.87308 26.188359) (xy 169.639731 26.362412) + (xy 169.444822 26.578645) (xy 169.375195 26.695534) (xy 169.253475 26.513368) (xy 169.046632 26.306525) (xy 168.803411 26.14401) + (xy 168.533158 26.032068) (xy 168.24626 25.975) (xy 167.95374 25.975) (xy 167.666842 26.032068) (xy 167.396589 26.14401) + (xy 167.153368 26.306525) (xy 166.946525 26.513368) (xy 166.83 26.68776) (xy 166.713475 26.513368) (xy 166.506632 26.306525) + (xy 166.263411 26.14401) (xy 165.993158 26.032068) (xy 165.70626 25.975) (xy 165.41374 25.975) (xy 165.126842 26.032068) + (xy 164.856589 26.14401) (xy 164.613368 26.306525) (xy 164.406525 26.513368) (xy 164.29 26.68776) (xy 164.173475 26.513368) + (xy 163.966632 26.306525) (xy 163.723411 26.14401) (xy 163.453158 26.032068) (xy 163.16626 25.975) (xy 162.87374 25.975) + (xy 162.586842 26.032068) (xy 162.316589 26.14401) (xy 162.073368 26.306525) (xy 161.866525 26.513368) (xy 161.75 26.68776) + (xy 161.633475 26.513368) (xy 161.426632 26.306525) (xy 161.183411 26.14401) (xy 160.913158 26.032068) (xy 160.62626 25.975) + (xy 160.33374 25.975) (xy 160.046842 26.032068) (xy 159.776589 26.14401) (xy 159.533368 26.306525) (xy 159.326525 26.513368) + (xy 159.21 26.68776) (xy 159.093475 26.513368) (xy 158.886632 26.306525) (xy 158.643411 26.14401) (xy 158.373158 26.032068) + (xy 158.08626 25.975) (xy 157.79374 25.975) (xy 157.506842 26.032068) (xy 157.236589 26.14401) (xy 156.993368 26.306525) + (xy 156.786525 26.513368) (xy 156.67 26.68776) (xy 156.553475 26.513368) (xy 156.346632 26.306525) (xy 156.103411 26.14401) + (xy 155.833158 26.032068) (xy 155.54626 25.975) (xy 155.25374 25.975) (xy 154.966842 26.032068) (xy 154.696589 26.14401) + (xy 154.453368 26.306525) (xy 154.246525 26.513368) (xy 154.13 26.68776) (xy 154.013475 26.513368) (xy 153.806632 26.306525) + (xy 153.563411 26.14401) (xy 153.293158 26.032068) (xy 153.00626 25.975) (xy 152.71374 25.975) (xy 152.426842 26.032068) + (xy 152.156589 26.14401) (xy 151.913368 26.306525) (xy 151.706525 26.513368) (xy 151.59 26.68776) (xy 151.473475 26.513368) + (xy 151.266632 26.306525) (xy 151.023411 26.14401) (xy 150.753158 26.032068) (xy 150.46626 25.975) (xy 150.17374 25.975) + (xy 149.886842 26.032068) (xy 149.616589 26.14401) (xy 149.373368 26.306525) (xy 149.166525 26.513368) (xy 149.05 26.68776) + (xy 148.933475 26.513368) (xy 148.726632 26.306525) (xy 148.483411 26.14401) (xy 148.213158 26.032068) (xy 147.92626 25.975) + (xy 147.63374 25.975) (xy 147.346842 26.032068) (xy 147.076589 26.14401) (xy 146.833368 26.306525) (xy 146.626525 26.513368) + (xy 146.51 26.68776) (xy 146.393475 26.513368) (xy 146.186632 26.306525) (xy 145.943411 26.14401) (xy 145.673158 26.032068) + (xy 145.38626 25.975) (xy 145.09374 25.975) (xy 144.806842 26.032068) (xy 144.536589 26.14401) (xy 144.293368 26.306525) + (xy 144.086525 26.513368) (xy 143.97 26.68776) (xy 143.853475 26.513368) (xy 143.646632 26.306525) (xy 143.403411 26.14401) + (xy 143.133158 26.032068) (xy 142.84626 25.975) (xy 142.55374 25.975) (xy 142.266842 26.032068) (xy 141.996589 26.14401) + (xy 141.753368 26.306525) (xy 141.546525 26.513368) (xy 141.43 26.68776) (xy 141.313475 26.513368) (xy 141.106632 26.306525) + (xy 140.863411 26.14401) (xy 140.593158 26.032068) (xy 140.30626 25.975) (xy 140.01374 25.975) (xy 139.726842 26.032068) + (xy 139.456589 26.14401) (xy 139.213368 26.306525) (xy 139.006525 26.513368) (xy 138.89 26.68776) (xy 138.773475 26.513368) + (xy 138.566632 26.306525) (xy 138.323411 26.14401) (xy 138.053158 26.032068) (xy 137.76626 25.975) (xy 137.47374 25.975) + (xy 137.186842 26.032068) (xy 136.916589 26.14401) (xy 136.869801 26.175273) (xy 136.869801 25.945986) (xy 137.380788 25.435) + (xy 137.511939 25.435) (xy 137.711898 25.395226) (xy 137.900256 25.317205) (xy 138.069774 25.203937) (xy 138.213937 25.059774) + (xy 138.327205 24.890256) (xy 138.405226 24.701898) (xy 138.445 24.501939) (xy 138.445 24.298061) (xy 138.405226 24.098102) + (xy 138.327205 23.909744) (xy 138.213937 23.740226) (xy 138.069774 23.596063) (xy 137.900256 23.482795) (xy 137.711898 23.404774) + (xy 137.511939 23.365) (xy 137.308061 23.365) (xy 137.108102 23.404774) (xy 136.919744 23.482795) (xy 136.750226 23.596063) + (xy 136.606063 23.740226) (xy 136.492795 23.909744) (xy 136.414774 24.098102) (xy 136.375 24.298061) (xy 136.375 24.429212) + (xy 135.680411 25.123802) (xy 135.653274 25.146073) (xy 135.564399 25.254367) (xy 135.498359 25.377919) (xy 135.457692 25.51198) + (xy 135.447401 25.616464) (xy 135.447401 25.616473) (xy 135.443961 25.651399) (xy 135.447401 25.686325) (xy 135.447401 26.018988) + (xy 135.22626 25.975) (xy 134.93374 25.975) (xy 134.712599 26.018988) (xy 134.712599 25.573189) (xy 134.850788 25.435) + (xy 134.981939 25.435) (xy 135.181898 25.395226) (xy 135.370256 25.317205) (xy 135.539774 25.203937) (xy 135.683937 25.059774) + (xy 135.797205 24.890256) (xy 135.875226 24.701898) (xy 135.915 24.501939) (xy 135.915 24.298061) (xy 135.875226 24.098102) + (xy 135.797205 23.909744) (xy 135.683937 23.740226) (xy 135.539774 23.596063) (xy 135.370256 23.482795) (xy 135.181898 23.404774) + (xy 134.981939 23.365) (xy 134.778061 23.365) (xy 134.578102 23.404774) (xy 134.389744 23.482795) (xy 134.220226 23.596063) + (xy 134.076063 23.740226) (xy 133.962795 23.909744) (xy 133.884774 24.098102) (xy 133.845 24.298061) (xy 133.845 24.429212) + (xy 133.523209 24.751004) (xy 133.496073 24.773274) (xy 133.473803 24.80041) (xy 133.473802 24.800411) (xy 133.409969 24.878192) + (xy 133.407198 24.881568) (xy 133.341158 25.00512) (xy 133.300491 25.139181) (xy 133.294113 25.203937) (xy 133.286759 25.278601) + (xy 133.2902 25.313537) (xy 133.2902 26.180395) (xy 133.044099 26.063175) (xy 132.89689 26.018524) (xy 132.667 26.139845) + (xy 132.667 27.333) (xy 132.687 27.333) (xy 132.687 27.587) (xy 132.667 27.587) (xy 132.667 29.873) + (xy 132.687 29.873) (xy 132.687 30.127) (xy 132.667 30.127) (xy 132.667 31.320155) (xy 132.89689 31.441476) + (xy 133.044099 31.396825) (xy 133.2902 31.279605) (xy 133.290199 55.556473) (xy 133.286759 55.591399) (xy 133.290199 55.626325) + (xy 133.290199 55.626334) (xy 133.30049 55.730818) (xy 133.341157 55.864879) (xy 133.407197 55.988431) (xy 133.496072 56.096725) + (xy 133.523209 56.118997) (xy 135.129212 57.725) (xy 134.93374 57.725) (xy 134.646842 57.782068) (xy 134.376589 57.89401) + (xy 134.133368 58.056525) (xy 133.926525 58.263368) (xy 133.804805 58.445534) (xy 133.735178 58.328645) (xy 133.540269 58.112412) + (xy 133.30692 57.938359) (xy 133.044099 57.813175) (xy 132.89689 57.768524) (xy 132.667 57.889845) (xy 132.667 59.083) + (xy 132.687 59.083) (xy 132.687 59.337) (xy 132.667 59.337) (xy 132.667 61.623) (xy 132.687 61.623) + (xy 132.687 61.877) (xy 132.667 61.877) (xy 132.667 63.070155) (xy 132.89689 63.191476) (xy 133.044099 63.146825) + (xy 133.30692 63.021641) (xy 133.540269 62.847588) (xy 133.735178 62.631355) (xy 133.804805 62.514466) (xy 133.926525 62.696632) + (xy 134.133368 62.903475) (xy 134.376589 63.06599) (xy 134.646842 63.177932) (xy 134.93374 63.235) (xy 135.22626 63.235) + (xy 135.513158 63.177932) (xy 135.783411 63.06599) (xy 136.026632 62.903475) (xy 136.233475 62.696632) (xy 136.35 62.52224) + (xy 136.466525 62.696632) (xy 136.673368 62.903475) (xy 136.903378 63.057163) (xy 125.095543 74.865) (xy 124.964391 74.865) + (xy 124.764432 74.904774) (xy 124.576074 74.982795) (xy 124.435 75.077057) (xy 124.435 68.271312) (xy 128.925347 63.781269) + (xy 128.92535 63.781266) (xy 129.46858 63.238072) (xy 130.85 63.238072) (xy 130.974482 63.225812) (xy 131.09418 63.189502) + (xy 131.204494 63.130537) (xy 131.301185 63.051185) (xy 131.380537 62.954494) (xy 131.439502 62.84418) (xy 131.463966 62.763534) + (xy 131.539731 62.847588) (xy 131.77308 63.021641) (xy 132.035901 63.146825) (xy 132.18311 63.191476) (xy 132.413 63.070155) + (xy 132.413 61.877) (xy 132.393 61.877) (xy 132.393 61.623) (xy 132.413 61.623) (xy 132.413 59.337) + (xy 132.393 59.337) (xy 132.393 59.083) (xy 132.413 59.083) (xy 132.413 57.889845) (xy 132.18311 57.768524) + (xy 132.035901 57.813175) (xy 131.77308 57.938359) (xy 131.539731 58.112412) (xy 131.344822 58.328645) (xy 131.275195 58.445534) + (xy 131.153475 58.263368) (xy 130.946632 58.056525) (xy 130.703411 57.89401) (xy 130.433158 57.782068) (xy 130.14626 57.725) + (xy 129.85374 57.725) (xy 129.566842 57.782068) (xy 129.296589 57.89401) (xy 129.053368 58.056525) (xy 129.04035 58.069543) + (xy 129.04 58.069509) (xy 128.984249 58.075) (xy 128.984248 58.075) (xy 128.817501 58.091423) (xy 128.603553 58.156324) + (xy 128.406377 58.261716) (xy 128.233551 58.403551) (xy 128.214617 58.426622) (xy 128.191552 58.445551) (xy 128.049717 58.618377) + (xy 128.043349 58.630291) (xy 127.944324 58.815554) (xy 127.879423 59.029502) (xy 127.857509 59.252) (xy 127.863001 59.307761) + (xy 127.863 60.926303) (xy 126.96673 61.822516) (xy 126.966728 61.822517) (xy 122.118784 66.670134) (xy 122.065919 66.71352) + (xy 121.980585 66.8175) (xy 121.89287 66.924373) (xy 121.892859 66.924393) (xy 121.892843 66.924413) (xy 121.827978 67.045767) + (xy 121.764254 67.164976) (xy 121.764247 67.165) (xy 121.764236 67.16502) (xy 121.722894 67.301305) (xy 121.68505 67.426047) + (xy 121.685048 67.426068) (xy 121.68504 67.426094) (xy 121.669008 67.588875) (xy 121.6583 67.697554) (xy 121.665 67.765606) + (xy 121.665001 83.852334) (xy 121.501898 83.784774) (xy 121.301939 83.745) (xy 121.098061 83.745) (xy 120.898102 83.784774) + (xy 120.709744 83.862795) (xy 120.540226 83.976063) (xy 120.396063 84.120226) (xy 120.282795 84.289744) (xy 120.204774 84.478102) + (xy 120.165 84.678061) (xy 120.165 84.881939) (xy 120.204774 85.081898) (xy 120.282795 85.270256) (xy 120.396063 85.439774) + (xy 120.540226 85.583937) (xy 120.709744 85.697205) (xy 120.898102 85.775226) (xy 121.098061 85.815) (xy 121.301939 85.815) + (xy 121.501898 85.775226) (xy 121.665001 85.707666) (xy 121.665001 89.681961) (xy 121.6583 89.75) (xy 121.68504 90.021507) + (xy 121.764236 90.28258) (xy 121.892844 90.523188) (xy 122.022548 90.681233) (xy 122.022551 90.681236) (xy 122.06592 90.734081) + (xy 122.118765 90.77745) (xy 125.105001 93.763686) (xy 125.105 94.230376) (xy 125.090475 94.252115) (xy 125.071799 94.297202) + (xy 125.016268 94.19331) (xy 124.774849 94.124756) (xy 123.979605 94.92) (xy 124.774849 95.715244) (xy 125.016268 95.64669) + (xy 125.068725 95.535376) (xy 125.090475 95.587885) (xy 125.244782 95.818822) (xy 125.441178 96.015218) (xy 125.672115 96.169525) + (xy 125.717202 96.188201) (xy 125.61331 96.243732) (xy 125.544756 96.485151) (xy 126.34 97.280395) (xy 127.135244 96.485151) + (xy 127.06669 96.243732) (xy 126.955376 96.191275) (xy 127.007885 96.169525) (xy 127.029623 96.155) (xy 127.495 96.155) + (xy 127.495001 96.715912) (xy 127.314849 96.664756) (xy 126.519605 97.46) (xy 127.314849 98.255244) (xy 127.495 98.204088) + (xy 127.495001 99.190649) (xy 127.435218 99.101178) (xy 127.238822 98.904782) (xy 127.007885 98.750475) (xy 126.962798 98.731799) + (xy 127.06669 98.676268) (xy 127.135244 98.434849) (xy 126.34 97.639605) (xy 125.544756 98.434849) (xy 125.61331 98.676268) + (xy 125.724624 98.728725) (xy 125.672115 98.750475) (xy 125.441178 98.904782) (xy 125.244782 99.101178) (xy 125.090475 99.332115) + (xy 125.07 99.381546) (xy 125.049525 99.332115) (xy 124.895218 99.101178) (xy 124.698822 98.904782) (xy 124.467885 98.750475) + (xy 124.422798 98.731799) (xy 124.52669 98.676268) (xy 124.595244 98.434849) (xy 123.8 97.639605) (xy 123.004756 98.434849) + (xy 123.07331 98.676268) (xy 123.184624 98.728725) (xy 123.132115 98.750475) (xy 122.901178 98.904782) (xy 122.704782 99.101178) + (xy 122.550475 99.332115) (xy 122.53 99.381546) (xy 122.509525 99.332115) (xy 122.355218 99.101178) (xy 122.158822 98.904782) + (xy 122.045 98.828729) (xy 122.045 98.631271) (xy 122.158822 98.555218) (xy 122.355218 98.358822) (xy 122.509525 98.127885) + (xy 122.528201 98.082798) (xy 122.583732 98.18669) (xy 122.825151 98.255244) (xy 123.620395 97.46) (xy 123.979605 97.46) + (xy 124.774849 98.255244) (xy 125.016268 98.18669) (xy 125.06662 98.079841) (xy 125.123732 98.18669) (xy 125.365151 98.255244) + (xy 126.160395 97.46) (xy 125.365151 96.664756) (xy 125.123732 96.73331) (xy 125.07338 96.840159) (xy 125.016268 96.73331) + (xy 124.774849 96.664756) (xy 123.979605 97.46) (xy 123.620395 97.46) (xy 122.825151 96.664756) (xy 122.583732 96.73331) + (xy 122.531275 96.844624) (xy 122.509525 96.792115) (xy 122.355218 96.561178) (xy 122.158822 96.364782) (xy 121.927885 96.210475) + (xy 121.878454 96.19) (xy 121.927885 96.169525) (xy 122.158822 96.015218) (xy 122.279191 95.894849) (xy 123.004756 95.894849) + (xy 123.07331 96.136268) (xy 123.180159 96.18662) (xy 123.07331 96.243732) (xy 123.004756 96.485151) (xy 123.8 97.280395) + (xy 124.595244 96.485151) (xy 124.52669 96.243732) (xy 124.419841 96.19338) (xy 124.52669 96.136268) (xy 124.595244 95.894849) + (xy 123.8 95.099605) (xy 123.004756 95.894849) (xy 122.279191 95.894849) (xy 122.355218 95.818822) (xy 122.509525 95.587885) + (xy 122.528201 95.542798) (xy 122.583732 95.64669) (xy 122.825151 95.715244) (xy 123.620395 94.92) (xy 122.825151 94.124756) + (xy 122.583732 94.19331) (xy 122.531275 94.304624) (xy 122.509525 94.252115) (xy 122.355218 94.021178) (xy 122.279191 93.945151) + (xy 123.004756 93.945151) (xy 123.8 94.740395) (xy 124.595244 93.945151) (xy 124.52669 93.703732) (xy 124.275444 93.585332) + (xy 124.005929 93.518222) (xy 123.728498 93.504982) (xy 123.453816 93.546121) (xy 123.192438 93.640057) (xy 123.07331 93.703732) + (xy 123.004756 93.945151) (xy 122.279191 93.945151) (xy 122.158822 93.824782) (xy 121.927885 93.670475) (xy 121.671282 93.564186) + (xy 121.398873 93.51) (xy 121.121127 93.51) (xy 120.848718 93.564186) (xy 120.592115 93.670475) (xy 120.361178 93.824782) + (xy 120.164782 94.021178) (xy 120.010475 94.252115) (xy 119.904186 94.508718) (xy 119.85 94.781127) (xy 119.85 95.058873) + (xy 119.904186 95.331282) (xy 120.010475 95.587885) (xy 120.164782 95.818822) (xy 120.361178 96.015218) (xy 120.592115 96.169525) + (xy 120.641546 96.19) (xy 120.592115 96.210475) (xy 120.361178 96.364782) (xy 120.164782 96.561178) (xy 120.010475 96.792115) + (xy 119.904186 97.048718) (xy 119.85 97.321127) (xy 119.85 97.598873) (xy 119.904186 97.871282) (xy 120.010475 98.127885) + (xy 120.164782 98.358822) (xy 120.361178 98.555218) (xy 120.475 98.631272) (xy 120.475001 98.828728) (xy 120.361178 98.904782) + (xy 120.164782 99.101178) (xy 120.010475 99.332115) (xy 119.904186 99.588718) (xy 119.85 99.861127) (xy 119.85 100.138873) + (xy 119.904186 100.411282) (xy 120.010475 100.667885) (xy 120.147528 100.873) (xy 117.393059 100.873) (xy 117.155776 100.714452) + (xy 116.78088 100.559165) (xy 116.382892 100.48) (xy 115.977108 100.48) (xy 115.57912 100.559165) (xy 115.204224 100.714452) + (xy 114.966941 100.873) (xy 112.44 100.873) (xy 112.44 82.398061) (xy 114.7775 82.398061) (xy 114.7775 82.601939) + (xy 114.817274 82.801898) (xy 114.895295 82.990256) (xy 115.008563 83.159774) (xy 115.152726 83.303937) (xy 115.322244 83.417205) + (xy 115.510602 83.495226) (xy 115.710561 83.535) (xy 115.914439 83.535) (xy 116.114398 83.495226) (xy 116.302756 83.417205) + (xy 116.472274 83.303937) (xy 116.616437 83.159774) (xy 116.729705 82.990256) (xy 116.807726 82.801898) (xy 116.8475 82.601939) + (xy 116.8475 82.398061) (xy 116.807726 82.198102) (xy 116.729705 82.009744) (xy 116.616437 81.840226) (xy 116.472274 81.696063) + (xy 116.302756 81.582795) (xy 116.114398 81.504774) (xy 115.914439 81.465) (xy 115.710561 81.465) (xy 115.510602 81.504774) + (xy 115.322244 81.582795) (xy 115.152726 81.696063) (xy 115.008563 81.840226) (xy 114.895295 82.009744) (xy 114.817274 82.198102) + (xy 114.7775 82.398061) (xy 112.44 82.398061) (xy 112.44 79.698061) (xy 117.265 79.698061) (xy 117.265 79.901939) + (xy 117.304774 80.101898) (xy 117.382795 80.290256) (xy 117.496063 80.459774) (xy 117.640226 80.603937) (xy 117.809744 80.717205) + (xy 117.998102 80.795226) (xy 118.198061 80.835) (xy 118.401939 80.835) (xy 118.601898 80.795226) (xy 118.790256 80.717205) + (xy 118.959774 80.603937) (xy 119.103937 80.459774) (xy 119.217205 80.290256) (xy 119.295226 80.101898) (xy 119.335 79.901939) + (xy 119.335 79.698061) (xy 119.295226 79.498102) (xy 119.217205 79.309744) (xy 119.103937 79.140226) (xy 118.959774 78.996063) + (xy 118.790256 78.882795) (xy 118.601898 78.804774) (xy 118.401939 78.765) (xy 118.198061 78.765) (xy 117.998102 78.804774) + (xy 117.809744 78.882795) (xy 117.640226 78.996063) (xy 117.496063 79.140226) (xy 117.382795 79.309744) (xy 117.304774 79.498102) + (xy 117.265 79.698061) (xy 112.44 79.698061) (xy 112.44 69.048061) (xy 115.465 69.048061) (xy 115.465 69.251939) + (xy 115.504774 69.451898) (xy 115.582795 69.640256) (xy 115.696063 69.809774) (xy 115.840226 69.953937) (xy 116.009744 70.067205) + (xy 116.198102 70.145226) (xy 116.398061 70.185) (xy 116.601939 70.185) (xy 116.801898 70.145226) (xy 116.990256 70.067205) + (xy 117.159774 69.953937) (xy 117.303937 69.809774) (xy 117.417205 69.640256) (xy 117.495226 69.451898) (xy 117.535 69.251939) + (xy 117.535 69.048061) (xy 117.495226 68.848102) (xy 117.417205 68.659744) (xy 117.303937 68.490226) (xy 117.159774 68.346063) + (xy 116.990256 68.232795) (xy 116.801898 68.154774) (xy 116.601939 68.115) (xy 116.398061 68.115) (xy 116.198102 68.154774) + (xy 116.009744 68.232795) (xy 115.840226 68.346063) (xy 115.696063 68.490226) (xy 115.582795 68.659744) (xy 115.504774 68.848102) + (xy 115.465 69.048061) (xy 112.44 69.048061) (xy 112.44 51.298061) (xy 117.230134 51.298061) (xy 117.230134 51.501939) + (xy 117.269908 51.701898) (xy 117.347929 51.890256) (xy 117.461197 52.059774) (xy 117.60536 52.203937) (xy 117.774878 52.317205) + (xy 117.963236 52.395226) (xy 118.163195 52.435) (xy 118.367073 52.435) (xy 118.567032 52.395226) (xy 118.75539 52.317205) + (xy 118.924908 52.203937) (xy 119.069071 52.059774) (xy 119.182339 51.890256) (xy 119.26036 51.701898) (xy 119.300134 51.501939) + (xy 119.300134 51.298061) (xy 119.26036 51.098102) (xy 119.182339 50.909744) (xy 119.069071 50.740226) (xy 118.924908 50.596063) + (xy 118.75539 50.482795) (xy 118.567032 50.404774) (xy 118.367073 50.365) (xy 118.163195 50.365) (xy 117.963236 50.404774) + (xy 117.774878 50.482795) (xy 117.60536 50.596063) (xy 117.461197 50.740226) (xy 117.347929 50.909744) (xy 117.269908 51.098102) + (xy 117.230134 51.298061) (xy 112.44 51.298061) (xy 112.44 45.298061) (xy 117.230134 45.298061) (xy 117.230134 45.501939) + (xy 117.269908 45.701898) (xy 117.347929 45.890256) (xy 117.461197 46.059774) (xy 117.60536 46.203937) (xy 117.774878 46.317205) + (xy 117.963236 46.395226) (xy 118.163195 46.435) (xy 118.367073 46.435) (xy 118.567032 46.395226) (xy 118.75539 46.317205) + (xy 118.924908 46.203937) (xy 119.069071 46.059774) (xy 119.182339 45.890256) (xy 119.26036 45.701898) (xy 119.300134 45.501939) + (xy 119.300134 45.298061) (xy 119.26036 45.098102) (xy 119.182339 44.909744) (xy 119.069071 44.740226) (xy 118.924908 44.596063) + (xy 118.75539 44.482795) (xy 118.567032 44.404774) (xy 118.367073 44.365) (xy 118.163195 44.365) (xy 117.963236 44.404774) + (xy 117.774878 44.482795) (xy 117.60536 44.596063) (xy 117.461197 44.740226) (xy 117.347929 44.909744) (xy 117.269908 45.098102) + (xy 117.230134 45.298061) (xy 112.44 45.298061) (xy 112.44 29.15) (xy 128.511928 29.15) (xy 128.511928 30.85) + (xy 128.524188 30.974482) (xy 128.560498 31.09418) (xy 128.619463 31.204494) (xy 128.698815 31.301185) (xy 128.795506 31.380537) + (xy 128.90582 31.439502) (xy 129.025518 31.475812) (xy 129.15 31.488072) (xy 130.85 31.488072) (xy 130.974482 31.475812) + (xy 131.09418 31.439502) (xy 131.204494 31.380537) (xy 131.301185 31.301185) (xy 131.380537 31.204494) (xy 131.439502 31.09418) + (xy 131.463966 31.013534) (xy 131.539731 31.097588) (xy 131.77308 31.271641) (xy 132.035901 31.396825) (xy 132.18311 31.441476) + (xy 132.413 31.320155) (xy 132.413 30.127) (xy 132.393 30.127) (xy 132.393 29.873) (xy 132.413 29.873) + (xy 132.413 27.587) (xy 132.393 27.587) (xy 132.393 27.333) (xy 132.413 27.333) (xy 132.413 26.139845) + (xy 132.18311 26.018524) (xy 132.035901 26.063175) (xy 131.77308 26.188359) (xy 131.539731 26.362412) (xy 131.344822 26.578645) + (xy 131.275195 26.695534) (xy 131.153475 26.513368) (xy 130.946632 26.306525) (xy 130.703411 26.14401) (xy 130.433158 26.032068) + (xy 130.14626 25.975) (xy 129.85374 25.975) (xy 129.566842 26.032068) (xy 129.296589 26.14401) (xy 129.053368 26.306525) + (xy 128.846525 26.513368) (xy 128.68401 26.756589) (xy 128.572068 27.026842) (xy 128.515 27.31374) (xy 128.515 27.60626) + (xy 128.572068 27.893158) (xy 128.68401 28.163411) (xy 128.846525 28.406632) (xy 128.97838 28.538487) (xy 128.90582 28.560498) + (xy 128.795506 28.619463) (xy 128.698815 28.698815) (xy 128.619463 28.795506) (xy 128.560498 28.90582) (xy 128.524188 29.025518) + (xy 128.511928 29.15) (xy 112.44 29.15) (xy 112.44 21.576596) (xy 175.850673 21.576596) (xy 175.850673 21.780474) + (xy 175.890447 21.980433) (xy 175.968468 22.168791) (xy 176.081736 22.338309) (xy 176.225899 22.482472) (xy 176.395417 22.59574) + (xy 176.583775 22.673761) (xy 176.783734 22.713535) (xy 176.987612 22.713535) (xy 177.187571 22.673761) (xy 177.375929 22.59574) + (xy 177.545447 22.482472) (xy 177.68961 22.338309) (xy 177.802878 22.168791) (xy 177.880899 21.980433) (xy 177.920673 21.780474) + (xy 177.920673 21.576596) (xy 177.880899 21.376637) (xy 177.802878 21.188279) (xy 177.68961 21.018761) (xy 177.545447 20.874598) + (xy 177.375929 20.76133) (xy 177.187571 20.683309) (xy 176.987612 20.643535) (xy 176.783734 20.643535) (xy 176.583775 20.683309) + (xy 176.395417 20.76133) (xy 176.225899 20.874598) (xy 176.081736 21.018761) (xy 175.968468 21.188279) (xy 175.890447 21.376637) + (xy 175.850673 21.576596) (xy 112.44 21.576596) (xy 112.44 19.098061) (xy 155.495 19.098061) (xy 155.495 19.301939) (xy 155.534774 19.501898) (xy 155.612795 19.690256) (xy 155.726063 19.859774) (xy 155.870226 20.003937) (xy 156.039744 20.117205) (xy 156.228102 20.195226) (xy 156.428061 20.235) (xy 156.631939 20.235) (xy 156.831898 20.195226) (xy 157.020256 20.117205) (xy 157.189774 20.003937) (xy 157.333937 19.859774) (xy 157.447205 19.690256) (xy 157.525226 19.501898) (xy 157.565 19.301939) @@ -15236,6 +14962,41 @@ (xy 198.709525 95.587885) (xy 198.73 95.538454) ) ) + (filled_polygon + (pts + (xy 197.426525 62.696632) (xy 197.633368 62.903475) (xy 197.876589 63.06599) (xy 198.146842 63.177932) (xy 198.43374 63.235) + (xy 198.72626 63.235) (xy 199.013158 63.177932) (xy 199.283411 63.06599) (xy 199.288801 63.062389) (xy 199.2888 93.699417) + (xy 199.101178 93.824782) (xy 198.904782 94.021178) (xy 198.750475 94.252115) (xy 198.73 94.301546) (xy 198.709525 94.252115) + (xy 198.555218 94.021178) (xy 198.358822 93.824782) (xy 198.127885 93.670475) (xy 197.871282 93.564186) (xy 197.598873 93.51) + (xy 197.321127 93.51) (xy 197.048718 93.564186) (xy 196.792115 93.670475) (xy 196.561178 93.824782) (xy 196.364782 94.021178) + (xy 196.210475 94.252115) (xy 196.19 94.301546) (xy 196.169525 94.252115) (xy 196.015218 94.021178) (xy 195.818822 93.824782) + (xy 195.587885 93.670475) (xy 195.331282 93.564186) (xy 195.058873 93.51) (xy 194.781127 93.51) (xy 194.508718 93.564186) + (xy 194.252115 93.670475) (xy 194.230377 93.685) (xy 193.069623 93.685) (xy 193.047885 93.670475) (xy 192.791282 93.564186) + (xy 192.518873 93.51) (xy 192.241127 93.51) (xy 191.968718 93.564186) (xy 191.712115 93.670475) (xy 191.481178 93.824782) + (xy 191.326199 93.979761) (xy 191.326199 89.849927) (xy 191.329639 89.814999) (xy 191.326199 89.78007) (xy 191.326199 89.780063) + (xy 191.315908 89.675579) (xy 191.275241 89.541518) (xy 191.209201 89.417966) (xy 191.120326 89.309672) (xy 191.09319 89.287402) + (xy 186.5112 84.705413) (xy 186.5112 84.627511) (xy 186.603937 84.534774) (xy 186.717205 84.365256) (xy 186.795226 84.176898) + (xy 186.835 83.976939) (xy 186.835 83.773061) (xy 186.795226 83.573102) (xy 186.717205 83.384744) (xy 186.603937 83.215226) + (xy 186.459774 83.071063) (xy 186.290256 82.957795) (xy 186.101898 82.879774) (xy 185.901939 82.84) (xy 185.698061 82.84) + (xy 185.498102 82.879774) (xy 185.309744 82.957795) (xy 185.140226 83.071063) (xy 184.996063 83.215226) (xy 184.882795 83.384744) + (xy 184.843448 83.479737) (xy 184.809774 83.446063) (xy 184.718148 83.384841) (xy 187.741606 80.361384) (xy 187.768737 80.339118) + (xy 187.791004 80.311986) (xy 187.791008 80.311982) (xy 187.857613 80.230824) (xy 187.882243 80.184744) (xy 187.923653 80.107272) + (xy 187.96432 79.973211) (xy 187.974611 79.868727) (xy 187.974611 79.868718) (xy 187.978051 79.833792) (xy 187.974611 79.798866) + (xy 187.974611 74.687999) (xy 188.148061 74.7225) (xy 188.351939 74.7225) (xy 188.551898 74.682726) (xy 188.740256 74.604705) + (xy 188.909774 74.491437) (xy 189.053937 74.347274) (xy 189.167205 74.177756) (xy 189.245226 73.989398) (xy 189.285 73.789439) + (xy 189.285 73.585561) (xy 189.245226 73.385602) (xy 189.167205 73.197244) (xy 189.053937 73.027726) (xy 188.909774 72.883563) + (xy 188.740256 72.770295) (xy 188.551898 72.692274) (xy 188.351939 72.6525) (xy 188.148061 72.6525) (xy 187.974611 72.687001) + (xy 187.974611 63.172866) (xy 187.986842 63.177932) (xy 188.27374 63.235) (xy 188.56626 63.235) (xy 188.853158 63.177932) + (xy 189.123411 63.06599) (xy 189.366632 62.903475) (xy 189.573475 62.696632) (xy 189.69 62.52224) (xy 189.806525 62.696632) + (xy 190.013368 62.903475) (xy 190.256589 63.06599) (xy 190.526842 63.177932) (xy 190.81374 63.235) (xy 191.10626 63.235) + (xy 191.393158 63.177932) (xy 191.663411 63.06599) (xy 191.906632 62.903475) (xy 192.113475 62.696632) (xy 192.23 62.52224) + (xy 192.346525 62.696632) (xy 192.553368 62.903475) (xy 192.796589 63.06599) (xy 193.066842 63.177932) (xy 193.35374 63.235) + (xy 193.64626 63.235) (xy 193.933158 63.177932) (xy 194.203411 63.06599) (xy 194.446632 62.903475) (xy 194.653475 62.696632) + (xy 194.77 62.52224) (xy 194.886525 62.696632) (xy 195.093368 62.903475) (xy 195.336589 63.06599) (xy 195.606842 63.177932) + (xy 195.89374 63.235) (xy 196.18626 63.235) (xy 196.473158 63.177932) (xy 196.743411 63.06599) (xy 196.986632 62.903475) + (xy 197.193475 62.696632) (xy 197.31 62.52224) + ) + ) (filled_polygon (pts (xy 142.55374 63.235) (xy 142.84626 63.235) (xy 142.949398 63.214485) (xy 135.400411 70.763472) (xy 135.373275 70.785742) @@ -15305,41 +15066,6 @@ (xy 142.247034 63.194201) (xy 142.266855 63.177935) ) ) - (filled_polygon - (pts - (xy 197.426525 62.696632) (xy 197.633368 62.903475) (xy 197.876589 63.06599) (xy 198.146842 63.177932) (xy 198.43374 63.235) - (xy 198.72626 63.235) (xy 199.013158 63.177932) (xy 199.283411 63.06599) (xy 199.288801 63.062389) (xy 199.2888 93.699417) - (xy 199.101178 93.824782) (xy 198.904782 94.021178) (xy 198.750475 94.252115) (xy 198.73 94.301546) (xy 198.709525 94.252115) - (xy 198.555218 94.021178) (xy 198.358822 93.824782) (xy 198.127885 93.670475) (xy 197.871282 93.564186) (xy 197.598873 93.51) - (xy 197.321127 93.51) (xy 197.048718 93.564186) (xy 196.792115 93.670475) (xy 196.561178 93.824782) (xy 196.364782 94.021178) - (xy 196.210475 94.252115) (xy 196.19 94.301546) (xy 196.169525 94.252115) (xy 196.015218 94.021178) (xy 195.818822 93.824782) - (xy 195.587885 93.670475) (xy 195.331282 93.564186) (xy 195.058873 93.51) (xy 194.781127 93.51) (xy 194.508718 93.564186) - (xy 194.252115 93.670475) (xy 194.230377 93.685) (xy 193.069623 93.685) (xy 193.047885 93.670475) (xy 192.791282 93.564186) - (xy 192.518873 93.51) (xy 192.241127 93.51) (xy 191.968718 93.564186) (xy 191.712115 93.670475) (xy 191.481178 93.824782) - (xy 191.326199 93.979761) (xy 191.326199 89.849927) (xy 191.329639 89.814999) (xy 191.326199 89.78007) (xy 191.326199 89.780063) - (xy 191.315908 89.675579) (xy 191.275241 89.541518) (xy 191.209201 89.417966) (xy 191.120326 89.309672) (xy 191.09319 89.287402) - (xy 186.5112 84.705413) (xy 186.5112 84.627511) (xy 186.603937 84.534774) (xy 186.717205 84.365256) (xy 186.795226 84.176898) - (xy 186.835 83.976939) (xy 186.835 83.773061) (xy 186.795226 83.573102) (xy 186.717205 83.384744) (xy 186.603937 83.215226) - (xy 186.459774 83.071063) (xy 186.290256 82.957795) (xy 186.101898 82.879774) (xy 185.901939 82.84) (xy 185.698061 82.84) - (xy 185.498102 82.879774) (xy 185.309744 82.957795) (xy 185.140226 83.071063) (xy 184.996063 83.215226) (xy 184.882795 83.384744) - (xy 184.843448 83.479737) (xy 184.809774 83.446063) (xy 184.718148 83.384841) (xy 187.741606 80.361384) (xy 187.768737 80.339118) - (xy 187.791004 80.311986) (xy 187.791008 80.311982) (xy 187.857613 80.230824) (xy 187.882243 80.184744) (xy 187.923653 80.107272) - (xy 187.96432 79.973211) (xy 187.974611 79.868727) (xy 187.974611 79.868718) (xy 187.978051 79.833792) (xy 187.974611 79.798866) - (xy 187.974611 74.687999) (xy 188.148061 74.7225) (xy 188.351939 74.7225) (xy 188.551898 74.682726) (xy 188.740256 74.604705) - (xy 188.909774 74.491437) (xy 189.053937 74.347274) (xy 189.167205 74.177756) (xy 189.245226 73.989398) (xy 189.285 73.789439) - (xy 189.285 73.585561) (xy 189.245226 73.385602) (xy 189.167205 73.197244) (xy 189.053937 73.027726) (xy 188.909774 72.883563) - (xy 188.740256 72.770295) (xy 188.551898 72.692274) (xy 188.351939 72.6525) (xy 188.148061 72.6525) (xy 187.974611 72.687001) - (xy 187.974611 63.172866) (xy 187.986842 63.177932) (xy 188.27374 63.235) (xy 188.56626 63.235) (xy 188.853158 63.177932) - (xy 189.123411 63.06599) (xy 189.366632 62.903475) (xy 189.573475 62.696632) (xy 189.69 62.52224) (xy 189.806525 62.696632) - (xy 190.013368 62.903475) (xy 190.256589 63.06599) (xy 190.526842 63.177932) (xy 190.81374 63.235) (xy 191.10626 63.235) - (xy 191.393158 63.177932) (xy 191.663411 63.06599) (xy 191.906632 62.903475) (xy 192.113475 62.696632) (xy 192.23 62.52224) - (xy 192.346525 62.696632) (xy 192.553368 62.903475) (xy 192.796589 63.06599) (xy 193.066842 63.177932) (xy 193.35374 63.235) - (xy 193.64626 63.235) (xy 193.933158 63.177932) (xy 194.203411 63.06599) (xy 194.446632 62.903475) (xy 194.653475 62.696632) - (xy 194.77 62.52224) (xy 194.886525 62.696632) (xy 195.093368 62.903475) (xy 195.336589 63.06599) (xy 195.606842 63.177932) - (xy 195.89374 63.235) (xy 196.18626 63.235) (xy 196.473158 63.177932) (xy 196.743411 63.06599) (xy 196.986632 62.903475) - (xy 197.193475 62.696632) (xy 197.31 62.52224) - ) - ) (filled_polygon (pts (xy 176.087402 51.693191) (xy 176.087401 57.768988) (xy 175.86626 57.725) (xy 175.57374 57.725) (xy 175.286842 57.782068) @@ -15378,7 +15104,7 @@ (xy 167.973 61.623) (xy 167.973 59.337) (xy 167.953 59.337) (xy 167.953 59.083) (xy 167.973 59.083) (xy 167.973 57.889845) (xy 167.74311 57.768524) (xy 167.595901 57.813175) (xy 167.349801 57.930395) (xy 167.349801 43.813526) (xy 167.353241 43.7786) (xy 167.349801 43.743674) (xy 167.349801 43.743665) (xy 167.33951 43.639181) (xy 167.298843 43.50512) - (xy 167.232803 43.381568) (xy 167.211424 43.355518) (xy 167.166198 43.300409) (xy 167.166194 43.300405) (xy 167.143928 43.273274) + (xy 167.232803 43.381568) (xy 167.2223 43.36877) (xy 167.166198 43.300409) (xy 167.166194 43.300405) (xy 167.143928 43.273274) (xy 167.116797 43.251008) (xy 155.350787 31.485) (xy 155.54626 31.485) (xy 155.823972 31.429759) ) ) diff --git a/nubus-to-ztex/nubus-to-ztex.net b/nubus-to-ztex/nubus-to-ztex.net index 83389b9..f4e6340 100644 --- a/nubus-to-ztex/nubus-to-ztex.net +++ b/nubus-to-ztex/nubus-to-ztex.net @@ -1,7 +1,7 @@ (export (version D) (design (source /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex.sch) - (date "Sat Nov 12 12:42:10 2022") + (date "Sun Nov 13 11:57:00 2022") (tool "Eeschema 5.1.9+dfsg1-1~bpo10+1") (sheet (number 1) (name /) (tstamps /) (title_block @@ -113,13 +113,12 @@ (tstamp 5F676E85)) (comp (ref J3) (value Conn_01x06_Male) - (footprint For_SeeedStudio:PinHeader_1x06_P2.54mm_Horizontal_For_SeeedStudio) - (datasheet ~) + (footprint For_SeeedStudio:SAMTEC_TSM-106-01-L-SH) + (datasheet https://www.mouser.com/datasheet/2/527/tsm-2854655.pdf) (fields - (field (name MPN) PZ254R-11-06P) - (field (name MPN-ALT) 22-28-8060) - (field (name URL) https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html) - (field (name URL-ALT) https://www2.mouser.com/ProductDetail/Molex/22-28-8060?qs=4XSMV6Twtb2rYD%2F%2F316gfQ==)) + (field (name MPN) TSM-106-01-T-SH) + (field (name "MPN comments") "'T' is tin 'L' is gold ; with extra -A (and footprint change) to get alignment pin ; -01 is post length (-02 would be fine)") + (field (name URL) https://www.mouser.fr/ProductDetail/Samtec/TSM-106-01-T-SH?qs=0lQeLiL1qybjt4R2%252BGOpsA%3D%3D)) (libsource (lib Connector) (part Conn_01x06_Male) (description "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)")) (sheetpath (names /B2B/) (tstamps /618E8C75/)) (tstamp 5F69129B)) @@ -921,30 +920,6 @@ (libsource (lib Device) (part C) (description "Unpolarized capacitor")) (sheetpath (names /hdmi/) (tstamps /61B62C00/)) (tstamp 61B9B186)) - (comp (ref C39) - (value "1uF 250V Radial 6.3x2.5") - (footprint Capacitor_THT:CP_Radial_D6.3mm_P2.50mm) - (datasheet ~) - (fields - (field (name MPN) KM010M400E110A) - (field (name MPN-ALT) 860021373002) - (field (name URL) https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html) - (field (name URL-ALT) https://www2.mouser.com/ProductDetail/Wurth-Elektronik/860021373002?qs=0KOYDY2FL28tNXbPyU6hsg%3D%3D)) - (libsource (lib Device) (part C) (description "Unpolarized capacitor")) - (sheetpath (names /hdmi/) (tstamps /61B62C00/)) - (tstamp 63467FEB)) - (comp (ref R33) - (value "1M 1210") - (footprint Resistor_SMD:R_1210_3225Metric) - (datasheet ~) - (fields - (field (name MPN) 1210W2F1004T5E) - (field (name MPN-ALT) RC1210FR-071ML) - (field (name URL) https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html) - (field (name URL-ALT) https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_YAGEO-RC1210FR-071ML_C470029.html)) - (libsource (lib Device) (part R) (description Resistor)) - (sheetpath (names /hdmi/) (tstamps /61B62C00/)) - (tstamp 63467FF7)) (comp (ref H1) (value MountingHole_Pad) (footprint MountingHole:MountingHole_2.2mm_M2_Pad) @@ -952,6 +927,26 @@ (libsource (lib Mechanical) (part MountingHole_Pad) (description "Mounting Hole with connection")) (sheetpath (names /hdmi/) (tstamps /61B62C00/)) (tstamp 63467FFE)) + (comp (ref C7) + (value "10nF 1kV 0805") + (footprint Capacitor_SMD:C_0805_2012Metric) + (datasheet ~) + (fields + (field (name MPN) C0805V103KCRACTU) + (field (name URL) https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_KEMET-C0805V103KCRACTU_C2170011.html)) + (libsource (lib Device) (part C) (description "Unpolarized capacitor")) + (sheetpath (names /hdmi/) (tstamps /61B62C00/)) + (tstamp 641A275A)) + (comp (ref R9) + (value "1M 500V 2512") + (footprint Resistor_SMD:R_2512_6332Metric) + (datasheet ~) + (fields + (field (name MPN) AS25J1004ET) + (field (name URL) https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Ohmite-AS25J1004ET_C2546765.html)) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /hdmi/) (tstamps /61B62C00/)) + (tstamp 641A2763)) (comp (ref J6) (value "105017-0001 (USB micro-B)") (footprint Connector_USB:USB_Micro-B_Molex-105017-0001) @@ -2222,8 +2217,8 @@ (node (ref U9) (pin 14)) (node (ref U9) (pin 19)) (node (ref J5) (pin 8)) - (node (ref C39) (pin 2)) - (node (ref R33) (pin 2)) + (node (ref C7) (pin 2)) + (node (ref R9) (pin 2)) (node (ref C2) (pin 2)) (node (ref D1) (pin 1)) (node (ref U10) (pin 5)) @@ -2611,16 +2606,16 @@ (net (code 198) (name "Net-(U10-Pad1)") (node (ref U10) (pin 1))) (net (code 199) (name /usb/USB_FLT) - (node (ref U11) (pin 3)) - (node (ref R27) (pin 1))) + (node (ref R27) (pin 1)) + (node (ref U11) (pin 3))) (net (code 200) (name "Net-(J6-Pad4)") (node (ref J6) (pin 4))) (net (code 201) (name SHIELD) - (node (ref C39) (pin 1)) + (node (ref C7) (pin 1)) (node (ref J6) (pin 6)) (node (ref J5) (pin SH)) (node (ref H1) (pin 1)) - (node (ref R33) (pin 1))) + (node (ref R9) (pin 1))) (net (code 202) (name /usb/VBus) (node (ref U11) (pin 1)) (node (ref FB1) (pin 1)) diff --git a/nubus-to-ztex/nubus-to-ztex.rpt b/nubus-to-ztex/nubus-to-ztex.rpt index 68ee615..4299795 100644 --- a/nubus-to-ztex/nubus-to-ztex.rpt +++ b/nubus-to-ztex/nubus-to-ztex.rpt @@ -1,4 +1,4 @@ -## Footprint report - date Sat Nov 12 12:43:20 2022 +## Footprint report - date Sun Nov 13 14:05:32 2022 ## Created by Pcbnew version kicad 5.1.9+dfsg1-1~bpo10+1 ## Unit = mm, Angle = deg. @@ -9,6 +9,93 @@ upper_left_corner -50.005001 -35.064286 lower_right_corner 400.005001 148.435001 $EndBOARD +$MODULE "R9" +reference "R9" +value "1M 500V 2512" +footprint "Resistor_SMD:R_2512_6332Metric" +attribut smd +position 268.837500 46.930000 orientation 180.00 +layer front +$PAD "2" +Shape Roundrect Layer front +position 2.962500 0.000000 size 1.225000 3.350000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$PAD "1" +Shape Roundrect Layer front +position -2.962500 0.000000 size 1.225000 3.350000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$EndMODULE R9 + +$MODULE "C7" +reference "C7" +value "10nF 1kV 0805" +footprint "Capacitor_SMD:C_0805_2012Metric" +attribut smd +position 270.850000 51.000000 orientation 180.00 +layer front +$PAD "2" +Shape Roundrect Layer front +position 0.950000 0.000000 size 1.000000 1.450000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$PAD "1" +Shape Roundrect Layer front +position -0.950000 0.000000 size 1.000000 1.450000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$EndMODULE C7 + +$MODULE "J3" +reference "J3" +value "Conn_01x06_Male" +footprint "For_SeeedStudio:SAMTEC_TSM-106-01-L-SH" +attribut none +position 117.856000 27.178000 orientation 90.00 +layer front +$PAD "6" +Shape Rect Layer front +position 6.350000 5.280000 size 1.270000 3.180000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$PAD "5" +Shape Rect Layer front +position 3.810000 5.280000 size 1.270000 3.180000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$PAD "4" +Shape Rect Layer front +position 1.270000 5.280000 size 1.270000 3.180000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$PAD "3" +Shape Rect Layer front +position -1.270000 5.280000 size 1.270000 3.180000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$PAD "2" +Shape Rect Layer front +position -3.810000 5.280000 size 1.270000 3.180000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$PAD "1" +Shape Rect Layer front +position -6.350000 5.280000 size 1.270000 3.180000 orientation 0.00 +drill 0.000000 +shape_offset 0.000000 0.000000 +$EndPAD +$EndMODULE J3 + $MODULE "GRAPHIC1" reference "GRAPHIC1" value "LogoLitex" @@ -533,7 +620,7 @@ reference "H1" value "MountingHole_Pad" footprint "MountingHole:MountingHole_2.2mm_M2_Pad" attribut virtual -position 257.350000 47.090000 orientation 0.00 +position 269.197500 89.500000 orientation 0.00 layer front $PAD "1" Shape Circle Layer both @@ -3846,51 +3933,9 @@ shape_offset 0.000000 0.000000 $EndPAD $EndMODULE J5 -$MODULE "C39" -reference "C39" -value "1uF 250V Radial 6.3x2.5" -footprint "Capacitor_THT:CP_Radial_D6.3mm_P2.50mm" -attribut none -position 266.850000 44.280000 orientation 180.00 -layer front -$PAD "1" -Shape Rect Layer both -position 0.000000 0.000000 size 1.600000 1.600000 orientation 0.00 -drill 0.800000 -shape_offset 0.000000 0.000000 -$EndPAD -$PAD "2" -Shape Circle Layer both -position 2.500000 0.000000 size 1.600000 1.600000 orientation 0.00 -drill 0.800000 -shape_offset 0.000000 0.000000 -$EndPAD -$EndMODULE C39 - -$MODULE "R33" -reference "R33" -value "1M 1210" -footprint "Resistor_SMD:R_1210_3225Metric" -attribut smd -position 265.450000 50.320000 orientation 180.00 -layer front -$PAD "1" -Shape Roundrect Layer front -position -1.400000 0.000000 size 1.250000 2.650000 orientation 0.00 -drill 0.000000 -shape_offset 0.000000 0.000000 -$EndPAD -$PAD "2" -Shape Roundrect Layer front -position 1.400000 0.000000 size 1.250000 2.650000 orientation 0.00 -drill 0.000000 -shape_offset 0.000000 0.000000 -$EndPAD -$EndMODULE R33 - $MODULE "J4" reference "J4" -value "0850030567 (DIN 41612C 3x32 pins)" +value "09031966921 (DIN 41612C 3x32 pins)" footprint "For_SeeedStudio:DIN41612_C_3x32_Male_Horizontal_THT" attribut none position 200.000000 100.000000 orientation 180.00 @@ -4527,51 +4572,6 @@ shape_offset 0.000000 0.000000 $EndPAD $EndMODULE R4 -$MODULE "J3" -reference "J3" -value "Conn_01x06_Male" -footprint "For_SeeedStudio:PinHeader_1x06_P2.54mm_Horizontal_For_SeeedStudio" -attribut none -position 121.900000 33.500000 orientation 180.00 -layer front -$PAD "6" -Shape Oval Layer both -position 0.000000 12.700000 size 1.700000 1.700000 orientation 0.00 -drill 1.020000 -shape_offset 0.000000 0.000000 -$EndPAD -$PAD "5" -Shape Oval Layer both -position 0.000000 10.160000 size 1.700000 1.700000 orientation 0.00 -drill 1.020000 -shape_offset 0.000000 0.000000 -$EndPAD -$PAD "4" -Shape Oval Layer both -position 0.000000 7.620000 size 1.700000 1.700000 orientation 0.00 -drill 1.020000 -shape_offset 0.000000 0.000000 -$EndPAD -$PAD "3" -Shape Oval Layer both -position 0.000000 5.080000 size 1.700000 1.700000 orientation 0.00 -drill 1.020000 -shape_offset 0.000000 0.000000 -$EndPAD -$PAD "2" -Shape Oval Layer both -position 0.000000 2.540000 size 1.700000 1.700000 orientation 0.00 -drill 1.020000 -shape_offset 0.000000 0.000000 -$EndPAD -$PAD "1" -Shape Rect Layer both -position 0.000000 0.000000 size 1.700000 1.700000 orientation 0.00 -drill 1.020000 -shape_offset 0.000000 0.000000 -$EndPAD -$EndMODULE J3 - $MODULE "JAB1" reference "JAB1" value "77313-101-64LF (2x32 M 2.54mm)" diff --git a/nubus-to-ztex/nubus-to-ztex.xml b/nubus-to-ztex/nubus-to-ztex.xml index cfa5364..5ac9522 100644 --- a/nubus-to-ztex/nubus-to-ztex.xml +++ b/nubus-to-ztex/nubus-to-ztex.xml @@ -2,7 +2,7 @@ /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex.sch - Sat Nov 12 12:43:46 2022 + Sun Nov 13 14:04:50 2022 Eeschema 5.1.9+dfsg1-1~bpo10+1 @@ -134,13 +134,13 @@ Conn_01x06_Male - For_SeeedStudio:PinHeader_1x06_P2.54mm_Horizontal_For_SeeedStudio - ~ + For_SeeedStudio:SAMTEC_TSM-106-01-L-SH + https://www.mouser.com/datasheet/2/527/tsm-2854655.pdf - PZ254R-11-06P - 22-28-8060 - https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html - https://www2.mouser.com/ProductDetail/Molex/22-28-8060?qs=4XSMV6Twtb2rYD%2F%2F316gfQ== + DNP + TSM-106-01-T-SH + 'T' is tin 'L' is gold ; with extra -A (and footprint change) to get alignment pin ; -01 is post length (-02 would be fine) + https://www.mouser.fr/ProductDetail/Samtec/TSM-106-01-T-SH?qs=0lQeLiL1qybjt4R2%252BGOpsA%3D%3D @@ -166,6 +166,7 @@ For_SeeedStudio:MOLEX_87832-1420 https://www.molex.com/pdm_docs/sd/878331420_sd.pdf + DNP 87832-1420 https://www.mouser.fr/ProductDetail/Molex/87832-1420?qs=9Wl4wMk6Njp2JciwISLQUQ%3D%3D @@ -320,17 +321,18 @@ 5F93CF72 - 0850030567 (DIN 41612C 3x32 pins) + 09031966921 (DIN 41612C 3x32 pins) For_SeeedStudio:DIN41612_C_3x32_Male_Horizontal_THT ~ - 0850030567 + 09033966921 DIN-096CPC-RR1-HM 09033966921 09033967921 - 85003-0567 + 85003-0567 RR1L would also work (for .062 PCBs) - https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D + Loads of variants... + https://www.mouser.fr/ProductDetail/HARTING/09031966921?qs=gIpPgrDBK%2Fho4yEpoERymA%3D%3D @@ -1088,34 +1090,6 @@ 61B9B186 - - 1uF 250V Radial 6.3x2.5 - Capacitor_THT:CP_Radial_D6.3mm_P2.50mm - ~ - - KM010M400E110A - 860021373002 - https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html - https://www2.mouser.com/ProductDetail/Wurth-Elektronik/860021373002?qs=0KOYDY2FL28tNXbPyU6hsg%3D%3D - - - - 63467FEB - - - 1M 1210 - Resistor_SMD:R_1210_3225Metric - ~ - - 1210W2F1004T5E - RC1210FR-071ML - https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html - https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_YAGEO-RC1210FR-071ML_C470029.html - - - - 63467FF7 - MountingHole_Pad MountingHole:MountingHole_2.2mm_M2_Pad @@ -1124,11 +1098,36 @@ 63467FFE + + 10nF 1kV 0805 + Capacitor_SMD:C_0805_2012Metric + ~ + + C0805V103KCRACTU + https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_KEMET-C0805V103KCRACTU_C2170011.html + + + + 641A275A + + + 1M 500V 2512 + Resistor_SMD:R_2512_6332Metric + ~ + + AS25J1004ET + https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Ohmite-AS25J1004ET_C2546765.html + + + + 641A2763 + 105017-0001 (USB micro-B) Connector_USB:USB_Micro-B_Molex-105017-0001 https://www.molex.com/pdm_docs/sd/1050170001_sd.pdf + DNP 105017-0001 Molex https://lcsc.com/product-detail/USB-Connectors_MOLEX-1050170001_C136000.html @@ -1142,6 +1141,7 @@ 10k Resistor_SMD:R_0603_1608Metric + DNP 0603WAF1002T5E https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html @@ -1153,6 +1153,7 @@ 10k Resistor_SMD:R_0603_1608Metric + DNP 0603WAF1002T5E https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html @@ -1164,6 +1165,7 @@ 100nF Capacitor_SMD:C_0603_1608Metric + DNP ? ? ? @@ -1182,6 +1184,7 @@ Package_TO_SOT_SMD:SOT-23-6 http://www.ti.com/lit/ds/symlink/sn65220.pdf + DNP SN65220DBVT SN65220DBVT or SN65220DBVR (Tape or Reel...) https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html @@ -1195,6 +1198,7 @@ 15k Resistor_SMD:R_0603_1608Metric + DNP 0603WAF1502T5E https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html @@ -1206,6 +1210,7 @@ 15k Resistor_SMD:R_0603_1608Metric + DNP 0603WAF1502T5E https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html @@ -1217,6 +1222,7 @@ 27 Resistor_SMD:R_0603_1608Metric + DNP 0603WAF270JT5E ERJ-3EKF27R0V https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html @@ -1229,6 +1235,7 @@ 27 Resistor_SMD:R_0603_1608Metric + DNP 0603WAF270JT5E ERJ-3EKF27R0V https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html @@ -1242,6 +1249,7 @@ Capacitor_SMD:C_1206_3216Metric ~ + DNP JMK316ABJ107ML-T GRM31CR60J157ME11L https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Taiyo-Yuden-JMK316ABJ107ML-T_C268006.html @@ -1257,6 +1265,7 @@ Inductor_SMD:L_0805_2012Metric ~ + DNP PZ2012U221-2R0TF 742792022 https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html @@ -1269,6 +1278,7 @@ 100nF Capacitor_SMD:C_0603_1608Metric + DNP ? ? ? @@ -1286,6 +1296,7 @@ TPS2051CDBV Package_TO_SOT_SMD:SOT-23-5 + DNP TPS2051CDBVR 595-TPS2051CDBVR https://lcsc.com/product-detail/Power-Distribution-Switches_Texas-Instruments-TPS2051CDBVR_C129581.html @@ -1300,6 +1311,7 @@ Connector_Molex:Molex_KK-254_AE-6410-03A_1x03_P2.54mm_Vertical ~ + DNP 640456-3 22-27-2031 TE Connectivity @@ -1315,6 +1327,7 @@ 47uF 10V 0805 Capacitor_SMD:C_0805_2012Metric + DNP GRM21BR61A476ME15K C2012X5R1A476MTJ00E https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Murata-Electronics-GRM21BR61A476ME15K_C2292827.html @@ -2622,8 +2635,8 @@ - - + + @@ -3122,18 +3135,18 @@ - + - + - + diff --git a/nubus-to-ztex/nubus-to-ztex.zip b/nubus-to-ztex/nubus-to-ztex.zip index 65554f0..5c74542 100644 Binary files a/nubus-to-ztex/nubus-to-ztex.zip and b/nubus-to-ztex/nubus-to-ztex.zip differ diff --git a/nubus-to-ztex/nubus.sch b/nubus-to-ztex/nubus.sch index dd4a589..d7f57af 100644 --- a/nubus-to-ztex/nubus.sch +++ b/nubus-to-ztex/nubus.sch @@ -78,16 +78,17 @@ L C96ABC:C96ABC_NUBUS J4 U 1 1 61912527 P 22250 5750 F 0 "J4" H 22429 5846 50 0000 L CNN -F 1 "0850030567 (DIN 41612C 3x32 pins)" H 22429 5755 50 0000 L CNN +F 1 "09031966921 (DIN 41612C 3x32 pins)" H 22429 5755 50 0000 L CNN F 2 "For_SeeedStudio:DIN41612_C_3x32_Male_Horizontal_THT" H 22250 5800 50 0001 C CNN F 3 " ~" H 22250 5800 50 0001 C CNN -F 4 "85003-0567" H 22250 5750 50 0001 C CNN "MPN alias" -F 5 "https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D" H 22250 5750 50 0001 C CNN "URL" +F 4 "85003-0567" H 22250 5750 50 0001 C CNN "MPN (Molex)" +F 5 "https://www.mouser.fr/ProductDetail/HARTING/09031966921?qs=gIpPgrDBK%2Fho4yEpoERymA%3D%3D" H 22250 5750 50 0001 C CNN "URL" F 6 "DIN-096CPC-RR1-HM" H 22250 5750 50 0001 C CNN "MPN (3M)" F 7 "RR1L would also work (for .062 PCBs)" H 22250 5750 50 0001 C CNN "Notes (3M)" F 8 "09033967921" H 22250 5750 50 0001 C CNN "MPN (Harting)" F 9 "09033966921" H 22250 5750 50 0001 C CNN "MPN (Harting 2)" -F 10 "0850030567" H 22250 5750 50 0001 C CNN "MPN" +F 10 "09033966921" H 22250 5750 50 0001 C CNN "MPN" +F 11 "Loads of variants..." H 22250 5750 50 0001 C CNN "Notes (Harting)" 1 22250 5750 1 0 0 -1 $EndComp diff --git a/nubus-to-ztex/top.pdf b/nubus-to-ztex/top.pdf index b714c4c..b8c6660 100644 Binary files a/nubus-to-ztex/top.pdf and b/nubus-to-ztex/top.pdf differ diff --git a/nubus-to-ztex/usb.sch b/nubus-to-ztex/usb.sch index 375987b..2147392 100644 --- a/nubus-to-ztex/usb.sch +++ b/nubus-to-ztex/usb.sch @@ -25,6 +25,7 @@ F 4 "105017-0001" H 5000 2800 50 0001 C CNN "MPN" F 5 "Molex" H 5000 2800 50 0001 C CNN "Manufacturer" F 6 "https://lcsc.com/product-detail/USB-Connectors_MOLEX-1050170001_C136000.html" H 5000 2800 50 0001 C CNN "URL" F 7 "https://www.mouser.fr/ProductDetail/Molex/105017-0001?qs=hlXxxvYE36k7QcsR97GUKA%3D%3D" H 5000 2800 50 0001 C CNN "URL-ALT" +F 8 "DNP" H 5000 2800 50 0000 C CNN "DNP" 1 5000 2800 -1 0 0 -1 $EndComp @@ -69,6 +70,7 @@ F 2 "Resistor_SMD:R_0603_1608Metric" V 6130 2450 50 0001 C CNN F 3 "" H 6200 2450 50 0000 C CNN F 4 "0603WAF1002T5E" V 6200 1850 50 0001 C CNN "MPN" F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html" V 6200 2450 50 0001 C CNN "URL" +F 6 "DNP" V 6200 2450 50 0000 C CNN "DNP" 1 6200 2450 -1 0 0 1 $EndComp @@ -90,6 +92,7 @@ F 2 "Resistor_SMD:R_0603_1608Metric" V 6030 2850 50 0001 C CNN F 3 "" H 6100 2850 50 0000 C CNN F 4 "0603WAF1002T5E" V 6100 2250 50 0001 C CNN "MPN" F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html" V 6100 2850 50 0001 C CNN "URL" +F 6 "DNP" V 6100 2850 50 0000 C CNN "DNP" 1 6100 2850 -1 0 0 1 $EndComp @@ -125,6 +128,7 @@ F 8 "?" H 7200 2000 50 0001 C CNN "LCSC" F 9 "?" H 7200 2000 50 0001 C CNN "Koncar" F 10 "TB" H 7200 2000 50 0001 C CNN "Side" F 11 "https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB104_C92490.html" H 3000 6050 50 0001 C CNN "URL" +F 12 "DNP" H 7200 2000 50 0000 C CNN "DNP" 1 7200 2000 1 0 0 -1 $EndComp @@ -155,6 +159,7 @@ F 4 "SN65220DBVT" V 4250 2850 50 0001 C CNN "MPN" F 5 "https://www2.mouser.com/ProductDetail/Texas-Instruments/SN65220DBVR?qs=5nGYs9Do7G0gEpYxbYqyeA%3D%3D" V 4250 2850 50 0001 C CNN "URL-ALT" F 6 "https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html" V 4250 2850 50 0001 C CNN "URL" F 7 "SN65220DBVT or SN65220DBVR (Tape or Reel...)" V 4250 2850 50 0001 C CNN "Notes" +F 8 "DNP" V 4250 2850 50 0000 C CNN "DNP" 1 4250 2850 0 1 -1 0 $EndComp @@ -192,6 +197,7 @@ F 2 "Resistor_SMD:R_0603_1608Metric" V 3880 2500 50 0001 C CNN F 3 "" H 3950 2500 50 0000 C CNN F 4 "0603WAF1502T5E" V 3950 1900 50 0001 C CNN "MPN" F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html" V 3950 2500 50 0001 C CNN "URL" +F 6 "DNP" V 3950 2500 50 0000 C CNN "DNP" 1 3950 2500 -1 0 0 1 $EndComp @@ -211,6 +217,7 @@ F 2 "Resistor_SMD:R_0603_1608Metric" V 3880 3200 50 0001 C CNN F 3 "" H 3950 3200 50 0000 C CNN F 4 "0603WAF1502T5E" V 3950 2600 50 0001 C CNN "MPN" F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html" V 3950 3200 50 0001 C CNN "URL" +F 6 "DNP" V 3950 3200 50 0000 C CNN "DNP" 1 3950 3200 -1 0 0 1 $EndComp @@ -255,6 +262,7 @@ F 3 "" H 3700 2250 50 0000 C CNN F 4 "0603WAF270JT5E" V 3700 2250 50 0001 C CNN "MPN" F 5 "ERJ-3EKF27R0V" V 3700 1650 50 0001 C CNN "MPN-ALT" F 6 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html" V 3700 2250 50 0001 C CNN "URL" +F 7 "DNP" V 3700 2250 50 0000 C CNN "DNP" 1 3700 2250 0 1 1 0 $EndComp @@ -275,6 +283,7 @@ F 3 "" H 3700 3450 50 0000 C CNN F 4 "0603WAF270JT5E" V 3700 3450 50 0001 C CNN "MPN" F 5 "ERJ-3EKF27R0V" V 3700 2850 50 0001 C CNN "MPN-ALT" F 6 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html" V 3700 3450 50 0001 C CNN "URL" +F 7 "DNP" V 3700 3450 50 0000 C CNN "DNP" 1 3700 3450 0 1 1 0 $EndComp @@ -312,6 +321,7 @@ F 5 "https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_ F 6 "https://www.mouser.fr/ProductDetail/Murata-Electronics/GRM31CR60J157ME11L?qs=qSv5qXjCIG3a12X8fU8miQ%3D%3D" H 5150 2000 50 0001 C CNN "URL-ALT (mouser)" F 7 "JMK316ABJ107ML-T" H 5150 2000 50 0001 C CNN "MPN" F 8 "https://www.lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_Taiyo-Yuden-JMK316ABJ107ML-T_C268006.html" H 5150 2000 50 0001 C CNN "URL" +F 9 "DNP" H 5150 2000 50 0000 C CNN "DNP" 1 5150 2000 1 0 0 -1 $EndComp @@ -326,6 +336,7 @@ F 3 "~" H 5150 1750 50 0001 C CNN F 4 "742792022" H 5150 1750 50 0001 C CNN "MPN-ALT" F 5 "PZ2012U221-2R0TF" H 5150 1750 50 0001 C CNN "MPN" F 6 "https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html" H 5150 1750 50 0001 C CNN "URL" +F 7 "DNP" H 5150 1750 50 0000 C CNN "DNP" 1 5150 1750 1 0 0 -1 $EndComp @@ -383,6 +394,7 @@ F 8 "?" H 7850 2000 50 0001 C CNN "LCSC" F 9 "?" H 7850 2000 50 0001 C CNN "Koncar" F 10 "TB" H 7850 2000 50 0001 C CNN "Side" F 11 "https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_YAGEO-CC0603KRX7R8BB104_C92490.html" H 3000 6050 50 0001 C CNN "URL" +F 12 "DNP" H 7850 2000 50 0000 C CNN "DNP" 1 7850 2000 1 0 0 -1 $EndComp @@ -411,6 +423,7 @@ F 4 "TPS2051CDBVR" H 6700 2800 50 0001 C CNN "MPN" F 5 "595-TPS2051CDBVR" H 6700 2800 50 0001 C CNN "Mouser No" F 6 "https://www.mouser.fr/ProductDetail/Texas-Instruments/TPS2051CDBVR?qs=PF3AD18CSE5vi2HeWLJCmw%3D%3D" H 6700 2800 50 0001 C CNN "URL (Mouser)" F 7 "https://lcsc.com/product-detail/Power-Distribution-Switches_Texas-Instruments-TPS2051CDBVR_C129581.html" H 6700 2800 50 0001 C CNN "URL" +F 8 "DNP" H 6700 2800 50 0000 C CNN "DNP" 1 6700 2800 1 0 0 -1 $EndComp