move shielding to hdmi, trace cleanup

This commit is contained in:
Romain Dolbeau 2022-10-01 08:38:10 +02:00
parent 06efb39d3b
commit 3c222a74e9
38 changed files with 21586 additions and 15522 deletions

View File

@ -131,6 +131,15 @@ _nubus_io_v1_2 = [
Subsignal("scl", Pins("L6"), IOStandard("LVCMOS33")),
Subsignal("cec", Pins("L5"), IOStandard("LVCMOS33")),
),
## micro-sd
("sdcard", 0,
Subsignal("data", Pins("U1 T3 T4 U4"), Misc("PULLUP True")),
Subsignal("cmd", Pins("U3"), Misc("PULLUP True")),
Subsignal("clk", Pins("V1")),
#Subsignal("cd", Pins("")),
Misc("SLEW=FAST"),
IOStandard("LVCMOS33"),
),
]
# NuBus
@ -183,9 +192,9 @@ _nubus_nubus_v1_2 = [
("arb_3v3_n", 0, Pins("T8 V4 V5 U6"), IOStandard("lvttl")), # Open Collector
("arb_o_n", 0, Pins("J14 G16 G14 H17"), IOStandard("lvttl")),
("id_3v3_n", 0, Pins("U7 V6 V7 U8"), IOStandard("lvttl")),
("tm0_3v3_n", 0, Pins("V2"), IOStandard("lvttl")),
("tm0_3v3_n", 0, Pins("U2"), IOStandard("lvttl")),
("tm0_o_n", 0, Pins("T6"), IOStandard("lvttl")),
("tm1_3v3_n", 0, Pins("U2"), IOStandard("lvttl")),
("tm1_3v3_n", 0, Pins("V2"), IOStandard("lvttl")),
("tm1_o_n", 0, Pins("R7"), IOStandard("lvttl")),
("tmx_oe_n", 0, Pins("R8"), IOStandard("lvttl")),
("tm2_3v3_n", 0, Pins("K6"), IOStandard("lvttl")),

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 9
Sheet 2 8
Title "nubus-to-ztex B2B connector"
Date ""
Rev ""
@ -368,9 +368,9 @@ Text GLabel 4100 2150 2 50 Input ~ 0
~AD30_3V3
Text GLabel 9900 2550 2 50 Input ~ 0
~RESET_3V3
Text GLabel 7400 2450 0 50 Input ~ 0
~TM1_3V3
Text GLabel 7400 2350 0 50 Input ~ 0
~TM1_3V3
Text GLabel 7400 2450 0 50 Input ~ 0
~TM0_3V3
Text GLabel 1600 3950 0 50 Input ~ 0
~AD1_3V3
@ -656,17 +656,6 @@ F 3 "" H 3400 6200 50 0001 C CNN
1 3400 6200
1 0 0 -1
$EndComp
$Comp
L power:+3.3V #PWR08
U 1 1 61F0853E
P 3400 5900
F 0 "#PWR08" H 3400 5750 50 0001 C CNN
F 1 "+3.3V" H 3415 6073 50 0000 C CNN
F 2 "" H 3400 5900 50 0001 C CNN
F 3 "" H 3400 5900 50 0001 C CNN
1 3400 5900
1 0 0 -1
$EndComp
Text Notes 1200 5650 0 50 ~ 0
User LEDS
Text GLabel 1600 1350 0 50 Input ~ 0
@ -862,4 +851,15 @@ Text GLabel 9900 3050 2 50 Input ~ 0
PMOD-16
Text GLabel 9900 2950 2 50 Input ~ 0
PMOD-15
$Comp
L power:+3V3 #PWR0110
U 1 1 6343D117
P 3400 5900
F 0 "#PWR0110" H 3400 5750 50 0001 C CNN
F 1 "+3V3" H 3415 6073 50 0000 C CNN
F 2 "" H 3400 5900 50 0001 C CNN
F 3 "" H 3400 5900 50 0001 C CNN
1 3400 5900
1 0 0 -1
$EndComp
$EndSCHEMATC

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 6 9
Sheet 6 8
Title "nubus-to-ztex extra conenctors (fan, ...)"
Date ""
Rev ""

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 4 9
Sheet 4 8
Title "nubus-to-ztex HDMI"
Date ""
Rev ""
@ -361,4 +361,72 @@ Text HLabel 7300 1450 2 50 Input ~ 0
HDMI_5V
Text HLabel 4000 1900 2 50 Input ~ 0
HDMI_5V
Text GLabel 6400 4500 0 50 Input ~ 0
SHIELD
$Comp
L power:GND #PWR?
U 1 1 63467FDF
P 6400 4800
AR Path="/6193AB43/63467FDF" Ref="#PWR?" Part="1"
AR Path="/61B62C00/63467FDF" Ref="#PWR0132" Part="1"
F 0 "#PWR0132" H 6400 4550 50 0001 C CNN
F 1 "GND" H 6405 4627 50 0000 C CNN
F 2 "" H 6400 4800 50 0001 C CNN
F 3 "" H 6400 4800 50 0001 C CNN
1 6400 4800
1 0 0 -1
$EndComp
Wire Wire Line
6650 4800 6400 4800
Wire Wire Line
6650 4500 6400 4500
$Comp
L Device:C C?
U 1 1 63467FEB
P 6650 4650
AR Path="/6193AB43/63467FEB" Ref="C?" Part="1"
AR Path="/61B62C00/63467FEB" Ref="C39" Part="1"
F 0 "C39" H 6765 4696 50 0000 L CNN
F 1 "1 uF (250+V)" H 6765 4605 50 0000 L CNN
F 2 "Capacitor_THT:CP_Radial_D6.3mm_P2.50mm" H 6688 4500 50 0001 C CNN
F 3 "~" H 6650 4650 50 0001 C CNN
F 4 "860021373002" H 6650 4650 50 0001 C CNN "MPN-ALT"
F 5 "https://www2.mouser.com/ProductDetail/Wurth-Elektronik/860021373002?qs=0KOYDY2FL28tNXbPyU6hsg%3D%3D" H 6650 4650 50 0001 C CNN "URL-ALT"
F 6 "KM010M400E110A" H 6650 4650 50 0001 C CNN "MPN"
F 7 "https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html" H 6650 4650 50 0001 C CNN "URL"
1 6650 4650
1 0 0 -1
$EndComp
Connection ~ 6400 4800
$Comp
L Device:R R?
U 1 1 63467FF7
P 6400 4650
AR Path="/6193AB43/63467FF7" Ref="R?" Part="1"
AR Path="/61B62C00/63467FF7" Ref="R33" Part="1"
F 0 "R33" H 6470 4696 50 0000 L CNN
F 1 "1M" H 6470 4605 50 0000 L CNN
F 2 "Resistor_SMD:R_1210_3225Metric" V 6330 4650 50 0001 C CNN
F 3 "~" H 6400 4650 50 0001 C CNN
F 4 "RC1210FR-071ML" H 6400 4650 50 0001 C CNN "MPN-ALT"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_YAGEO-RC1210FR-071ML_C470029.html" H 6400 4650 50 0001 C CNN "URL-ALT"
F 6 "1210W2F1004T5E" H 6400 4650 50 0001 C CNN "MPN"
F 7 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html" H 6400 4650 50 0001 C CNN "URL"
1 6400 4650
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H?
U 1 1 63467FFE
P 6650 4400
AR Path="/6193AB43/63467FFE" Ref="H?" Part="1"
AR Path="/61B62C00/63467FFE" Ref="H1" Part="1"
F 0 "H1" H 6750 4451 50 0000 L CNN
F 1 "MountingHole_Pad" H 6750 4360 50 0000 L CNN
F 2 "MountingHole:MountingHole_2.2mm_M2_Pad" H 6650 4400 50 0001 C CNN
F 3 "~" H 6650 4400 50 0001 C CNN
1 6650 4400
1 0 0 -1
$EndComp
Connection ~ 6650 4500
$EndSCHEMATC

File diff suppressed because it is too large Load Diff

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-29T18:21:48+02:00*
G04 #@! TF.CreationDate,2022-10-01T08:37:02+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Sep 29 18:21:48 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Oct 1 08:37:02 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-29T18:21:48+02:00*
G04 #@! TF.CreationDate,2022-10-01T08:37:02+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Sep 29 18:21:48 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Oct 1 08:37:02 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-29T18:21:48+02:00*
G04 #@! TF.CreationDate,2022-10-01T08:37:02+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Sep 29 18:21:48 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Oct 1 08:37:02 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-29T18:21:48+02:00*
G04 #@! TF.CreationDate,2022-10-01T08:37:02+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Sep 29 18:21:48 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Oct 1 08:37:02 2022*
%MOMM*%
%LPD*%
G01*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-09-29T18:21:48+02:00*
G04 #@! TF.CreationDate,2022-10-01T08:37:02+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Sep 29 18:21:48 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Oct 1 08:37:02 2022*
%MOMM*%
%LPD*%
G01*
@ -21,13 +21,13 @@ G04 APERTURE LIST*
%ADD17C,0.127000*%
G04 APERTURE END LIST*
D10*
X180135714Y-48052380D02*
X179564285Y-48052380D01*
X179850000Y-48052380D02*
X179850000Y-47052380D01*
X179754761Y-47195238D01*
X179659523Y-47290476D01*
X179564285Y-47338095D01*
X187885714Y-45252380D02*
X187314285Y-45252380D01*
X187600000Y-45252380D02*
X187600000Y-44252380D01*
X187504761Y-44395238D01*
X187409523Y-44490476D01*
X187314285Y-44538095D01*
X139435714Y-53952380D02*
X138864285Y-53952380D01*
X139150000Y-53952380D02*
@ -588,36 +588,36 @@ X168095714Y-15058571D01*
X168461785Y-16130000D01*
X169095714Y-15058571D01*
X168908214Y-16558571D01*
X170095714Y-15058571D02*
X170238571Y-15058571D01*
X170372500Y-15130000D01*
X170435000Y-15201428D01*
X170488571Y-15344285D01*
X170524285Y-15630000D01*
X170479642Y-15987142D01*
X170372500Y-16272857D01*
X170283214Y-16415714D01*
X170202857Y-16487142D01*
X170051071Y-16558571D01*
X169908214Y-16558571D01*
X169774285Y-16487142D01*
X169711785Y-16415714D01*
X169658214Y-16272857D01*
X169622500Y-15987142D01*
X169667142Y-15630000D01*
X169774285Y-15344285D01*
X169863571Y-15201428D01*
X169943928Y-15130000D01*
X170095714Y-15058571D01*
X170408214Y-16558571D02*
X169551071Y-16558571D01*
X169979642Y-16558571D02*
X170167142Y-15058571D01*
X169997500Y-15272857D01*
X169836785Y-15415714D01*
X169685000Y-15487142D01*
X172318928Y-14987142D02*
X170792142Y-16915714D01*
X173408214Y-16558571D02*
X172551071Y-16558571D01*
X172979642Y-16558571D02*
X173167142Y-15058571D01*
X172997500Y-15272857D01*
X172836785Y-15415714D01*
X172685000Y-15487142D01*
X173095714Y-15058571D02*
X173238571Y-15058571D01*
X173372500Y-15130000D01*
X173435000Y-15201428D01*
X173488571Y-15344285D01*
X173524285Y-15630000D01*
X173479642Y-15987142D01*
X173372500Y-16272857D01*
X173283214Y-16415714D01*
X173202857Y-16487142D01*
X173051071Y-16558571D01*
X172908214Y-16558571D01*
X172774285Y-16487142D01*
X172711785Y-16415714D01*
X172658214Y-16272857D01*
X172622500Y-15987142D01*
X172667142Y-15630000D01*
X172774285Y-15344285D01*
X172863571Y-15201428D01*
X172943928Y-15130000D01*
X173095714Y-15058571D01*
X147688571Y-19020000D02*
X146688571Y-19020000D01*
X146831428Y-19020000D02*
@ -1876,16 +1876,16 @@ X136200000Y-78390000D02*
X130225000Y-78390000D01*
D16*
G04 #@! TO.C,C12*
X186262779Y-47010000D02*
X185937221Y-47010000D01*
X186262779Y-45990000D02*
X185937221Y-45990000D01*
X188701371Y-51625732D02*
X188701371Y-51300174D01*
X189721371Y-51625732D02*
X189721371Y-51300174D01*
D13*
G04 #@! TO.C,U15*
X181875000Y-54650000D02*
X186325000Y-54650000D01*
X180350000Y-47750000D02*
X186325000Y-47750000D01*
X181061371Y-47237953D02*
X181061371Y-51687953D01*
X187961371Y-45712953D02*
X187961371Y-51687953D01*
D16*
G04 #@! TO.C,J8*
X276940000Y-39064999D02*
@ -2047,19 +2047,19 @@ X124295000Y-68190000D01*
X124295000Y-68190000D02*
X121610000Y-68190000D01*
G04 #@! TO.C,D9*
X176681484Y-21782130D02*
X176681484Y-19097130D01*
X174761484Y-21782130D02*
X176681484Y-21782130D01*
X174761484Y-19097130D02*
X174761484Y-21782130D01*
X177184189Y-15326035D02*
X177184189Y-18011035D01*
X179104189Y-15326035D02*
X177184189Y-15326035D01*
X179104189Y-18011035D02*
X179104189Y-15326035D01*
G04 #@! TO.C,D10*
X177301484Y-19097130D02*
X177301484Y-21782130D01*
X177301484Y-21782130D02*
X179221484Y-21782130D01*
X179221484Y-21782130D02*
X179221484Y-19097130D01*
X176564189Y-18011035D02*
X176564189Y-15326035D01*
X176564189Y-15326035D02*
X174644189Y-15326035D01*
X174644189Y-15326035D02*
X174644189Y-18011035D01*
G04 #@! TO.C,R11*
X135390000Y-15897514D02*
X135390000Y-16223072D01*
@ -2076,15 +2076,15 @@ X118772779Y-68640000D01*
X118447221Y-69660000D02*
X118772779Y-69660000D01*
G04 #@! TO.C,R14*
X175211484Y-16054351D02*
X175211484Y-16379909D01*
X176231484Y-16054351D02*
X176231484Y-16379909D01*
X178654189Y-21053814D02*
X178654189Y-20728256D01*
X177634189Y-21053814D02*
X177634189Y-20728256D01*
G04 #@! TO.C,R15*
X178771484Y-16054351D02*
X178771484Y-16379909D01*
X177751484Y-16054351D02*
X177751484Y-16379909D01*
X175094189Y-21053814D02*
X175094189Y-20728256D01*
X176114189Y-21053814D02*
X176114189Y-20728256D01*
D13*
G04 #@! TO.C,U14*
X145650000Y-51025000D02*
@ -2155,15 +2155,15 @@ X121562779Y-82190000D01*
X121237221Y-83210000D02*
X121562779Y-83210000D01*
G04 #@! TO.C,R40*
X182610000Y-85262779D02*
X182610000Y-84937221D01*
X181590000Y-85262779D02*
X181590000Y-84937221D01*
X181249882Y-85765497D02*
X181575440Y-85765497D01*
X181249882Y-84745497D02*
X181575440Y-84745497D01*
G04 #@! TO.C,R41*
X186262779Y-71810000D02*
X185937221Y-71810000D01*
X186262779Y-70790000D02*
X185937221Y-70790000D01*
X185962779Y-73010000D02*
X185637221Y-73010000D01*
X185962779Y-71990000D02*
X185637221Y-71990000D01*
G04 #@! TO.C,R42*
X143162779Y-84390000D02*
X142837221Y-84390000D01*
@ -3192,74 +3192,74 @@ X135409523Y-79752380D01*
X135314285Y-79704761D01*
X135266666Y-79657142D01*
G04 #@! TO.C,C12*
X186557142Y-45357142D02*
X186509523Y-45404761D01*
X186366666Y-45452380D01*
X186271428Y-45452380D01*
X186128571Y-45404761D01*
X186033333Y-45309523D01*
X185985714Y-45214285D01*
X185938095Y-45023809D01*
X185938095Y-44880952D01*
X185985714Y-44690476D01*
X186033333Y-44595238D01*
X186128571Y-44500000D01*
X186271428Y-44452380D01*
X186366666Y-44452380D01*
X186509523Y-44500000D01*
X186557142Y-44547619D01*
X187509523Y-45452380D02*
X186938095Y-45452380D01*
X187223809Y-45452380D02*
X187223809Y-44452380D01*
X187128571Y-44595238D01*
X187033333Y-44690476D01*
X186938095Y-44738095D01*
X187890476Y-44547619D02*
X187938095Y-44500000D01*
X188033333Y-44452380D01*
X188271428Y-44452380D01*
X188366666Y-44500000D01*
X188414285Y-44547619D01*
X188461904Y-44642857D01*
X188461904Y-44738095D01*
X188414285Y-44880952D01*
X187842857Y-45452380D01*
X188461904Y-45452380D01*
X191068513Y-53205810D02*
X191116132Y-53253429D01*
X191163751Y-53396286D01*
X191163751Y-53491524D01*
X191116132Y-53634381D01*
X191020894Y-53729619D01*
X190925656Y-53777238D01*
X190735180Y-53824857D01*
X190592323Y-53824857D01*
X190401847Y-53777238D01*
X190306609Y-53729619D01*
X190211371Y-53634381D01*
X190163751Y-53491524D01*
X190163751Y-53396286D01*
X190211371Y-53253429D01*
X190258990Y-53205810D01*
X191163751Y-52253429D02*
X191163751Y-52824857D01*
X191163751Y-52539143D02*
X190163751Y-52539143D01*
X190306609Y-52634381D01*
X190401847Y-52729619D01*
X190449466Y-52824857D01*
X190258990Y-51872476D02*
X190211371Y-51824857D01*
X190163751Y-51729619D01*
X190163751Y-51491524D01*
X190211371Y-51396286D01*
X190258990Y-51348667D01*
X190354228Y-51301048D01*
X190449466Y-51301048D01*
X190592323Y-51348667D01*
X191163751Y-51920095D01*
X191163751Y-51301048D01*
G04 #@! TO.C,U15*
X181661904Y-46252380D02*
X181661904Y-47061904D01*
X181709523Y-47157142D01*
X181757142Y-47204761D01*
X181852380Y-47252380D01*
X182042857Y-47252380D01*
X182138095Y-47204761D01*
X182185714Y-47157142D01*
X182233333Y-47061904D01*
X182233333Y-46252380D01*
X183233333Y-47252380D02*
X182661904Y-47252380D01*
X182947619Y-47252380D02*
X182947619Y-46252380D01*
X182852380Y-46395238D01*
X182757142Y-46490476D01*
X182661904Y-46538095D01*
X184138095Y-46252380D02*
X183661904Y-46252380D01*
X183614285Y-46728571D01*
X183661904Y-46680952D01*
X183757142Y-46633333D01*
X183995238Y-46633333D01*
X184090476Y-46680952D01*
X184138095Y-46728571D01*
X184185714Y-46823809D01*
X184185714Y-47061904D01*
X184138095Y-47157142D01*
X184090476Y-47204761D01*
X183995238Y-47252380D01*
X183757142Y-47252380D01*
X183661904Y-47204761D01*
X183614285Y-47157142D01*
X188363751Y-49501048D02*
X189173275Y-49501048D01*
X189268513Y-49453429D01*
X189316132Y-49405810D01*
X189363751Y-49310572D01*
X189363751Y-49120095D01*
X189316132Y-49024857D01*
X189268513Y-48977238D01*
X189173275Y-48929619D01*
X188363751Y-48929619D01*
X189363751Y-47929619D02*
X189363751Y-48501048D01*
X189363751Y-48215333D02*
X188363751Y-48215333D01*
X188506609Y-48310572D01*
X188601847Y-48405810D01*
X188649466Y-48501048D01*
X188363751Y-47024857D02*
X188363751Y-47501048D01*
X188839942Y-47548667D01*
X188792323Y-47501048D01*
X188744704Y-47405810D01*
X188744704Y-47167714D01*
X188792323Y-47072476D01*
X188839942Y-47024857D01*
X188935180Y-46977238D01*
X189173275Y-46977238D01*
X189268513Y-47024857D01*
X189316132Y-47072476D01*
X189363751Y-47167714D01*
X189363751Y-47405810D01*
X189316132Y-47501048D01*
X189268513Y-47548667D01*
G04 #@! TO.C,H1*
X256588095Y-44342380D02*
X256588095Y-43342380D01*
@ -3453,83 +3453,83 @@ X125116190Y-68431904D01*
X125068571Y-68479523D01*
X125020952Y-68574761D01*
G04 #@! TO.C,D9*
X174913388Y-23039510D02*
X174913388Y-22039510D01*
X175151484Y-22039510D01*
X175294341Y-22087130D01*
X175389579Y-22182368D01*
X175437198Y-22277606D01*
X175484817Y-22468082D01*
X175484817Y-22610939D01*
X175437198Y-22801415D01*
X175389579Y-22896653D01*
X175294341Y-22991891D01*
X175151484Y-23039510D01*
X174913388Y-23039510D01*
X175961007Y-23039510D02*
X176151484Y-23039510D01*
X176246722Y-22991891D01*
X176294341Y-22944272D01*
X176389579Y-22801415D01*
X176437198Y-22610939D01*
X176437198Y-22229987D01*
X176389579Y-22134749D01*
X176341960Y-22087130D01*
X176246722Y-22039510D01*
X176056245Y-22039510D01*
X175961007Y-22087130D01*
X175913388Y-22134749D01*
X175865769Y-22229987D01*
X175865769Y-22468082D01*
X175913388Y-22563320D01*
X175961007Y-22610939D01*
X176056245Y-22658558D01*
X176246722Y-22658558D01*
X176341960Y-22610939D01*
X176389579Y-22563320D01*
X176437198Y-22468082D01*
X177476093Y-14973415D02*
X177476093Y-13973415D01*
X177714189Y-13973415D01*
X177857046Y-14021035D01*
X177952284Y-14116273D01*
X177999903Y-14211511D01*
X178047522Y-14401987D01*
X178047522Y-14544844D01*
X177999903Y-14735320D01*
X177952284Y-14830558D01*
X177857046Y-14925796D01*
X177714189Y-14973415D01*
X177476093Y-14973415D01*
X178523712Y-14973415D02*
X178714189Y-14973415D01*
X178809427Y-14925796D01*
X178857046Y-14878177D01*
X178952284Y-14735320D01*
X178999903Y-14544844D01*
X178999903Y-14163892D01*
X178952284Y-14068654D01*
X178904665Y-14021035D01*
X178809427Y-13973415D01*
X178618950Y-13973415D01*
X178523712Y-14021035D01*
X178476093Y-14068654D01*
X178428474Y-14163892D01*
X178428474Y-14401987D01*
X178476093Y-14497225D01*
X178523712Y-14544844D01*
X178618950Y-14592463D01*
X178809427Y-14592463D01*
X178904665Y-14544844D01*
X178952284Y-14497225D01*
X178999903Y-14401987D01*
G04 #@! TO.C,D10*
X177017198Y-23039510D02*
X177017198Y-22039510D01*
X177255293Y-22039510D01*
X177398150Y-22087130D01*
X177493388Y-22182368D01*
X177541007Y-22277606D01*
X177588626Y-22468082D01*
X177588626Y-22610939D01*
X177541007Y-22801415D01*
X177493388Y-22896653D01*
X177398150Y-22991891D01*
X177255293Y-23039510D01*
X177017198Y-23039510D01*
X178541007Y-23039510D02*
X177969579Y-23039510D01*
X178255293Y-23039510D02*
X178255293Y-22039510D01*
X178160055Y-22182368D01*
X178064817Y-22277606D01*
X177969579Y-22325225D01*
X179160055Y-22039510D02*
X179255293Y-22039510D01*
X179350531Y-22087130D01*
X179398150Y-22134749D01*
X179445769Y-22229987D01*
X179493388Y-22420463D01*
X179493388Y-22658558D01*
X179445769Y-22849034D01*
X179398150Y-22944272D01*
X179350531Y-22991891D01*
X179255293Y-23039510D01*
X179160055Y-23039510D01*
X179064817Y-22991891D01*
X179017198Y-22944272D01*
X178969579Y-22849034D01*
X178921960Y-22658558D01*
X178921960Y-22420463D01*
X178969579Y-22229987D01*
X179017198Y-22134749D01*
X179064817Y-22087130D01*
X179160055Y-22039510D01*
X174419903Y-14973415D02*
X174419903Y-13973415D01*
X174657998Y-13973415D01*
X174800855Y-14021035D01*
X174896093Y-14116273D01*
X174943712Y-14211511D01*
X174991331Y-14401987D01*
X174991331Y-14544844D01*
X174943712Y-14735320D01*
X174896093Y-14830558D01*
X174800855Y-14925796D01*
X174657998Y-14973415D01*
X174419903Y-14973415D01*
X175943712Y-14973415D02*
X175372284Y-14973415D01*
X175657998Y-14973415D02*
X175657998Y-13973415D01*
X175562760Y-14116273D01*
X175467522Y-14211511D01*
X175372284Y-14259130D01*
X176562760Y-13973415D02*
X176657998Y-13973415D01*
X176753236Y-14021035D01*
X176800855Y-14068654D01*
X176848474Y-14163892D01*
X176896093Y-14354368D01*
X176896093Y-14592463D01*
X176848474Y-14782939D01*
X176800855Y-14878177D01*
X176753236Y-14925796D01*
X176657998Y-14973415D01*
X176562760Y-14973415D01*
X176467522Y-14925796D01*
X176419903Y-14878177D01*
X176372284Y-14782939D01*
X176324665Y-14592463D01*
X176324665Y-14354368D01*
X176372284Y-14163892D01*
X176419903Y-14068654D01*
X176467522Y-14021035D01*
X176562760Y-13973415D01*
G04 #@! TO.C,R11*
X134107142Y-14332380D02*
X133773809Y-13856190D01*
@ -3626,68 +3626,68 @@ X119395714Y-68172380D01*
X119300476Y-68124761D01*
X119252857Y-68077142D01*
G04 #@! TO.C,R14*
X175017142Y-14362380D02*
X174683809Y-13886190D01*
X174445714Y-14362380D02*
X174445714Y-13362380D01*
X174826666Y-13362380D01*
X174921904Y-13410000D01*
X174969523Y-13457619D01*
X175017142Y-13552857D01*
X175017142Y-13695714D01*
X174969523Y-13790952D01*
X174921904Y-13838571D01*
X174826666Y-13886190D01*
X174445714Y-13886190D01*
X175969523Y-14362380D02*
X175398095Y-14362380D01*
X175683809Y-14362380D02*
X175683809Y-13362380D01*
X175588571Y-13505238D01*
X175493333Y-13600476D01*
X175398095Y-13648095D01*
X176826666Y-13695714D02*
X176826666Y-14362380D01*
X176588571Y-13314761D02*
X176350476Y-14029047D01*
X176969523Y-14029047D01*
X179707142Y-22342380D02*
X179373809Y-21866190D01*
X179135714Y-22342380D02*
X179135714Y-21342380D01*
X179516666Y-21342380D01*
X179611904Y-21390000D01*
X179659523Y-21437619D01*
X179707142Y-21532857D01*
X179707142Y-21675714D01*
X179659523Y-21770952D01*
X179611904Y-21818571D01*
X179516666Y-21866190D01*
X179135714Y-21866190D01*
X180659523Y-22342380D02*
X180088095Y-22342380D01*
X180373809Y-22342380D02*
X180373809Y-21342380D01*
X180278571Y-21485238D01*
X180183333Y-21580476D01*
X180088095Y-21628095D01*
X181516666Y-21675714D02*
X181516666Y-22342380D01*
X181278571Y-21294761D02*
X181040476Y-22009047D01*
X181659523Y-22009047D01*
G04 #@! TO.C,R15*
X177847142Y-14362380D02*
X177513809Y-13886190D01*
X177275714Y-14362380D02*
X177275714Y-13362380D01*
X177656666Y-13362380D01*
X177751904Y-13410000D01*
X177799523Y-13457619D01*
X177847142Y-13552857D01*
X177847142Y-13695714D01*
X177799523Y-13790952D01*
X177751904Y-13838571D01*
X177656666Y-13886190D01*
X177275714Y-13886190D01*
X178799523Y-14362380D02*
X178228095Y-14362380D01*
X178513809Y-14362380D02*
X178513809Y-13362380D01*
X178418571Y-13505238D01*
X178323333Y-13600476D01*
X178228095Y-13648095D01*
X179704285Y-13362380D02*
X179228095Y-13362380D01*
X179180476Y-13838571D01*
X179228095Y-13790952D01*
X179323333Y-13743333D01*
X179561428Y-13743333D01*
X179656666Y-13790952D01*
X179704285Y-13838571D01*
X179751904Y-13933809D01*
X179751904Y-14171904D01*
X179704285Y-14267142D01*
X179656666Y-14314761D01*
X179561428Y-14362380D01*
X179323333Y-14362380D01*
X179228095Y-14314761D01*
X179180476Y-14267142D01*
X172777142Y-22322380D02*
X172443809Y-21846190D01*
X172205714Y-22322380D02*
X172205714Y-21322380D01*
X172586666Y-21322380D01*
X172681904Y-21370000D01*
X172729523Y-21417619D01*
X172777142Y-21512857D01*
X172777142Y-21655714D01*
X172729523Y-21750952D01*
X172681904Y-21798571D01*
X172586666Y-21846190D01*
X172205714Y-21846190D01*
X173729523Y-22322380D02*
X173158095Y-22322380D01*
X173443809Y-22322380D02*
X173443809Y-21322380D01*
X173348571Y-21465238D01*
X173253333Y-21560476D01*
X173158095Y-21608095D01*
X174634285Y-21322380D02*
X174158095Y-21322380D01*
X174110476Y-21798571D01*
X174158095Y-21750952D01*
X174253333Y-21703333D01*
X174491428Y-21703333D01*
X174586666Y-21750952D01*
X174634285Y-21798571D01*
X174681904Y-21893809D01*
X174681904Y-22131904D01*
X174634285Y-22227142D01*
X174586666Y-22274761D01*
X174491428Y-22322380D01*
X174253333Y-22322380D01*
X174158095Y-22274761D01*
X174110476Y-22227142D01*
G04 #@! TO.C,U14*
X137452380Y-52638095D02*
X138261904Y-52638095D01*
@ -4057,71 +4057,71 @@ X122566666Y-81293809D01*
X122614285Y-81246190D01*
X122661904Y-81150952D01*
G04 #@! TO.C,R40*
X181152380Y-86542857D02*
X180676190Y-86876190D01*
X181152380Y-87114285D02*
X180152380Y-87114285D01*
X180152380Y-86733333D01*
X180200000Y-86638095D01*
X180247619Y-86590476D01*
X180342857Y-86542857D01*
X180485714Y-86542857D01*
X180580952Y-86590476D01*
X180628571Y-86638095D01*
X180676190Y-86733333D01*
X180676190Y-87114285D01*
X180485714Y-85685714D02*
X181152380Y-85685714D01*
X180104761Y-85923809D02*
X180819047Y-86161904D01*
X180819047Y-85542857D01*
X180152380Y-84971428D02*
X180152380Y-84876190D01*
X180200000Y-84780952D01*
X180247619Y-84733333D01*
X180342857Y-84685714D01*
X180533333Y-84638095D01*
X180771428Y-84638095D01*
X180961904Y-84685714D01*
X181057142Y-84733333D01*
X181104761Y-84780952D01*
X181152380Y-84876190D01*
X181152380Y-84971428D01*
X181104761Y-85066666D01*
X181057142Y-85114285D01*
X180961904Y-85161904D01*
X180771428Y-85209523D01*
X180533333Y-85209523D01*
X180342857Y-85161904D01*
X180247619Y-85114285D01*
X180200000Y-85066666D01*
X180152380Y-84971428D01*
X179969803Y-84307877D02*
X179636470Y-83831687D01*
X179398375Y-84307877D02*
X179398375Y-83307877D01*
X179779327Y-83307877D01*
X179874565Y-83355497D01*
X179922184Y-83403116D01*
X179969803Y-83498354D01*
X179969803Y-83641211D01*
X179922184Y-83736449D01*
X179874565Y-83784068D01*
X179779327Y-83831687D01*
X179398375Y-83831687D01*
X180826946Y-83641211D02*
X180826946Y-84307877D01*
X180588851Y-83260258D02*
X180350756Y-83974544D01*
X180969803Y-83974544D01*
X181541232Y-83307877D02*
X181636470Y-83307877D01*
X181731708Y-83355497D01*
X181779327Y-83403116D01*
X181826946Y-83498354D01*
X181874565Y-83688830D01*
X181874565Y-83926925D01*
X181826946Y-84117401D01*
X181779327Y-84212639D01*
X181731708Y-84260258D01*
X181636470Y-84307877D01*
X181541232Y-84307877D01*
X181445994Y-84260258D01*
X181398375Y-84212639D01*
X181350756Y-84117401D01*
X181303137Y-83926925D01*
X181303137Y-83688830D01*
X181350756Y-83498354D01*
X181398375Y-83403116D01*
X181445994Y-83355497D01*
X181541232Y-83307877D01*
G04 #@! TO.C,R41*
X185357142Y-70252380D02*
X185023809Y-69776190D01*
X184785714Y-70252380D02*
X184785714Y-69252380D01*
X185166666Y-69252380D01*
X185261904Y-69300000D01*
X185309523Y-69347619D01*
X185357142Y-69442857D01*
X185357142Y-69585714D01*
X185309523Y-69680952D01*
X185261904Y-69728571D01*
X185166666Y-69776190D01*
X184785714Y-69776190D01*
X186214285Y-69585714D02*
X186214285Y-70252380D01*
X185976190Y-69204761D02*
X185738095Y-69919047D01*
X186357142Y-69919047D01*
X187261904Y-70252380D02*
X186690476Y-70252380D01*
X186976190Y-70252380D02*
X186976190Y-69252380D01*
X186880952Y-69395238D01*
X186785714Y-69490476D01*
X186690476Y-69538095D01*
X186107142Y-71492380D02*
X185773809Y-71016190D01*
X185535714Y-71492380D02*
X185535714Y-70492380D01*
X185916666Y-70492380D01*
X186011904Y-70540000D01*
X186059523Y-70587619D01*
X186107142Y-70682857D01*
X186107142Y-70825714D01*
X186059523Y-70920952D01*
X186011904Y-70968571D01*
X185916666Y-71016190D01*
X185535714Y-71016190D01*
X186964285Y-70825714D02*
X186964285Y-71492380D01*
X186726190Y-70444761D02*
X186488095Y-71159047D01*
X187107142Y-71159047D01*
X188011904Y-71492380D02*
X187440476Y-71492380D01*
X187726190Y-71492380D02*
X187726190Y-70492380D01*
X187630952Y-70635238D01*
X187535714Y-70730476D01*
X187440476Y-70778095D01*
G04 #@! TO.C,R42*
X145247142Y-85372380D02*
X144913809Y-84896190D01*
@ -5037,51 +5037,51 @@ X173276190Y-68785714D01*
X173228571Y-68833333D01*
X173180952Y-68928571D01*
G04 #@! TO.C,C19*
X188857142Y-70642857D02*
X188904761Y-70690476D01*
X188952380Y-70833333D01*
X188952380Y-70928571D01*
X188904761Y-71071428D01*
X188809523Y-71166666D01*
X188714285Y-71214285D01*
X188523809Y-71261904D01*
X188380952Y-71261904D01*
X188190476Y-71214285D01*
X188095238Y-71166666D01*
X188000000Y-71071428D01*
X187952380Y-70928571D01*
X187952380Y-70833333D01*
X188000000Y-70690476D01*
X188047619Y-70642857D01*
X188952380Y-69690476D02*
X188952380Y-70261904D01*
X188952380Y-69976190D02*
X187952380Y-69976190D01*
X188095238Y-70071428D01*
X188190476Y-70166666D01*
X188238095Y-70261904D01*
X188952380Y-69214285D02*
X188952380Y-69023809D01*
X188904761Y-68928571D01*
X188857142Y-68880952D01*
X188714285Y-68785714D01*
X188523809Y-68738095D01*
X188142857Y-68738095D01*
X188047619Y-68785714D01*
X188000000Y-68833333D01*
X187952380Y-68928571D01*
X187952380Y-69119047D01*
X188000000Y-69214285D01*
X188047619Y-69261904D01*
X188142857Y-69309523D01*
X188380952Y-69309523D01*
X188476190Y-69261904D01*
X188523809Y-69214285D01*
X188571428Y-69119047D01*
X188571428Y-68928571D01*
X188523809Y-68833333D01*
X188476190Y-68785714D01*
X188380952Y-68738095D01*
X189897142Y-69892857D02*
X189944761Y-69940476D01*
X189992380Y-70083333D01*
X189992380Y-70178571D01*
X189944761Y-70321428D01*
X189849523Y-70416666D01*
X189754285Y-70464285D01*
X189563809Y-70511904D01*
X189420952Y-70511904D01*
X189230476Y-70464285D01*
X189135238Y-70416666D01*
X189040000Y-70321428D01*
X188992380Y-70178571D01*
X188992380Y-70083333D01*
X189040000Y-69940476D01*
X189087619Y-69892857D01*
X189992380Y-68940476D02*
X189992380Y-69511904D01*
X189992380Y-69226190D02*
X188992380Y-69226190D01*
X189135238Y-69321428D01*
X189230476Y-69416666D01*
X189278095Y-69511904D01*
X189992380Y-68464285D02*
X189992380Y-68273809D01*
X189944761Y-68178571D01*
X189897142Y-68130952D01*
X189754285Y-68035714D01*
X189563809Y-67988095D01*
X189182857Y-67988095D01*
X189087619Y-68035714D01*
X189040000Y-68083333D01*
X188992380Y-68178571D01*
X188992380Y-68369047D01*
X189040000Y-68464285D01*
X189087619Y-68511904D01*
X189182857Y-68559523D01*
X189420952Y-68559523D01*
X189516190Y-68511904D01*
X189563809Y-68464285D01*
X189611428Y-68369047D01*
X189611428Y-68178571D01*
X189563809Y-68083333D01*
X189516190Y-68035714D01*
X189420952Y-67988095D01*
G04 #@! TO.C,C14*
X146457142Y-73387142D02*
X146409523Y-73434761D01*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,6 +1,6 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Thu Sep 29 18:21:50 2022
%%CreationDate: Sat Oct 1 08:36:44 2022
%%Title: /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps
%%Pages: 1
%%PageOrder: Ascend

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Thu Sep 29 18:21:50 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sat Oct 1 08:36:43 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ

File diff suppressed because it is too large Load Diff

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Thu Sep 29 18:21:50 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sat Oct 1 08:36:43 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
@ -61,6 +61,8 @@ X5.4213Y-1.7634
X5.4317Y-2.9803
X5.4824Y-1.874
X5.4843Y-3.4429
X5.5146Y-2.578
X5.5146Y-2.8209
X5.5266Y-3.247
X5.5271Y-3.2953
X5.6024Y-2.5906
@ -69,12 +71,12 @@ X5.7136Y-1.9764
X5.7205Y-3.5453
X5.7239Y-2.5984
X5.7244Y-3.4685
X5.7756Y-2.2165
X5.8228Y-0.3181
X5.8346Y-3.4173
X5.8563Y-2.9011
X5.888Y-0.7126
X5.9016Y-2.7953
X6.0189Y-1.6606
X6.1164Y-3.1398
X6.1626Y-0.3622
X6.1626Y-0.7559
@ -88,42 +90,53 @@ X6.4961Y-0.3181
X6.6282Y-3.078
X6.6282Y-3.1398
X6.6398Y-3.6053
X6.6465Y-1.8016
X6.8228Y-2.9016
X6.8701Y-2.7953
X6.9677Y-0.6075
X6.948Y-1.324
X6.964Y-0.8535
X7.0635Y-3.3565
X7.0787Y-3.6409
X7.081Y-3.1398
X7.1339Y-3.2067
X7.1358Y-2.9011
X7.1606Y-2.2638
X7.1693Y-3.4291
X7.185Y-3.085
X7.1969Y-2.1309
X7.1491Y-1.8962
X7.1491Y-2.1245
X7.1732Y-3.3051
X7.2126Y-3.2638
X7.2283Y-2.7953
X7.2629Y-3.1457
X7.2717Y-2.9055
X7.2958Y-1.7756
X7.2992Y-1.9262
X7.2992Y-1.9774
X7.2992Y-2.0285
X7.315Y-2.8809
X7.2283Y-3.1024
X7.2327Y-2.7894
X7.2382Y-2.8922
X7.25Y-3.3169
X7.252Y-2.1575
X7.2638Y-3.1339
X7.2878Y-2.7791
X7.2992Y-2.1575
X7.315Y-3.1457
X7.315Y-3.3022
X7.3465Y-2.1575
X7.3538Y-1.9985
X7.3622Y-3.2717
X7.378Y-2.9252
X7.4094Y-1.8307
X7.3726Y-2.9106
X7.3937Y-2.1575
X7.4114Y-2.9011
X7.4252Y-2.1309
X7.4242Y-4.0492
X7.4493Y-2.1088
X7.4606Y-2.7953
X7.5047Y-1.9518
X7.5044Y-1.9951
X7.6716Y-3.1398
X7.6724Y-1.4079
X7.7244Y-3.6409
X8.0122Y-3.787
X8.0181Y-0.7547
X8.1693Y-2.7953
X8.2339Y-1.9531
X8.6945Y-3.8571
X8.9094Y-1.2559
X8.9799Y-2.372
X9.3091Y-3.2571
X9.4567Y-1.0827
X9.6134Y-3.9272
X9.6673Y-2.2825
X9.6732Y-0.7547
X9.6875Y-2.3268
X9.6941Y-2.4359
X9.7669Y-2.5098
@ -269,70 +282,6 @@ X4.7992Y-1.1189
X4.7992Y-1.2189
X4.7992Y-1.3189
T8
X5.1181Y-2.3311
X5.1181Y-2.4311
X5.2181Y-2.3311
X5.2181Y-2.4311
X5.3181Y-2.3311
X5.3181Y-2.4311
X5.4181Y-2.3311
X5.4181Y-2.4311
X5.5181Y-2.3311
X5.5181Y-2.4311
X5.6181Y-2.3311
X5.6181Y-2.4311
X5.7181Y-2.3311
X5.7181Y-2.4311
X5.8181Y-2.3311
X5.8181Y-2.4311
X5.9181Y-2.3311
X5.9181Y-2.4311
X6.0181Y-2.3311
X6.0181Y-2.4311
X6.1181Y-2.3311
X6.1181Y-2.4311
X6.2181Y-2.3311
X6.2181Y-2.4311
X6.3181Y-2.3311
X6.3181Y-2.4311
X6.4181Y-2.3311
X6.4181Y-2.4311
X6.5181Y-2.3311
X6.5181Y-2.4311
X6.6181Y-2.3311
X6.6181Y-2.4311
X6.7181Y-2.3311
X6.7181Y-2.4311
X6.8181Y-2.3311
X6.8181Y-2.4311
X6.9181Y-2.3311
X6.9181Y-2.4311
X7.0181Y-2.3311
X7.0181Y-2.4311
X7.1181Y-2.3311
X7.1181Y-2.4311
X7.2181Y-2.3311
X7.2181Y-2.4311
X7.3181Y-2.3311
X7.3181Y-2.4311
X7.4181Y-2.3311
X7.4181Y-2.4311
X7.5181Y-2.3311
X7.5181Y-2.4311
X7.6181Y-2.3311
X7.6181Y-2.4311
X7.7181Y-2.3311
X7.7181Y-2.4311
X7.8181Y-2.3311
X7.8181Y-2.4311
X7.9181Y-2.3311
X7.9181Y-2.4311
X8.0181Y-2.3311
X8.0181Y-2.4311
X8.1181Y-2.3311
X8.1181Y-2.4311
X8.2181Y-2.3311
X8.2181Y-2.4311
X5.1181Y-1.0811
X5.1181Y-1.1811
X5.2181Y-1.0811
@ -397,6 +346,70 @@ X8.1181Y-1.0811
X8.1181Y-1.1811
X8.2181Y-1.0811
X8.2181Y-1.1811
X5.1181Y-2.3311
X5.1181Y-2.4311
X5.2181Y-2.3311
X5.2181Y-2.4311
X5.3181Y-2.3311
X5.3181Y-2.4311
X5.4181Y-2.3311
X5.4181Y-2.4311
X5.5181Y-2.3311
X5.5181Y-2.4311
X5.6181Y-2.3311
X5.6181Y-2.4311
X5.7181Y-2.3311
X5.7181Y-2.4311
X5.8181Y-2.3311
X5.8181Y-2.4311
X5.9181Y-2.3311
X5.9181Y-2.4311
X6.0181Y-2.3311
X6.0181Y-2.4311
X6.1181Y-2.3311
X6.1181Y-2.4311
X6.2181Y-2.3311
X6.2181Y-2.4311
X6.3181Y-2.3311
X6.3181Y-2.4311
X6.4181Y-2.3311
X6.4181Y-2.4311
X6.5181Y-2.3311
X6.5181Y-2.4311
X6.6181Y-2.3311
X6.6181Y-2.4311
X6.7181Y-2.3311
X6.7181Y-2.4311
X6.8181Y-2.3311
X6.8181Y-2.4311
X6.9181Y-2.3311
X6.9181Y-2.4311
X7.0181Y-2.3311
X7.0181Y-2.4311
X7.1181Y-2.3311
X7.1181Y-2.4311
X7.2181Y-2.3311
X7.2181Y-2.4311
X7.3181Y-2.3311
X7.3181Y-2.4311
X7.4181Y-2.3311
X7.4181Y-2.4311
X7.5181Y-2.3311
X7.5181Y-2.4311
X7.6181Y-2.3311
X7.6181Y-2.4311
X7.7181Y-2.3311
X7.7181Y-2.4311
X7.8181Y-2.3311
X7.8181Y-2.4311
X7.9181Y-2.3311
X7.9181Y-2.4311
X8.0181Y-2.3311
X8.0181Y-2.4311
X8.1181Y-2.3311
X8.1181Y-2.4311
X8.2181Y-2.3311
X8.2181Y-2.4311
T9
X10.4059Y-0.7904
X10.4059Y-0.8904

View File

@ -1,4 +1,4 @@
### Module positions - created on Thu Sep 29 18:22:02 2022 ###
### Module positions - created on Sat Oct 1 08:36:39 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : bottom

View File

@ -683,21 +683,6 @@ X +12V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+3.3V
#
DEF power_+3.3V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3.3V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P

View File

@ -1,5 +1,5 @@
Drill report for /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex.kicad_pcb
Created on Thu Sep 29 18:21:53 2022
Created on Sat Oct 1 08:36:45 2022
Copper Layer Stackup:
=============================================================
@ -12,7 +12,7 @@ Copper Layer Stackup:
Drill file 'nubus-to-ztex-PTH.drl' contains
plated through holes:
=============================================================
T1 0.40mm 0.016" (124 holes)
T1 0.40mm 0.016" (137 holes)
T2 0.60mm 0.024" (2 holes) (with 2 slots)
T3 0.80mm 0.031" (2 holes)
T4 0.85mm 0.033" (2 holes)
@ -25,7 +25,7 @@ Drill file 'nubus-to-ztex-PTH.drl' contains
T11 1.30mm 0.051" (4 holes)
T12 2.20mm 0.087" (1 hole)
Total plated holes count 398
Total plated holes count 411
Drill file 'nubus-to-ztex-NPTH.drl' contains

View File

@ -1,4 +1,4 @@
### Module positions - created on Thu Sep 29 18:22:02 2022 ###
### Module positions - created on Sat Oct 1 08:36:39 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : top
@ -12,7 +12,7 @@ C6 100nF C_0603_1608Metric
C8 100nF C_0603_1608Metric 130.4400 -79.6400 0.0000 top
C9 100nF C_0603_1608Metric 157.3400 -21.8000 0.0000 top
C10 47uF_10V+ C_0805_2012Metric 157.3400 -24.0000 0.0000 top
C12 100nF C_0603_1608Metric 186.1000 -46.5000 180.0000 top
C12 100nF C_0603_1608Metric 189.2114 -51.4630 90.0000 top
C14 100nF C_0603_1608Metric 149.9000 -72.9000 90.0000 top
C15 100nF C_0603_1608Metric 261.1850 -37.0700 90.0000 top
C17 100nF C_0603_1608Metric 163.0000 -72.9000 90.0000 top
@ -34,8 +34,8 @@ D3 BLUE LED_0805_2012Metric
D6 YELLOW LED_0805_2012Metric 134.8800 -20.0603 90.0000 top
D7 YELLOW LED_0805_2012Metric 137.4100 -20.0603 90.0000 top
D8 YELLOW LED_0805_2012Metric 122.6100 -69.1500 180.0000 top
D9 YELLOW LED_0805_2012Metric 175.7215 -20.0971 90.0000 top
D10 YELLOW LED_0805_2012Metric 178.2615 -20.0971 90.0000 top
D9 YELLOW LED_0805_2012Metric 178.1442 -17.0110 270.0000 top
D10 YELLOW LED_0805_2012Metric 175.6042 -17.0110 270.0000 top
D11 GREEN LED_0805_2012Metric 122.9026 -52.8770 180.0000 top
D12 GREEN LED_0805_2012Metric 122.9026 -49.9104 180.0000 top
D13 GREEN LED_0805_2012Metric 122.9026 -46.9437 180.0000 top
@ -54,8 +54,8 @@ R8 10k R_0603_1608Metric
R11 590 R_0603_1608Metric 134.8800 -16.0603 270.0000 top
R12 590 R_0603_1608Metric 137.4200 -16.0603 270.0000 top
R13 590 R_0603_1608Metric 118.6100 -69.1500 0.0000 top
R14 590 R_0603_1608Metric 175.7215 -16.2171 270.0000 top
R15 590 R_0603_1608Metric 178.2615 -16.2171 270.0000 top
R14 590 R_0603_1608Metric 178.1442 -20.8910 90.0000 top
R15 590 R_0603_1608Metric 175.6042 -20.8910 90.0000 top
R16 590 R_0603_1608Metric 119.0526 -52.8770 0.0000 top
R17 590 R_0603_1608Metric 119.0526 -49.9104 0.0000 top
R18 590 R_0603_1608Metric 119.0526 -46.9437 0.0000 top
@ -71,8 +71,8 @@ R36 10k R_0603_1608Metric
R37 10k R_0603_1608Metric 120.3000 -79.8000 0.0000 top
R38 10k R_0603_1608Metric 125.5000 -83.3000 180.0000 top
R39 10k R_0603_1608Metric 121.4000 -82.7000 0.0000 top
R40 10k R_0603_1608Metric 182.1000 -85.1000 90.0000 top
R41 10k R_0603_1608Metric 186.1000 -71.3000 180.0000 top
R40 10k R_0603_1608Metric 181.4127 -85.2555 0.0000 top
R41 10k R_0603_1608Metric 185.8000 -72.5000 180.0000 top
R42 10k R_0603_1608Metric 143.0000 -84.9000 180.0000 top
R43 10k R_0603_1608Metric 144.6000 -83.2000 0.0000 top
R44 10k R_0603_1608Metric 135.9000 -89.6000 90.0000 top
@ -88,5 +88,5 @@ U10 SN65220 SOT-23-6
U11 TPS2051C SOT-23-5 252.9000 -85.2100 270.0000 top
U13 SN74CB3T3245PWR TSSOP-20_4.4x6.5mm_P0.65mm_ForSeeedStudio 132.4500 -74.9400 180.0000 top
U14 SN74CB3T3245PWR TSSOP-20_4.4x6.5mm_P0.65mm_ForSeeedStudio 142.2000 -48.8000 90.0000 top
U15 SN74CB3T3245PWR TSSOP-20_4.4x6.5mm_P0.65mm_ForSeeedStudio 184.1000 -51.2000 0.0000 top
U15 SN74CB3T3245PWR TSSOP-20_4.4x6.5mm_P0.65mm_ForSeeedStudio 184.5114 -49.4630 270.0000 top
## End

View File

@ -30,7 +30,6 @@ P DIM N
317GND VIA MD0157PA00X+100591Y-023081X0315Y0000R000S3
317GND VIA MD0157PA00X+100409Y-021186X0315Y0000R000S3
317GND VIA MD0157PA00X+045354Y-035209X0315Y0000R000S3
317GND VIA MD0157PA00X+072283Y-027953X0315Y0000R000S3
317GND VIA MD0157PA00X+045787Y-006471X0315Y0000R000S3
317GND VIA MD0157PA00X+096875Y-023268X0315Y0000R000S3
317GND VIA MD0157PA00X+105512Y-024035X0315Y0000R000S3
@ -44,7 +43,6 @@ P DIM N
317GND VIA MD0157PA00X+073622Y-032717X0315Y0000R000S3
317GND VIA MD0157PA00X+066398Y-036053X0315Y0000R000S3
317GND VIA MD0157PA00X+046667Y-038866X0315Y0000R000S3
317GND VIA MD0157PA00X+094567Y-010827X0315Y0000R000S3
317GND VIA MD0157PA00X+066282Y-030780X0315Y0000R000S3
317GND VIA MD0157PA00X+045539Y-015909X0315Y0000R000S3
317GND VIA MD0157PA00X+062492Y-003622X0315Y0000R000S3
@ -53,16 +51,30 @@ P DIM N
317GND VIA MD0157PA00X+058880Y-007126X0315Y0000R000S3
317GND VIA MD0157PA00X+064293Y-007126X0315Y0000R000S3
317GND VIA MD0157PA00X+064961Y-003181X0315Y0000R000S3
317GND VIA MD0157PA00X+072992Y-019262X0315Y0000R000S3
317GND VIA MD0157PA00X+071969Y-021309X0315Y0000R000S3
317GND VIA MD0157PA00X+073538Y-019985X0315Y0000R000S3
317GND VIA MD0157PA00X+071491Y-018962X0315Y0000R000S3
317GND VIA MD0157PA00X+053248Y-027874X0315Y0000R000S3
317GND VIA MD0157PA00X+051614Y-030400X0315Y0000R000S3
317GND VIA MD0157PA00X+072958Y-017756X0315Y0000R000S3
317GND VIA MD0157PA00X+074252Y-021309X0315Y0000R000S3
317GND VIA MD0157PA00X+075044Y-019951X0315Y0000R000S3
317GND VIA MD0157PA00X+071491Y-021245X0315Y0000R000S3
317GND VIA MD0157PA00X+050354Y-028352X0315Y0000R000S3
317GND VIA MD0157PA00X+052283Y-031354X0315Y0000R000S3
317GND VIA MD0157PA00X+060189Y-016606X0315Y0000R000S3
317GND VIA MD0157PA00X+076724Y-014079X0315Y0000R000S3
317GND VIA MD0157PA00X+066465Y-018016X0315Y0000R000S3
317GND VIA MD0157PA00X+080122Y-037870X0315Y0000R000S3
317GND VIA MD0157PA00X+081693Y-027953X0315Y0000R000S3
317GND VIA MD0157PA00X+096732Y-007547X0315Y0000R000S3
317GND VIA MD0157PA00X+080181Y-007547X0315Y0000R000S3
317GND VIA MD0157PA00X+089799Y-023720X0315Y0000R000S3
317GND VIA MD0157PA00X+082339Y-019531X0315Y0000R000S3
317GND VIA MD0157PA00X+070787Y-036409X0315Y0000R000S3
317GND VIA MD0157PA00X+069480Y-013240X0315Y0000R000S3
317GND VIA MD0157PA00X+055146Y-025780X0315Y0000R000S3
317GND VIA MD0157PA00X+055146Y-028209X0315Y0000R000S3
317GND VIA MD0157PA00X+072382Y-028922X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+063681Y-029011X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+069677Y-006075X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+069640Y-008535X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+074114Y-029011X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+053830Y-033031X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+054213Y-017634X0315Y0000R000S3
@ -74,7 +86,6 @@ P DIM N
317+3V3 VIA MD0157PA00X+045595Y-032480X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+058563Y-029011X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+055271Y-032953X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+071693Y-034291X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+046575Y-031417X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+056609Y-033898X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+096673Y-022825X0315Y0000R000S3
@ -87,8 +98,9 @@ P DIM N
317+3V3 VIA MD0157PA00X+071358Y-029011X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+046561Y-020236X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+053598Y-006013X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+074094Y-018307X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+074493Y-021088X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+051044Y-031890X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+070635Y-033565X0315Y0000R000S3
317+5V VIA MD0157PA00X+099941Y-034512X0315Y0000R000S3
317+5V VIA MD0157PA00X+096941Y-024359X0315Y0000R000S3
317+5V VIA MD0157PA00X+051850Y-034646X0315Y0000R000S3
@ -96,20 +108,21 @@ P DIM N
317+5V VIA MD0157PA00X+050432Y-034646X0315Y0000R000S3
317+5V VIA MD0157PA00X+052528Y-034652X0315Y0000R000S3
317+5V VIA MD0157PA00X+086945Y-038571X0315Y0000R000S3
317~RESET_5V VIA MD0157PA00X+075047Y-019518X0315Y0000R000S3
317~TM1_5V VIA MD0157PA00X+071606Y-022638X0315Y0000R000S3
317~TM1_5V VIA MD0157PA00X+071850Y-030850X0315Y0000R000S3
317~TM2_5V VIA MD0157PA00X+073780Y-029252X0315Y0000R000S3
317~TM2_5V VIA MD0157PA00X+072992Y-019774X0315Y0000R000S3
317+5V VIA MD0157PA00X+074242Y-040492X0315Y0000R000S3
317~RESET_5V VIA MD0157PA00X+073937Y-021575X0315Y0000R000S3
317~TM1_5V VIA MD0157PA00X+072520Y-021575X0315Y0000R000S3
317~TM1_5V VIA MD0157PA00X+072283Y-031024X0315Y0000R000S3
317~TM1_5V VIA MD0157PA00X+072500Y-033169X0315Y0000R000S3
317~TM2_5V VIA MD0157PA00X+073465Y-021575X0315Y0000R000S3
317~TM2_5V VIA MD0157PA00X+073726Y-029106X0315Y0000R000S3
317~TM0_5V VIA MD0157PA00X+072992Y-021575X0315Y0000R000S3
317~TM0_5V VIA MD0157PA00X+073150Y-033022X0315Y0000R000S3
317~TM0_5V VIA MD0157PA00X+073150Y-031457X0315Y0000R000S3
317~TM0_5V VIA MD0157PA00X+072992Y-020285X0315Y0000R000S3
317~CLK_3V3 VIA MD0157PA00X+054317Y-029803X0315Y0000R000S3
317~CLK2X_5V VIA MD0157PA00X+051969Y-030144X0315Y0000R000S3
317~START_3V3 VIA MD0157PA00X+054098Y-009606X0315Y0000R000S3
317~ACK_3V3 VIA MD0157PA00X+053102Y-009606X0315Y0000R000S3
317~CLK2X_3V3 VIA MD0157PA00X+052638Y-030400X0315Y0000R000S3
317~CLK2X_3V3 VIA MD0157PA00X+057756Y-022165X0315Y0000R000S3
317ARB1_O_N VIA MD0157PA00X+057239Y-025984X0315Y0000R000S3
317ARB3_O_N VIA MD0157PA00X+055266Y-032470X0315Y0000R000S3
317ARB2_O_N VIA MD0157PA00X+056024Y-025906X0315Y0000R000S3
@ -118,13 +131,13 @@ P DIM N
317START_OE_N VIA MD0157PA00X+049099Y-032185X0315Y0000R000S3
317ACK_O_N VIA MD0157PA00X+049990Y-030278X0315Y0000R000S3
317ACK_OE_N VIA MD0157PA00X+049183Y-031043X0315Y0000R000S3
317TMX_OE_N VIA MD0157PA00X+071339Y-032067X0315Y0000R000S3
317TMX_OE_N VIA MD0157PA00X+071732Y-033051X0315Y0000R000S3
317TM1_N_O VIA MD0157PA00X+072126Y-032638X0315Y0000R000S3
317TM0_N_O VIA MD0157PA00X+072629Y-031457X0315Y0000R000S3
317TM2_O_N VIA MD0157PA00X+073150Y-028809X0315Y0000R000S3
317TM2_OE_N VIA MD0157PA00X+072717Y-029055X0315Y0000R000S3
317/HDMI/HDMI_5V VIA MD0157PA00X+100756Y-024929X0315Y0000R000S3
317TM0_N_O VIA MD0157PA00X+072638Y-031339X0315Y0000R000S3
317TM2_O_N VIA MD0157PA00X+072878Y-027791X0315Y0000R000S3
317TM2_OE_N VIA MD0157PA00X+072327Y-027894X0315Y0000R000S3
317/HDMI/HDMI_5V VIA MD0157PA00X+103055Y-025899X0315Y0000R000S3
317/HDMI/HDMI_5V VIA MD0157PA00X+100756Y-024929X0315Y0000R000S3
327+3V3 C8 -1 A01X+051044Y-031354X0344Y0374R000S2
327GND C8 -2 A01X+051664Y-031354X0344Y0374R000S2
327N/C U13 -1 A01X+053248Y-030656X0610Y0177R180S2
@ -147,28 +160,28 @@ P DIM N
327~CLK2X_5V U13 -18 A01X+051043Y-030144X0610Y0177R180S2
327GND U13 -19 A01X+051043Y-030400X0610Y0177R180S2
327+3V3 U13 -20 A01X+051043Y-030656X0610Y0177R180S2
327+3V3 C12 -1 A01X+073578Y-018307X0344Y0374R180S2
327GND C12 -2 A01X+072958Y-018307X0344Y0374R180S2
327N/C U15 -1 A01X+071378Y-019006X0610Y0177R000S2
327~RESET_3V3 U15 -2 A01X+071378Y-019262X0610Y0177R000S2
327~TM2_3V3 U15 -3 A01X+071378Y-019518X0610Y0177R000S2
327~TM1_3V3 U15 -4 A01X+071378Y-019774X0610Y0177R000S2
327~TM0_3V3 U15 -5 A01X+071378Y-020030X0610Y0177R000S2
327GND U15 -6 A01X+071378Y-020285X0610Y0177R000S2
327GND U15 -7 A01X+071378Y-020541X0610Y0177R000S2
327GND U15 -8 A01X+071378Y-020797X0610Y0177R000S2
327GND U15 -9 A01X+071378Y-021053X0610Y0177R000S2
327GND U15 -10 A01X+071378Y-021309X0610Y0177R000S2
327GND U15 -11 A01X+073583Y-021309X0610Y0177R000S2
327GND U15 -12 A01X+073583Y-021053X0610Y0177R000S2
327GND U15 -13 A01X+073583Y-020797X0610Y0177R000S2
327GND U15 -14 A01X+073583Y-020541X0610Y0177R000S2
327~TM0_5V U15 -15 A01X+073583Y-020285X0610Y0177R000S2
327~TM1_5V U15 -16 A01X+073583Y-020030X0610Y0177R000S2
327~TM2_5V U15 -17 A01X+073583Y-019774X0610Y0177R000S2
327~RESET_5V U15 -18 A01X+073583Y-019518X0610Y0177R000S2
327GND U15 -19 A01X+073583Y-019262X0610Y0177R000S2
327+3V3 U15 -20 A01X+073583Y-019006X0610Y0177R000S2
327+3V3 C12 -1 A01X+074493Y-020571X0344Y0374R270S2
327GND C12 -2 A01X+074493Y-019951X0344Y0374R270S2
327N/C U15 -1 A01X+073794Y-018371X0610Y0177R090S2
327~RESET_3V3 U15 -2 A01X+073538Y-018371X0610Y0177R090S2
327~TM2_3V3 U15 -3 A01X+073282Y-018371X0610Y0177R090S2
327~TM0_3V3 U15 -4 A01X+073026Y-018371X0610Y0177R090S2
327~TM1_3V3 U15 -5 A01X+072770Y-018371X0610Y0177R090S2
327GND U15 -6 A01X+072514Y-018371X0610Y0177R090S2
327GND U15 -7 A01X+072258Y-018371X0610Y0177R090S2
327GND U15 -8 A01X+072003Y-018371X0610Y0177R090S2
327GND U15 -9 A01X+071747Y-018371X0610Y0177R090S2
327GND U15 -10 A01X+071491Y-018371X0610Y0177R090S2
327GND U15 -11 A01X+071491Y-020576X0610Y0177R090S2
327GND U15 -12 A01X+071747Y-020576X0610Y0177R090S2
327GND U15 -13 A01X+072003Y-020576X0610Y0177R090S2
327GND U15 -14 A01X+072258Y-020576X0610Y0177R090S2
327~TM1_5V U15 -15 A01X+072514Y-020576X0610Y0177R090S2
327~TM0_5V U15 -16 A01X+072770Y-020576X0610Y0177R090S2
327~TM2_5V U15 -17 A01X+073026Y-020576X0610Y0177R090S2
327~RESET_5V U15 -18 A01X+073282Y-020576X0610Y0177R090S2
327GND U15 -19 A01X+073538Y-020576X0610Y0177R090S2
327+3V3 U15 -20 A01X+073794Y-020576X0610Y0177R090S2
317SHIELD H1 -1 D0866PA00X+101319Y-018539X1732Y0000R000S0
317+3V3 J8 -1 D0469PA00X+104059Y-014904X0669Y0669R180S0
317+3V3 J8 -2 D0469PA00X+105059Y-014904X0669Y0669R180S0
@ -194,20 +207,20 @@ P DIM N
327~ACK_3V3 D6 -1 A01X+053102Y-008267X0384Y0551R270S2
327~RQST_3V3 D8 -1 A01X+048641Y-027224X0384Y0551R180S2
327NET-(D8-PAD2) D8 -2 A01X+047903Y-027224X0384Y0551R180S2
327NET-(D9-PAD2) D9 -2 A01X+069182Y-007543X0384Y0551R270S2
327~TM0_3V3 D9 -1 A01X+069182Y-008281X0384Y0551R270S2
327~TM1_3V3 D10 -1 A01X+070182Y-008281X0384Y0551R270S2
327NET-(D10-PAD2) D10 -2 A01X+070182Y-007543X0384Y0551R270S2
327NET-(D9-PAD2) D9 -2 A01X+070136Y-007066X0384Y0551R090S2
327~TM0_3V3 D9 -1 A01X+070136Y-006328X0384Y0551R090S2
327~TM1_3V3 D10 -1 A01X+069136Y-006328X0384Y0551R090S2
327NET-(D10-PAD2) D10 -2 A01X+069136Y-007066X0384Y0551R090S2
327+3V3 R11 -1 A01X+053102Y-006013X0344Y0374R090S2
327NET-(D6-PAD2) R11 -2 A01X+053102Y-006633X0344Y0374R090S2
327NET-(D7-PAD2) R12 -2 A01X+054102Y-006633X0344Y0374R090S2
327+3V3 R12 -1 A01X+054102Y-006013X0344Y0374R090S2
327+3V3 R13 -1 A01X+046387Y-027224X0344Y0374R000S2
327NET-(D8-PAD2) R13 -2 A01X+047007Y-027224X0344Y0374R000S2
327NET-(D9-PAD2) R14 -2 A01X+069182Y-006695X0344Y0374R090S2
327+3V3 R14 -1 A01X+069182Y-006075X0344Y0374R090S2
327+3V3 R15 -1 A01X+070182Y-006075X0344Y0374R090S2
327NET-(D10-PAD2) R15 -2 A01X+070182Y-006695X0344Y0374R090S2
327NET-(D9-PAD2) R14 -2 A01X+070136Y-007915X0344Y0374R270S2
327+3V3 R14 -1 A01X+070136Y-008535X0344Y0374R270S2
327+3V3 R15 -1 A01X+069136Y-008535X0344Y0374R270S2
327NET-(D10-PAD2) R15 -2 A01X+069136Y-007915X0344Y0374R270S2
327N/C U14 -1 A01X+054833Y-020315X0610Y0177R270S2
327~ID3_5V U14 -2 A01X+055089Y-020315X0610Y0177R270S2
327~ID2_5V U14 -3 A01X+055344Y-020315X0610Y0177R270S2
@ -254,10 +267,10 @@ P DIM N
327+3V3 R38 -1 A01X+049719Y-032795X0344Y0374R180S2
327+3V3 R39 -1 A01X+047485Y-032559X0344Y0374R000S2
327ACK_OE_N R39 -2 A01X+048105Y-032559X0344Y0374R000S2
327TMX_OE_N R40 -2 A01X+071693Y-033194X0344Y0374R270S2
327+3V3 R40 -1 A01X+071693Y-033814X0344Y0374R270S2
327+3V3 R41 -1 A01X+073578Y-028071X0344Y0374R180S2
327TM2_OE_N R41 -2 A01X+072958Y-028071X0344Y0374R180S2
327TMX_OE_N R40 -2 A01X+071732Y-033565X0344Y0374R000S2
327+3V3 R40 -1 A01X+071112Y-033565X0344Y0374R000S2
327+3V3 R41 -1 A01X+073460Y-028543X0344Y0374R180S2
327TM2_OE_N R41 -2 A01X+072840Y-028543X0344Y0374R180S2
327ARB0_O_N R42 -2 A01X+055989Y-033425X0344Y0374R180S2
327+3V3 R42 -1 A01X+056609Y-033425X0344Y0374R180S2
327+3V3 R43 -1 A01X+056619Y-032756X0344Y0374R000S2
@ -500,9 +513,9 @@ P DIM N
317SD_CMD JCD1 -22 D0449PA00X+061181Y-010811X0669Y0669R270S0
317TM2_O_N JCD1 -23 D0449PA00X+062181Y-011811X0669Y0669R270S0
317SD_CLK JCD1 -24 D0449PA00X+062181Y-010811X0669Y0669R270S0
317~TM0_3V3 JCD1 -25 D0449PA00X+063181Y-011811X0669Y0669R270S0
317~TM1_3V3 JCD1 -25 D0449PA00X+063181Y-011811X0669Y0669R270S0
317SD_D0 JCD1 -26 D0449PA00X+063181Y-010811X0669Y0669R270S0
317~TM1_3V3 JCD1 -27 D0449PA00X+064181Y-011811X0669Y0669R270S0
317~TM0_3V3 JCD1 -27 D0449PA00X+064181Y-011811X0669Y0669R270S0
317SD_D1 JCD1 -28 D0449PA00X+064181Y-010811X0669Y0669R270S0
317~TM2_3V3 JCD1 -29 D0449PA00X+065181Y-011811X0669Y0669R270S0
317~RESET_3V3 JCD1 -30 D0449PA00X+065181Y-010811X0669Y0669R270S0

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,4 +1,4 @@
## Footprint report - date Thu Sep 29 18:22:08 2022
## Footprint report - date Sat Oct 1 08:36:50 2022
## Created by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
@ -164,7 +164,7 @@ reference "C12"
value "100nF"
footprint "Capacitor_SMD:C_0603_1608Metric"
attribut smd
position 186.100000 46.500000 orientation 180.00
position 189.211371 51.462953 orientation 90.00
layer front
$PAD "1"
Shape Roundrect Layer front
@ -185,7 +185,7 @@ reference "U15"
value "SN74CB3T3245PWR"
footprint "For_SeeedStudio:TSSOP-20_4.4x6.5mm_P0.65mm_ForSeeedStudio"
attribut smd
position 184.100000 51.200000 orientation 0.00
position 184.511371 49.462953 orientation 270.00
layer front
$PAD "1"
Shape Rect Layer front
@ -518,7 +518,7 @@ reference "D9"
value "YELLOW"
footprint "LED_SMD:LED_0805_2012Metric"
attribut smd
position 175.721484 20.097130 orientation 90.00
position 178.144189 17.011035 orientation 270.00
layer front
$PAD "2"
Shape Roundrect Layer front
@ -539,7 +539,7 @@ reference "D10"
value "YELLOW"
footprint "LED_SMD:LED_0805_2012Metric"
attribut smd
position 178.261484 20.097130 orientation 90.00
position 175.604189 17.011035 orientation 270.00
layer front
$PAD "1"
Shape Roundrect Layer front
@ -623,7 +623,7 @@ reference "R14"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 175.721484 16.217130 orientation 270.00
position 178.144189 20.891035 orientation 90.00
layer front
$PAD "2"
Shape Roundrect Layer front
@ -644,7 +644,7 @@ reference "R15"
value "590"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 178.261484 16.217130 orientation 270.00
position 175.604189 20.891035 orientation 90.00
layer front
$PAD "1"
Shape Roundrect Layer front
@ -1022,7 +1022,7 @@ reference "R40"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 182.100000 85.100000 orientation 90.00
position 181.412661 85.255497 orientation 0.00
layer front
$PAD "2"
Shape Roundrect Layer front
@ -1043,7 +1043,7 @@ reference "R41"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 186.100000 71.300000 orientation 180.00
position 185.800000 72.500000 orientation 180.00
layer front
$PAD "1"
Shape Roundrect Layer front

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 9
Sheet 1 8
Title ""
Date ""
Rev ""
@ -45,12 +45,6 @@ F0 "fan" 50
F1 "fan.sch" 50
$EndSheet
$Sheet
S 1000 1850 1000 500
U 6193AB43
F0 "vga" 50
F1 "vga.sch" 50
$EndSheet
$Sheet
S 1000 3300 1000 550
U 62D70B59
F0 "sdcard" 50

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A2 23386 16535
encoding utf-8
Sheet 3 9
Sheet 3 8
Title "nubus-to-ztex NuBus connection & level shifting"
Date ""
Rev ""
@ -45,17 +45,6 @@ F 3 "" H 17550 2650 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3.3V #PWR056
U 1 1 5F8CA5D4
P 17350 2350
F 0 "#PWR056" H 17350 2200 50 0001 C CNN
F 1 "+3.3V" H 17365 2523 50 0000 C CNN
F 2 "" H 17350 2350 50 0001 C CNN
F 3 "" H 17350 2350 50 0001 C CNN
1 17350 2350
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR057
U 1 1 5F8CA5DA
P 17350 4250
@ -66,36 +55,25 @@ F 3 "" H 17350 4250 50 0001 C CNN
1 17350 4250
1 0 0 -1
$EndComp
$Comp
L power:+3.3V #PWR025
U 1 1 5F91B88F
P 8050 6200
F 0 "#PWR025" H 8050 6050 50 0001 C CNN
F 1 "+3.3V" H 8065 6373 50 0000 C CNN
F 2 "" H 8050 6200 50 0001 C CNN
F 3 "" H 8050 6200 50 0001 C CNN
1 8050 6200
1 0 0 -1
$EndComp
Text GLabel 7300 6200 0 60 Input ~ 12
Text GLabel 7050 6150 0 60 Input ~ 12
NUBUS_OE
$Comp
L Device:R R?
U 1 1 5F93CF72
P 7700 6200
P 7450 6150
AR Path="/5F6B165A/5F93CF72" Ref="R?" Part="1"
AR Path="/5F679B53/5F93CF72" Ref="R?" Part="1"
AR Path="/618F532C/5F93CF72" Ref="R4" Part="1"
F 0 "R4" V 7780 6200 50 0000 C CNN
F 1 "10k" V 7700 6200 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7630 6200 50 0001 C CNN
F 3 "" H 7700 6200 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7700 5600 50 0001 C CNN "MPN"
1 7700 6200
F 0 "R4" V 7530 6150 50 0000 C CNN
F 1 "10k" V 7450 6150 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 6150 50 0001 C CNN
F 3 "" H 7450 6150 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 5550 50 0001 C CNN "MPN"
1 7450 6150
0 1 1 0
$EndComp
Wire Wire Line
7550 6200 7300 6200
7300 6150 7050 6150
$Comp
L C96ABC:C96ABC_NUBUS J4
U 1 1 61912527
@ -872,8 +850,6 @@ Wire Wire Line
Wire Wire Line
2450 14250 2350 14250
Connection ~ 2350 14250
Wire Wire Line
7850 6200 8050 6200
Wire Wire Line
2250 14250 2050 14250
Connection ~ 2250 14250
@ -930,7 +906,6 @@ Wire Wire Line
17550 2350 17350 2350
Wire Wire Line
17350 2650 17350 2350
Connection ~ 17350 2350
$Comp
L Device:C C18
U 1 1 61BCFF40
@ -962,17 +937,6 @@ F 3 "" H 15550 2650 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3.3V #PWR047
U 1 1 61BCFF4D
P 15350 2350
F 0 "#PWR047" H 15350 2200 50 0001 C CNN
F 1 "+3.3V" H 15365 2523 50 0000 C CNN
F 2 "" H 15350 2350 50 0001 C CNN
F 3 "" H 15350 2350 50 0001 C CNN
1 15350 2350
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR048
U 1 1 61BCFF53
P 15350 4250
@ -1005,7 +969,6 @@ Wire Wire Line
15550 2350 15350 2350
Wire Wire Line
15350 2650 15350 2350
Connection ~ 15350 2350
$Comp
L Device:C C17
U 1 1 61BD1EF4
@ -1037,17 +1000,6 @@ F 3 "" H 13500 2650 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3.3V #PWR042
U 1 1 61BD1F01
P 13300 2350
F 0 "#PWR042" H 13300 2200 50 0001 C CNN
F 1 "+3.3V" H 13315 2523 50 0000 C CNN
F 2 "" H 13300 2350 50 0001 C CNN
F 3 "" H 13300 2350 50 0001 C CNN
1 13300 2350
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR043
U 1 1 61BD1F07
P 13300 4250
@ -1080,7 +1032,6 @@ Wire Wire Line
13500 2350 13300 2350
Wire Wire Line
13300 2650 13300 2350
Connection ~ 13300 2350
$Comp
L Device:C C14
U 1 1 61BD1F21
@ -1112,17 +1063,6 @@ F 3 "" H 11450 2650 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3.3V #PWR039
U 1 1 61BD1F2E
P 11250 2350
F 0 "#PWR039" H 11250 2200 50 0001 C CNN
F 1 "+3.3V" H 11265 2523 50 0000 C CNN
F 2 "" H 11250 2350 50 0001 C CNN
F 3 "" H 11250 2350 50 0001 C CNN
1 11250 2350
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR040
U 1 1 61BD1F34
P 11250 4250
@ -1158,7 +1098,6 @@ Wire Wire Line
11450 2350 11250 2350
Wire Wire Line
11250 2650 11250 2350
Connection ~ 11250 2350
Text GLabel 17850 3850 2 50 Input ~ 10
NUBUS_AD_DIR
Text GLabel 15850 3850 2 50 Input ~ 10
@ -1171,13 +1110,13 @@ Text GLabel 7700 8750 0 39 Input ~ 8
NUBUS_MASTER_DIR
Text Notes 13000 2050 0 50 ~ 0
input/output\n(ad*)\n74LVT245 with enable (always on except after reset) and direction pin
Text GLabel 14150 14300 0 50 Input ~ 0
~TM1_5V
Text GLabel 14150 14400 0 50 Input ~ 0
~TM1_5V
Text GLabel 14150 14300 0 50 Input ~ 0
~TM0_5V
Text GLabel 14150 13800 0 50 Input ~ 0
~TM1_3V3
Text GLabel 14150 13900 0 50 Input ~ 0
~TM1_3V3
Text GLabel 14150 13800 0 50 Input ~ 0
~TM0_3V3
Wire Wire Line
2350 13750 3150 13750
@ -1958,17 +1897,6 @@ ARB3_o_n
Text GLabel 7300 5850 0 50 Input ~ 0
ARB2_o_n
$Comp
L power:+3.3V #PWR0132
U 1 1 621BDDC4
P 7600 4650
F 0 "#PWR0132" H 7600 4500 50 0001 C CNN
F 1 "+3.3V" H 7615 4823 50 0000 C CNN
F 2 "" H 7600 4650 50 0001 C CNN
F 3 "" H 7600 4650 50 0001 C CNN
1 7600 4650
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621BDDCB
P 7450 4650
@ -1984,17 +1912,6 @@ F 4 "0603WAF1002T5E" V 7450 4050 50 0001 C CNN "MPN"
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0133
U 1 1 621C4810
P 7600 4800
F 0 "#PWR0133" H 7600 4650 50 0001 C CNN
F 1 "+3.3V" H 7615 4973 50 0000 C CNN
F 2 "" H 7600 4800 50 0001 C CNN
F 3 "" H 7600 4800 50 0001 C CNN
1 7600 4800
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621C4817
P 7450 4800
@ -2010,17 +1927,6 @@ F 4 "0603WAF1002T5E" V 7450 4200 50 0001 C CNN "MPN"
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0134
U 1 1 621C7B0F
P 7600 4950
F 0 "#PWR0134" H 7600 4800 50 0001 C CNN
F 1 "+3.3V" H 7615 5123 50 0000 C CNN
F 2 "" H 7600 4950 50 0001 C CNN
F 3 "" H 7600 4950 50 0001 C CNN
1 7600 4950
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621C7B16
P 7450 4950
@ -2036,17 +1942,6 @@ F 4 "0603WAF1002T5E" V 7450 4350 50 0001 C CNN "MPN"
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0135
U 1 1 621CAE0C
P 7600 5100
F 0 "#PWR0135" H 7600 4950 50 0001 C CNN
F 1 "+3.3V" H 7615 5273 50 0000 C CNN
F 2 "" H 7600 5100 50 0001 C CNN
F 3 "" H 7600 5100 50 0001 C CNN
1 7600 5100
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621CAE13
P 7450 5100
@ -2062,17 +1957,6 @@ F 4 "0603WAF1002T5E" V 7450 4500 50 0001 C CNN "MPN"
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0136
U 1 1 621CE107
P 7600 5250
F 0 "#PWR0136" H 7600 5100 50 0001 C CNN
F 1 "+3.3V" H 7615 5423 50 0000 C CNN
F 2 "" H 7600 5250 50 0001 C CNN
F 3 "" H 7600 5250 50 0001 C CNN
1 7600 5250
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621CE10E
P 7450 5250
@ -2088,17 +1972,6 @@ F 4 "0603WAF1002T5E" V 7450 4650 50 0001 C CNN "MPN"
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0137
U 1 1 621D1400
P 7600 5400
F 0 "#PWR0137" H 7600 5250 50 0001 C CNN
F 1 "+3.3V" H 7615 5573 50 0000 C CNN
F 2 "" H 7600 5400 50 0001 C CNN
F 3 "" H 7600 5400 50 0001 C CNN
1 7600 5400
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621D1407
P 7450 5400
@ -2114,17 +1987,6 @@ F 4 "0603WAF1002T5E" V 7450 4800 50 0001 C CNN "MPN"
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0138
U 1 1 621D46FD
P 7600 5550
F 0 "#PWR0138" H 7600 5400 50 0001 C CNN
F 1 "+3.3V" H 7615 5723 50 0000 C CNN
F 2 "" H 7600 5550 50 0001 C CNN
F 3 "" H 7600 5550 50 0001 C CNN
1 7600 5550
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621D4704
P 7450 5550
@ -2140,17 +2002,6 @@ F 4 "0603WAF1002T5E" V 7450 4950 50 0001 C CNN "MPN"
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0139
U 1 1 621D79FA
P 7600 5700
F 0 "#PWR0139" H 7600 5550 50 0001 C CNN
F 1 "+3.3V" H 7615 5873 50 0000 C CNN
F 2 "" H 7600 5700 50 0001 C CNN
F 3 "" H 7600 5700 50 0001 C CNN
1 7600 5700
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621D7A01
P 7450 5700
@ -2166,17 +2017,6 @@ F 4 "0603WAF1002T5E" V 7450 5100 50 0001 C CNN "MPN"
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0140
U 1 1 621DACF9
P 7600 5850
F 0 "#PWR0140" H 7600 5700 50 0001 C CNN
F 1 "+3.3V" H 7615 6023 50 0000 C CNN
F 2 "" H 7600 5850 50 0001 C CNN
F 3 "" H 7600 5850 50 0001 C CNN
1 7600 5850
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621DAD00
P 7450 5850
@ -2192,17 +2032,6 @@ F 4 "0603WAF1002T5E" V 7450 5250 50 0001 C CNN "MPN"
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0141
U 1 1 621DDFF8
P 7600 6000
F 0 "#PWR0141" H 7600 5850 50 0001 C CNN
F 1 "+3.3V" H 7615 6173 50 0000 C CNN
F 2 "" H 7600 6000 50 0001 C CNN
F 3 "" H 7600 6000 50 0001 C CNN
1 7600 6000
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621DDFFF
P 7450 6000
@ -2862,4 +2691,95 @@ F 3 "" H 15550 14400 50 0001 C CNN
0 -1 -1 0
$EndComp
Connection ~ 15550 14400
Text Notes 4250 2400 0 50 ~ 0
~NMRQ_3V3~ == ~NMRQ_o_n~ as\n~NMRQ~ is output-only (interrupt)
$Comp
L power:+3V3 #PWR0111
U 1 1 6343D2A1
P 17350 2350
F 0 "#PWR0111" H 17350 2200 50 0001 C CNN
F 1 "+3V3" H 17365 2523 50 0000 C CNN
F 2 "" H 17350 2350 50 0001 C CNN
F 3 "" H 17350 2350 50 0001 C CNN
1 17350 2350
1 0 0 -1
$EndComp
Connection ~ 17350 2350
$Comp
L power:+3V3 #PWR0126
U 1 1 6343E4A3
P 15350 2350
F 0 "#PWR0126" H 15350 2200 50 0001 C CNN
F 1 "+3V3" H 15365 2523 50 0000 C CNN
F 2 "" H 15350 2350 50 0001 C CNN
F 3 "" H 15350 2350 50 0001 C CNN
1 15350 2350
1 0 0 -1
$EndComp
Connection ~ 15350 2350
$Comp
L power:+3V3 #PWR0127
U 1 1 6343E927
P 13300 2350
F 0 "#PWR0127" H 13300 2200 50 0001 C CNN
F 1 "+3V3" H 13315 2523 50 0000 C CNN
F 2 "" H 13300 2350 50 0001 C CNN
F 3 "" H 13300 2350 50 0001 C CNN
1 13300 2350
1 0 0 -1
$EndComp
Connection ~ 13300 2350
$Comp
L power:+3V3 #PWR0128
U 1 1 6343EC61
P 11250 2350
F 0 "#PWR0128" H 11250 2200 50 0001 C CNN
F 1 "+3V3" H 11265 2523 50 0000 C CNN
F 2 "" H 11250 2350 50 0001 C CNN
F 3 "" H 11250 2350 50 0001 C CNN
1 11250 2350
1 0 0 -1
$EndComp
Connection ~ 11250 2350
Wire Wire Line
7600 6000 7600 5850
Wire Wire Line
7600 5850 7600 5700
Connection ~ 7600 5850
Wire Wire Line
7600 5700 7600 5550
Connection ~ 7600 5700
Wire Wire Line
7600 5550 7600 5400
Connection ~ 7600 5550
Wire Wire Line
7600 5250 7600 5100
Wire Wire Line
7600 5400 7600 5250
Connection ~ 7600 5400
Connection ~ 7600 5250
Wire Wire Line
7600 5100 7600 4950
Connection ~ 7600 5100
Wire Wire Line
7600 4950 7600 4800
Connection ~ 7600 4950
Wire Wire Line
7600 4800 7600 4650
Connection ~ 7600 4800
Wire Wire Line
7600 6150 7600 6000
Connection ~ 7600 6000
$Comp
L power:+3V3 #PWR0131
U 1 1 6345156A
P 7600 6150
F 0 "#PWR0131" H 7600 6000 50 0001 C CNN
F 1 "+3V3" V 7615 6278 50 0000 L CNN
F 2 "" H 7600 6150 50 0001 C CNN
F 3 "" H 7600 6150 50 0001 C CNN
1 7600 6150
0 1 1 0
$EndComp
Connection ~ 7600 6150
$EndSCHEMATC

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 9 9
Sheet 9 8
Title "sbus-to-ztex blinkey stuff"
Date ""
Rev ""

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 8 9
Sheet 8 8
Title "sbus-to-ztex sdcard"
Date ""
Rev ""

Binary file not shown.

Binary file not shown.

View File

@ -4,7 +4,7 @@ EELAYER 26 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 5 9
Sheet 5 8
Title "nubus-to-ztex USB"
Date ""
Rev ""

View File

@ -14,64 +14,4 @@ Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
Text GLabel 8000 4900 0 50 Input ~ 0
SHIELD
$Comp
L power:GND #PWR0131
U 1 1 61988E37
P 8000 5200
F 0 "#PWR0131" H 8000 4950 50 0001 C CNN
F 1 "GND" H 8005 5027 50 0000 C CNN
F 2 "" H 8000 5200 50 0001 C CNN
F 3 "" H 8000 5200 50 0001 C CNN
1 8000 5200
1 0 0 -1
$EndComp
Wire Wire Line
8250 5200 8000 5200
Wire Wire Line
8250 4900 8000 4900
$Comp
L Device:C C39
U 1 1 619889C3
P 8250 5050
F 0 "C39" H 8365 5096 50 0000 L CNN
F 1 "1 uF (250+V)" H 8365 5005 50 0000 L CNN
F 2 "Capacitor_THT:CP_Radial_D6.3mm_P2.50mm" H 8288 4900 50 0001 C CNN
F 3 "~" H 8250 5050 50 0001 C CNN
F 4 "860021373002" H 8250 5050 50 0001 C CNN "MPN-ALT"
F 5 "https://www2.mouser.com/ProductDetail/Wurth-Elektronik/860021373002?qs=0KOYDY2FL28tNXbPyU6hsg%3D%3D" H 8250 5050 50 0001 C CNN "URL-ALT"
F 6 "KM010M400E110A" H 8250 5050 50 0001 C CNN "MPN"
F 7 "https://lcsc.com/product-detail/Aluminum-Electrolytic-Capacitors-Leaded_Capxon-International-Elec-KM010M400E110A_C59365.html" H 8250 5050 50 0001 C CNN "URL"
1 8250 5050
1 0 0 -1
$EndComp
Connection ~ 8000 5200
$Comp
L Device:R R33
U 1 1 61988921
P 8000 5050
F 0 "R33" H 8070 5096 50 0000 L CNN
F 1 "1M" H 8070 5005 50 0000 L CNN
F 2 "Resistor_SMD:R_1210_3225Metric" V 7930 5050 50 0001 C CNN
F 3 "~" H 8000 5050 50 0001 C CNN
F 4 "RC1210FR-071ML" H 8000 5050 50 0001 C CNN "MPN-ALT"
F 5 "https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_YAGEO-RC1210FR-071ML_C470029.html" H 8000 5050 50 0001 C CNN "URL-ALT"
F 6 "1210W2F1004T5E" H 8000 5050 50 0001 C CNN "MPN"
F 7 "https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html" H 8000 5050 50 0001 C CNN "URL"
1 8000 5050
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole_Pad H1
U 1 1 633638E3
P 8250 4800
F 0 "H1" H 8350 4851 50 0000 L CNN
F 1 "MountingHole_Pad" H 8350 4760 50 0000 L CNN
F 2 "MountingHole:MountingHole_2.2mm_M2_Pad" H 8250 4800 50 0001 C CNN
F 3 "~" H 8250 4800 50 0001 C CNN
1 8250 4800
1 0 0 -1
$EndComp
Connection ~ 8250 4900
$EndSCHEMATC