more updates

This commit is contained in:
Romain Dolbeau 2022-01-29 11:03:47 +01:00
parent 2d50954892
commit 68e63497af
37 changed files with 36372 additions and 20550 deletions

View File

@ -4,10 +4,7 @@ export LD_LIBRARY_PATH=/opt/Xilinx/Vivado/2020.1/lib/lnx64.o/SuSE
python3 nubus_to_fpga_soc.py --build --csr-csv csr.csv --csr-json csr.json --variant=ztex2.13a --version=V1.0 --sys-clk-freq 100e6
) 2>&1 | tee build_V1_0.log
# --trng
# --sdram
# --sdcard
# --usb
# --cg3 --cg3-res 1280x1024@60Hz
# --toby --toby-res 1280x1024@60Hz
# --hdmi
grep -A10 'Design Timing Summary' build/ztex213_nubus_V1_0/gateware/ztex213_nubus_V1_0_timing.rpt

View File

@ -4,7 +4,7 @@ from migen.genlib.fifo import *
import litex
class NuBus(Module):
def __init__(self, platform, cd_nubus="nubus"):
def __init__(self, platform, cd_nubus="nubus", cd_nubus90="nubus90"):
# unused & unconnected
# self.nubus_pwf_n = Signal(reset = 1)
# self.nubus_sp_n = Signal(reset = 1)
@ -86,7 +86,11 @@ class NuBus(Module):
#o_cpu_errors = self.cpu_errors,
o_mem_stdslot = self.mem_stdslot,
o_mem_super = self.mem_super,
o_mem_local = self.mem_local)
o_mem_local = self.mem_local,
i_nub_clk2xn = ClockSignal(cd_nubus90),
io_nub_tm2n = platform.request("tm2_3v3_n"),
)
def get_netlist_name(self):
return "nubus"

View File

@ -62,7 +62,11 @@ module nubus
// Access to superslot area ($sXXXXXXX where <s> is card id)
output mem_super,
// Access to local memory on the card
output mem_local
output mem_local,
// NuBus90 (unimplemented)
input nub_clk2xn,
inout nub_tm2n
);
`include "nubus.svh"

View File

@ -0,0 +1,62 @@
/*
* Nubus Arbitration logic
*
* ARB is responsible for doing the NuBus arbitration logic. Upon
* detecting any higher priority ARB<3:0> value, it will defer its
* generation of lower ARB<3:0> bits.
* The GRANT signal must be timed externally to determine proper
* NuBus constraints.
* This version uses a new technique to minimize skews .
*/
module nubus_arbiter
(
input [3:0] idn, // ID of this card
input [3:0] arbn, // NuBus arbiter's lines (input)
output [3:0] arbon, // NuBus arbiter's lines (control)
input arbcyn, // enable arbitter
output grant // Grant access
);
wire arb2oen, arb1oen, arb0oen;
wire arb3, arb2, arb1, arb0;
wire grantn;
assign arbon[3] = ~arb3;
assign arbon[2] = ~arb2;
assign arbon[1] = ~arb1;
assign arbon[0] = ~arb0;
// ------------------------------------------
assign arb3 = ~arbcyn & ~idn[3];
assign arb2oen = idn[3] & ~arbn[3];
// ------------------------------------------
assign arb2 = ~arbcyn & ~arb2oen & ~idn[2];
assign arb1oen = idn[3] & ~arbn[3] |
idn[2] & ~arbn[2];
// ------------------------------------------
assign arb1 = ~arbcyn & ~arb1oen & ~idn[1];
assign arb0oen = idn[3] & ~arbn[3] |
idn[2] & ~arbn[2] |
idn[1] & ~arbn[1];
// ------------------------------------------
assign arb0 = ~arbcyn & ~arb0oen & ~idn[0];
assign grantn = idn[3] & ~arbn[3] |
idn[2] & ~arbn[2] |
idn[1] & ~arbn[1] |
idn[0] & ~arbn[0];
assign grant = ~arbcyn & ~grantn;
endmodule

View File

@ -0,0 +1,124 @@
import os
import json
import inspect
from shutil import which
from sysconfig import get_platform
from migen import *
from litex.soc.interconnect.csr import CSRStatus
from litex.build.tools import generated_banner
from litex.soc.doc.rst import reflow
from litex.soc.doc.module import gather_submodules, ModuleNotDocumented, DocumentedModule, DocumentedInterrupts
from litex.soc.doc.csr import DocumentedCSRRegion
from litex.soc.interconnect.csr import _CompoundCSR
from litex.soc.integration.export import _get_rw_functions_c
# for generating a timestamp in the description field, if none is otherwise given
import datetime
import time
### _get_rw_functions_c( reg_name, reg_base, nwords, busword, alignment, read_only, with_access_functions):
def _get_rw_functions_c_DIS(name, csr_name, reg_base, area_base, nwords, busword, alignment, read_only, with_access_functions):
reg_name = name + "_" + csr_name
r = ""
addr_str = "CSR_{}_ADDR".format(reg_name.upper())
size_str = "CSR_{}_SIZE".format(reg_name.upper())
r += "#define {} (CSR_{}_BASE + {}L)\n".format(addr_str, name.upper(), hex(reg_base - area_base))
r += "#define {} {}\n".format(size_str, nwords)
size = nwords*busword//8
if size > 8:
# downstream should select appropriate `csr_[rd|wr]_buf_uintX()` pair!
return r
elif size > 4:
ctype = "uint64_t"
elif size > 2:
ctype = "uint32_t"
elif size > 1:
ctype = "uint16_t"
else:
ctype = "uint8_t"
stride = alignment//8;
if with_access_functions:
r += "static inline {} {}_read(struct nubusfpga_{}_softc *sc) {{\n".format(ctype, reg_name, name)
if nwords > 1:
r += "\t{} r = bus_space_read_4(sc->slotid, {}L);\n".format(ctype, hex(reg_base - area_base))
for sub in range(1, nwords):
r += "\tr <<= {};\n".format(busword)
r += "\tr |= bus_space_read_4(sc->slotid, {}L);\n".format(hex(reg_base - area_base + sub*stride))
r += "\treturn r;\n}\n"
else:
r += "\treturn bus_space_read_4(sc->slotid, {}L);\n}}\n".format(hex(reg_base - area_base))
if not read_only:
r += "static inline void {}_write(struct nubusfpga_{}_softc *sc, {} v) {{\n".format(reg_name, name, ctype)
for sub in range(nwords):
shift = (nwords-sub-1)*busword
if shift:
v_shift = "v >> {}".format(shift)
else:
v_shift = "v"
r += "\tbus_space_write_4(sc->slotid, {}L, {});\n".format(hex(reg_base - area_base + sub*stride), v_shift)
r += "}\n"
return r
def get_csr_header_split(regions, constants, csr_base=None, with_access_functions=True):
alignment = constants.get("CONFIG_CSR_ALIGNMENT", 32)
ar = dict()
for name, region in regions.items():
r = generated_banner("//")
r += "#ifndef __GENERATED_{}_CSR_H\n#define __GENERATED_{}_CSR_H\n".format(name.upper(), name.upper())
csr_base = csr_base if csr_base is not None else regions[next(iter(regions))].origin
origin = region.origin - csr_base
r += "\n/* "+name+" */\n"
r += "#ifndef CSR_BASE\n"
r += "#define CSR_BASE {}L\n".format(hex(csr_base))
r += "#endif\n"
r += "#ifndef CSR_"+name.upper()+"_BASE\n"
r += "#define CSR_"+name.upper()+"_BASE (CSR_BASE + "+hex(origin)+"L)\n"
if not isinstance(region.obj, Memory):
for csr in region.obj:
nr = (csr.size + region.busword - 1)//region.busword
r += _get_rw_functions_c(csr.name, origin, nr, region.busword, alignment,
getattr(csr, "read_only", False), with_access_functions)
origin += alignment//8*nr
if hasattr(csr, "fields"):
for field in csr.fields.fields:
offset = str(field.offset)
size = str(field.size)
r += "#define CSR_"+name.upper()+"_"+csr.name.upper()+"_"+field.name.upper()+"_OFFSET "+offset+"\n"
r += "#define CSR_"+name.upper()+"_"+csr.name.upper()+"_"+field.name.upper()+"_SIZE "+size+"\n"
if with_access_functions and csr.size <= 32: # FIXME: Implement extract/read functions for csr.size > 32-bit.
reg_name = name + "_" + csr.name.lower()
field_name = reg_name + "_" + field.name.lower()
r += "static inline uint32_t " + field_name + "_extract(struct nubusfpga_" + name + "_softc *sc, uint32_t oldword) {\n"
r += "\tuint32_t mask = ((1 << " + size + ")-1);\n"
r += "\treturn ( (oldword >> " + offset + ") & mask );\n}\n"
r += "static inline uint32_t " + field_name + "_read(struct nubusfpga_" + name + "_softc *sc) {\n"
r += "\tuint32_t word = " + reg_name + "_read(sc);\n"
r += "\treturn " + field_name + "_extract(sc, word);\n"
r += "}\n"
if not getattr(csr, "read_only", False):
r += "static inline uint32_t " + field_name + "_replace(struct nubusfpga_" + name + "_softc *sc, uint32_t oldword, uint32_t plain_value) {\n"
r += "\tuint32_t mask = ((1 << " + size + ")-1);\n"
r += "\treturn (oldword & (~(mask << " + offset + "))) | (mask & plain_value)<< " + offset + " ;\n}\n"
r += "static inline void " + field_name + "_write(struct nubusfpga_" + name + "_softc *sc, uint32_t plain_value) {\n"
r += "\tuint32_t oldword = " + reg_name + "_read(sc);\n"
r += "\tuint32_t newword = " + field_name + "_replace(sc, oldword, plain_value);\n"
r += "\t" + reg_name + "_write(sc, newword);\n"
r += "}\n"
r += "#endif // CSR_"+name.upper()+"_BASE\n"
r += "\n#endif\n"
ar[name] = r
return ar

View File

@ -14,6 +14,7 @@ from litex.soc.interconnect import wishbone
from litex.soc.cores.clock import *
from litex.soc.cores.led import LedChaser
import ztex213_nubus
import nubus_to_fpga_export
import nubus
@ -77,13 +78,13 @@ class _CRG(Module):
self.comb += self.cd_nubus.rst.eq(~rst_nubus_n)
platform.add_platform_command("create_clock -name nubus_clk -period 100.0 -waveform {{0.0 75.0}} [get_ports clk_3v3_n]")
#clk2x_nubus = platform.request("nubus_clk2x_n")
#if (clk2x_nubus is None):
# print(" ***** ERROR ***** Can't find the NuBus90 Clock !!!!\n");
# assert(false)
#self.cd_nubus90.clk = clk2x_nubus
#self.comb += self.cd_nubus90.rst.eq(~rst_nubus_n)
#platform.add_platform_command("create_clock -name nubus90_clk -period 50.0 -waveform {{0.0 37.5}} [get_ports nubus_clk2x_n]")
clk2x_nubus = platform.request("clk2x_3v3_n")
if (clk2x_nubus is None):
print(" ***** ERROR ***** Can't find the NuBus90 Clock !!!!\n");
assert(false)
self.cd_nubus90.clk = clk2x_nubus
self.comb += self.cd_nubus90.rst.eq(~rst_nubus_n)
platform.add_platform_command("create_clock -name nubus90_clk -period 50.0 -waveform {{0.0 37.5}} [get_ports clk2x_3v3_n]")
num_adv = 0
num_clk = 0
@ -336,12 +337,12 @@ def main():
# should be split per-device (and without base) to still work if we have identical devices in different configurations on multiple boards
# now it is split
#csr_contents_dict = nubus_to_fpga_export.get_csr_header_split(
# regions = soc.csr_regions,
# constants = soc.constants,
# csr_base = soc.mem_regions['csr'].origin)
#for name in csr_contents_dict.keys():
# write_to_file(os.path.join("nubusfpga_csr_{}.h".format(name)), csr_contents_dict[name])
csr_contents_dict = nubus_to_fpga_export.get_csr_header_split(
regions = soc.csr_regions,
constants = soc.constants,
csr_base = soc.mem_regions['csr'].origin)
for name in csr_contents_dict.keys():
write_to_file(os.path.join("nubusfpga_csr_{}.h".format(name)), csr_contents_dict[name])
if __name__ == "__main__":

View File

@ -19,7 +19,7 @@ module nubus_slave_tb ();
// Clock (rising is driving edge, faling is sampling)
tri1 nub_clkn;
// Clock 90 (rising is driving edge, faling is sampling)
//tri1 nub_clk2xn;
tri1 nub_clk2xn;
// Reset [Open Collector]
tri1 nub_resetn;
// Power Fail Warning [Control]
@ -29,7 +29,7 @@ module nubus_slave_tb ();
// Transfer Mode [Control]
tri1 nub_tm0n;
tri1 nub_tm1n;
//tri1 nub_tm2n;
tri1 nub_tm2n;
// Start [Control]
tri1 nub_startn;
// Request [Open Collector]
@ -50,7 +50,7 @@ module nubus_slave_tb ();
tri unused0, tmoen, unused1, unused2;
tri arb, grant;
tri nubus_oe, nubus_master_dir, nubus_ad_dir;
tri reset_n_3v3, clk_n_3v3, tm0_n_3v3, tm1_n_3v3, start_n_3v3, ack_n_3v3;
tri reset_n_3v3, clk_n_3v3, tm0_n_3v3, tm1_n_3v3, start_n_3v3, ack_n_3v3, rqst_n_3v3;
tri1 [3:0] id_n_3v3;
tri [31:0] ad_n_3v3;
tri [3:0] arb_o_n;
@ -60,8 +60,8 @@ module nubus_slave_tb ();
tri rqst_o_n;
tri nub_clk2xn, clk2x_n_3v3;
tri tm2_n_3v3, nub_tm2n, tm2_o_n, tm2_oe_n;
tri clk2x_n_3v3;
tri tm2_n_3v3, tm2_o_n, tm2_oe_n;
@ -187,8 +187,6 @@ module nubus_slave_tb ();
// .nubus_clk2x_n(nub_clk2xn),
.user_led0(leds[0]),
.user_led1(leds[1]),
.user_led2(leds[2]),
.user_led3(leds[3]),
//.nubus_tm2_n(nub_tm2n),
.id_3v3_n(id_n_3v3),
.ad_3v3_n(ad_n_3v3),
@ -203,12 +201,15 @@ module nubus_slave_tb ();
.tmoen(tmoen),
.nubus_ad_dir(nubus_ad_dir),
.nmrq_3v3_n(nmrq_3v3_n),
.nubus_oe(nubus_oe)
.nubus_oe(nubus_oe),
.clk2x_3v3_n(clk2x_n_3v3),
.tm2_3v3_n(tm2_n_3v3)
);
// State machine of test bench
reg tst_clkn;
reg tst_clk2xn;
reg tst_clk48;
reg tst_resetn;
reg tst_startn;
@ -221,6 +222,7 @@ module nubus_slave_tb ();
// Drive NuBus signals
assign nub_clkn = tst_clkn;
assign nub_clk2xn = tst_clk2xn;
assign bd_clk48 = tst_clk48;
assign nub_resetn = tst_resetn;
assign nub_startn = tst_startn;
@ -445,6 +447,12 @@ module nubus_slave_tb ();
end
#25;
end
always begin
tst_clk2xn <= 0;
#25;
tst_clk2xn <= 1;
#25;
end
always begin
tst_clk48 <= 0;

View File

@ -0,0 +1,12 @@
module sn74lvt145_quarter
(
input oe_n,
input in,
output out
);
assign out = oe_n ? 'bZ : in;
endmodule // sn74lvt145_quarter

View File

@ -60,8 +60,6 @@ _nubus_io_v1_0 = [
## leds on the NuBus board
("user_led", 0, Pins("V5"), IOStandard("lvcmos33")), #LED0
("user_led", 1, Pins("V4"), IOStandard("lvcmos33")), #LED1
("user_led", 2, Pins("T5"), IOStandard("lvcmos33")), #LED2
("user_led", 3, Pins("T4"), IOStandard("lvcmos33")), #LED3
## serial header for console
("serial", 0,
Subsignal("tx", Pins("V9")), # FIXME: might be the other way round
@ -94,7 +92,7 @@ _nubus_io_v1_0 = [
Subsignal("data1_n", Pins("V2"), IOStandard("TMDS_33")),
Subsignal("data2_p", Pins("R3"), IOStandard("TMDS_33")),
Subsignal("data2_n", Pins("T3"), IOStandard("TMDS_33")),
Subsignal("hdp", Pins("T8"), IOStandard("LVCMOS33")),
Subsignal("hpd", Pins("T8"), IOStandard("LVCMOS33")),
Subsignal("sda", Pins("R8"), IOStandard("LVCMOS33")),
Subsignal("scl", Pins("R7"), IOStandard("LVCMOS33")),
Subsignal("cec", Pins("T6"), IOStandard("LVCMOS33")),
@ -103,7 +101,7 @@ _nubus_io_v1_0 = [
_nubus_nubus_v1_0 = [
("clk_3v3_n", 0, Pins("H16"), IOStandard("lvttl")),
# ("nubus_clk2x_n", 0, Pins(""), IOStandard("lvttl")),
("clk2x_3v3_n", 0, Pins("T5"), IOStandard("lvttl")),
("ack_3v3_n", 0, Pins("K13"), IOStandard("lvttl")),
("nmrq_3v3_n", 0, Pins("J18"), IOStandard("lvttl")),
("reset_3v3_n", 0, Pins("G17"), IOStandard("lvttl")),
@ -113,11 +111,11 @@ _nubus_nubus_v1_0 = [
"D12 D13 D14 C14 B16 B17 D15 C15 "
"B18 A18 C16 C17 E15 E16 F14 F13 "
"D17 D18 E17 E18 F15 F18 F16 G18 "), IOStandard("lvttl")),
# ("nubus_arb_n", 0, Pins(""), IOStandard("lvttl")),
# ("nubus_arb_n", 0, Pins(""), IOStandard("lvttl")), # CPLD only, we have 'arb'/'grant' instead
("id_3v3_n", 0, Pins("U7 V6 V7 U8"), IOStandard("lvttl")),
("tm0_3v3_n", 0, Pins("K15"), IOStandard("lvttl")),
("tm1_3v3_n", 0, Pins("J17"), IOStandard("lvttl")),
# ("nubus_tm2_n", 0, Pins(""), IOStandard("lvttl")),
("tm2_3v3_n", 0, Pins("T4"), IOStandard("lvttl")),
("nubus_oe", 0, Pins("G13"), IOStandard("lvttl")),
("nubus_ad_dir", 0, Pins("G16"), IOStandard("lvttl")),
@ -125,7 +123,7 @@ _nubus_nubus_v1_0 = [
("grant", 0, Pins("H15"), IOStandard("lvttl")),
("arb", 0, Pins("J13"), IOStandard("lvttl")),
("fpga_to_cpld_clk", 0, Pins("H14"), IOStandard("lvttl")),
("tmoen", 0, Pins("U6"), IOStandard("lvttl")),
("tmoen", 0, Pins("U6"), IOStandard("lvttl")),
("fpga_to_cpld_signal",0, Pins("J14"), IOStandard("lvttl")),
("fpga_to_cpld_signal_2",0, Pins("G14"), IOStandard("lvttl")),
]

View File

@ -0,0 +1,121 @@
(module TQFP-64_10x10mm_P0.5mm_Xlinx (layer F.Cu) (tedit 5B56F227)
(descr "TQFP, 64 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_qfp_generator.py")
(tags "TQFP QFP")
(attr smd)
(fp_text reference U1 (at 0 -7.35) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value XC9572XL-VQ64 (at 0 7.35) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -4.16 -5.11) (end -5.11 -5.11) (layer F.SilkS) (width 0.12))
(fp_line (start -5.11 -5.11) (end -5.11 -4.16) (layer F.SilkS) (width 0.12))
(fp_line (start 4.16 -5.11) (end 5.11 -5.11) (layer F.SilkS) (width 0.12))
(fp_line (start 5.11 -5.11) (end 5.11 -4.16) (layer F.SilkS) (width 0.12))
(fp_line (start -4.16 5.11) (end -5.11 5.11) (layer F.SilkS) (width 0.12))
(fp_line (start -5.11 5.11) (end -5.11 4.16) (layer F.SilkS) (width 0.12))
(fp_line (start 4.16 5.11) (end 5.11 5.11) (layer F.SilkS) (width 0.12))
(fp_line (start 5.11 5.11) (end 5.11 4.16) (layer F.SilkS) (width 0.12))
(fp_line (start -5.11 -4.16) (end -6.4 -4.16) (layer F.SilkS) (width 0.12))
(fp_line (start -4 -5) (end 5 -5) (layer F.Fab) (width 0.1))
(fp_line (start 5 -5) (end 5 5) (layer F.Fab) (width 0.1))
(fp_line (start 5 5) (end -5 5) (layer F.Fab) (width 0.1))
(fp_line (start -5 5) (end -5 -4) (layer F.Fab) (width 0.1))
(fp_line (start -5 -4) (end -4 -5) (layer F.Fab) (width 0.1))
(fp_line (start 0 -6.65) (end -4.15 -6.65) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.15 -6.65) (end -4.15 -5.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.15 -5.25) (end -5.25 -5.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.25 -5.25) (end -5.25 -4.15) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.25 -4.15) (end -6.65 -4.15) (layer F.CrtYd) (width 0.05))
(fp_line (start -6.65 -4.15) (end -6.65 0) (layer F.CrtYd) (width 0.05))
(fp_line (start 0 -6.65) (end 4.15 -6.65) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.15 -6.65) (end 4.15 -5.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.15 -5.25) (end 5.25 -5.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.25 -5.25) (end 5.25 -4.15) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.25 -4.15) (end 6.65 -4.15) (layer F.CrtYd) (width 0.05))
(fp_line (start 6.65 -4.15) (end 6.65 0) (layer F.CrtYd) (width 0.05))
(fp_line (start 0 6.65) (end -4.15 6.65) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.15 6.65) (end -4.15 5.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.15 5.25) (end -5.25 5.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.25 5.25) (end -5.25 4.15) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.25 4.15) (end -6.65 4.15) (layer F.CrtYd) (width 0.05))
(fp_line (start -6.65 4.15) (end -6.65 0) (layer F.CrtYd) (width 0.05))
(fp_line (start 0 6.65) (end 4.15 6.65) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.15 6.65) (end 4.15 5.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.15 5.25) (end 5.25 5.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.25 5.25) (end 5.25 4.15) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.25 4.15) (end 6.65 4.15) (layer F.CrtYd) (width 0.05))
(fp_line (start 6.65 4.15) (end 6.65 0) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 smd roundrect (at -5.7 -3.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at -5.7 -3.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 3 smd roundrect (at -5.7 -2.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 4 smd roundrect (at -5.7 -2.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 5 smd roundrect (at -5.7 -1.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 6 smd roundrect (at -5.7 -1.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 7 smd roundrect (at -5.7 -0.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 8 smd roundrect (at -5.7 -0.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 9 smd roundrect (at -5.7 0.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 10 smd roundrect (at -5.7 0.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 11 smd roundrect (at -5.7 1.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 12 smd roundrect (at -5.7 1.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 13 smd roundrect (at -5.7 2.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 14 smd roundrect (at -5.7 2.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 15 smd roundrect (at -5.7 3.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 16 smd roundrect (at -5.7 3.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 17 smd roundrect (at -3.75 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 18 smd roundrect (at -3.25 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 19 smd roundrect (at -2.75 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 20 smd roundrect (at -2.25 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 21 smd roundrect (at -1.75 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 22 smd roundrect (at -1.25 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 23 smd roundrect (at -0.75 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 24 smd roundrect (at -0.25 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 25 smd roundrect (at 0.25 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 26 smd roundrect (at 0.75 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 27 smd roundrect (at 1.25 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 28 smd roundrect (at 1.75 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 29 smd roundrect (at 2.25 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 30 smd roundrect (at 2.75 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 31 smd roundrect (at 3.25 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 32 smd roundrect (at 3.75 5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 33 smd roundrect (at 5.7 3.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 34 smd roundrect (at 5.7 3.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 35 smd roundrect (at 5.7 2.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 36 smd roundrect (at 5.7 2.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 37 smd roundrect (at 5.7 1.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 38 smd roundrect (at 5.7 1.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 39 smd roundrect (at 5.7 0.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 40 smd roundrect (at 5.7 0.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 41 smd roundrect (at 5.7 -0.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 42 smd roundrect (at 5.7 -0.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 43 smd roundrect (at 5.7 -1.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 44 smd roundrect (at 5.7 -1.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 45 smd roundrect (at 5.7 -2.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 46 smd roundrect (at 5.7 -2.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 47 smd roundrect (at 5.7 -3.25) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 48 smd roundrect (at 5.7 -3.75) (size 1.6 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 49 smd roundrect (at 3.75 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 50 smd roundrect (at 3.25 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 51 smd roundrect (at 2.75 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 52 smd roundrect (at 2.25 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 53 smd roundrect (at 1.75 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 54 smd roundrect (at 1.25 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 55 smd roundrect (at 0.75 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 56 smd roundrect (at 0.25 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 57 smd roundrect (at -0.25 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 58 smd roundrect (at -0.75 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 59 smd roundrect (at -1.25 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 60 smd roundrect (at -1.75 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 61 smd roundrect (at -2.25 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 62 smd roundrect (at -2.75 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 63 smd roundrect (at -3.25 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 64 smd roundrect (at -3.75 -5.7) (size 0.3 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(model ${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-64_10x10mm_P0.5mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-01-15T11:36:52+01:00*
G04 #@! TF.CreationDate,2022-01-29T10:57:36+01:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L4,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 15 11:36:52 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 29 10:57:36 2022*
%MOMM*%
%LPD*%
G01*
@ -69,7 +69,7 @@ G04 #@! TA.AperFunction,Conductor*
%ADD28C,1.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD29C,0.600000*%
%ADD29C,0.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD30C,1.000000*%
@ -1100,7 +1100,7 @@ D25*
G04 #@! TO.N,GND*
X137500000Y-89312500D03*
X256220000Y-61875000D03*
X129000000Y-78950000D03*
X128980000Y-79260000D03*
X246250000Y-22250000D03*
X252900000Y-82730000D03*
X256000000Y-31500000D03*
@ -1182,6 +1182,12 @@ X161000000Y-14700000D03*
X121200000Y-84780000D03*
X181250000Y-73687500D03*
X116300000Y-11212500D03*
X182100000Y-87100000D03*
X118300000Y-79800000D03*
X115812500Y-82500000D03*
X126287500Y-82100000D03*
X143787500Y-86100000D03*
X140387500Y-83700000D03*
G04 #@! TO.N,+5V*
X253850000Y-87660000D03*
X246230000Y-61872500D03*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-01-15T11:36:52+01:00*
G04 #@! TF.CreationDate,2022-01-29T10:57:36+01:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 15 11:36:52 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 29 10:57:36 2022*
%MOMM*%
%LPD*%
G01*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-01-15T11:36:52+01:00*
G04 #@! TF.CreationDate,2022-01-29T10:57:36+01:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 15 11:36:52 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 29 10:57:36 2022*
%MOMM*%
%LPD*%
G01*
@ -1832,6 +1832,56 @@ X211270000Y-74450000D01*
X127470000Y-23650000D02*
X211270000Y-23650000D01*
D13*
G04 #@! TO.C,R36*
X114562779Y-83190000D02*
X114237221Y-83190000D01*
X114562779Y-84210000D02*
X114237221Y-84210000D01*
G04 #@! TO.C,R37*
X120137221Y-79290000D02*
X120462779Y-79290000D01*
X120137221Y-80310000D02*
X120462779Y-80310000D01*
G04 #@! TO.C,R38*
X125662779Y-82790000D02*
X125337221Y-82790000D01*
X125662779Y-83810000D02*
X125337221Y-83810000D01*
G04 #@! TO.C,R39*
X121237221Y-82190000D02*
X121562779Y-82190000D01*
X121237221Y-83210000D02*
X121562779Y-83210000D01*
G04 #@! TO.C,R40*
X182610000Y-85262779D02*
X182610000Y-84937221D01*
X181590000Y-85262779D02*
X181590000Y-84937221D01*
G04 #@! TO.C,R41*
X186262779Y-71810000D02*
X185937221Y-71810000D01*
X186262779Y-70790000D02*
X185937221Y-70790000D01*
G04 #@! TO.C,R42*
X143162779Y-84390000D02*
X142837221Y-84390000D01*
X143162779Y-85410000D02*
X142837221Y-85410000D01*
G04 #@! TO.C,R43*
X144437221Y-82690000D02*
X144762779Y-82690000D01*
X144437221Y-83710000D02*
X144762779Y-83710000D01*
G04 #@! TO.C,R44*
X136410000Y-89762779D02*
X136410000Y-89437221D01*
X135390000Y-89762779D02*
X135390000Y-89437221D01*
G04 #@! TO.C,R45*
X139762779Y-85410000D02*
X139437221Y-85410000D01*
X139762779Y-84390000D02*
X139437221Y-84390000D01*
G04 #@! TO.C,C3*
X131041422Y-55390000D02*
X131558578Y-55390000D01*
@ -3128,8 +3178,387 @@ X131270000Y-63080000D02*
X210070000Y-63080000D01*
X210070000Y-63080000D02*
X210070000Y-57880000D01*
G04 #@! TO.C,C3*
G04 #@! TO.C,R36*
D14*
X113057142Y-82652380D02*
X112723809Y-82176190D01*
X112485714Y-82652380D02*
X112485714Y-81652380D01*
X112866666Y-81652380D01*
X112961904Y-81700000D01*
X113009523Y-81747619D01*
X113057142Y-81842857D01*
X113057142Y-81985714D01*
X113009523Y-82080952D01*
X112961904Y-82128571D01*
X112866666Y-82176190D01*
X112485714Y-82176190D01*
X113390476Y-81652380D02*
X114009523Y-81652380D01*
X113676190Y-82033333D01*
X113819047Y-82033333D01*
X113914285Y-82080952D01*
X113961904Y-82128571D01*
X114009523Y-82223809D01*
X114009523Y-82461904D01*
X113961904Y-82557142D01*
X113914285Y-82604761D01*
X113819047Y-82652380D01*
X113533333Y-82652380D01*
X113438095Y-82604761D01*
X113390476Y-82557142D01*
X114866666Y-81652380D02*
X114676190Y-81652380D01*
X114580952Y-81700000D01*
X114533333Y-81747619D01*
X114438095Y-81890476D01*
X114390476Y-82080952D01*
X114390476Y-82461904D01*
X114438095Y-82557142D01*
X114485714Y-82604761D01*
X114580952Y-82652380D01*
X114771428Y-82652380D01*
X114866666Y-82604761D01*
X114914285Y-82557142D01*
X114961904Y-82461904D01*
X114961904Y-82223809D01*
X114914285Y-82128571D01*
X114866666Y-82080952D01*
X114771428Y-82033333D01*
X114580952Y-82033333D01*
X114485714Y-82080952D01*
X114438095Y-82128571D01*
X114390476Y-82223809D01*
G04 #@! TO.C,R37*
X119657142Y-78822380D02*
X119323809Y-78346190D01*
X119085714Y-78822380D02*
X119085714Y-77822380D01*
X119466666Y-77822380D01*
X119561904Y-77870000D01*
X119609523Y-77917619D01*
X119657142Y-78012857D01*
X119657142Y-78155714D01*
X119609523Y-78250952D01*
X119561904Y-78298571D01*
X119466666Y-78346190D01*
X119085714Y-78346190D01*
X119990476Y-77822380D02*
X120609523Y-77822380D01*
X120276190Y-78203333D01*
X120419047Y-78203333D01*
X120514285Y-78250952D01*
X120561904Y-78298571D01*
X120609523Y-78393809D01*
X120609523Y-78631904D01*
X120561904Y-78727142D01*
X120514285Y-78774761D01*
X120419047Y-78822380D01*
X120133333Y-78822380D01*
X120038095Y-78774761D01*
X119990476Y-78727142D01*
X120942857Y-77822380D02*
X121609523Y-77822380D01*
X121180952Y-78822380D01*
G04 #@! TO.C,R38*
X124857142Y-85182380D02*
X124523809Y-84706190D01*
X124285714Y-85182380D02*
X124285714Y-84182380D01*
X124666666Y-84182380D01*
X124761904Y-84230000D01*
X124809523Y-84277619D01*
X124857142Y-84372857D01*
X124857142Y-84515714D01*
X124809523Y-84610952D01*
X124761904Y-84658571D01*
X124666666Y-84706190D01*
X124285714Y-84706190D01*
X125190476Y-84182380D02*
X125809523Y-84182380D01*
X125476190Y-84563333D01*
X125619047Y-84563333D01*
X125714285Y-84610952D01*
X125761904Y-84658571D01*
X125809523Y-84753809D01*
X125809523Y-84991904D01*
X125761904Y-85087142D01*
X125714285Y-85134761D01*
X125619047Y-85182380D01*
X125333333Y-85182380D01*
X125238095Y-85134761D01*
X125190476Y-85087142D01*
X126380952Y-84610952D02*
X126285714Y-84563333D01*
X126238095Y-84515714D01*
X126190476Y-84420476D01*
X126190476Y-84372857D01*
X126238095Y-84277619D01*
X126285714Y-84230000D01*
X126380952Y-84182380D01*
X126571428Y-84182380D01*
X126666666Y-84230000D01*
X126714285Y-84277619D01*
X126761904Y-84372857D01*
X126761904Y-84420476D01*
X126714285Y-84515714D01*
X126666666Y-84563333D01*
X126571428Y-84610952D01*
X126380952Y-84610952D01*
X126285714Y-84658571D01*
X126238095Y-84706190D01*
X126190476Y-84801428D01*
X126190476Y-84991904D01*
X126238095Y-85087142D01*
X126285714Y-85134761D01*
X126380952Y-85182380D01*
X126571428Y-85182380D01*
X126666666Y-85134761D01*
X126714285Y-85087142D01*
X126761904Y-84991904D01*
X126761904Y-84801428D01*
X126714285Y-84706190D01*
X126666666Y-84658571D01*
X126571428Y-84610952D01*
G04 #@! TO.C,R39*
X120757142Y-81722380D02*
X120423809Y-81246190D01*
X120185714Y-81722380D02*
X120185714Y-80722380D01*
X120566666Y-80722380D01*
X120661904Y-80770000D01*
X120709523Y-80817619D01*
X120757142Y-80912857D01*
X120757142Y-81055714D01*
X120709523Y-81150952D01*
X120661904Y-81198571D01*
X120566666Y-81246190D01*
X120185714Y-81246190D01*
X121090476Y-80722380D02*
X121709523Y-80722380D01*
X121376190Y-81103333D01*
X121519047Y-81103333D01*
X121614285Y-81150952D01*
X121661904Y-81198571D01*
X121709523Y-81293809D01*
X121709523Y-81531904D01*
X121661904Y-81627142D01*
X121614285Y-81674761D01*
X121519047Y-81722380D01*
X121233333Y-81722380D01*
X121138095Y-81674761D01*
X121090476Y-81627142D01*
X122185714Y-81722380D02*
X122376190Y-81722380D01*
X122471428Y-81674761D01*
X122519047Y-81627142D01*
X122614285Y-81484285D01*
X122661904Y-81293809D01*
X122661904Y-80912857D01*
X122614285Y-80817619D01*
X122566666Y-80770000D01*
X122471428Y-80722380D01*
X122280952Y-80722380D01*
X122185714Y-80770000D01*
X122138095Y-80817619D01*
X122090476Y-80912857D01*
X122090476Y-81150952D01*
X122138095Y-81246190D01*
X122185714Y-81293809D01*
X122280952Y-81341428D01*
X122471428Y-81341428D01*
X122566666Y-81293809D01*
X122614285Y-81246190D01*
X122661904Y-81150952D01*
G04 #@! TO.C,R40*
X181152380Y-86542857D02*
X180676190Y-86876190D01*
X181152380Y-87114285D02*
X180152380Y-87114285D01*
X180152380Y-86733333D01*
X180200000Y-86638095D01*
X180247619Y-86590476D01*
X180342857Y-86542857D01*
X180485714Y-86542857D01*
X180580952Y-86590476D01*
X180628571Y-86638095D01*
X180676190Y-86733333D01*
X180676190Y-87114285D01*
X180485714Y-85685714D02*
X181152380Y-85685714D01*
X180104761Y-85923809D02*
X180819047Y-86161904D01*
X180819047Y-85542857D01*
X180152380Y-84971428D02*
X180152380Y-84876190D01*
X180200000Y-84780952D01*
X180247619Y-84733333D01*
X180342857Y-84685714D01*
X180533333Y-84638095D01*
X180771428Y-84638095D01*
X180961904Y-84685714D01*
X181057142Y-84733333D01*
X181104761Y-84780952D01*
X181152380Y-84876190D01*
X181152380Y-84971428D01*
X181104761Y-85066666D01*
X181057142Y-85114285D01*
X180961904Y-85161904D01*
X180771428Y-85209523D01*
X180533333Y-85209523D01*
X180342857Y-85161904D01*
X180247619Y-85114285D01*
X180200000Y-85066666D01*
X180152380Y-84971428D01*
G04 #@! TO.C,R41*
X185357142Y-70252380D02*
X185023809Y-69776190D01*
X184785714Y-70252380D02*
X184785714Y-69252380D01*
X185166666Y-69252380D01*
X185261904Y-69300000D01*
X185309523Y-69347619D01*
X185357142Y-69442857D01*
X185357142Y-69585714D01*
X185309523Y-69680952D01*
X185261904Y-69728571D01*
X185166666Y-69776190D01*
X184785714Y-69776190D01*
X186214285Y-69585714D02*
X186214285Y-70252380D01*
X185976190Y-69204761D02*
X185738095Y-69919047D01*
X186357142Y-69919047D01*
X187261904Y-70252380D02*
X186690476Y-70252380D01*
X186976190Y-70252380D02*
X186976190Y-69252380D01*
X186880952Y-69395238D01*
X186785714Y-69490476D01*
X186690476Y-69538095D01*
G04 #@! TO.C,R42*
X142357142Y-86782380D02*
X142023809Y-86306190D01*
X141785714Y-86782380D02*
X141785714Y-85782380D01*
X142166666Y-85782380D01*
X142261904Y-85830000D01*
X142309523Y-85877619D01*
X142357142Y-85972857D01*
X142357142Y-86115714D01*
X142309523Y-86210952D01*
X142261904Y-86258571D01*
X142166666Y-86306190D01*
X141785714Y-86306190D01*
X143214285Y-86115714D02*
X143214285Y-86782380D01*
X142976190Y-85734761D02*
X142738095Y-86449047D01*
X143357142Y-86449047D01*
X143690476Y-85877619D02*
X143738095Y-85830000D01*
X143833333Y-85782380D01*
X144071428Y-85782380D01*
X144166666Y-85830000D01*
X144214285Y-85877619D01*
X144261904Y-85972857D01*
X144261904Y-86068095D01*
X144214285Y-86210952D01*
X143642857Y-86782380D01*
X144261904Y-86782380D01*
G04 #@! TO.C,R43*
X143957142Y-82222380D02*
X143623809Y-81746190D01*
X143385714Y-82222380D02*
X143385714Y-81222380D01*
X143766666Y-81222380D01*
X143861904Y-81270000D01*
X143909523Y-81317619D01*
X143957142Y-81412857D01*
X143957142Y-81555714D01*
X143909523Y-81650952D01*
X143861904Y-81698571D01*
X143766666Y-81746190D01*
X143385714Y-81746190D01*
X144814285Y-81555714D02*
X144814285Y-82222380D01*
X144576190Y-81174761D02*
X144338095Y-81889047D01*
X144957142Y-81889047D01*
X145242857Y-81222380D02*
X145861904Y-81222380D01*
X145528571Y-81603333D01*
X145671428Y-81603333D01*
X145766666Y-81650952D01*
X145814285Y-81698571D01*
X145861904Y-81793809D01*
X145861904Y-82031904D01*
X145814285Y-82127142D01*
X145766666Y-82174761D01*
X145671428Y-82222380D01*
X145385714Y-82222380D01*
X145290476Y-82174761D01*
X145242857Y-82127142D01*
G04 #@! TO.C,R44*
X134922380Y-90242857D02*
X134446190Y-90576190D01*
X134922380Y-90814285D02*
X133922380Y-90814285D01*
X133922380Y-90433333D01*
X133970000Y-90338095D01*
X134017619Y-90290476D01*
X134112857Y-90242857D01*
X134255714Y-90242857D01*
X134350952Y-90290476D01*
X134398571Y-90338095D01*
X134446190Y-90433333D01*
X134446190Y-90814285D01*
X134255714Y-89385714D02*
X134922380Y-89385714D01*
X133874761Y-89623809D02*
X134589047Y-89861904D01*
X134589047Y-89242857D01*
X134255714Y-88433333D02*
X134922380Y-88433333D01*
X133874761Y-88671428D02*
X134589047Y-88909523D01*
X134589047Y-88290476D01*
G04 #@! TO.C,R45*
X138957142Y-86782380D02*
X138623809Y-86306190D01*
X138385714Y-86782380D02*
X138385714Y-85782380D01*
X138766666Y-85782380D01*
X138861904Y-85830000D01*
X138909523Y-85877619D01*
X138957142Y-85972857D01*
X138957142Y-86115714D01*
X138909523Y-86210952D01*
X138861904Y-86258571D01*
X138766666Y-86306190D01*
X138385714Y-86306190D01*
X139814285Y-86115714D02*
X139814285Y-86782380D01*
X139576190Y-85734761D02*
X139338095Y-86449047D01*
X139957142Y-86449047D01*
X140814285Y-85782380D02*
X140338095Y-85782380D01*
X140290476Y-86258571D01*
X140338095Y-86210952D01*
X140433333Y-86163333D01*
X140671428Y-86163333D01*
X140766666Y-86210952D01*
X140814285Y-86258571D01*
X140861904Y-86353809D01*
X140861904Y-86591904D01*
X140814285Y-86687142D01*
X140766666Y-86734761D01*
X140671428Y-86782380D01*
X140433333Y-86782380D01*
X140338095Y-86734761D01*
X140290476Y-86687142D01*
G04 #@! TO.C,C3*
X131133333Y-54807142D02*
X131085714Y-54854761D01*
X130942857Y-54902380D01*
@ -5407,44 +5836,44 @@ X147185714Y-76147619D01*
X147233333Y-76100000D01*
X147328571Y-76052380D01*
G04 #@! TO.C,C9*
X127433333Y-75657142D02*
X127385714Y-75704761D01*
X127242857Y-75752380D01*
X127147619Y-75752380D01*
X127004761Y-75704761D01*
X126909523Y-75609523D01*
X126861904Y-75514285D01*
X126814285Y-75323809D01*
X126814285Y-75180952D01*
X126861904Y-74990476D01*
X126909523Y-74895238D01*
X127004761Y-74800000D01*
X127147619Y-74752380D01*
X127242857Y-74752380D01*
X127385714Y-74800000D01*
X127433333Y-74847619D01*
X127909523Y-75752380D02*
X128100000Y-75752380D01*
X128195238Y-75704761D01*
X128242857Y-75657142D01*
X128338095Y-75514285D01*
X128385714Y-75323809D01*
X128385714Y-74942857D01*
X128338095Y-74847619D01*
X128290476Y-74800000D01*
X128195238Y-74752380D01*
X128004761Y-74752380D01*
X127909523Y-74800000D01*
X127861904Y-74847619D01*
X127814285Y-74942857D01*
X127814285Y-75180952D01*
X127861904Y-75276190D01*
X127909523Y-75323809D01*
X128004761Y-75371428D01*
X128195238Y-75371428D01*
X128290476Y-75323809D01*
X128338095Y-75276190D01*
X128385714Y-75180952D01*
X127823333Y-75567142D02*
X127775714Y-75614761D01*
X127632857Y-75662380D01*
X127537619Y-75662380D01*
X127394761Y-75614761D01*
X127299523Y-75519523D01*
X127251904Y-75424285D01*
X127204285Y-75233809D01*
X127204285Y-75090952D01*
X127251904Y-74900476D01*
X127299523Y-74805238D01*
X127394761Y-74710000D01*
X127537619Y-74662380D01*
X127632857Y-74662380D01*
X127775714Y-74710000D01*
X127823333Y-74757619D01*
X128299523Y-75662380D02*
X128490000Y-75662380D01*
X128585238Y-75614761D01*
X128632857Y-75567142D01*
X128728095Y-75424285D01*
X128775714Y-75233809D01*
X128775714Y-74852857D01*
X128728095Y-74757619D01*
X128680476Y-74710000D01*
X128585238Y-74662380D01*
X128394761Y-74662380D01*
X128299523Y-74710000D01*
X128251904Y-74757619D01*
X128204285Y-74852857D01*
X128204285Y-75090952D01*
X128251904Y-75186190D01*
X128299523Y-75233809D01*
X128394761Y-75281428D01*
X128585238Y-75281428D01*
X128680476Y-75233809D01*
X128728095Y-75186190D01*
X128775714Y-75090952D01*
G04 #@! TO.C,C18*
X173657142Y-70642857D02*
X173704761Y-70690476D01*
@ -5502,51 +5931,51 @@ X173276190Y-68785714D01*
X173228571Y-68833333D01*
X173180952Y-68928571D01*
G04 #@! TO.C,C19*
X188557142Y-70842857D02*
X188604761Y-70890476D01*
X188652380Y-71033333D01*
X188652380Y-71128571D01*
X188604761Y-71271428D01*
X188509523Y-71366666D01*
X188414285Y-71414285D01*
X188223809Y-71461904D01*
X188080952Y-71461904D01*
X187890476Y-71414285D01*
X187795238Y-71366666D01*
X187700000Y-71271428D01*
X187652380Y-71128571D01*
X187652380Y-71033333D01*
X187700000Y-70890476D01*
X187747619Y-70842857D01*
X188652380Y-69890476D02*
X188652380Y-70461904D01*
X188652380Y-70176190D02*
X187652380Y-70176190D01*
X187795238Y-70271428D01*
X187890476Y-70366666D01*
X187938095Y-70461904D01*
X188652380Y-69414285D02*
X188652380Y-69223809D01*
X188604761Y-69128571D01*
X188557142Y-69080952D01*
X188414285Y-68985714D01*
X188223809Y-68938095D01*
X187842857Y-68938095D01*
X187747619Y-68985714D01*
X187700000Y-69033333D01*
X187652380Y-69128571D01*
X187652380Y-69319047D01*
X187700000Y-69414285D01*
X187747619Y-69461904D01*
X187842857Y-69509523D01*
X188080952Y-69509523D01*
X188176190Y-69461904D01*
X188223809Y-69414285D01*
X188271428Y-69319047D01*
X188271428Y-69128571D01*
X188223809Y-69033333D01*
X188176190Y-68985714D01*
X188080952Y-68938095D01*
X188857142Y-70642857D02*
X188904761Y-70690476D01*
X188952380Y-70833333D01*
X188952380Y-70928571D01*
X188904761Y-71071428D01*
X188809523Y-71166666D01*
X188714285Y-71214285D01*
X188523809Y-71261904D01*
X188380952Y-71261904D01*
X188190476Y-71214285D01*
X188095238Y-71166666D01*
X188000000Y-71071428D01*
X187952380Y-70928571D01*
X187952380Y-70833333D01*
X188000000Y-70690476D01*
X188047619Y-70642857D01*
X188952380Y-69690476D02*
X188952380Y-70261904D01*
X188952380Y-69976190D02*
X187952380Y-69976190D01*
X188095238Y-70071428D01*
X188190476Y-70166666D01*
X188238095Y-70261904D01*
X188952380Y-69214285D02*
X188952380Y-69023809D01*
X188904761Y-68928571D01*
X188857142Y-68880952D01*
X188714285Y-68785714D01*
X188523809Y-68738095D01*
X188142857Y-68738095D01*
X188047619Y-68785714D01*
X188000000Y-68833333D01*
X187952380Y-68928571D01*
X187952380Y-69119047D01*
X188000000Y-69214285D01*
X188047619Y-69261904D01*
X188142857Y-69309523D01*
X188380952Y-69309523D01*
X188476190Y-69261904D01*
X188523809Y-69214285D01*
X188571428Y-69119047D01*
X188571428Y-68928571D01*
X188523809Y-68833333D01*
X188476190Y-68785714D01*
X188380952Y-68738095D01*
G04 #@! TO.C,C8*
X140933333Y-68257142D02*
X140885714Y-68304761D01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-01-15T11:36:52+01:00*
G04 #@! TF.CreationDate,2022-01-29T10:57:36+01:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L2,Inr*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 15 11:36:52 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 29 10:57:36 2022*
%MOMM*%
%LPD*%
G01*
@ -63,19 +63,22 @@ G04 #@! TA.AperFunction,Conductor*
%ADD26C,0.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD27C,1.000000*%
%ADD27C,1.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD28C,0.152400*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD29C,0.800000*%
%ADD29C,1.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD30C,0.250000*%
%ADD30C,0.800000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD31C,0.254000*%
%ADD31C,0.250000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD32C,0.254000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
@ -814,7 +817,7 @@ D25*
G04 #@! TO.N,GND*
X137500000Y-89312500D03*
X256220000Y-61875000D03*
X129000000Y-78950000D03*
X128980000Y-79260000D03*
X246250000Y-22250000D03*
X252900000Y-82730000D03*
X256000000Y-31500000D03*
@ -896,6 +899,12 @@ X161000000Y-14700000D03*
X121200000Y-84780000D03*
X181250000Y-73687500D03*
X116300000Y-11212500D03*
X182100000Y-87100000D03*
X118300000Y-79800000D03*
X115812500Y-82500000D03*
X126287500Y-82100000D03*
X143787500Y-86100000D03*
X140387500Y-83700000D03*
G04 #@! TO.N,+5V*
X253850000Y-87660000D03*
X246230000Y-61872500D03*
@ -1003,10 +1012,10 @@ X125750000Y-71562500D02*
X127100000Y-70212500D01*
X267280000Y-30450000D02*
X267290000Y-30460000D01*
D27*
D29*
X223320000Y-91270000D02*
X223320000Y-90017600D01*
D29*
D27*
X208740000Y-59210000D02*
X208740000Y-58007919D01*
D28*
@ -1053,9 +1062,10 @@ X262000000Y-32740000D02*
X267290000Y-32740000D01*
X137200000Y-72100000D02*
X140550000Y-75450000D01*
D27*
D29*
X252540000Y-15880000D02*
X252540000Y-19430000D01*
D27*
X144120000Y-100000000D02*
X144120000Y-97460000D01*
D28*
@ -1075,7 +1085,7 @@ X257400000Y-30100000D02*
X257400000Y-27250000D01*
X202250000Y-71000000D02*
X207500000Y-71000000D01*
D29*
D27*
X208740000Y-27460000D02*
X216190000Y-27460000D01*
D28*
@ -1105,24 +1115,18 @@ X148200000Y-86800000D02*
X139950000Y-86800000D01*
X239420000Y-104510000D02*
X239100000Y-104510000D01*
D26*
X123800000Y-97460000D02*
X122500000Y-98760000D01*
D29*
D30*
X168100000Y-56480000D02*
X168820000Y-55760000D01*
D27*
X146660000Y-100000000D02*
X144120000Y-100000000D01*
D26*
X122500000Y-98760000D02*
X122500000Y-103000000D01*
D28*
X260600000Y-37320000D02*
X262000000Y-37320000D01*
X224230000Y-27500000D02*
X226230000Y-27500000D01*
D29*
D27*
X132540000Y-30000000D02*
X132540000Y-33600000D01*
D26*
@ -1133,7 +1137,7 @@ X239100000Y-104510000D02*
X198510000Y-104510000D01*
X256000000Y-31500000D02*
X257400000Y-30100000D01*
D27*
D29*
X218240000Y-89620000D02*
X218240000Y-91270000D01*
D28*
@ -1153,7 +1157,7 @@ X218240000Y-89620000D01*
D28*
X256000000Y-32734315D02*
X256000000Y-31500000D01*
D29*
D27*
X132540000Y-33600000D02*
X132540000Y-59210000D01*
D28*
@ -1175,7 +1179,7 @@ X116600000Y-65800000D02*
X116600000Y-63800000D01*
X255040000Y-58165000D02*
X255500000Y-58625000D01*
D30*
D31*
X117600000Y-71800000D02*
X116600000Y-71800000D01*
D28*
@ -1197,7 +1201,7 @@ X116600000Y-68845406D02*
X116600000Y-67800000D01*
X146660000Y-93260000D02*
X146660000Y-91410000D01*
D29*
D27*
X132540000Y-61750000D02*
X132540000Y-64960000D01*
D28*
@ -1230,8 +1234,8 @@ X116600000Y-73800000D01*
X220230000Y-27500000D02*
X221184594Y-27500000D01*
D26*
X118534670Y-98760000D02*
X122500000Y-98760000D01*
X118534670Y-98720000D02*
X122500000Y-98720000D01*
D28*
X267290000Y-32740000D02*
X267290000Y-37290000D01*
@ -1255,10 +1259,10 @@ X196170000Y-97490000D01*
D28*
X247020000Y-29510000D02*
X252990000Y-29510000D01*
D27*
D29*
X248890000Y-16170000D02*
X248890000Y-22190000D01*
D29*
D27*
X168100000Y-59210000D02*
X168100000Y-56480000D01*
D28*
@ -1280,7 +1284,7 @@ X262000000Y-30450000D02*
X267280000Y-30450000D01*
X242870000Y-30170000D02*
X246360000Y-30170000D01*
D29*
D27*
X132540000Y-27460000D02*
X132540000Y-23660000D01*
X170640000Y-27460000D02*
@ -1298,7 +1302,7 @@ X239350000Y-35180000D02*
X243770000Y-35180000D01*
X223184594Y-27500000D02*
X224230000Y-27500000D01*
D29*
D30*
X168820000Y-42180000D02*
X170640000Y-40360000D01*
D28*
@ -1306,7 +1310,7 @@ X128390000Y-65900000D02*
X127500000Y-65900000D01*
X116600000Y-71800000D02*
X116600000Y-69800000D01*
D27*
D29*
X123800000Y-97460000D02*
X124896015Y-97460000D01*
D28*
@ -1322,7 +1326,7 @@ X221184594Y-27500000D02*
X222230000Y-27500000D01*
X145300000Y-88200000D02*
X145400000Y-88100000D01*
D27*
D29*
X218240000Y-91270000D02*
X217270000Y-91270000D01*
D28*
@ -1336,7 +1340,7 @@ X244280000Y-34670000D02*
X243770000Y-35180000D01*
X256220000Y-59345000D02*
X255500000Y-58625000D01*
D29*
D27*
X168100000Y-59210000D02*
X168100000Y-61750000D01*
D28*
@ -1350,10 +1354,9 @@ X172060000Y-97460000D01*
D28*
X256275000Y-79355000D02*
X252900000Y-82730000D01*
D29*
D27*
X170640000Y-27460000D02*
X170640000Y-30000000D01*
D27*
X197460000Y-97460000D02*
X196200000Y-97460000D01*
X196200000Y-97460000D02*
@ -1361,7 +1364,7 @@ X194920000Y-97460000D01*
D28*
X115200000Y-87480000D02*
X115200000Y-86780000D01*
D29*
D27*
X168100000Y-61750000D02*
X168100000Y-71000000D01*
D28*
@ -1372,12 +1375,9 @@ X222362400Y-89060000D01*
D27*
X146660000Y-100000000D02*
X146660000Y-103230000D01*
D28*
X133950000Y-83900000D02*
X129000000Y-78950000D01*
D29*
X132540000Y-27460000D02*
X132540000Y-30000000D01*
D30*
X168820000Y-55760000D02*
X168820000Y-42180000D01*
D28*
@ -1387,19 +1387,15 @@ D27*
X146660000Y-97460000D02*
X149200000Y-97460000D01*
D28*
X127600000Y-104500000D02*
X124000000Y-104500000D01*
X255040000Y-53812500D02*
X255040000Y-46487500D01*
X146660000Y-91410000D02*
X145300000Y-90050000D01*
X237972500Y-37170000D02*
X233600000Y-37170000D01*
X135152523Y-83900000D02*
X133950000Y-83900000D01*
X128390000Y-65900000D02*
X131400000Y-65900000D01*
D29*
D27*
X170640000Y-40360000D02*
X170640000Y-30000000D01*
D28*
@ -1451,7 +1447,7 @@ X255570000Y-33730000D02*
X256000000Y-33300000D01*
X223320000Y-91040000D02*
X223320000Y-91270000D01*
D29*
D30*
X168100000Y-71000000D02*
X168100000Y-74012500D01*
D28*
@ -1509,7 +1505,7 @@ X123500000Y-65900000D02*
X120600000Y-68800000D01*
X256010000Y-85840000D02*
X252900000Y-82730000D01*
D29*
D30*
X168820000Y-55760000D02*
X168820000Y-55760000D01*
D28*
@ -1528,7 +1524,7 @@ X140550000Y-75950000D01*
D26*
X196200000Y-97460000D02*
X196200000Y-82592500D01*
D29*
D27*
X208740000Y-59210000D02*
X210000000Y-59210000D01*
D28*
@ -1544,8 +1540,6 @@ X170640000Y-30000000D02*
X170640000Y-31202081D01*
X127499999Y-65900001D02*
X127500000Y-65900000D01*
X122500000Y-103000000D02*
X124000000Y-104500000D01*
X117200000Y-84780000D02*
X117200000Y-76400000D01*
X139950000Y-86800000D02*
@ -1554,7 +1548,7 @@ X117250000Y-76450000D02*
X116600000Y-75800000D01*
X267730000Y-79355000D02*
X267730000Y-77190000D01*
D29*
D27*
X132540000Y-61750000D02*
X132540000Y-59210000D01*
D28*
@ -1598,10 +1592,10 @@ X146500000Y-85100000D01*
D26*
X205370000Y-79080000D02*
X205370000Y-81000000D01*
D27*
D29*
X223320000Y-91270000D02*
X225870000Y-91270000D01*
D29*
D27*
X208740000Y-30000000D02*
X208740000Y-27460000D01*
X208740000Y-27460000D02*
@ -1613,12 +1607,10 @@ X210740000Y-30000000D01*
D28*
X240200000Y-27500000D02*
X242870000Y-30170000D01*
D29*
D30*
X228230000Y-27500000D02*
X240200000Y-27500000D01*
D28*
X115200000Y-95425330D02*
X118534670Y-98760000D01*
X115200000Y-90730000D02*
X115200000Y-95425330D01*
X115200000Y-89430000D02*
@ -1651,11 +1643,43 @@ X151740000Y-97460000D01*
D26*
X163150000Y-102000000D02*
X163150000Y-92000000D01*
X197460000Y-97460000D02*
X198730000Y-98730000D01*
X198730000Y-98730000D02*
X203290000Y-98730000D01*
D28*
X158100000Y-97460000D02*
X158100000Y-92000000D01*
D26*
X123800000Y-97460000D02*
X122530000Y-96190000D01*
X122530000Y-96190000D02*
X119480000Y-96190000D01*
X126340000Y-97460000D02*
X127600000Y-96200000D01*
X127600000Y-96200000D02*
X127600000Y-92620000D01*
D28*
X119480000Y-96190000D02*
X115964670Y-96190000D01*
X115964670Y-96190000D02*
X118534670Y-98760000D01*
X115200000Y-95425330D02*
X115964670Y-96190000D01*
D26*
X122540000Y-98720000D02*
X122500000Y-98720000D01*
X123800000Y-97460000D02*
X122540000Y-98720000D01*
D28*
X133620000Y-83900000D02*
X128980000Y-79260000D01*
X135152523Y-83900000D02*
X133620000Y-83900000D01*
G04 #@! TO.N,SHIELD*
X267690000Y-46360000D02*
X267920000Y-46130000D01*
D27*
D29*
X267920000Y-46130000D02*
X267370000Y-46130000D01*
X267370000Y-46130000D02*
@ -1663,7 +1687,7 @@ X262840000Y-46130000D01*
D28*
X267690000Y-46450000D02*
X267370000Y-46130000D01*
D27*
D29*
X262840000Y-21130000D02*
X265315000Y-21130000D01*
X265315000Y-21130000D02*
@ -1701,13 +1725,13 @@ X254250000Y-13380000D01*
D28*
X271897500Y-71247500D02*
X271887500Y-71237500D01*
D27*
D29*
X271897500Y-75570000D02*
X271897500Y-71247500D01*
D28*
X270800000Y-43390000D02*
X270730000Y-43320000D01*
D27*
D29*
X270800000Y-54450000D02*
X270800000Y-43390000D01*
X270730000Y-43320000D02*
@ -1715,7 +1739,7 @@ X267920000Y-46130000D01*
X271380000Y-42670000D02*
X270730000Y-43320000D01*
G04 #@! TD*
D31*
D32*
G04 #@! TO.N,GND*
G36*
X267006490Y-10027638D02*
@ -3305,25 +3329,6 @@ X134007848Y-88892403D01*
X134298999Y-88601252D01*
X134456568Y-88220846D01*
X134456568Y-87809098D01*
X134309535Y-87454126D01*
X252815000Y-87454126D01*
X252815000Y-87865874D01*
X252972569Y-88246280D01*
X253263720Y-88537431D01*
X253644126Y-88695000D01*
X254055874Y-88695000D01*
X254436280Y-88537431D01*
X254727431Y-88246280D01*
X254885000Y-87865874D01*
X254885000Y-87454126D01*
X254727431Y-87073720D01*
X254436280Y-86782569D01*
X254055874Y-86625000D01*
X253644126Y-86625000D01*
X253263720Y-86782569D01*
X252972569Y-87073720D01*
X252815000Y-87454126D01*
X134309535Y-87454126D01*
X134298999Y-87428692D01*
X134007848Y-87137541D01*
X133627442Y-86979972D01*
@ -3351,6 +3356,63 @@ X127511562Y-87122569D01*
X127220411Y-87413720D01*
X127062842Y-87794126D01*
X112440000Y-87794126D01*
X112440000Y-85894126D01*
X142752500Y-85894126D01*
X142752500Y-86305874D01*
X142910069Y-86686280D01*
X143201220Y-86977431D01*
X143581626Y-87135000D01*
X143993374Y-87135000D01*
X144373780Y-86977431D01*
X144457085Y-86894126D01*
X181065000Y-86894126D01*
X181065000Y-87305874D01*
X181222569Y-87686280D01*
X181513720Y-87977431D01*
X181894126Y-88135000D01*
X182305874Y-88135000D01*
X182686280Y-87977431D01*
X182977431Y-87686280D01*
X183073592Y-87454126D01*
X252815000Y-87454126D01*
X252815000Y-87865874D01*
X252972569Y-88246280D01*
X253263720Y-88537431D01*
X253644126Y-88695000D01*
X254055874Y-88695000D01*
X254436280Y-88537431D01*
X254727431Y-88246280D01*
X254885000Y-87865874D01*
X254885000Y-87454126D01*
X254727431Y-87073720D01*
X254436280Y-86782569D01*
X254055874Y-86625000D01*
X253644126Y-86625000D01*
X253263720Y-86782569D01*
X252972569Y-87073720D01*
X252815000Y-87454126D01*
X183073592Y-87454126D01*
X183135000Y-87305874D01*
X183135000Y-86894126D01*
X182977431Y-86513720D01*
X182686280Y-86222569D01*
X182305874Y-86065000D01*
X181894126Y-86065000D01*
X181513720Y-86222569D01*
X181222569Y-86513720D01*
X181065000Y-86894126D01*
X144457085Y-86894126D01*
X144664931Y-86686280D01*
X144822500Y-86305874D01*
X144822500Y-85894126D01*
X144664931Y-85513720D01*
X144373780Y-85222569D01*
X143993374Y-85065000D01*
X143581626Y-85065000D01*
X143201220Y-85222569D01*
X142910069Y-85513720D01*
X142752500Y-85894126D01*
X112440000Y-85894126D01*
X112440000Y-84574126D01*
X120165000Y-84574126D01*
X120165000Y-84985874D01*
@ -3380,7 +3442,17 @@ X136933397Y-84935000D01*
X137313803Y-84777431D01*
X137604954Y-84486280D01*
X137762523Y-84105874D01*
X137762523Y-83994126D01*
X137762523Y-83694126D01*
X137679681Y-83494126D01*
X139352500Y-83494126D01*
X139352500Y-83905874D01*
X139510069Y-84286280D01*
X139801220Y-84577431D01*
X140181626Y-84735000D01*
X140593374Y-84735000D01*
X140973780Y-84577431D01*
X141264931Y-84286280D01*
X141385944Y-83994126D01*
X178765000Y-83994126D01*
X178765000Y-84405874D01*
X178922569Y-84786280D01*
@ -3431,17 +3503,12 @@ X179594126Y-83165000D01*
X179213720Y-83322569D01*
X178922569Y-83613720D01*
X178765000Y-83994126D01*
X137762523Y-83994126D01*
X137762523Y-83694126D01*
X137604954Y-83313720D01*
X137313803Y-83022569D01*
X136933397Y-82865000D01*
X136521649Y-82865000D01*
X136141243Y-83022569D01*
X135850092Y-83313720D01*
X135692523Y-83694126D01*
X112440000Y-83694126D01*
X112440000Y-82694126D01*
X141385944Y-83994126D01*
X141422500Y-83905874D01*
X141422500Y-83494126D01*
X141264931Y-83113720D01*
X140973780Y-82822569D01*
X140663691Y-82694126D01*
X182165000Y-82694126D01*
X182165000Y-83105874D01*
X182322569Y-83486280D01*
@ -3473,8 +3540,71 @@ X182994126Y-81865000D01*
X182613720Y-82022569D01*
X182322569Y-82313720D01*
X182165000Y-82694126D01*
X112440000Y-82694126D01*
X112440000Y-79244126D01*
X140663691Y-82694126D01*
X140593374Y-82665000D01*
X140181626Y-82665000D01*
X139801220Y-82822569D01*
X139510069Y-83113720D01*
X139352500Y-83494126D01*
X137679681Y-83494126D01*
X137604954Y-83313720D01*
X137313803Y-83022569D01*
X136933397Y-82865000D01*
X136521649Y-82865000D01*
X136141243Y-83022569D01*
X135850092Y-83313720D01*
X135692523Y-83694126D01*
X112440000Y-83694126D01*
X112440000Y-82294126D01*
X114777500Y-82294126D01*
X114777500Y-82705874D01*
X114935069Y-83086280D01*
X115226220Y-83377431D01*
X115606626Y-83535000D01*
X116018374Y-83535000D01*
X116398780Y-83377431D01*
X116689931Y-83086280D01*
X116847500Y-82705874D01*
X116847500Y-82294126D01*
X116689931Y-81913720D01*
X116670337Y-81894126D01*
X125252500Y-81894126D01*
X125252500Y-82305874D01*
X125410069Y-82686280D01*
X125701220Y-82977431D01*
X126081626Y-83135000D01*
X126493374Y-83135000D01*
X126873780Y-82977431D01*
X127164931Y-82686280D01*
X127322500Y-82305874D01*
X127322500Y-81894126D01*
X127164931Y-81513720D01*
X126873780Y-81222569D01*
X126493374Y-81065000D01*
X126081626Y-81065000D01*
X125701220Y-81222569D01*
X125410069Y-81513720D01*
X125252500Y-81894126D01*
X116670337Y-81894126D01*
X116398780Y-81622569D01*
X116018374Y-81465000D01*
X115606626Y-81465000D01*
X115226220Y-81622569D01*
X114935069Y-81913720D01*
X114777500Y-82294126D01*
X112440000Y-82294126D01*
X112440000Y-79594126D01*
X117265000Y-79594126D01*
X117265000Y-80005874D01*
X117422569Y-80386280D01*
X117713720Y-80677431D01*
X118094126Y-80835000D01*
X118505874Y-80835000D01*
X118886280Y-80677431D01*
X119177431Y-80386280D01*
X119335000Y-80005874D01*
X119335000Y-79594126D01*
X119190026Y-79244126D01*
X130865000Y-79244126D01*
X130865000Y-79655874D01*
X131022569Y-80036280D01*
@ -3526,7 +3656,15 @@ X131694126Y-78415000D01*
X131313720Y-78572569D01*
X131022569Y-78863720D01*
X130865000Y-79244126D01*
X112440000Y-79244126D01*
X119190026Y-79244126D01*
X119177431Y-79213720D01*
X118886280Y-78922569D01*
X118505874Y-78765000D01*
X118094126Y-78765000D01*
X117713720Y-78922569D01*
X117422569Y-79213720D01*
X117265000Y-79594126D01*
X112440000Y-79594126D01*
X112440000Y-63800000D01*
X113264336Y-63800000D01*
X113366007Y-64311136D01*
@ -8061,25 +8199,6 @@ X134007848Y-88892403D01*
X134298999Y-88601252D01*
X134456568Y-88220846D01*
X134456568Y-87809098D01*
X134309535Y-87454126D01*
X252815000Y-87454126D01*
X252815000Y-87865874D01*
X252972569Y-88246280D01*
X253263720Y-88537431D01*
X253644126Y-88695000D01*
X254055874Y-88695000D01*
X254436280Y-88537431D01*
X254727431Y-88246280D01*
X254885000Y-87865874D01*
X254885000Y-87454126D01*
X254727431Y-87073720D01*
X254436280Y-86782569D01*
X254055874Y-86625000D01*
X253644126Y-86625000D01*
X253263720Y-86782569D01*
X252972569Y-87073720D01*
X252815000Y-87454126D01*
X134309535Y-87454126D01*
X134298999Y-87428692D01*
X134007848Y-87137541D01*
X133627442Y-86979972D01*
@ -8107,6 +8226,63 @@ X127511562Y-87122569D01*
X127220411Y-87413720D01*
X127062842Y-87794126D01*
X112440000Y-87794126D01*
X112440000Y-85894126D01*
X142752500Y-85894126D01*
X142752500Y-86305874D01*
X142910069Y-86686280D01*
X143201220Y-86977431D01*
X143581626Y-87135000D01*
X143993374Y-87135000D01*
X144373780Y-86977431D01*
X144457085Y-86894126D01*
X181065000Y-86894126D01*
X181065000Y-87305874D01*
X181222569Y-87686280D01*
X181513720Y-87977431D01*
X181894126Y-88135000D01*
X182305874Y-88135000D01*
X182686280Y-87977431D01*
X182977431Y-87686280D01*
X183073592Y-87454126D01*
X252815000Y-87454126D01*
X252815000Y-87865874D01*
X252972569Y-88246280D01*
X253263720Y-88537431D01*
X253644126Y-88695000D01*
X254055874Y-88695000D01*
X254436280Y-88537431D01*
X254727431Y-88246280D01*
X254885000Y-87865874D01*
X254885000Y-87454126D01*
X254727431Y-87073720D01*
X254436280Y-86782569D01*
X254055874Y-86625000D01*
X253644126Y-86625000D01*
X253263720Y-86782569D01*
X252972569Y-87073720D01*
X252815000Y-87454126D01*
X183073592Y-87454126D01*
X183135000Y-87305874D01*
X183135000Y-86894126D01*
X182977431Y-86513720D01*
X182686280Y-86222569D01*
X182305874Y-86065000D01*
X181894126Y-86065000D01*
X181513720Y-86222569D01*
X181222569Y-86513720D01*
X181065000Y-86894126D01*
X144457085Y-86894126D01*
X144664931Y-86686280D01*
X144822500Y-86305874D01*
X144822500Y-85894126D01*
X144664931Y-85513720D01*
X144373780Y-85222569D01*
X143993374Y-85065000D01*
X143581626Y-85065000D01*
X143201220Y-85222569D01*
X142910069Y-85513720D01*
X142752500Y-85894126D01*
X112440000Y-85894126D01*
X112440000Y-84574126D01*
X120165000Y-84574126D01*
X120165000Y-84985874D01*
@ -8136,7 +8312,17 @@ X136933397Y-84935000D01*
X137313803Y-84777431D01*
X137604954Y-84486280D01*
X137762523Y-84105874D01*
X137762523Y-83994126D01*
X137762523Y-83694126D01*
X137679681Y-83494126D01*
X139352500Y-83494126D01*
X139352500Y-83905874D01*
X139510069Y-84286280D01*
X139801220Y-84577431D01*
X140181626Y-84735000D01*
X140593374Y-84735000D01*
X140973780Y-84577431D01*
X141264931Y-84286280D01*
X141385944Y-83994126D01*
X178765000Y-83994126D01*
X178765000Y-84405874D01*
X178922569Y-84786280D01*
@ -8187,17 +8373,12 @@ X179594126Y-83165000D01*
X179213720Y-83322569D01*
X178922569Y-83613720D01*
X178765000Y-83994126D01*
X137762523Y-83994126D01*
X137762523Y-83694126D01*
X137604954Y-83313720D01*
X137313803Y-83022569D01*
X136933397Y-82865000D01*
X136521649Y-82865000D01*
X136141243Y-83022569D01*
X135850092Y-83313720D01*
X135692523Y-83694126D01*
X112440000Y-83694126D01*
X112440000Y-82694126D01*
X141385944Y-83994126D01*
X141422500Y-83905874D01*
X141422500Y-83494126D01*
X141264931Y-83113720D01*
X140973780Y-82822569D01*
X140663691Y-82694126D01*
X182165000Y-82694126D01*
X182165000Y-83105874D01*
X182322569Y-83486280D01*
@ -8229,8 +8410,71 @@ X182994126Y-81865000D01*
X182613720Y-82022569D01*
X182322569Y-82313720D01*
X182165000Y-82694126D01*
X112440000Y-82694126D01*
X112440000Y-79244126D01*
X140663691Y-82694126D01*
X140593374Y-82665000D01*
X140181626Y-82665000D01*
X139801220Y-82822569D01*
X139510069Y-83113720D01*
X139352500Y-83494126D01*
X137679681Y-83494126D01*
X137604954Y-83313720D01*
X137313803Y-83022569D01*
X136933397Y-82865000D01*
X136521649Y-82865000D01*
X136141243Y-83022569D01*
X135850092Y-83313720D01*
X135692523Y-83694126D01*
X112440000Y-83694126D01*
X112440000Y-82294126D01*
X114777500Y-82294126D01*
X114777500Y-82705874D01*
X114935069Y-83086280D01*
X115226220Y-83377431D01*
X115606626Y-83535000D01*
X116018374Y-83535000D01*
X116398780Y-83377431D01*
X116689931Y-83086280D01*
X116847500Y-82705874D01*
X116847500Y-82294126D01*
X116689931Y-81913720D01*
X116670337Y-81894126D01*
X125252500Y-81894126D01*
X125252500Y-82305874D01*
X125410069Y-82686280D01*
X125701220Y-82977431D01*
X126081626Y-83135000D01*
X126493374Y-83135000D01*
X126873780Y-82977431D01*
X127164931Y-82686280D01*
X127322500Y-82305874D01*
X127322500Y-81894126D01*
X127164931Y-81513720D01*
X126873780Y-81222569D01*
X126493374Y-81065000D01*
X126081626Y-81065000D01*
X125701220Y-81222569D01*
X125410069Y-81513720D01*
X125252500Y-81894126D01*
X116670337Y-81894126D01*
X116398780Y-81622569D01*
X116018374Y-81465000D01*
X115606626Y-81465000D01*
X115226220Y-81622569D01*
X114935069Y-81913720D01*
X114777500Y-82294126D01*
X112440000Y-82294126D01*
X112440000Y-79594126D01*
X117265000Y-79594126D01*
X117265000Y-80005874D01*
X117422569Y-80386280D01*
X117713720Y-80677431D01*
X118094126Y-80835000D01*
X118505874Y-80835000D01*
X118886280Y-80677431D01*
X119177431Y-80386280D01*
X119335000Y-80005874D01*
X119335000Y-79594126D01*
X119190026Y-79244126D01*
X130865000Y-79244126D01*
X130865000Y-79655874D01*
X131022569Y-80036280D01*
@ -8282,7 +8526,15 @@ X131694126Y-78415000D01*
X131313720Y-78572569D01*
X131022569Y-78863720D01*
X130865000Y-79244126D01*
X112440000Y-79244126D01*
X119190026Y-79244126D01*
X119177431Y-79213720D01*
X118886280Y-78922569D01*
X118505874Y-78765000D01*
X118094126Y-78765000D01*
X117713720Y-78922569D01*
X117422569Y-79213720D01*
X117265000Y-79594126D01*
X112440000Y-79594126D01*
X112440000Y-63800000D01*
X113264336Y-63800000D01*
X113366007Y-64311136D01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-01-15T11:36:52+01:00*
G04 #@! TF.CreationDate,2022-01-29T10:57:36+01:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L3,Inr*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 15 11:36:52 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Jan 29 10:57:36 2022*
%MOMM*%
%LPD*%
G01*
@ -811,7 +811,7 @@ D25*
G04 #@! TO.N,GND*
X137500000Y-89312500D03*
X256220000Y-61875000D03*
X129000000Y-78950000D03*
X128980000Y-79260000D03*
X246250000Y-22250000D03*
X252900000Y-82730000D03*
X256000000Y-31500000D03*
@ -893,6 +893,12 @@ X161000000Y-14700000D03*
X121200000Y-84780000D03*
X181250000Y-73687500D03*
X116300000Y-11212500D03*
X182100000Y-87100000D03*
X118300000Y-79800000D03*
X115812500Y-82500000D03*
X126287500Y-82100000D03*
X143787500Y-86100000D03*
X140387500Y-83700000D03*
G04 #@! TO.N,+5V*
X253850000Y-87660000D03*
X246230000Y-61872500D03*
@ -1191,8 +1197,6 @@ D26*
X165560000Y-73750000D02*
X165560000Y-75510000D01*
D27*
X137550000Y-83900000D02*
X143100001Y-78349999D01*
X136727523Y-83900000D02*
X137550000Y-83900000D01*
X134037500Y-65000000D02*
@ -1207,8 +1211,6 @@ D28*
X202830000Y-79080000D02*
X202830000Y-81470000D01*
D27*
X114600000Y-78180000D02*
X121200000Y-84780000D01*
X114600000Y-75800000D02*
X114600000Y-78180000D01*
X161762500Y-73700000D02*
@ -1233,6 +1235,42 @@ X182537500Y-72400000D02*
X181250000Y-73687500D01*
X187528185Y-72400000D02*
X182537500Y-72400000D01*
X182100000Y-87100000D02*
X177100000Y-87100000D01*
X173300000Y-83300000D02*
X173300000Y-73700000D01*
X177100000Y-87100000D02*
X173300000Y-83300000D01*
X117300000Y-80800000D02*
X117220000Y-80800000D01*
X118300000Y-79800000D02*
X117300000Y-80800000D01*
X114600000Y-78180000D02*
X117220000Y-80800000D01*
X115812500Y-82500000D02*
X117332500Y-80980000D01*
X117332500Y-80980000D02*
X117400000Y-80980000D01*
X117400000Y-80980000D02*
X121200000Y-84780000D01*
X117220000Y-80800000D02*
X117400000Y-80980000D01*
X134927523Y-82100000D02*
X136727523Y-83900000D01*
X126287500Y-82100000D02*
X134927523Y-82100000D01*
X143500000Y-85812500D02*
X143787500Y-86100000D01*
X143500000Y-77950000D02*
X143500000Y-85812500D01*
X139821815Y-83700000D02*
X137750000Y-83700000D01*
X140387500Y-83700000D02*
X139821815Y-83700000D01*
X137750000Y-83700000D02*
X143100001Y-78349999D01*
X137550000Y-83900000D02*
X137750000Y-83700000D01*
G04 #@! TO.N,+5V*
X133406596Y-88000000D02*
X133421568Y-88014972D01*
@ -2037,16 +2075,18 @@ X127758032Y-78873821D01*
X127758034Y-78873823D01*
X127797211Y-78900000D01*
X127933903Y-78991335D01*
X127965000Y-78997521D01*
X127965000Y-79155874D01*
X128122569Y-79536280D01*
X128413720Y-79827431D01*
X128794126Y-79985000D01*
X129205874Y-79985000D01*
X129586280Y-79827431D01*
X129877431Y-79536280D01*
X130035000Y-79155874D01*
X130035000Y-79032599D01*
X127968184Y-78998154D01*
X127945000Y-79054126D01*
X127945000Y-79465874D01*
X128102569Y-79846280D01*
X128393720Y-80137431D01*
X128774126Y-80295000D01*
X129185874Y-80295000D01*
X129566280Y-80137431D01*
X129857431Y-79846280D01*
X130015000Y-79465874D01*
X130015000Y-79054126D01*
X130006083Y-79032599D01*
X130952617Y-79032599D01*
X130865000Y-79244126D01*
X130865000Y-79655874D01*
@ -5006,16 +5046,18 @@ X127758032Y-78873821D01*
X127758034Y-78873823D01*
X127797211Y-78900000D01*
X127933903Y-78991335D01*
X127965000Y-78997521D01*
X127965000Y-79155874D01*
X128122569Y-79536280D01*
X128413720Y-79827431D01*
X128794126Y-79985000D01*
X129205874Y-79985000D01*
X129586280Y-79827431D01*
X129877431Y-79536280D01*
X130035000Y-79155874D01*
X130035000Y-79032599D01*
X127968184Y-78998154D01*
X127945000Y-79054126D01*
X127945000Y-79465874D01*
X128102569Y-79846280D01*
X128393720Y-80137431D01*
X128774126Y-80295000D01*
X129185874Y-80295000D01*
X129566280Y-80137431D01*
X129857431Y-79846280D01*
X130015000Y-79465874D01*
X130015000Y-79054126D01*
X130006083Y-79032599D01*
X130952617Y-79032599D01*
X130865000Y-79244126D01*
X130865000Y-79655874D01*

View File

@ -1,6 +1,6 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Sat Jan 15 11:36:48 2022
%%CreationDate: Sat Jan 29 10:57:32 2022
%%Title: /home/dolbeau/MAC/NuBusFPGA/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps
%%Pages: 1
%%PageOrder: Ascend

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sat Jan 15 11:36:48 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sat Jan 29 10:57:31 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ

View File

@ -1,6 +1,6 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Sat Jan 15 11:36:48 2022
%%CreationDate: Sat Jan 29 10:57:32 2022
%%Title: /home/dolbeau/MAC/NuBusFPGA/nubus-to-ztex/nubus-to-ztex-PTH-drl_map.ps
%%Pages: 1
%%PageOrder: Ascend
@ -177,6 +177,14 @@ newpath
26150.7 42938 lineto
stroke
newpath
26405.9 46534.9 moveto
26572.7 46368.2 lineto
stroke
newpath
26572.7 46534.9 moveto
26405.9 46368.2 lineto
stroke
newpath
26609.1 76247.4 moveto
26775.8 76080.7 lineto
stroke
@ -225,6 +233,14 @@ newpath
27110.8 55712.8 lineto
stroke
newpath
27442.7 47660.3 moveto
27609.4 47493.6 lineto
stroke
newpath
27609.4 47660.3 moveto
27442.7 47493.6 lineto
stroke
newpath
27594.9 64457.2 moveto
27761.6 64290.5 lineto
stroke
@ -313,6 +329,14 @@ newpath
30652.1 55712.8 lineto
stroke
newpath
30771.9 46701.6 moveto
30938.6 46534.9 lineto
stroke
newpath
30938.6 46701.6 moveto
30771.9 46534.9 lineto
stroke
newpath
31110.5 51656.3 moveto
31277.3 51489.6 lineto
stroke
@ -337,12 +361,12 @@ newpath
31526.4 44075.8 lineto
stroke
newpath
31902.5 48014.6 moveto
32069.2 47847.8 lineto
31894.1 47885.4 moveto
32060.8 47718.6 lineto
stroke
newpath
32069.2 48014.6 moveto
31902.5 47847.8 lineto
32060.8 47885.4 moveto
31894.1 47718.6 lineto
stroke
newpath
32276.7 44242.5 moveto
@ -521,6 +545,14 @@ newpath
36534.1 53662.2 lineto
stroke
newpath
36648.8 46034.8 moveto
36815.5 45868 lineto
stroke
newpath
36815.5 46034.8 moveto
36648.8 45868 lineto
stroke
newpath
36695.6 48410.5 moveto
36862.4 48243.8 lineto
stroke
@ -553,6 +585,14 @@ newpath
37946 48264.6 lineto
stroke
newpath
38065.9 45034.5 moveto
38232.6 44867.7 lineto
stroke
newpath
38232.6 45034.5 moveto
38065.9 44867.7 lineto
stroke
newpath
38342 49744.3 moveto
38508.7 49577.6 lineto
stroke
@ -769,6 +809,14 @@ newpath
53680.2 50041.2 lineto
stroke
newpath
54034.4 44617.7 moveto
54201.2 44450.9 lineto
stroke
newpath
54201.2 44617.7 moveto
54034.4 44450.9 lineto
stroke
newpath
54222 47681.1 moveto
54388.7 47514.4 lineto
stroke
@ -2329,38 +2377,6 @@ newpath
stroke
61578.5 39157.6 208.399 cir0
newpath
62545.5 48089.6 moveto
62970.6 47664.4 lineto
stroke
newpath
62970.6 48089.6 moveto
62545.5 47664.4 lineto
stroke
newpath
62758 48089.6 moveto
62758 47664.4 lineto
stroke
newpath
62545.5 47877 moveto
62970.6 47877 lineto
stroke
newpath
63604.1 48089.6 moveto
64029.3 47664.4 lineto
stroke
newpath
64029.3 48089.6 moveto
63604.1 47664.4 lineto
stroke
newpath
63816.7 48089.6 moveto
63816.7 47664.4 lineto
stroke
newpath
63604.1 47877 moveto
64029.3 47877 lineto
stroke
newpath
28814 72380.6 moveto
29239.1 71955.4 lineto
stroke
@ -2457,6 +2473,38 @@ newpath
29239.1 66874.7 lineto
stroke
newpath
62545.5 48089.6 moveto
62970.6 47664.4 lineto
stroke
newpath
62970.6 48089.6 moveto
62545.5 47664.4 lineto
stroke
newpath
62758 48089.6 moveto
62758 47664.4 lineto
stroke
newpath
62545.5 47877 moveto
62970.6 47877 lineto
stroke
newpath
63604.1 48089.6 moveto
64029.3 47664.4 lineto
stroke
newpath
64029.3 48089.6 moveto
63604.1 47664.4 lineto
stroke
newpath
63816.7 48089.6 moveto
63816.7 47664.4 lineto
stroke
newpath
63604.1 47877 moveto
64029.3 47877 lineto
stroke
newpath
32165 56396.4 moveto
32640.2 55921.2 lineto
stroke
@ -5050,20 +5098,28 @@ newpath
44669.3 32807.7 lineto
stroke
newpath
45794.1 33876.3 moveto
46525.3 33876.3 lineto
46131.6 33426.3 lineto
46300.3 33426.3 lineto
46412.8 33370.1 lineto
46469.1 33313.8 lineto
45962.9 32695.2 moveto
46187.8 32695.2 lineto
46300.3 32751.4 lineto
46356.6 32807.7 lineto
46469.1 32976.4 lineto
46525.3 33201.4 lineto
46525.3 32920.1 lineto
46469.1 32807.7 lineto
46412.8 32751.4 lineto
46300.3 32695.2 lineto
45962.9 32695.2 lineto
45850.4 32751.4 lineto
45794.1 32807.7 lineto
46525.3 33651.3 lineto
46469.1 33763.8 lineto
46412.8 33820 lineto
46300.3 33876.3 lineto
46075.4 33876.3 lineto
45962.9 33820 lineto
45906.6 33763.8 lineto
45850.4 33651.3 lineto
45850.4 33370.1 lineto
45906.6 33257.6 lineto
45962.9 33201.4 lineto
46075.4 33145.1 lineto
46300.3 33145.1 lineto
46412.8 33201.4 lineto
46469.1 33257.6 lineto
46525.3 33370.1 lineto
stroke
newpath
47931.4 32695.2 moveto

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sat Jan 15 11:36:48 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sat Jan 29 10:57:31 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
@ -22,12 +22,14 @@ T1
X4.5354Y-3.4441
X4.5354Y-3.5209
X4.5354Y-3.572
X4.5595Y-3.248
X4.5787Y-0.4414
X4.5787Y-0.6471
X4.6142Y-3.3378
X4.6261Y-2.0618
X4.6261Y-2.2571
X4.6261Y-2.3654
X4.6575Y-3.1417
X4.6719Y-1.5551
X4.6719Y-1.7913
X4.7717Y-3.3378
@ -39,10 +41,11 @@ X4.9508Y-2.0608
X4.9508Y-2.8937
X4.9606Y-2.2571
X4.9606Y-2.3654
X4.9719Y-3.2323
X5.0039Y-2.7643
X5.0098Y-2.8263
X5.0432Y-3.4646
X5.0787Y-3.1083
X5.078Y-3.1205
X5.1141Y-3.4646
X5.185Y-3.4646
X5.1929Y-3.128
@ -65,10 +68,12 @@ X5.4508Y-3.1063
X5.4843Y-3.4429
X5.502Y-3.0315
X5.5162Y-2.5591
X5.5271Y-3.2953
X5.5315Y-3.0709
X5.5335Y-2.9902
X5.5768Y-0.5651
X5.6496Y-3.0689
X5.6609Y-3.3898
X5.687Y-2.9449
X5.687Y-2.9843
X5.687Y-3.0236
@ -96,6 +101,7 @@ X6.8701Y-2.7953
X7.0787Y-3.315
X7.081Y-3.1398
X7.1358Y-2.9011
X7.1693Y-3.4291
X7.187Y-3.1398
X7.2126Y-3.2638
X7.2283Y-2.7953
@ -285,14 +291,14 @@ X7.874Y-3.737
X7.874Y-3.837
X7.874Y-3.937
T7
X7.9854Y-3.1134
X8.0854Y-3.1134
X4.7992Y-0.8189
X4.7992Y-0.9189
X4.7992Y-1.0189
X4.7992Y-1.1189
X4.7992Y-1.2189
X4.7992Y-1.3189
X7.9854Y-3.1134
X8.0854Y-3.1134
T8
X5.1181Y-2.3311
X5.1181Y-2.4311

View File

@ -1,4 +1,4 @@
### Module positions - created on Sat Jan 15 11:37:08 2022 ###
### Module positions - created on Sat Jan 29 10:58:25 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : bottom

View File

@ -1,5 +1,5 @@
Drill report for /home/dolbeau/MAC/NuBusFPGA/nubus-to-ztex/nubus-to-ztex.kicad_pcb
Created on Sat Jan 15 11:36:50 2022
Created on Sat Jan 29 10:57:34 2022
Copper Layer Stackup:
=============================================================
@ -12,7 +12,7 @@ Copper Layer Stackup:
Drill file 'nubus-to-ztex-PTH.drl' contains
plated through holes:
=============================================================
T1 0.40mm 0.016" (133 holes)
T1 0.40mm 0.016" (139 holes)
T2 0.60mm 0.024" (2 holes) (with 2 slots)
T3 0.80mm 0.031" (2 holes)
T4 0.85mm 0.033" (2 holes)
@ -25,7 +25,7 @@ Drill file 'nubus-to-ztex-PTH.drl' contains
T11 1.30mm 0.051" (4 holes)
T12 3.05mm 0.120" (2 holes)
Total plated holes count 423
Total plated holes count 429
Drill file 'nubus-to-ztex-NPTH.drl' contains

View File

@ -1,4 +1,4 @@
### Module positions - created on Sat Jan 15 11:37:08 2022 ###
### Module positions - created on Sat Jan 29 10:58:25 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : top
@ -95,6 +95,16 @@ R32 75 R_0603_1608Metric 252.00
R33 1M R_1210_3225Metric 246.8200 -14.7700 270.0000 top
R34 100 R_0603_1608Metric 253.9200 -35.1000 0.0000 top
R35 100 R_0603_1608Metric 253.9100 -36.9000 0.0000 top
R36 10k R_0603_1608Metric 114.4000 -83.7000 180.0000 top
R37 10k R_0603_1608Metric 120.3000 -79.8000 0.0000 top
R38 10k R_0603_1608Metric 125.5000 -83.3000 180.0000 top
R39 10k R_0603_1608Metric 121.4000 -82.7000 0.0000 top
R40 10k R_0603_1608Metric 182.1000 -85.1000 90.0000 top
R41 10k R_0603_1608Metric 186.1000 -71.3000 180.0000 top
R42 10k R_0603_1608Metric 143.0000 -84.9000 180.0000 top
R43 10k R_0603_1608Metric 144.6000 -83.2000 0.0000 top
R44 10k R_0603_1608Metric 135.9000 -89.6000 90.0000 top
R45 10k R_0603_1608Metric 139.6000 -84.9000 180.0000 top
U1 74LVT125 TSSOP-14_4.4x5mm_P0.65mm 116.7000 -88.7800 0.0000 top
U2 74LVT125 TSSOP-14_4.4x5mm_P0.65mm 184.5000 -78.5000 90.0000 top
U3 74LVT125 TSSOP-14_4.4x5mm_P0.65mm 143.7500 -88.7500 180.0000 top

View File

@ -1,7 +1,7 @@
Part/Designator,Manufacture Part Number/Seeed SKU,Quantity,URL
"R34,R35",0603WAF1000T5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Uniroyal-Elec-0603WAF1000T5E_C22775.html
R29,0603WAF1001T5E,1,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1001T5E_C21190.html
"R4,R5,R6,R7,R8,R26,R27",0603WAF1002T5E,7,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html
"R4,R5,R6,R7,R8,R26,R27,R36,R37,R38,R39,R40,R41,R42,R43,R44,R45",0603WAF1002T5E,17,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html
"R24,R25",0603WAF1502T5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html
"R22,R23",0603WAF270JT5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html
R28,0603WAF5360T5E,1,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5360T5E_C23201.html
@ -33,7 +33,7 @@ D3,LTST-C170TBKT,1,https://www.lcsc.com/product-detail/Light-Emitting-Diodes-LED
J8,PM254V-11-02-H85,1,https://www.lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PM254V-11-02-H85_C541849.html
FB1,PZ2012U221-2R0TF,1,https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html
J3,PZ254R-11-06P,1,https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html
U10,SN65220DBVR,1,https://lcsc.com/product-detail/TVS_Texas-Instruments-SN65220DBVR_C21787.html
U10,SN65220DBVT,1,https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html
U9,TPD12S016PWR,1,https://lcsc.com/product-detail/Interface-Specialized_Texas-Instruments-TPD12S016PWR_C201665.html
U11,TPS2051CDBVR,1,https://lcsc.com/product-detail/Power-Distribution-Switches_Texas-Instruments-TPS2051CDBVR_C129581.html
U4,XC9572XL-5VQ64C,1,https://eu.mouser.com/ProductDetail/Xilinx/XC9572XL-5VQ64C?qs=rrS6PyfT74eW60XLpVlI8A%3D%3D

1 Part/Designator Manufacture Part Number/Seeed SKU Quantity URL
2 R34,R35 0603WAF1000T5E 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_Uniroyal-Elec-0603WAF1000T5E_C22775.html
3 R29 0603WAF1001T5E 1 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1001T5E_C21190.html
4 R4,R5,R6,R7,R8,R26,R27 R4,R5,R6,R7,R8,R26,R27,R36,R37,R38,R39,R40,R41,R42,R43,R44,R45 0603WAF1002T5E 7 17 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1002T5E_C25804.html
5 R24,R25 0603WAF1502T5E 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html
6 R22,R23 0603WAF270JT5E 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html
7 R28 0603WAF5360T5E 1 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5360T5E_C23201.html
33 J8 PM254V-11-02-H85 1 https://www.lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PM254V-11-02-H85_C541849.html
34 FB1 PZ2012U221-2R0TF 1 https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html
35 J3 PZ254R-11-06P 1 https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html
36 U10 SN65220DBVR SN65220DBVT 1 https://lcsc.com/product-detail/TVS_Texas-Instruments-SN65220DBVR_C21787.html https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html
37 U9 TPD12S016PWR 1 https://lcsc.com/product-detail/Interface-Specialized_Texas-Instruments-TPD12S016PWR_C201665.html
38 U11 TPS2051CDBVR 1 https://lcsc.com/product-detail/Power-Distribution-Switches_Texas-Instruments-TPS2051CDBVR_C129581.html
39 U4 XC9572XL-5VQ64C 1 https://eu.mouser.com/ProductDetail/Xilinx/XC9572XL-5VQ64C?qs=rrS6PyfT74eW60XLpVlI8A%3D%3D

View File

@ -3,7 +3,7 @@ P UNITS CUST 0
P DIM N
317GND VIA MD0157PA00X+054134Y-035162X0315Y0000R000S3
317GND VIA MD0157PA00X+100874Y-024360X0315Y0000R000S3
317GND VIA MD0157PA00X+050787Y-031083X0315Y0000R000S3
317GND VIA MD0157PA00X+050780Y-031205X0315Y0000R000S3
317GND VIA MD0157PA00X+096949Y-008760X0315Y0000R000S3
317GND VIA MD0157PA00X+099567Y-032571X0315Y0000R000S3
317GND VIA MD0157PA00X+100787Y-012402X0315Y0000R000S3
@ -84,6 +84,12 @@ P DIM N
317+3V3 VIA MD0157PA00X+047717Y-033378X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+071358Y-029011X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+045787Y-004414X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+071693Y-034291X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+046575Y-031417X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+045595Y-032480X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+049719Y-032323X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+056609Y-033898X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+055271Y-032953X0315Y0000R000S3
317+5V VIA MD0157PA00X+099941Y-034512X0315Y0000R000S3
317+5V VIA MD0157PA00X+096941Y-024359X0315Y0000R000S3
317+5V VIA MD0157PA00X+051850Y-034646X0315Y0000R000S3
@ -134,6 +140,26 @@ P DIM N
317TM2_OE_N VIA MD0157PA00X+053654Y-031638X0315Y0000R000S3
317TM2_OE_N VIA MD0157PA00X+072717Y-029055X0315Y0000R000S3
317TM2_OE_N VIA MD0157PA00X+058425Y-028504X0315Y0000R000S3
327~NMRQ_3V3 R36 -2 A01X+044729Y-032953X0344Y0374R180S2
327+3V3 R36 -1 A01X+045349Y-032953X0344Y0374R180S2
327+3V3 R37 -1 A01X+047052Y-031417X0344Y0374R000S2
327RQST_O_N R37 -2 A01X+047672Y-031417X0344Y0374R000S2
327START_OE_N R38 -2 A01X+049099Y-032795X0344Y0374R180S2
327+3V3 R38 -1 A01X+049719Y-032795X0344Y0374R180S2
327+3V3 R39 -1 A01X+047485Y-032559X0344Y0374R000S2
327ACK_OE_N R39 -2 A01X+048105Y-032559X0344Y0374R000S2
327TMX_OE_N R40 -2 A01X+071693Y-033194X0344Y0374R270S2
327+3V3 R40 -1 A01X+071693Y-033814X0344Y0374R270S2
327+3V3 R41 -1 A01X+073578Y-028071X0344Y0374R180S2
327TM2_OE_N R41 -2 A01X+072958Y-028071X0344Y0374R180S2
327ARB0_O_N R42 -2 A01X+055989Y-033425X0344Y0374R180S2
327+3V3 R42 -1 A01X+056609Y-033425X0344Y0374R180S2
327+3V3 R43 -1 A01X+056619Y-032756X0344Y0374R000S2
327ARB1_O_N R43 -2 A01X+057239Y-032756X0344Y0374R000S2
327ARB2_O_N R44 -2 A01X+053504Y-034966X0344Y0374R270S2
327+3V3 R44 -1 A01X+053504Y-035586X0344Y0374R270S2
327+3V3 R45 -1 A01X+055271Y-033425X0344Y0374R180S2
327ARB3_O_N R45 -2 A01X+054651Y-033425X0344Y0374R180S2
327+5V C3 -1 A01X+051324Y-022087X0384Y0551R000S2
327GND C3 -2 A01X+052062Y-022087X0384Y0551R000S2
327GND D3 -1 A01X+045197Y-006471X0384Y0551R270S2

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,4 +1,4 @@
## Footprint report - date Sat Jan 15 11:37:13 2022
## Footprint report - date Sat Jan 29 10:58:30 2022
## Created by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
@ -9,6 +9,216 @@ upper_left_corner -50.005001 -35.064286
lower_right_corner 400.005001 148.435001
$EndBOARD
$MODULE "R36"
reference "R36"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 114.400000 83.700000 orientation 180.00
layer front
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R36
$MODULE "R37"
reference "R37"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 120.300000 79.800000 orientation 0.00
layer front
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R37
$MODULE "R38"
reference "R38"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 125.500000 83.300000 orientation 180.00
layer front
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R38
$MODULE "R39"
reference "R39"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 121.400000 82.700000 orientation 0.00
layer front
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R39
$MODULE "R40"
reference "R40"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 182.100000 85.100000 orientation 90.00
layer front
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R40
$MODULE "R41"
reference "R41"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 186.100000 71.300000 orientation 180.00
layer front
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R41
$MODULE "R42"
reference "R42"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 143.000000 84.900000 orientation 180.00
layer front
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R42
$MODULE "R43"
reference "R43"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 144.600000 83.200000 orientation 0.00
layer front
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R43
$MODULE "R44"
reference "R44"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 135.900000 89.600000 orientation 90.00
layer front
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R44
$MODULE "R45"
reference "R45"
value "10k"
footprint "Resistor_SMD:R_0603_1608Metric"
attribut smd
position 139.600000 84.900000 orientation 180.00
layer front
$PAD "1"
Shape Roundrect Layer front
position -0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$PAD "2"
Shape Roundrect Layer front
position 0.787500 0.000000 size 0.875000 0.950000 orientation 0.00
drill 0.000000
shape_offset 0.000000 0.000000
$EndPAD
$EndMODULE R45
$MODULE "C3"
reference "C3"
value "47uF 10V+"

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -79,33 +79,33 @@ $EndComp
$Comp
L power:+3.3V #PWR025
U 1 1 5F91B88F
P 4400 1050
F 0 "#PWR025" H 4400 900 50 0001 C CNN
F 1 "+3.3V" H 4415 1223 50 0000 C CNN
F 2 "" H 4400 1050 50 0001 C CNN
F 3 "" H 4400 1050 50 0001 C CNN
1 4400 1050
P 8050 6200
F 0 "#PWR025" H 8050 6050 50 0001 C CNN
F 1 "+3.3V" H 8065 6373 50 0000 C CNN
F 2 "" H 8050 6200 50 0001 C CNN
F 3 "" H 8050 6200 50 0001 C CNN
1 8050 6200
1 0 0 -1
$EndComp
Text GLabel 3650 1050 0 60 Input ~ 12
Text GLabel 7300 6200 0 60 Input ~ 12
NUBUS_OE
$Comp
L Device:R R?
U 1 1 5F93CF72
P 4050 1050
P 7700 6200
AR Path="/5F6B165A/5F93CF72" Ref="R?" Part="1"
AR Path="/5F679B53/5F93CF72" Ref="R?" Part="1"
AR Path="/618F532C/5F93CF72" Ref="R4" Part="1"
F 0 "R4" V 4130 1050 50 0000 C CNN
F 1 "10k" V 4050 1050 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 3980 1050 50 0001 C CNN
F 3 "" H 4050 1050 50 0000 C CNN
F 4 "0603WAF1002T5E" V 4050 450 50 0001 C CNN "MPN"
1 4050 1050
F 0 "R4" V 7780 6200 50 0000 C CNN
F 1 "10k" V 7700 6200 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7630 6200 50 0001 C CNN
F 3 "" H 7700 6200 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7700 5600 50 0001 C CNN "MPN"
1 7700 6200
0 1 1 0
$EndComp
Wire Wire Line
3900 1050 3650 1050
7550 6200 7300 6200
$Comp
L C96ABC:C96ABC_NUBUS J4
U 1 1 61912527
@ -825,8 +825,6 @@ Text GLabel 12800 3450 0 50 Input ~ 0
~AD19_3V3
Text GLabel 12800 3350 0 50 Input ~ 0
~AD18_3V3
Text Notes 3750 1250 0 50 ~ 0
NUBUS_OE pullup
Wire Wire Line
7600 8300 7750 8300
$Comp
@ -903,7 +901,7 @@ F 11 "https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT
1 0 0 -1
$EndComp
Wire Wire Line
4200 1050 4400 1050
7850 6200 8050 6200
Wire Wire Line
7650 8800 7450 8800
Connection ~ 7650 8800
@ -2723,4 +2721,302 @@ Text GLabel 3650 11300 0 50 Input ~ 0
TM2_oe_n
Text GLabel 3950 11050 2 50 Input ~ 0
~TM2_5V
Text GLabel 7300 4950 0 50 Input ~ 0
START_oe_n
Text GLabel 7300 5100 0 50 Input ~ 0
ACK_oe_n
Text GLabel 7300 5250 0 50 Input ~ 0
TMx_oe_n
Text GLabel 7300 5400 0 50 Input ~ 0
TM2_oe_n
Text GLabel 7300 4650 0 50 Input ~ 0
~NMRQ_3V3
Text GLabel 7300 4800 0 50 Input ~ 0
RQST_o_n
Text GLabel 7300 5550 0 50 Input ~ 0
ARB0_o_n
Text GLabel 7300 5700 0 50 Input ~ 0
ARB1_o_n
Text GLabel 7300 6000 0 50 Input ~ 0
ARB3_o_n
Text GLabel 7300 5850 0 50 Input ~ 0
ARB2_o_n
$Comp
L power:+3.3V #PWR0132
U 1 1 621BDDC4
P 7600 4650
F 0 "#PWR0132" H 7600 4500 50 0001 C CNN
F 1 "+3.3V" H 7615 4823 50 0000 C CNN
F 2 "" H 7600 4650 50 0001 C CNN
F 3 "" H 7600 4650 50 0001 C CNN
1 7600 4650
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621BDDCB
P 7450 4650
AR Path="/5F6B165A/621BDDCB" Ref="R?" Part="1"
AR Path="/5F679B53/621BDDCB" Ref="R?" Part="1"
AR Path="/618F532C/621BDDCB" Ref="R36" Part="1"
F 0 "R36" V 7530 4650 50 0000 C CNN
F 1 "10k" V 7450 4650 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 4650 50 0001 C CNN
F 3 "" H 7450 4650 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 4050 50 0001 C CNN "MPN"
1 7450 4650
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0133
U 1 1 621C4810
P 7600 4800
F 0 "#PWR0133" H 7600 4650 50 0001 C CNN
F 1 "+3.3V" H 7615 4973 50 0000 C CNN
F 2 "" H 7600 4800 50 0001 C CNN
F 3 "" H 7600 4800 50 0001 C CNN
1 7600 4800
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621C4817
P 7450 4800
AR Path="/5F6B165A/621C4817" Ref="R?" Part="1"
AR Path="/5F679B53/621C4817" Ref="R?" Part="1"
AR Path="/618F532C/621C4817" Ref="R37" Part="1"
F 0 "R37" V 7530 4800 50 0000 C CNN
F 1 "10k" V 7450 4800 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 4800 50 0001 C CNN
F 3 "" H 7450 4800 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 4200 50 0001 C CNN "MPN"
1 7450 4800
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0134
U 1 1 621C7B0F
P 7600 4950
F 0 "#PWR0134" H 7600 4800 50 0001 C CNN
F 1 "+3.3V" H 7615 5123 50 0000 C CNN
F 2 "" H 7600 4950 50 0001 C CNN
F 3 "" H 7600 4950 50 0001 C CNN
1 7600 4950
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621C7B16
P 7450 4950
AR Path="/5F6B165A/621C7B16" Ref="R?" Part="1"
AR Path="/5F679B53/621C7B16" Ref="R?" Part="1"
AR Path="/618F532C/621C7B16" Ref="R38" Part="1"
F 0 "R38" V 7530 4950 50 0000 C CNN
F 1 "10k" V 7450 4950 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 4950 50 0001 C CNN
F 3 "" H 7450 4950 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 4350 50 0001 C CNN "MPN"
1 7450 4950
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0135
U 1 1 621CAE0C
P 7600 5100
F 0 "#PWR0135" H 7600 4950 50 0001 C CNN
F 1 "+3.3V" H 7615 5273 50 0000 C CNN
F 2 "" H 7600 5100 50 0001 C CNN
F 3 "" H 7600 5100 50 0001 C CNN
1 7600 5100
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621CAE13
P 7450 5100
AR Path="/5F6B165A/621CAE13" Ref="R?" Part="1"
AR Path="/5F679B53/621CAE13" Ref="R?" Part="1"
AR Path="/618F532C/621CAE13" Ref="R39" Part="1"
F 0 "R39" V 7530 5100 50 0000 C CNN
F 1 "10k" V 7450 5100 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 5100 50 0001 C CNN
F 3 "" H 7450 5100 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 4500 50 0001 C CNN "MPN"
1 7450 5100
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0136
U 1 1 621CE107
P 7600 5250
F 0 "#PWR0136" H 7600 5100 50 0001 C CNN
F 1 "+3.3V" H 7615 5423 50 0000 C CNN
F 2 "" H 7600 5250 50 0001 C CNN
F 3 "" H 7600 5250 50 0001 C CNN
1 7600 5250
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621CE10E
P 7450 5250
AR Path="/5F6B165A/621CE10E" Ref="R?" Part="1"
AR Path="/5F679B53/621CE10E" Ref="R?" Part="1"
AR Path="/618F532C/621CE10E" Ref="R40" Part="1"
F 0 "R40" V 7530 5250 50 0000 C CNN
F 1 "10k" V 7450 5250 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 5250 50 0001 C CNN
F 3 "" H 7450 5250 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 4650 50 0001 C CNN "MPN"
1 7450 5250
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0137
U 1 1 621D1400
P 7600 5400
F 0 "#PWR0137" H 7600 5250 50 0001 C CNN
F 1 "+3.3V" H 7615 5573 50 0000 C CNN
F 2 "" H 7600 5400 50 0001 C CNN
F 3 "" H 7600 5400 50 0001 C CNN
1 7600 5400
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621D1407
P 7450 5400
AR Path="/5F6B165A/621D1407" Ref="R?" Part="1"
AR Path="/5F679B53/621D1407" Ref="R?" Part="1"
AR Path="/618F532C/621D1407" Ref="R41" Part="1"
F 0 "R41" V 7530 5400 50 0000 C CNN
F 1 "10k" V 7450 5400 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 5400 50 0001 C CNN
F 3 "" H 7450 5400 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 4800 50 0001 C CNN "MPN"
1 7450 5400
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0138
U 1 1 621D46FD
P 7600 5550
F 0 "#PWR0138" H 7600 5400 50 0001 C CNN
F 1 "+3.3V" H 7615 5723 50 0000 C CNN
F 2 "" H 7600 5550 50 0001 C CNN
F 3 "" H 7600 5550 50 0001 C CNN
1 7600 5550
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621D4704
P 7450 5550
AR Path="/5F6B165A/621D4704" Ref="R?" Part="1"
AR Path="/5F679B53/621D4704" Ref="R?" Part="1"
AR Path="/618F532C/621D4704" Ref="R42" Part="1"
F 0 "R42" V 7530 5550 50 0000 C CNN
F 1 "10k" V 7450 5550 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 5550 50 0001 C CNN
F 3 "" H 7450 5550 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 4950 50 0001 C CNN "MPN"
1 7450 5550
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0139
U 1 1 621D79FA
P 7600 5700
F 0 "#PWR0139" H 7600 5550 50 0001 C CNN
F 1 "+3.3V" H 7615 5873 50 0000 C CNN
F 2 "" H 7600 5700 50 0001 C CNN
F 3 "" H 7600 5700 50 0001 C CNN
1 7600 5700
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621D7A01
P 7450 5700
AR Path="/5F6B165A/621D7A01" Ref="R?" Part="1"
AR Path="/5F679B53/621D7A01" Ref="R?" Part="1"
AR Path="/618F532C/621D7A01" Ref="R43" Part="1"
F 0 "R43" V 7530 5700 50 0000 C CNN
F 1 "10k" V 7450 5700 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 5700 50 0001 C CNN
F 3 "" H 7450 5700 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 5100 50 0001 C CNN "MPN"
1 7450 5700
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0140
U 1 1 621DACF9
P 7600 5850
F 0 "#PWR0140" H 7600 5700 50 0001 C CNN
F 1 "+3.3V" H 7615 6023 50 0000 C CNN
F 2 "" H 7600 5850 50 0001 C CNN
F 3 "" H 7600 5850 50 0001 C CNN
1 7600 5850
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621DAD00
P 7450 5850
AR Path="/5F6B165A/621DAD00" Ref="R?" Part="1"
AR Path="/5F679B53/621DAD00" Ref="R?" Part="1"
AR Path="/618F532C/621DAD00" Ref="R44" Part="1"
F 0 "R44" V 7530 5850 50 0000 C CNN
F 1 "10k" V 7450 5850 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 5850 50 0001 C CNN
F 3 "" H 7450 5850 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 5250 50 0001 C CNN "MPN"
1 7450 5850
0 1 1 0
$EndComp
$Comp
L power:+3.3V #PWR0141
U 1 1 621DDFF8
P 7600 6000
F 0 "#PWR0141" H 7600 5850 50 0001 C CNN
F 1 "+3.3V" H 7615 6173 50 0000 C CNN
F 2 "" H 7600 6000 50 0001 C CNN
F 3 "" H 7600 6000 50 0001 C CNN
1 7600 6000
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 621DDFFF
P 7450 6000
AR Path="/5F6B165A/621DDFFF" Ref="R?" Part="1"
AR Path="/5F679B53/621DDFFF" Ref="R?" Part="1"
AR Path="/618F532C/621DDFFF" Ref="R45" Part="1"
F 0 "R45" V 7530 6000 50 0000 C CNN
F 1 "10k" V 7450 6000 50 0000 C CNN
F 2 "Resistor_SMD:R_0603_1608Metric" V 7380 6000 50 0001 C CNN
F 3 "" H 7450 6000 50 0000 C CNN
F 4 "0603WAF1002T5E" V 7450 5400 50 0001 C CNN "MPN"
1 7450 6000
0 1 1 0
$EndComp
Text Notes 6700 4500 0 50 ~ 0
Pull-ups on OE signals for drivers
Wire Notes Line
6750 4550 7850 4550
Wire Notes Line
7850 4550 7850 4850
Wire Notes Line
7850 4850 6750 4850
Wire Notes Line
6750 4850 6750 4550
Wire Notes Line
6750 5450 7850 5450
Wire Notes Line
7850 5450 7850 6050
Wire Notes Line
7850 6050 6750 6050
Wire Notes Line
6750 6050 6750 5450
$EndSCHEMATC

Binary file not shown.

View File

@ -151,9 +151,10 @@ F 0 "U10" V 4296 2937 50 0000 L CNN
F 1 "SN65220" V 4205 2937 50 0000 L CNN
F 2 "Package_TO_SOT_SMD:SOT-23-6" H 4600 2700 50 0001 L CNN
F 3 "http://www.ti.com/lit/ds/symlink/sn65220.pdf" H 4250 3000 50 0001 C CNN
F 4 "SN65220DBVR" V 4250 2850 50 0001 C CNN "MPN"
F 4 "SN65220DBVT" V 4250 2850 50 0001 C CNN "MPN"
F 5 "https://www2.mouser.com/ProductDetail/Texas-Instruments/SN65220DBVR?qs=5nGYs9Do7G0gEpYxbYqyeA%3D%3D" V 4250 2850 50 0001 C CNN "URL-ALT"
F 6 "https://lcsc.com/product-detail/TVS_Texas-Instruments-SN65220DBVR_C21787.html" V 4250 2850 50 0001 C CNN "URL"
F 6 "https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html" V 4250 2850 50 0001 C CNN "URL"
F 7 "SN65220DBVT or SN65220DBVR (Tape or Reel...)" V 4250 2850 50 0001 C CNN "Notes"
1 4250 2850
0 1 -1 0
$EndComp