missing entries (???)

This commit is contained in:
Romain Dolbeau 2022-09-20 23:11:59 +02:00
parent b8bd914a0b
commit 7eb5f578c3
1 changed files with 35 additions and 0 deletions

View File

@ -86,35 +86,70 @@ set_input_delay -clock nubus_clk -min 2.176 [get_ports {ad_3v3_n[15]}]
set_input_delay -clock nubus_clk -max 52.151 [get_ports {ad_3v3_n[15]}]
set_output_delay -clock nubus_clk -min -6.383 [get_ports {ad_3v3_n[15]}]
set_output_delay -clock nubus_clk -max 53.322 [get_ports {ad_3v3_n[15]}]
set_input_delay -clock nubus_clk -min 2.121 [get_ports {ad_3v3_n[14]}]
set_input_delay -clock nubus_clk -max 52.078 [get_ports {ad_3v3_n[14]}]
set_output_delay -clock nubus_clk -min -6.438 [get_ports {ad_3v3_n[14]}]
set_output_delay -clock nubus_clk -max 53.249 [get_ports {ad_3v3_n[14]}]
set_input_delay -clock nubus_clk -min 2.158 [get_ports {ad_3v3_n[13]}]
set_input_delay -clock nubus_clk -max 52.128 [get_ports {ad_3v3_n[13]}]
set_output_delay -clock nubus_clk -min -6.401 [get_ports {ad_3v3_n[13]}]
set_output_delay -clock nubus_clk -max 53.299 [get_ports {ad_3v3_n[13]}]
set_input_delay -clock nubus_clk -min 2.115 [get_ports {ad_3v3_n[12]}]
set_input_delay -clock nubus_clk -max 52.070 [get_ports {ad_3v3_n[12]}]
set_output_delay -clock nubus_clk -min -6.444 [get_ports {ad_3v3_n[12]}]
set_output_delay -clock nubus_clk -max 53.241 [get_ports {ad_3v3_n[12]}]
set_input_delay -clock nubus_clk -min 2.178 [get_ports {ad_3v3_n[11]}]
set_input_delay -clock nubus_clk -max 52.155 [get_ports {ad_3v3_n[11]}]
set_output_delay -clock nubus_clk -min -6.381 [get_ports {ad_3v3_n[11]}]
set_output_delay -clock nubus_clk -max 53.326 [get_ports {ad_3v3_n[11]}]
set_input_delay -clock nubus_clk -min 2.129 [get_ports {ad_3v3_n[10]}]
set_input_delay -clock nubus_clk -max 52.089 [get_ports {ad_3v3_n[10]}]
set_output_delay -clock nubus_clk -min -6.430 [get_ports {ad_3v3_n[10]}]
set_output_delay -clock nubus_clk -max 53.260 [get_ports {ad_3v3_n[10]}]
set_input_delay -clock nubus_clk -min 2.189 [get_ports {ad_3v3_n[9]}]
set_input_delay -clock nubus_clk -max 52.169 [get_ports {ad_3v3_n[9]}]
set_output_delay -clock nubus_clk -min -6.370 [get_ports {ad_3v3_n[9]}]
set_output_delay -clock nubus_clk -max 53.340 [get_ports {ad_3v3_n[9]}]
set_input_delay -clock nubus_clk -min 2.139 [get_ports {ad_3v3_n[8]}]
set_input_delay -clock nubus_clk -max 52.102 [get_ports {ad_3v3_n[8]}]
set_output_delay -clock nubus_clk -min -6.420 [get_ports {ad_3v3_n[8]}]
set_output_delay -clock nubus_clk -max 53.273 [get_ports {ad_3v3_n[8]}]
set_input_delay -clock nubus_clk -min 2.168 [get_ports {ad_3v3_n[7]}]
set_input_delay -clock nubus_clk -max 52.141 [get_ports {ad_3v3_n[7]}]
set_output_delay -clock nubus_clk -min -6.391 [get_ports {ad_3v3_n[7]}]
set_output_delay -clock nubus_clk -max 53.312 [get_ports {ad_3v3_n[7]}]
set_input_delay -clock nubus_clk -min 2.136 [get_ports {ad_3v3_n[6]}]
set_input_delay -clock nubus_clk -max 52.097 [get_ports {ad_3v3_n[6]}]
set_output_delay -clock nubus_clk -min -6.423 [get_ports {ad_3v3_n[6]}]
set_output_delay -clock nubus_clk -max 53.269 [get_ports {ad_3v3_n[6]}]
set_input_delay -clock nubus_clk -min 2.181 [get_ports {ad_3v3_n[5]}]
set_input_delay -clock nubus_clk -max 52.158 [get_ports {ad_3v3_n[5]}]
set_output_delay -clock nubus_clk -min -6.378 [get_ports {ad_3v3_n[5]}]
set_output_delay -clock nubus_clk -max 53.329 [get_ports {ad_3v3_n[5]}]
set_input_delay -clock nubus_clk -min 2.156 [get_ports {ad_3v3_n[4]}]
set_input_delay -clock nubus_clk -max 52.124 [get_ports {ad_3v3_n[4]}]
set_output_delay -clock nubus_clk -min -6.403 [get_ports {ad_3v3_n[4]}]
set_output_delay -clock nubus_clk -max 53.295 [get_ports {ad_3v3_n[4]}]
set_input_delay -clock nubus_clk -min 2.209 [get_ports {ad_3v3_n[3]}]
set_input_delay -clock nubus_clk -max 52.195 [get_ports {ad_3v3_n[3]}]
set_output_delay -clock nubus_clk -min -6.350 [get_ports {ad_3v3_n[3]}]
set_output_delay -clock nubus_clk -max 53.366 [get_ports {ad_3v3_n[3]}]
set_input_delay -clock nubus_clk -min 2.163 [get_ports {ad_3v3_n[2]}]
set_input_delay -clock nubus_clk -max 52.134 [get_ports {ad_3v3_n[2]}]
set_output_delay -clock nubus_clk -min -6.396 [get_ports {ad_3v3_n[2]}]
set_output_delay -clock nubus_clk -max 53.305 [get_ports {ad_3v3_n[2]}]
set_input_delay -clock nubus_clk -min 2.307 [get_ports {ad_3v3_n[1]}]
set_input_delay -clock nubus_clk -max 52.326 [get_ports {ad_3v3_n[1]}]
set_output_delay -clock nubus_clk -min -6.252 [get_ports {ad_3v3_n[1]}]
set_output_delay -clock nubus_clk -max 53.497 [get_ports {ad_3v3_n[1]}]
set_input_delay -clock nubus_clk -min 2.257 [get_ports {ad_3v3_n[0]}]
set_input_delay -clock nubus_clk -max 52.259 [get_ports {ad_3v3_n[0]}]
set_output_delay -clock nubus_clk -min -6.302 [get_ports {ad_3v3_n[0]}]
set_output_delay -clock nubus_clk -max 53.430 [get_ports {ad_3v3_n[0]}]
set_input_delay -clock nubus_clk -min 2.742 [get_ports {tm2_3v3_n}]
set_input_delay -clock nubus_clk -max 52.906 [get_ports {tm2_3v3_n}]
set_output_delay -clock nubus_clk -min -5.817 [get_ports {tm2_3v3_n}]
set_output_delay -clock nubus_clk -max 54.078 [get_ports {tm2_3v3_n}]