From 9ce702debb959e97b283bb4b0b6bb97ff75d1945 Mon Sep 17 00:00:00 2001 From: Romain Dolbeau Date: Tue, 1 Nov 2022 09:45:57 +0100 Subject: [PATCH] update xdc --- .../nubus-to-ztex-pin-signal.xdc | 58 +++++++++---------- 1 file changed, 29 insertions(+), 29 deletions(-) diff --git a/nubus-to-ztex-gateware/nubus-to-ztex-pin-signal.xdc b/nubus-to-ztex-gateware/nubus-to-ztex-pin-signal.xdc index 8ba50fc..06a3cfa 100644 --- a/nubus-to-ztex-gateware/nubus-to-ztex-pin-signal.xdc +++ b/nubus-to-ztex-gateware/nubus-to-ztex-pin-signal.xdc @@ -26,7 +26,7 @@ set_property BITSTREAM.GENERAL.COMPRESS true [current_design] #### AB # AB TOP LEFT (12) -set_property PACKAGE_PIN K16 [get_ports {rqst_n}] +set_property PACKAGE_PIN K16 [get_ports {nmrq_n}] set_property PACKAGE_PIN K15 [get_ports {start_n}] set_property PACKAGE_PIN J15 [get_ports {start_oe_n}] set_property PACKAGE_PIN H15 [get_ports {start_o_n}] @@ -55,7 +55,7 @@ set_property PACKAGE_PIN A14 [get_ports {ad_n[1]}] set_property PACKAGE_PIN B11 [get_ports {usbh0_p}] # AB TOP RIGHT (12) -set_property PACKAGE_PIN J18 [get_ports {nmrq_n}] +set_property PACKAGE_PIN J18 [get_ports {rqst_n}] set_property PACKAGE_PIN J17 [get_ports {ack_n}] set_property PACKAGE_PIN K13 [get_ports {rsqt_o_n}] set_property PACKAGE_PIN J13 [get_ports {ack_oe_n}] @@ -90,14 +90,14 @@ set_property PACKAGE_PIN U8 [get_ports {id_n[3]}] set_property PACKAGE_PIN U7 [get_ports {id_n[0]}] set_property PACKAGE_PIN U6 [get_ports {arb_n[3]}] set_property PACKAGE_PIN T8 [get_ports {arb_n[0]}] -set_property PACKAGE_PIN R8 [get_ports {PMOD6}] -set_property PACKAGE_PIN R7 [get_ports {PMOD5}] -set_property PACKAGE_PIN T6 [get_ports {PMOD8}] -set_property PACKAGE_PIN R6 [get_ports {PMOD7}] -set_property PACKAGE_PIN R5 [get_ports {PMOD10}] -set_property PACKAGE_PIN V2 [get_ports {PMOD9}] -set_property PACKAGE_PIN U2 [get_ports {PMOD12}] -set_property PACKAGE_PIN K6 [get_ports {PMOD11}] +set_property PACKAGE_PIN R8 [get_ports {tmx_oe_n}] +set_property PACKAGE_PIN R7 [get_ports {tm_o_n[1]}] +set_property PACKAGE_PIN T6 [get_ports {tm_o_n[0]}] +set_property PACKAGE_PIN R6 [get_ports {tm2_oe_n}] +set_property PACKAGE_PIN R5 [get_ports {tm_o_n[2]}] +set_property PACKAGE_PIN V2 [get_ports {tm_n[1]}] +set_property PACKAGE_PIN U2 [get_ports {tm_n[0]}] +set_property PACKAGE_PIN K6 [get_ports {tm_n[2]}] # CD BOTTOM LEFT (12) set_property PACKAGE_PIN N6 [get_ports {hdmi_hpd_a}] @@ -120,25 +120,25 @@ set_property PACKAGE_PIN V6 [get_ports {id_n[1]}] set_property PACKAGE_PIN V5 [get_ports {arb_n[2]}] set_property PACKAGE_PIN V4 [get_ports {arb_n[1]}] set_property PACKAGE_PIN T5 [get_ports {clk2x_n}] -set_property PACKAGE_PIN T4 [get_ports {SD_D1}] -set_property PACKAGE_PIN U4 [get_ports {SD_D0}] -set_property PACKAGE_PIN U3 [get_ports {SD_CLK}] -set_property PACKAGE_PIN V1 [get_ports {SD_CMD}] -set_property PACKAGE_PIN U1 [get_ports {SD_D3}] -set_property PACKAGE_PIN T3 [get_ports {SD_D2}] -set_property PACKAGE_PIN R3 [get_ports {tmx_oe_n}] +set_property PACKAGE_PIN T4 [get_ports {SD_D2}] +set_property PACKAGE_PIN U4 [get_ports {SD_D3}] +set_property PACKAGE_PIN U3 [get_ports {SD_CMD}] +set_property PACKAGE_PIN V1 [get_ports {SD_CLK}] +set_property PACKAGE_PIN U1 [get_ports {SD_D0}] +set_property PACKAGE_PIN T3 [get_ports {SD_D1}] +set_property PACKAGE_PIN R3 [get_ports {reset_n}] # CD BOTTOM RIGHT (12) -set_property PACKAGE_PIN P5 [get_ports {tm_n[0]}] -set_property PACKAGE_PIN N5 [get_ports {tm_n[1]}] -set_property PACKAGE_PIN P4 [get_ports {tm_n_o[1]}] -set_property PACKAGE_PIN P3 [get_ports {tm_n_o[0]}] -set_property PACKAGE_PIN T1 [get_ports {tm2_oe_n}] -set_property PACKAGE_PIN R1 [get_ports {tm_o_n[2]}] -set_property PACKAGE_PIN R2 [get_ports {tm_n[2]}] -set_property PACKAGE_PIN P2 [get_ports {reset_n}] -set_property PACKAGE_PIN N2 [get_ports {led[0]}] -set_property PACKAGE_PIN N1 [get_ports {led[1]}] -set_property PACKAGE_PIN M1 [get_ports {led[2]}] -set_property PACKAGE_PIN L1 [get_ports {led[3]}] +set_property PACKAGE_PIN P5 [get_ports {PMOD15}] +set_property PACKAGE_PIN N5 [get_ports {PMOD16}] +set_property PACKAGE_PIN P4 [get_ports {PMOD13}] +set_property PACKAGE_PIN P3 [get_ports {PMOD14}] +set_property PACKAGE_PIN T1 [get_ports {PMOD11}] +set_property PACKAGE_PIN R1 [get_ports {PMOD12}] +set_property PACKAGE_PIN R2 [get_ports {PMOD9}] +set_property PACKAGE_PIN P2 [get_ports {PMOD10}] +set_property PACKAGE_PIN N2 [get_ports {PMOD7}] +set_property PACKAGE_PIN N1 [get_ports {PMOD8}] +set_property PACKAGE_PIN M1 [get_ports {PMOD5}] +set_property PACKAGE_PIN L1 [get_ports {PMOD6}]