cleanups, alternative MPN for 2x32 headers (TBC)

This commit is contained in:
Romain Dolbeau 2022-09-24 08:06:10 +02:00
parent a1716418f8
commit e1be4beb2d
30 changed files with 6111 additions and 5847 deletions

View File

@ -25,8 +25,9 @@ F 0 "JAB1" H 1825 4375 50 0000 C CNN
F 1 "ZTEX_AB-ztex_AB" H 1825 4284 50 0000 C CNN
F 2 "For_SeeedStudio:PinHeader_2x32_P2.54mm_Vertical_For_SeeedStudio" H 1800 2650 50 0001 C CNN
F 3 "" H 1800 2650 50 0001 C CNN
F 4 "10-89-7642" H 1800 2650 50 0001 C CNN "MPN"
F 4 "10-89-7642" H 1800 2650 50 0001 C CNN "MPN-ALT"
F 5 "https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D" H 1800 2650 50 0001 C CNN "URL"
F 6 "X6521WV-2x32H-C30D60" H 1800 2650 50 0001 C CNN "MPN"
1 1800 2650
1 0 0 -1
$EndComp
@ -96,8 +97,9 @@ F 0 "JCD1" H 7650 4375 50 0000 C CNN
F 1 "ZTEX_CD-ztex_CD" H 7650 4284 50 0000 C CNN
F 2 "For_SeeedStudio:PinHeader_2x32_P2.54mm_Vertical_For_SeeedStudio" H 7600 2650 50 0001 C CNN
F 3 "" H 7600 2650 50 0001 C CNN
F 4 "10-89-7642" H 7600 2650 50 0001 C CNN "MPN"
F 4 "10-89-7642" H 7600 2650 50 0001 C CNN "MPN-ALT"
F 5 "https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D" H 7600 2650 50 0001 C CNN "URL"
F 6 "X6521WV-2x32H-C30D60" H 7600 2650 50 0001 C CNN "MPN"
1 7600 2650
1 0 0 -1
$EndComp

View File

@ -261,7 +261,7 @@ F 0 "J5" H 7079 2596 50 0000 L CNN
F 1 "HDMI_A" H 7079 2505 50 0000 L CNN
F 2 "For_SeeedStudio:HDMI_A_Amphenol_10029449-111" H 6675 2550 50 0001 C CNN
F 3 "https://en.wikipedia.org/wiki/HDMI" H 6675 2550 50 0001 C CNN
F 4 "10029449-111" H 6650 2550 50 0001 C CNN "MPN"
F 4 "10029449-111RLF" H 6650 2550 50 0001 C CNN "MPN"
F 5 "https://www2.mouser.com/ProductDetail/Amphenol-FCI/10029449-111RLF?qs=fmpTyLOWOey0HPdD9%2F%2FaXA%3D%3D" H 6650 2550 50 0001 C CNN "URL-ALT"
F 6 "https://lcsc.com/product-detail/D-Sub-DVI-HDMI-Connectors_Amphenol-ICC-10029449-111RLF_C427307.html" H 6650 2550 50 0001 C CNN "URL"
1 6650 2550

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L4,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*
@ -1101,6 +1101,9 @@ X195480000Y-15062500D03*
X185500000Y-15052500D03*
X168650000Y-91575000D03*
X118534670Y-98720000D03*
X240200000Y-27500000D03*
X117200000Y-72200000D03*
X168357500Y-78180000D03*
G04 #@! TO.N,+3V3*
X135450000Y-74225000D03*
X248080000Y-63750000D03*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L1,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*
@ -12800,6 +12800,9 @@ X195480000Y-15062500D03*
X185500000Y-15052500D03*
X168650000Y-91575000D03*
X118534670Y-98720000D03*
X240200000Y-27500000D03*
X117200000Y-72200000D03*
X168357500Y-78180000D03*
G04 #@! TO.N,+3V3*
X135450000Y-74225000D03*
X248080000Y-63750000D03*
@ -13832,20 +13835,16 @@ X135200000Y-94674670D02*
X132401452Y-91876122D01*
X131697842Y-85219591D02*
X133507813Y-83409620D01*
X141238601Y-75678832D02*
X141238601Y-75600000D01*
X141238601Y-77823531D02*
X141238601Y-75600000D01*
X133507813Y-83409620D02*
X135652513Y-83409619D01*
X141238601Y-75600000D02*
X141238601Y-58013601D01*
X135652513Y-83409619D02*
X141238601Y-77823531D01*
X141225000Y-58000000D02*
X134151113Y-83409620D01*
X141225000Y-52527400D02*
X141225000Y-51600000D01*
X141238601Y-58013601D02*
X141225000Y-58000000D01*
X141238601Y-52541001D02*
X141225000Y-52527400D01*
X141238601Y-76322132D02*
X141238601Y-52541001D01*
X134151113Y-83409620D02*
X141238601Y-76322132D01*
G04 #@! TO.N,~ID3_5V*
X129000000Y-86121749D02*
X128097842Y-85219591D01*
@ -13857,18 +13856,18 @@ X132700000Y-94400000D02*
X129000000Y-90700000D01*
X129000000Y-90700000D02*
X129000000Y-86121749D01*
X130517433Y-82800000D02*
X135400000Y-82800000D01*
X128097842Y-85219591D02*
X130517433Y-82800000D01*
X138698601Y-79501399D02*
X138698601Y-57223601D01*
X135400000Y-82800000D02*
X138698601Y-79501399D01*
X139925000Y-55997202D02*
X139925000Y-51600000D01*
X138698601Y-57223601D02*
X139925000Y-55997202D01*
X138698601Y-78000000D02*
X138698601Y-57223601D01*
X130517433Y-82800000D02*
X128097842Y-85219591D01*
X133898601Y-82800000D02*
X130517433Y-82800000D01*
X138698601Y-78000000D02*
X133898601Y-82800000D01*
G04 #@! TO.N,~ACK_5V*
X126748411Y-96228411D02*
X120652014Y-96228410D01*
@ -14119,20 +14118,16 @@ X132792967Y-85924466D02*
X132792967Y-91212967D01*
X133497842Y-85219591D02*
X132792967Y-85924466D01*
X133959454Y-84757979D02*
X133497842Y-85219591D01*
X134917433Y-83800000D02*
X133497842Y-85219591D01*
X135693198Y-83800000D02*
X134917433Y-83800000D01*
X141543411Y-57887345D02*
X141543410Y-77949788D01*
X141543410Y-77949788D02*
X135693198Y-83800000D01*
X141875000Y-57555756D02*
X141875000Y-51600000D01*
X133497842Y-85219591D02*
X133497842Y-84493957D01*
X141543411Y-57887345D02*
X141875000Y-57555756D01*
X133497842Y-84493957D02*
X141543411Y-76448388D01*
X141543411Y-76448388D02*
X141543411Y-57887345D01*
G04 #@! TO.N,~ID2_5V*
X130601452Y-91561452D02*
X133960000Y-94920000D01*
@ -14142,18 +14137,18 @@ X129897842Y-85219591D02*
X130601452Y-85923201D01*
X130359454Y-84757979D02*
X129897842Y-85219591D01*
X135526256Y-83104810D02*
X132012623Y-83104810D01*
X132012623Y-83104810D02*
X129897842Y-85219591D01*
X139003411Y-57349857D02*
X139003410Y-79627656D01*
X139003410Y-79627656D02*
X135526256Y-83104810D01*
X140575000Y-55778268D02*
X140575000Y-51600000D01*
X139003411Y-57349857D02*
X140575000Y-55778268D01*
X134024858Y-83104809D02*
X139003411Y-78126256D01*
X139003411Y-78126256D02*
X139003411Y-57349857D01*
X132012623Y-83104810D02*
X134024858Y-83104809D01*
G04 #@! TO.N,~START_5V*
X119650000Y-94795330D02*
X119650000Y-90730000D01*
@ -14220,18 +14215,14 @@ X123840134Y-45877042D02*
X125200000Y-45877042D01*
X140250000Y-30090000D02*
X140160000Y-30000000D01*
X140160000Y-31202081D02*
X140541244Y-31583325D01*
X140160000Y-30000000D02*
X140160000Y-31202081D01*
X142525000Y-34362868D02*
X142525000Y-45072600D01*
X140541244Y-32379112D02*
X140160000Y-31997868D02*
X142525000Y-34362868D01*
X142525000Y-45072600D02*
X142525000Y-46000000D01*
X140541244Y-31583325D02*
X140541244Y-32379112D01*
X140160000Y-30000000D02*
X140160000Y-31997868D01*
G04 #@! TO.N,~CLK_3V3*
X135450000Y-78800000D02*
X136975000Y-78800000D01*
@ -17629,20 +17620,16 @@ X145125000Y-46000000D01*
X146318601Y-31381399D02*
X145125000Y-32575000D01*
G04 #@! TO.N,~ARB2_3V3*
X143175000Y-45072600D02*
X143175000Y-46000000D01*
X143175000Y-34581802D02*
X143175000Y-45072600D01*
X142041244Y-33448046D02*
X143175000Y-34581802D01*
X142700000Y-27460000D02*
X141621399Y-28538601D01*
X141621399Y-28538601D02*
X141621399Y-30517729D01*
X141621399Y-30517729D02*
X142041244Y-30937574D01*
X142041244Y-30937574D02*
X142041244Y-33448046D01*
X141621399Y-33028201D01*
X143175000Y-45072600D02*
X143175000Y-46000000D01*
X141621399Y-33028201D02*
X143175000Y-34581802D01*
X143175000Y-34581802D02*
X143175000Y-45072600D01*
G04 #@! TO.N,~ARB3_3V3*
X143825000Y-45072600D02*
X143825000Y-46000000D01*
@ -20065,6 +20052,65 @@ X201699418Y-63148839D01*
X201876043Y-63030822D01*
X201911464Y-63208895D01*
G36*
X126533748Y-97445858D02*
X126519605Y-97460000D01*
X126655697Y-97596092D01*
X126673665Y-97686426D01*
X126673666Y-97686427D01*
X126791177Y-97862295D01*
X126791179Y-97862297D01*
X126830855Y-97921676D01*
X126890235Y-97961353D01*
X127845849Y-98916968D01*
X127885525Y-98976347D01*
X127899963Y-98985995D01*
X127684659Y-99201299D01*
X127610000Y-99381542D01*
X127535341Y-99201299D01*
X127138701Y-98804659D01*
X126974246Y-98736539D01*
X127078965Y-98693163D01*
X127150028Y-98449633D01*
X126340000Y-97639605D01*
X126325858Y-97653748D01*
X126146253Y-97474143D01*
X126160395Y-97460000D01*
X126146253Y-97445858D01*
X126325858Y-97266253D01*
X126340000Y-97280395D01*
X126354143Y-97266253D01*
X126533748Y-97445858D01*
X126533748Y-97445858D01*
G37*
X126533748Y-97445858D02*
X126519605Y-97460000D01*
X126655697Y-97596092D01*
X126673665Y-97686426D01*
X126673666Y-97686427D01*
X126791177Y-97862295D01*
X126791179Y-97862297D01*
X126830855Y-97921676D01*
X126890235Y-97961353D01*
X127845849Y-98916968D01*
X127885525Y-98976347D01*
X127899963Y-98985995D01*
X127684659Y-99201299D01*
X127610000Y-99381542D01*
X127535341Y-99201299D01*
X127138701Y-98804659D01*
X126974246Y-98736539D01*
X127078965Y-98693163D01*
X127150028Y-98449633D01*
X126340000Y-97639605D01*
X126325858Y-97653748D01*
X126146253Y-97474143D01*
X126160395Y-97460000D01*
X126146253Y-97445858D01*
X126325858Y-97266253D01*
X126340000Y-97280395D01*
X126354143Y-97266253D01*
X126533748Y-97445858D01*
G36*
X158164659Y-95718701D02*
X158561299Y-96115341D01*
X158725754Y-96183461D01*
@ -20369,65 +20415,6 @@ X198655341Y-95718701D01*
X198730000Y-95538458D01*
X198804659Y-95718701D01*
G36*
X126533748Y-97445858D02*
X126519605Y-97460000D01*
X126655697Y-97596092D01*
X126673665Y-97686426D01*
X126673666Y-97686427D01*
X126791177Y-97862295D01*
X126791179Y-97862297D01*
X126830855Y-97921676D01*
X126890235Y-97961353D01*
X127845849Y-98916968D01*
X127885525Y-98976347D01*
X127899963Y-98985995D01*
X127684659Y-99201299D01*
X127610000Y-99381542D01*
X127535341Y-99201299D01*
X127138701Y-98804659D01*
X126974246Y-98736539D01*
X127078965Y-98693163D01*
X127150028Y-98449633D01*
X126340000Y-97639605D01*
X126325858Y-97653748D01*
X126146253Y-97474143D01*
X126160395Y-97460000D01*
X126146253Y-97445858D01*
X126325858Y-97266253D01*
X126340000Y-97280395D01*
X126354143Y-97266253D01*
X126533748Y-97445858D01*
X126533748Y-97445858D01*
G37*
X126533748Y-97445858D02*
X126519605Y-97460000D01*
X126655697Y-97596092D01*
X126673665Y-97686426D01*
X126673666Y-97686427D01*
X126791177Y-97862295D01*
X126791179Y-97862297D01*
X126830855Y-97921676D01*
X126890235Y-97961353D01*
X127845849Y-98916968D01*
X127885525Y-98976347D01*
X127899963Y-98985995D01*
X127684659Y-99201299D01*
X127610000Y-99381542D01*
X127535341Y-99201299D01*
X127138701Y-98804659D01*
X126974246Y-98736539D01*
X127078965Y-98693163D01*
X127150028Y-98449633D01*
X126340000Y-97639605D01*
X126325858Y-97653748D01*
X126146253Y-97474143D01*
X126160395Y-97460000D01*
X126146253Y-97445858D01*
X126325858Y-97266253D01*
X126340000Y-97280395D01*
X126354143Y-97266253D01*
X126533748Y-97445858D01*
G36*
X162093748Y-97445858D02*
X162079605Y-97460000D01*
X162093748Y-97474143D01*
@ -20486,35 +20473,6 @@ X172060000Y-97280395D01*
X172074143Y-97266253D01*
X172253748Y-97445858D01*
G36*
X154473748Y-97445858D02*
X154459605Y-97460000D01*
X154473748Y-97474143D01*
X154294143Y-97653748D01*
X154280000Y-97639605D01*
X154265858Y-97653748D01*
X154086253Y-97474143D01*
X154100395Y-97460000D01*
X154086253Y-97445858D01*
X154265858Y-97266253D01*
X154280000Y-97280395D01*
X154294143Y-97266253D01*
X154473748Y-97445858D01*
X154473748Y-97445858D01*
G37*
X154473748Y-97445858D02*
X154459605Y-97460000D01*
X154473748Y-97474143D01*
X154294143Y-97653748D01*
X154280000Y-97639605D01*
X154265858Y-97653748D01*
X154086253Y-97474143D01*
X154100395Y-97460000D01*
X154086253Y-97445858D01*
X154265858Y-97266253D01*
X154280000Y-97280395D01*
X154294143Y-97266253D01*
X154473748Y-97445858D01*
G36*
X151933748Y-97445858D02*
X151919605Y-97460000D01*
X151933748Y-97474143D01*
@ -20544,34 +20502,34 @@ X151740000Y-97280395D01*
X151754143Y-97266253D01*
X151933748Y-97445858D01*
G36*
X149393748Y-97445858D02*
X149379605Y-97460000D01*
X149393748Y-97474143D01*
X149214143Y-97653748D01*
X149200000Y-97639605D01*
X149185858Y-97653748D01*
X149006253Y-97474143D01*
X149020395Y-97460000D01*
X149006253Y-97445858D01*
X149185858Y-97266253D01*
X149200000Y-97280395D01*
X149214143Y-97266253D01*
X149393748Y-97445858D01*
X149393748Y-97445858D01*
X154473748Y-97445858D02*
X154459605Y-97460000D01*
X154473748Y-97474143D01*
X154294143Y-97653748D01*
X154280000Y-97639605D01*
X154265858Y-97653748D01*
X154086253Y-97474143D01*
X154100395Y-97460000D01*
X154086253Y-97445858D01*
X154265858Y-97266253D01*
X154280000Y-97280395D01*
X154294143Y-97266253D01*
X154473748Y-97445858D01*
X154473748Y-97445858D01*
G37*
X149393748Y-97445858D02*
X149379605Y-97460000D01*
X149393748Y-97474143D01*
X149214143Y-97653748D01*
X149200000Y-97639605D01*
X149185858Y-97653748D01*
X149006253Y-97474143D01*
X149020395Y-97460000D01*
X149006253Y-97445858D01*
X149185858Y-97266253D01*
X149200000Y-97280395D01*
X149214143Y-97266253D01*
X149393748Y-97445858D01*
X154473748Y-97445858D02*
X154459605Y-97460000D01*
X154473748Y-97474143D01*
X154294143Y-97653748D01*
X154280000Y-97639605D01*
X154265858Y-97653748D01*
X154086253Y-97474143D01*
X154100395Y-97460000D01*
X154086253Y-97445858D01*
X154265858Y-97266253D01*
X154280000Y-97280395D01*
X154294143Y-97266253D01*
X154473748Y-97445858D01*
G36*
X123993748Y-97445858D02*
X123979605Y-97460000D01*
@ -20602,6 +20560,35 @@ X123800000Y-97280395D01*
X123814143Y-97266253D01*
X123993748Y-97445858D01*
G36*
X149393748Y-97445858D02*
X149379605Y-97460000D01*
X149393748Y-97474143D01*
X149214143Y-97653748D01*
X149200000Y-97639605D01*
X149185858Y-97653748D01*
X149006253Y-97474143D01*
X149020395Y-97460000D01*
X149006253Y-97445858D01*
X149185858Y-97266253D01*
X149200000Y-97280395D01*
X149214143Y-97266253D01*
X149393748Y-97445858D01*
X149393748Y-97445858D01*
G37*
X149393748Y-97445858D02*
X149379605Y-97460000D01*
X149393748Y-97474143D01*
X149214143Y-97653748D01*
X149200000Y-97639605D01*
X149185858Y-97653748D01*
X149006253Y-97474143D01*
X149020395Y-97460000D01*
X149006253Y-97445858D01*
X149185858Y-97266253D01*
X149200000Y-97280395D01*
X149214143Y-97266253D01*
X149393748Y-97445858D01*
G36*
X164633748Y-97445858D02*
X164619605Y-97460000D01*
X164633748Y-97474143D01*
@ -24773,7 +24760,7 @@ X113159134Y-82234012D01*
X113099754Y-82273689D01*
X113060078Y-82333068D01*
X113060076Y-82333070D01*
X112992053Y-82434874D01*
X112992054Y-82434873D01*
X112942564Y-82508939D01*
X112901300Y-82716389D01*
X112901300Y-82716393D01*
@ -28521,7 +28508,7 @@ X113159134Y-82234012D01*
X113099754Y-82273689D01*
X113060078Y-82333068D01*
X113060076Y-82333070D01*
X112992053Y-82434874D01*
X112992054Y-82434873D01*
X112942564Y-82508939D01*
X112901300Y-82716389D01*
X112901300Y-82716393D01*
@ -30396,16 +30383,16 @@ X149874611Y-63201177D01*
X149896029Y-63179759D01*
X150173744Y-63235000D01*
G36*
X148822998Y-48593748D02*
X148806690Y-48577440D01*
X148822998Y-48577440D01*
X148822998Y-48593748D01*
X148822998Y-48593748D01*
X149077002Y-48593748D02*
X149077002Y-48577440D01*
X149093310Y-48577440D01*
X149077002Y-48593748D01*
X149077002Y-48593748D01*
G37*
X148822998Y-48593748D02*
X148806690Y-48577440D01*
X148822998Y-48577440D01*
X148822998Y-48593748D01*
X149077002Y-48593748D02*
X149077002Y-48577440D01*
X149093310Y-48577440D01*
X149077002Y-48593748D01*
G36*
X150977002Y-48593748D02*
X150977002Y-48577440D01*
@ -30418,27 +30405,27 @@ X150977002Y-48577440D01*
X150993310Y-48577440D01*
X150977002Y-48593748D01*
G36*
X149077002Y-48593748D02*
X149077002Y-48577440D01*
X149093310Y-48577440D01*
X149077002Y-48593748D01*
X149077002Y-48593748D01*
X150722998Y-48593748D02*
X150706690Y-48577440D01*
X150722998Y-48577440D01*
X150722998Y-48593748D01*
X150722998Y-48593748D01*
G37*
X149077002Y-48593748D02*
X149077002Y-48577440D01*
X149093310Y-48577440D01*
X149077002Y-48593748D01*
X150722998Y-48593748D02*
X150706690Y-48577440D01*
X150722998Y-48577440D01*
X150722998Y-48593748D01*
G36*
X150722998Y-48593748D02*
X150706690Y-48577440D01*
X150722998Y-48577440D01*
X150722998Y-48593748D01*
X150722998Y-48593748D01*
X148822998Y-48593748D02*
X148806690Y-48577440D01*
X148822998Y-48577440D01*
X148822998Y-48593748D01*
X148822998Y-48593748D01*
G37*
X150722998Y-48593748D02*
X150706690Y-48577440D01*
X150722998Y-48577440D01*
X150722998Y-48593748D01*
X148822998Y-48593748D02*
X148806690Y-48577440D01*
X148822998Y-48577440D01*
X148822998Y-48593748D01*
G36*
X132723984Y-26109773D02*
X132667000Y-26139845D01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Top*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*
@ -929,31 +929,6 @@ X262278571Y-69178571D01*
X262278571Y-70678571D01*
X262992857Y-70678571D02*
X262992857Y-69178571D01*
X253407142Y-47178571D02*
X253907142Y-48678571D01*
X254407142Y-47178571D01*
X255692857Y-47250000D02*
X255550000Y-47178571D01*
X255335714Y-47178571D01*
X255121428Y-47250000D01*
X254978571Y-47392857D01*
X254907142Y-47535714D01*
X254835714Y-47821428D01*
X254835714Y-48035714D01*
X254907142Y-48321428D01*
X254978571Y-48464285D01*
X255121428Y-48607142D01*
X255335714Y-48678571D01*
X255478571Y-48678571D01*
X255692857Y-48607142D01*
X255764285Y-48535714D01*
X255764285Y-48035714D01*
X255478571Y-48035714D01*
X256335714Y-48250000D02*
X257050000Y-48250000D01*
X256192857Y-48678571D02*
X256692857Y-47178571D01*
X257192857Y-48678571D01*
X191318571Y-72428571D02*
X192318571Y-72428571D01*
X191318571Y-73928571D01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L2,Inr*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*
@ -829,6 +829,9 @@ X195480000Y-15062500D03*
X185500000Y-15052500D03*
X168650000Y-91575000D03*
X118534670Y-98720000D03*
X240200000Y-27500000D03*
X117200000Y-72200000D03*
X168357500Y-78180000D03*
G04 #@! TO.N,+3V3*
X135450000Y-74225000D03*
X248080000Y-63750000D03*
@ -1110,7 +1113,7 @@ D25*
X257030000Y-39710000D02*
X256970000Y-39770000D01*
X168357500Y-74270000D02*
X168357500Y-79750000D01*
X168357500Y-78180000D01*
X145300000Y-88200000D02*
X145400000Y-88100000D01*
D26*
@ -1267,7 +1270,7 @@ X208740000Y-30000000D02*
X208740000Y-27460000D01*
D25*
X240200000Y-27500000D02*
X242870000Y-30170000D01*
X240200000Y-27500000D01*
D28*
X228230000Y-27500000D02*
X240200000Y-27500000D01*
@ -1388,7 +1391,7 @@ X179080000Y-48800000D01*
X123500000Y-65900000D02*
X127500000Y-65900000D01*
X117200000Y-72200000D02*
X123500000Y-65900000D01*
X117200000Y-72200000D01*
X117200000Y-84780000D02*
X117200000Y-72200000D01*
X149922500Y-14352500D02*
@ -1519,6 +1522,13 @@ X118534670Y-98720000D02*
X122540000Y-98720000D01*
X158100000Y-97460000D02*
X158100000Y-102800000D01*
D25*
X240200000Y-27500000D02*
X242870000Y-30170000D01*
X117200000Y-72200000D02*
X123500000Y-65900000D01*
X168357500Y-78180000D02*
X168357500Y-79750000D01*
D26*
G04 #@! TO.N,SHIELD*
X270200000Y-55050000D02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2022-06-30T13:02:46+02:00*
G04 #@! TF.CreationDate,2022-09-24T08:05:06+02:00*
G04 #@! TF.ProjectId,nubus-to-ztex,6e756275-732d-4746-9f2d-7a7465782e6b,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L3,Inr*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Thu Jun 30 13:02:46 2022*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Sat Sep 24 08:05:06 2022*
%MOMM*%
%LPD*%
G01*
@ -829,6 +829,9 @@ X195480000Y-15062500D03*
X185500000Y-15052500D03*
X168650000Y-91575000D03*
X118534670Y-98720000D03*
X240200000Y-27500000D03*
X117200000Y-72200000D03*
X168357500Y-78180000D03*
G04 #@! TO.N,+3V3*
X135450000Y-74225000D03*
X248080000Y-63750000D03*
@ -1985,6 +1988,24 @@ X155943780Y-80627431D01*
X156234931Y-80336280D01*
X156392500Y-79955874D01*
X156392500Y-79544126D01*
X156234931Y-79163720D01*
X155943780Y-78872569D01*
X155563374Y-78715000D01*
X155151626Y-78715000D01*
X154771220Y-78872569D01*
X154480069Y-79163720D01*
X154322500Y-79544126D01*
X137694585Y-79544126D01*
X137852431Y-79386280D01*
X138010000Y-79005874D01*
X138010000Y-78594126D01*
X137852431Y-78213720D01*
X137612837Y-77974126D01*
X167322500Y-77974126D01*
X167322500Y-78385874D01*
X167480069Y-78766280D01*
X167678789Y-78965000D01*
X167480069Y-79163720D01*
X167322500Y-79544126D01*
X167322500Y-79955874D01*
X167480069Y-80336280D01*
@ -2014,26 +2035,10 @@ X178980069Y-79163720D01*
X178822500Y-79544126D01*
X169392500Y-79544126D01*
X169234931Y-79163720D01*
X168943780Y-78872569D01*
X168563374Y-78715000D01*
X168151626Y-78715000D01*
X167771220Y-78872569D01*
X167480069Y-79163720D01*
X167322500Y-79544126D01*
X156392500Y-79544126D01*
X156234931Y-79163720D01*
X155943780Y-78872569D01*
X155563374Y-78715000D01*
X155151626Y-78715000D01*
X154771220Y-78872569D01*
X154480069Y-79163720D01*
X154322500Y-79544126D01*
X137694585Y-79544126D01*
X137852431Y-79386280D01*
X138010000Y-79005874D01*
X138010000Y-78594126D01*
X137852431Y-78213720D01*
X137742402Y-78103691D01*
X169036211Y-78965000D01*
X169234931Y-78766280D01*
X169392500Y-78385874D01*
X169392500Y-78103691D01*
X201345000Y-78103691D01*
X201345000Y-78794250D01*
X201503750Y-78953000D01*
@ -2077,7 +2082,16 @@ X201853690Y-77595000D01*
X201620301Y-77691673D01*
X201441673Y-77870302D01*
X201345000Y-78103691D01*
X137742402Y-78103691D01*
X169392500Y-78103691D01*
X169392500Y-77974126D01*
X169234931Y-77593720D01*
X168943780Y-77302569D01*
X168563374Y-77145000D01*
X168151626Y-77145000D01*
X167771220Y-77302569D01*
X167480069Y-77593720D01*
X167322500Y-77974126D01*
X137612837Y-77974126D01*
X137561280Y-77922569D01*
X137180874Y-77765000D01*
X136769126Y-77765000D01*
@ -2120,7 +2134,7 @@ X124480050Y-75022569D01*
X124188899Y-75313720D01*
X124031330Y-75694126D01*
X113706678Y-75694126D01*
X113704295Y-73594126D01*
X113704294Y-73594126D01*
X183665000Y-73594126D01*
X183665000Y-74005874D01*
X183822569Y-74386280D01*
@ -2154,8 +2168,27 @@ X184494126Y-72765000D01*
X184113720Y-72922569D01*
X183822569Y-73213720D01*
X183665000Y-73594126D01*
X113704295Y-73594126D01*
X113700152Y-69944126D01*
X113704294Y-73594126D01*
X113702477Y-71994126D01*
X116165000Y-71994126D01*
X116165000Y-72405874D01*
X116322569Y-72786280D01*
X116613720Y-73077431D01*
X116994126Y-73235000D01*
X117405874Y-73235000D01*
X117786280Y-73077431D01*
X118077431Y-72786280D01*
X118235000Y-72405874D01*
X118235000Y-71994126D01*
X118077431Y-71613720D01*
X117786280Y-71322569D01*
X117405874Y-71165000D01*
X116994126Y-71165000D01*
X116613720Y-71322569D01*
X116322569Y-71613720D01*
X116165000Y-71994126D01*
X113702477Y-71994126D01*
X113700151Y-69944126D01*
X134365000Y-69944126D01*
X134365000Y-70355874D01*
X134522569Y-70736280D01*
@ -2283,7 +2316,7 @@ X135194126Y-69115000D01*
X134813720Y-69272569D01*
X134522569Y-69563720D01*
X134365000Y-69944126D01*
X113700152Y-69944126D01*
X113700151Y-69944126D01*
X113695214Y-65594126D01*
X141265000Y-65594126D01*
X141265000Y-66005874D01*
@ -3754,6 +3787,25 @@ X229268286Y-28695968D01*
X229362809Y-28632809D01*
X229503157Y-28422765D01*
X229552440Y-28175000D01*
X229552440Y-27294126D01*
X239165000Y-27294126D01*
X239165000Y-27705874D01*
X239322569Y-28086280D01*
X239613720Y-28377431D01*
X239994126Y-28535000D01*
X240405874Y-28535000D01*
X240786280Y-28377431D01*
X241077431Y-28086280D01*
X241235000Y-27705874D01*
X241235000Y-27294126D01*
X241077431Y-26913720D01*
X240786280Y-26622569D01*
X240405874Y-26465000D01*
X239994126Y-26465000D01*
X239613720Y-26622569D01*
X239322569Y-26913720D01*
X239165000Y-27294126D01*
X229552440Y-27294126D01*
X229552440Y-26825000D01*
X229503157Y-26577235D01*
X229362809Y-26367191D01*
@ -5050,6 +5102,24 @@ X155943780Y-80627431D01*
X156234931Y-80336280D01*
X156392500Y-79955874D01*
X156392500Y-79544126D01*
X156234931Y-79163720D01*
X155943780Y-78872569D01*
X155563374Y-78715000D01*
X155151626Y-78715000D01*
X154771220Y-78872569D01*
X154480069Y-79163720D01*
X154322500Y-79544126D01*
X137694585Y-79544126D01*
X137852431Y-79386280D01*
X138010000Y-79005874D01*
X138010000Y-78594126D01*
X137852431Y-78213720D01*
X137612837Y-77974126D01*
X167322500Y-77974126D01*
X167322500Y-78385874D01*
X167480069Y-78766280D01*
X167678789Y-78965000D01*
X167480069Y-79163720D01*
X167322500Y-79544126D01*
X167322500Y-79955874D01*
X167480069Y-80336280D01*
@ -5079,26 +5149,10 @@ X178980069Y-79163720D01*
X178822500Y-79544126D01*
X169392500Y-79544126D01*
X169234931Y-79163720D01*
X168943780Y-78872569D01*
X168563374Y-78715000D01*
X168151626Y-78715000D01*
X167771220Y-78872569D01*
X167480069Y-79163720D01*
X167322500Y-79544126D01*
X156392500Y-79544126D01*
X156234931Y-79163720D01*
X155943780Y-78872569D01*
X155563374Y-78715000D01*
X155151626Y-78715000D01*
X154771220Y-78872569D01*
X154480069Y-79163720D01*
X154322500Y-79544126D01*
X137694585Y-79544126D01*
X137852431Y-79386280D01*
X138010000Y-79005874D01*
X138010000Y-78594126D01*
X137852431Y-78213720D01*
X137742402Y-78103691D01*
X169036211Y-78965000D01*
X169234931Y-78766280D01*
X169392500Y-78385874D01*
X169392500Y-78103691D01*
X201345000Y-78103691D01*
X201345000Y-78794250D01*
X201503750Y-78953000D01*
@ -5142,7 +5196,16 @@ X201853690Y-77595000D01*
X201620301Y-77691673D01*
X201441673Y-77870302D01*
X201345000Y-78103691D01*
X137742402Y-78103691D01*
X169392500Y-78103691D01*
X169392500Y-77974126D01*
X169234931Y-77593720D01*
X168943780Y-77302569D01*
X168563374Y-77145000D01*
X168151626Y-77145000D01*
X167771220Y-77302569D01*
X167480069Y-77593720D01*
X167322500Y-77974126D01*
X137612837Y-77974126D01*
X137561280Y-77922569D01*
X137180874Y-77765000D01*
X136769126Y-77765000D01*
@ -5185,7 +5248,7 @@ X124480050Y-75022569D01*
X124188899Y-75313720D01*
X124031330Y-75694126D01*
X113706678Y-75694126D01*
X113704295Y-73594126D01*
X113704294Y-73594126D01*
X183665000Y-73594126D01*
X183665000Y-74005874D01*
X183822569Y-74386280D01*
@ -5219,8 +5282,27 @@ X184494126Y-72765000D01*
X184113720Y-72922569D01*
X183822569Y-73213720D01*
X183665000Y-73594126D01*
X113704295Y-73594126D01*
X113700152Y-69944126D01*
X113704294Y-73594126D01*
X113702477Y-71994126D01*
X116165000Y-71994126D01*
X116165000Y-72405874D01*
X116322569Y-72786280D01*
X116613720Y-73077431D01*
X116994126Y-73235000D01*
X117405874Y-73235000D01*
X117786280Y-73077431D01*
X118077431Y-72786280D01*
X118235000Y-72405874D01*
X118235000Y-71994126D01*
X118077431Y-71613720D01*
X117786280Y-71322569D01*
X117405874Y-71165000D01*
X116994126Y-71165000D01*
X116613720Y-71322569D01*
X116322569Y-71613720D01*
X116165000Y-71994126D01*
X113702477Y-71994126D01*
X113700151Y-69944126D01*
X134365000Y-69944126D01*
X134365000Y-70355874D01*
X134522569Y-70736280D01*
@ -5348,7 +5430,7 @@ X135194126Y-69115000D01*
X134813720Y-69272569D01*
X134522569Y-69563720D01*
X134365000Y-69944126D01*
X113700152Y-69944126D01*
X113700151Y-69944126D01*
X113695214Y-65594126D01*
X141265000Y-65594126D01*
X141265000Y-66005874D01*
@ -6819,6 +6901,25 @@ X229268286Y-28695968D01*
X229362809Y-28632809D01*
X229503157Y-28422765D01*
X229552440Y-28175000D01*
X229552440Y-27294126D01*
X239165000Y-27294126D01*
X239165000Y-27705874D01*
X239322569Y-28086280D01*
X239613720Y-28377431D01*
X239994126Y-28535000D01*
X240405874Y-28535000D01*
X240786280Y-28377431D01*
X241077431Y-28086280D01*
X241235000Y-27705874D01*
X241235000Y-27294126D01*
X241077431Y-26913720D01*
X240786280Y-26622569D01*
X240405874Y-26465000D01*
X239994126Y-26465000D01*
X239613720Y-26622569D01*
X239322569Y-26913720D01*
X239165000Y-27294126D01*
X229552440Y-27294126D01*
X229552440Y-26825000D01*
X229503157Y-26577235D01*
X229362809Y-26367191D01*

View File

@ -1,6 +1,6 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Thu Jun 30 13:02:41 2022
%%CreationDate: Sat Sep 24 08:04:44 2022
%%Title: /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex-NPTH-drl_map.ps
%%Pages: 1
%%PageOrder: Ascend

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Thu Jun 30 13:02:41 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sat Sep 24 08:04:43 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ

File diff suppressed because it is too large Load Diff

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Thu Jun 30 13:02:41 2022
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Sat Sep 24 08:04:43 2022
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
@ -24,6 +24,7 @@ X4.5354Y-3.572
X4.5595Y-3.248
X4.5787Y-0.4414
X4.5787Y-0.6471
X4.6142Y-2.8425
X4.6142Y-3.3378
X4.6561Y-1.5118
X4.6561Y-1.748
@ -85,6 +86,7 @@ X6.2244Y-3.622
X6.3681Y-2.9011
X6.4173Y-2.7953
X6.4439Y-0.565
X6.6282Y-3.078
X6.6282Y-3.1398
X6.6398Y-3.6053
X6.8228Y-2.9016
@ -126,6 +128,7 @@ X7.7244Y-3.5079
X7.7244Y-3.6409
X8.6945Y-3.8571
X9.3091Y-3.2571
X9.4567Y-1.0827
X9.6134Y-3.9272
X9.6673Y-2.2825
X9.6875Y-2.3268
@ -276,70 +279,6 @@ X4.7992Y-1.1189
X4.7992Y-1.2189
X4.7992Y-1.3189
T8
X5.1181Y-1.0811
X5.1181Y-1.1811
X5.2181Y-1.0811
X5.2181Y-1.1811
X5.3181Y-1.0811
X5.3181Y-1.1811
X5.4181Y-1.0811
X5.4181Y-1.1811
X5.5181Y-1.0811
X5.5181Y-1.1811
X5.6181Y-1.0811
X5.6181Y-1.1811
X5.7181Y-1.0811
X5.7181Y-1.1811
X5.8181Y-1.0811
X5.8181Y-1.1811
X5.9181Y-1.0811
X5.9181Y-1.1811
X6.0181Y-1.0811
X6.0181Y-1.1811
X6.1181Y-1.0811
X6.1181Y-1.1811
X6.2181Y-1.0811
X6.2181Y-1.1811
X6.3181Y-1.0811
X6.3181Y-1.1811
X6.4181Y-1.0811
X6.4181Y-1.1811
X6.5181Y-1.0811
X6.5181Y-1.1811
X6.6181Y-1.0811
X6.6181Y-1.1811
X6.7181Y-1.0811
X6.7181Y-1.1811
X6.8181Y-1.0811
X6.8181Y-1.1811
X6.9181Y-1.0811
X6.9181Y-1.1811
X7.0181Y-1.0811
X7.0181Y-1.1811
X7.1181Y-1.0811
X7.1181Y-1.1811
X7.2181Y-1.0811
X7.2181Y-1.1811
X7.3181Y-1.0811
X7.3181Y-1.1811
X7.4181Y-1.0811
X7.4181Y-1.1811
X7.5181Y-1.0811
X7.5181Y-1.1811
X7.6181Y-1.0811
X7.6181Y-1.1811
X7.7181Y-1.0811
X7.7181Y-1.1811
X7.8181Y-1.0811
X7.8181Y-1.1811
X7.9181Y-1.0811
X7.9181Y-1.1811
X8.0181Y-1.0811
X8.0181Y-1.1811
X8.1181Y-1.0811
X8.1181Y-1.1811
X8.2181Y-1.0811
X8.2181Y-1.1811
X5.1181Y-2.3311
X5.1181Y-2.4311
X5.2181Y-2.3311
@ -404,6 +343,70 @@ X8.1181Y-2.3311
X8.1181Y-2.4311
X8.2181Y-2.3311
X8.2181Y-2.4311
X5.1181Y-1.0811
X5.1181Y-1.1811
X5.2181Y-1.0811
X5.2181Y-1.1811
X5.3181Y-1.0811
X5.3181Y-1.1811
X5.4181Y-1.0811
X5.4181Y-1.1811
X5.5181Y-1.0811
X5.5181Y-1.1811
X5.6181Y-1.0811
X5.6181Y-1.1811
X5.7181Y-1.0811
X5.7181Y-1.1811
X5.8181Y-1.0811
X5.8181Y-1.1811
X5.9181Y-1.0811
X5.9181Y-1.1811
X6.0181Y-1.0811
X6.0181Y-1.1811
X6.1181Y-1.0811
X6.1181Y-1.1811
X6.2181Y-1.0811
X6.2181Y-1.1811
X6.3181Y-1.0811
X6.3181Y-1.1811
X6.4181Y-1.0811
X6.4181Y-1.1811
X6.5181Y-1.0811
X6.5181Y-1.1811
X6.6181Y-1.0811
X6.6181Y-1.1811
X6.7181Y-1.0811
X6.7181Y-1.1811
X6.8181Y-1.0811
X6.8181Y-1.1811
X6.9181Y-1.0811
X6.9181Y-1.1811
X7.0181Y-1.0811
X7.0181Y-1.1811
X7.1181Y-1.0811
X7.1181Y-1.1811
X7.2181Y-1.0811
X7.2181Y-1.1811
X7.3181Y-1.0811
X7.3181Y-1.1811
X7.4181Y-1.0811
X7.4181Y-1.1811
X7.5181Y-1.0811
X7.5181Y-1.1811
X7.6181Y-1.0811
X7.6181Y-1.1811
X7.7181Y-1.0811
X7.7181Y-1.1811
X7.8181Y-1.0811
X7.8181Y-1.1811
X7.9181Y-1.0811
X7.9181Y-1.1811
X8.0181Y-1.0811
X8.0181Y-1.1811
X8.1181Y-1.0811
X8.1181Y-1.1811
X8.2181Y-1.0811
X8.2181Y-1.1811
T9
X4.7937Y-1.9626
X4.7937Y-2.0626

View File

@ -1,4 +1,4 @@
### Module positions - created on Thu Jun 30 13:02:56 2022 ###
### Module positions - created on Sat Sep 24 08:04:37 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : bottom

View File

@ -1,5 +1,5 @@
Drill report for /home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex.kicad_pcb
Created on Thu Jun 30 13:02:43 2022
Created on Sat Sep 24 08:04:45 2022
Copper Layer Stackup:
=============================================================
@ -12,7 +12,7 @@ Copper Layer Stackup:
Drill file 'nubus-to-ztex-PTH.drl' contains
plated through holes:
=============================================================
T1 0.40mm 0.016" (130 holes)
T1 0.40mm 0.016" (133 holes)
T2 0.60mm 0.024" (2 holes) (with 2 slots)
T3 0.80mm 0.031" (2 holes)
T4 0.85mm 0.033" (2 holes)
@ -24,7 +24,7 @@ Drill file 'nubus-to-ztex-PTH.drl' contains
T10 1.20mm 0.047" (3 holes)
T11 1.30mm 0.051" (4 holes)
Total plated holes count 401
Total plated holes count 404
Drill file 'nubus-to-ztex-NPTH.drl' contains

View File

@ -1,4 +1,4 @@
### Module positions - created on Thu Jun 30 13:02:56 2022 ###
### Module positions - created on Sat Sep 24 08:04:37 2022 ###
### Printed by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
## Side : top
@ -83,7 +83,7 @@ U7 74LVT245BPW,118 TSSOP-20_4.4x6.5mm_P0.65mm_ForSeeedStudio 177.00
U8 74LVT245BPW,118 TSSOP-20_4.4x6.5mm_P0.65mm_ForSeeedStudio 192.0000 -78.5000 90.0000 top
U10 SN65220 SOT-23-6 265.4000 -79.3550 180.0000 top
U11 TPS2051C SOT-23-5 252.9000 -85.2100 270.0000 top
U12 74CB3T1G125DBVR SOT-23-5 149.9000 -48.5000 90.0000 top
U12 SN74CB3T1G125DBVR SOT-23-5 149.9000 -48.5000 90.0000 top
U13 SN74CB3T3125PWR TSSOP-14_4.4x5mm_P0.65mm 186.6250 -50.7500 0.0000 top
U14 SN74CB3T3245PWR TSSOP-20_4.4x6.5mm_P0.65mm_ForSeeedStudio 142.2000 -48.8000 90.0000 top
## End

View File

@ -3,16 +3,14 @@ Part/Designator,Manufacture Part Number/Seeed SKU,Quantity,URL
"R24,R25",0603WAF1502T5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html
"R22,R23",0603WAF270JT5E,2,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html
"R1,R2,R3,R9,R10,R16,R17,R18,R19",0603WAF5490T5E,9,https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html
"JAB1,JCD1",10-89-7642,2,https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D
J5,10029449-111,1,https://lcsc.com/product-detail/D-Sub-DVI-HDMI-Connectors_Amphenol-ICC-10029449-111RLF_C427307.html
J4,0850030567,1,https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D
J5,10029449-111RLF,1,https://lcsc.com/product-detail/D-Sub-DVI-HDMI-Connectors_Amphenol-ICC-10029449-111RLF_C427307.html
J6,105017-0001,1,https://lcsc.com/product-detail/USB-Connectors_MOLEX-1050170001_C136000.html
R33,1210W2F1004T5E,1,https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html
J2,47219-2001,1,
J2,47219-2001,1,https://eu.mouser.com/ProductDetail/Molex/47219-2001?qs=6kT3pPPHxnVaoXw6Dd7beQ%3D%3D
J7,640456-3,1,https://www.lcsc.com/product-detail/Wire-To-Board-Wire-To-Wire-Connector_TE-Connectivity-640456-3_C86503.html
U12,74CB3T1G125DBVR,1,https://www.lcsc.com/product-detail/Analog-Switches-Multiplexers_Texas-Instruments-SN74CB3T1G125DBVR_C134001.html
"U1,U2,U3","74LVT125PW,118",3,https://eu.mouser.com/ProductDetail/Nexperia/74LVT125PW118?qs=me8TqzrmIYWdhdg6%252BsGGHw%3D%3D
"U5,U6,U7,U8","74LVT245BPW,118",4,https://www.digikey.fr/fr/products/detail/nexperia-usa-inc/74LVT245BPW-118/1231957
J4,85003-0567,1,https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D
J1,87831-1420,1,https://www2.mouser.com/ProductDetail/Molex/87831-1420?qs=QtQX4uD3c2VDCL534TqpVg%3D%3D
J9,A2541HWR-2x6P,1,https://lcsc.com/product-detail/Pin-Header-Female-Header_Changjiang-Connectors-A2541HWR-2x6P_C239357.html
"C3,C21,C28,C29",C2012X5R1A476MTJ00E,4,https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html
@ -28,7 +26,9 @@ J8,PM254V-11-02-H85,1,https://www.lcsc.com/product-detail/Pin-Header-Female-Head
FB1,PZ2012U221-2R0TF,1,https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html
J3,PZ254R-11-06P,1,https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html
U10,SN65220DBVT,1,https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html
U12,SN74CB3T1G125DBVR,1,https://www.lcsc.com/product-detail/Analog-Switches-Multiplexers_Texas-Instruments-SN74CB3T1G125DBVR_C134001.html
"U4,U13",SN74CB3T3125PWR,2,https://www.mouser.fr/ProductDetail/?qs=mE33ZKBHyE5AjFWEyhuB4Q%3D%3D
U14,SN74CB3T3245PWR,1,https://www.lcsc.com/product-detail/Signal-Switches-Encoders-Decoders-Multiplexers_Texas-Instruments-SN74CB3T3245PWR_C15298.html
U9,TPD12S016PWR,1,https://lcsc.com/product-detail/Interface-Specialized_Texas-Instruments-TPD12S016PWR_C201665.html
U11,TPS2051CDBVR,1,https://lcsc.com/product-detail/Power-Distribution-Switches_Texas-Instruments-TPS2051CDBVR_C129581.html
"JAB1,JCD1",X6521WV-2x32H-C30D60,2,https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D

1 Part/Designator Manufacture Part Number/Seeed SKU Quantity URL
3 R24,R25 0603WAF1502T5E 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF1502T5E_C22809.html
4 R22,R23 0603WAF270JT5E 2 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF270JT5E_C25190.html
5 R1,R2,R3,R9,R10,R16,R17,R18,R19 0603WAF5490T5E 9 https://lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-0603WAF5490T5E_C23079.html
6 JAB1,JCD1 J4 10-89-7642 0850030567 2 1 https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D
7 J5 10029449-111 10029449-111RLF 1 https://lcsc.com/product-detail/D-Sub-DVI-HDMI-Connectors_Amphenol-ICC-10029449-111RLF_C427307.html
8 J6 105017-0001 1 https://lcsc.com/product-detail/USB-Connectors_MOLEX-1050170001_C136000.html
9 R33 1210W2F1004T5E 1 https://www.lcsc.com/product-detail/Chip-Resistor-Surface-Mount_UNI-ROYAL-Uniroyal-Elec-1210W2F1004T5E_C620664.html
10 J2 47219-2001 1 https://eu.mouser.com/ProductDetail/Molex/47219-2001?qs=6kT3pPPHxnVaoXw6Dd7beQ%3D%3D
11 J7 640456-3 1 https://www.lcsc.com/product-detail/Wire-To-Board-Wire-To-Wire-Connector_TE-Connectivity-640456-3_C86503.html
U12 74CB3T1G125DBVR 1 https://www.lcsc.com/product-detail/Analog-Switches-Multiplexers_Texas-Instruments-SN74CB3T1G125DBVR_C134001.html
12 U1,U2,U3 74LVT125PW,118 3 https://eu.mouser.com/ProductDetail/Nexperia/74LVT125PW118?qs=me8TqzrmIYWdhdg6%252BsGGHw%3D%3D
13 U5,U6,U7,U8 74LVT245BPW,118 4 https://www.digikey.fr/fr/products/detail/nexperia-usa-inc/74LVT245BPW-118/1231957
J4 85003-0567 1 https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D
14 J1 87831-1420 1 https://www2.mouser.com/ProductDetail/Molex/87831-1420?qs=QtQX4uD3c2VDCL534TqpVg%3D%3D
15 J9 A2541HWR-2x6P 1 https://lcsc.com/product-detail/Pin-Header-Female-Header_Changjiang-Connectors-A2541HWR-2x6P_C239357.html
16 C3,C21,C28,C29 C2012X5R1A476MTJ00E 4 https://lcsc.com/product-detail/Multilayer-Ceramic-Capacitors-MLCC-SMD-SMT_TDK-C2012X5R1A476MTJ00E_C76636.html
26 FB1 PZ2012U221-2R0TF 1 https://lcsc.com/product-detail/Ferrite-Beads_Sunlord-PZ2012U221-2R0TF_C44361.html
27 J3 PZ254R-11-06P 1 https://lcsc.com/product-detail/Pin-Header-Female-Header_XFCN-PZ254R-11-06P_C492414.html
28 U10 SN65220DBVT 1 https://www.lcsc.com/product-detail/Others_Texas-Instruments-TI-SN65220DBVT_C350555.html
29 U12 SN74CB3T1G125DBVR 1 https://www.lcsc.com/product-detail/Analog-Switches-Multiplexers_Texas-Instruments-SN74CB3T1G125DBVR_C134001.html
30 U4,U13 SN74CB3T3125PWR 2 https://www.mouser.fr/ProductDetail/?qs=mE33ZKBHyE5AjFWEyhuB4Q%3D%3D
31 U14 SN74CB3T3245PWR 1 https://www.lcsc.com/product-detail/Signal-Switches-Encoders-Decoders-Multiplexers_Texas-Instruments-SN74CB3T3245PWR_C15298.html
32 U9 TPD12S016PWR 1 https://lcsc.com/product-detail/Interface-Specialized_Texas-Instruments-TPD12S016PWR_C201665.html
33 U11 TPS2051CDBVR 1 https://lcsc.com/product-detail/Power-Distribution-Switches_Texas-Instruments-TPS2051CDBVR_C129581.html
34 JAB1,JCD1 X6521WV-2x32H-C30D60 2 https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D

View File

@ -64,6 +64,9 @@ P DIM N
317GND VIA MD0157PA00X+073031Y-005926X0315Y0000R000S3
317GND VIA MD0157PA00X+066398Y-036053X0315Y0000R000S3
317GND VIA MD0157PA00X+046667Y-038866X0315Y0000R000S3
317GND VIA MD0157PA00X+094567Y-010827X0315Y0000R000S3
317GND VIA MD0157PA00X+046142Y-028425X0315Y0000R000S3
317GND VIA MD0157PA00X+066282Y-030780X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+053327Y-029222X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+097669Y-025098X0315Y0000R000S3
317+3V3 VIA MD0157PA00X+063681Y-029011X0315Y0000R000S3

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,4 +1,4 @@
## Footprint report - date Thu Jun 30 13:03:03 2022
## Footprint report - date Sat Sep 24 08:04:53 2022
## Created by Pcbnew version kicad 5.0.2+dfsg1-1~bpo9+1
## Unit = mm, Angle = deg.
@ -218,7 +218,7 @@ $EndMODULE C11
$MODULE "U12"
reference "U12"
value "74CB3T1G125DBVR"
value "SN74CB3T1G125DBVR"
footprint "Package_TO_SOT_SMD:SOT-23-5"
attribut smd
position 149.900000 48.500000 orientation 90.00

View File

@ -2,7 +2,7 @@
<export version="D">
<design>
<source>/home/dolbeau/MAC/NuBusFPGA.V1_2/nubus-to-ztex/nubus-to-ztex.sch</source>
<date>Thu Jun 30 13:02:30 2022</date>
<date>Sat Sep 24 08:03:41 2022</date>
<tool>Eeschema 5.0.2+dfsg1-1~bpo9+1</tool>
<sheet number="1" name="/" tstamps="/">
<title_block>
@ -127,7 +127,8 @@
<value>ZTEX_AB-ztex_AB</value>
<footprint>For_SeeedStudio:PinHeader_2x32_P2.54mm_Vertical_For_SeeedStudio</footprint>
<fields>
<field name="MPN">10-89-7642</field>
<field name="MPN">X6521WV-2x32H-C30D60</field>
<field name="MPN-ALT">10-89-7642</field>
<field name="URL">https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D</field>
</fields>
<libsource lib="ztex_AB" part="ZTEX_AB" description=""/>
@ -152,7 +153,8 @@
<value>ZTEX_CD-ztex_CD</value>
<footprint>For_SeeedStudio:PinHeader_2x32_P2.54mm_Vertical_For_SeeedStudio</footprint>
<fields>
<field name="MPN">10-89-7642</field>
<field name="MPN">X6521WV-2x32H-C30D60</field>
<field name="MPN-ALT">10-89-7642</field>
<field name="URL">https://www2.mouser.com/ProductDetail/Molex/10-89-7642?qs=%2Fha2pyFadugCxzQFZUdvioDcljDVidgd4vXrOFuSRYM%3D</field>
</fields>
<libsource lib="ztex_CD" part="ZTEX_CD" description=""/>
@ -371,10 +373,11 @@
<footprint>For_SeeedStudio:DIN41612_C_3x32_Male_Horizontal_THT</footprint>
<datasheet> ~</datasheet>
<fields>
<field name="MPN">85003-0567</field>
<field name="MPN">0850030567</field>
<field name="MPN (3M)">DIN-096CPC-RR1-HM</field>
<field name="MPN (Harting 2)">09033966921</field>
<field name="MPN (Harting)">09033967921</field>
<field name="MPN alias">85003-0567</field>
<field name="Notes (3M)">RR1L would also work (for .062 PCBs)</field>
<field name="URL">https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D</field>
</fields>
@ -888,11 +891,11 @@
<tstamp>62BC5C66</tstamp>
</comp>
<comp ref="U12">
<value>74CB3T1G125DBVR</value>
<value>SN74CB3T1G125DBVR</value>
<footprint>Package_TO_SOT_SMD:SOT-23-5</footprint>
<datasheet>http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf</datasheet>
<fields>
<field name="MPN">74CB3T1G125DBVR</field>
<field name="MPN">SN74CB3T1G125DBVR</field>
<field name="URL">https://www.lcsc.com/product-detail/Analog-Switches-Multiplexers_Texas-Instruments-SN74CB3T1G125DBVR_C134001.html</field>
</fields>
<libsource lib="74xGxx" part="74CB3T1G125" description="Single FET Bus Switch"/>
@ -984,7 +987,7 @@
<footprint>For_SeeedStudio:HDMI_A_Amphenol_10029449-111</footprint>
<datasheet>https://en.wikipedia.org/wiki/HDMI</datasheet>
<fields>
<field name="MPN">10029449-111</field>
<field name="MPN">10029449-111RLF</field>
<field name="URL">https://lcsc.com/product-detail/D-Sub-DVI-HDMI-Connectors_Amphenol-ICC-10029449-111RLF_C427307.html</field>
<field name="URL-ALT">https://www2.mouser.com/ProductDetail/Amphenol-FCI/10029449-111RLF?qs=fmpTyLOWOey0HPdD9%2F%2FaXA%3D%3D</field>
</fields>
@ -1283,6 +1286,7 @@
<datasheet>https://www.molex.com/webdocs/datasheets/pdf/en-us/0472192001_MEMORY_CARD_SOCKET.pdf</datasheet>
<fields>
<field name="MPN">47219-2001</field>
<field name="URL">https://eu.mouser.com/ProductDetail/Molex/47219-2001?qs=6kT3pPPHxnVaoXw6Dd7beQ%3D%3D</field>
</fields>
<libsource lib="47219-2001" part="47219-2001" description=""/>
<sheetpath names="/sdcard/" tstamps="/62D70B59/"/>

Binary file not shown.

View File

@ -103,12 +103,13 @@ F 0 "J4" H 22429 5846 50 0000 L CNN
F 1 "C96ABC" H 22429 5755 50 0000 L CNN
F 2 "For_SeeedStudio:DIN41612_C_3x32_Male_Horizontal_THT" H 22250 5800 50 0001 C CNN
F 3 " ~" H 22250 5800 50 0001 C CNN
F 4 "85003-0567" H 22250 5750 50 0001 C CNN "MPN"
F 4 "85003-0567" H 22250 5750 50 0001 C CNN "MPN alias"
F 5 "https://www.mouser.fr/ProductDetail/Molex/85003-0567?qs=U4pz39agNJB6P1oBpJ4bJA%3D%3D" H 22250 5750 50 0001 C CNN "URL"
F 6 "DIN-096CPC-RR1-HM" H 22250 5750 50 0001 C CNN "MPN (3M)"
F 7 "RR1L would also work (for .062 PCBs)" H 22250 5750 50 0001 C CNN "Notes (3M)"
F 8 "09033967921" H 22250 5750 50 0001 C CNN "MPN (Harting)"
F 9 "09033966921" H 22250 5750 50 0001 C CNN "MPN (Harting 2)"
F 10 "0850030567" H 22250 5750 50 0001 C CNN "MPN"
1 22250 5750
1 0 0 -1
$EndComp
@ -2492,10 +2493,10 @@ L 74xGxx:74CB3T1G125 U12
U 1 1 62BF1613
P 7450 13450
F 0 "U12" H 7450 13767 50 0000 C CNN
F 1 "74CB3T1G125DBVR" H 7450 13676 50 0000 C CNN
F 1 "SN74CB3T1G125DBVR" H 7450 13676 50 0000 C CNN
F 2 "Package_TO_SOT_SMD:SOT-23-5" H 7450 13450 50 0001 C CNN
F 3 "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" H 7450 13450 50 0001 C CNN
F 4 "74CB3T1G125DBVR" H 7450 13450 50 0001 C CNN "MPN"
F 4 "SN74CB3T1G125DBVR" H 7450 13450 50 0001 C CNN "MPN"
F 5 "https://www.lcsc.com/product-detail/Analog-Switches-Multiplexers_Texas-Instruments-SN74CB3T1G125DBVR_C134001.html" H 7450 13450 50 0001 C CNN "URL"
1 7450 13450
1 0 0 -1

Binary file not shown.