NuBusFPGA/nubus-to-ztex-gateware/nubus_fpga_V1_0_timings.xdc

131 lines
9.1 KiB
Tcl

set_input_delay -clock nubus_clk -min 2.404 [get_ports {rqst_3v3_n}]
set_input_delay -clock nubus_clk -max 52.456 [get_ports {rqst_3v3_n}]
set_output_delay -clock nubus_clk -min -6.155 [get_ports {rqst_3v3_n}]
set_output_delay -clock nubus_clk -max 53.627 [get_ports {rqst_3v3_n}]
set_input_delay -clock nubus_clk -min 2.457 [get_ports {start_3v3_n}]
set_input_delay -clock nubus_clk -max 52.526 [get_ports {start_3v3_n}]
set_output_delay -clock nubus_clk -min -6.102 [get_ports {start_3v3_n}]
set_output_delay -clock nubus_clk -max 53.697 [get_ports {start_3v3_n}]
set_input_delay -clock nubus_clk -min 2.525 [get_ports {ack_3v3_n}]
set_input_delay -clock nubus_clk -max 52.617 [get_ports {ack_3v3_n}]
set_output_delay -clock nubus_clk -min -6.034 [get_ports {ack_3v3_n}]
set_output_delay -clock nubus_clk -max 53.788 [get_ports {ack_3v3_n}]
set_input_delay -clock nubus_clk -min 2.285 [get_ports {ad_3v3_n[31]}]
set_input_delay -clock nubus_clk -max 52.296 [get_ports {ad_3v3_n[31]}]
set_output_delay -clock nubus_clk -min -6.274 [get_ports {ad_3v3_n[31]}]
set_output_delay -clock nubus_clk -max 53.468 [get_ports {ad_3v3_n[31]}]
set_input_delay -clock nubus_clk -min 2.274 [get_ports {ad_3v3_n[30]}]
set_input_delay -clock nubus_clk -max 52.282 [get_ports {ad_3v3_n[30]}]
set_output_delay -clock nubus_clk -min -6.285 [get_ports {ad_3v3_n[30]}]
set_output_delay -clock nubus_clk -max 53.453 [get_ports {ad_3v3_n[30]}]
set_input_delay -clock nubus_clk -min 2.261 [get_ports {ad_3v3_n[29]}]
set_input_delay -clock nubus_clk -max 52.265 [get_ports {ad_3v3_n[29]}]
set_output_delay -clock nubus_clk -min -6.298 [get_ports {ad_3v3_n[29]}]
set_output_delay -clock nubus_clk -max 53.436 [get_ports {ad_3v3_n[29]}]
set_input_delay -clock nubus_clk -min 2.259 [get_ports {ad_3v3_n[28]}]
set_input_delay -clock nubus_clk -max 52.262 [get_ports {ad_3v3_n[28]}]
set_output_delay -clock nubus_clk -min -6.300 [get_ports {ad_3v3_n[28]}]
set_output_delay -clock nubus_clk -max 53.433 [get_ports {ad_3v3_n[28]}]
set_input_delay -clock nubus_clk -min 2.257 [get_ports {ad_3v3_n[27]}]
set_input_delay -clock nubus_clk -max 52.260 [get_ports {ad_3v3_n[27]}]
set_output_delay -clock nubus_clk -min -6.302 [get_ports {ad_3v3_n[27]}]
set_output_delay -clock nubus_clk -max 53.431 [get_ports {ad_3v3_n[27]}]
set_input_delay -clock nubus_clk -min 2.239 [get_ports {ad_3v3_n[26]}]
set_input_delay -clock nubus_clk -max 52.236 [get_ports {ad_3v3_n[26]}]
set_output_delay -clock nubus_clk -min -6.320 [get_ports {ad_3v3_n[26]}]
set_output_delay -clock nubus_clk -max 53.407 [get_ports {ad_3v3_n[26]}]
set_input_delay -clock nubus_clk -min 2.244 [get_ports {ad_3v3_n[25]}]
set_input_delay -clock nubus_clk -max 52.242 [get_ports {ad_3v3_n[25]}]
set_output_delay -clock nubus_clk -min -6.315 [get_ports {ad_3v3_n[25]}]
set_output_delay -clock nubus_clk -max 53.414 [get_ports {ad_3v3_n[25]}]
set_input_delay -clock nubus_clk -min 2.246 [get_ports {ad_3v3_n[23]}]
set_input_delay -clock nubus_clk -max 52.244 [get_ports {ad_3v3_n[23]}]
set_output_delay -clock nubus_clk -min -6.313 [get_ports {ad_3v3_n[23]}]
set_output_delay -clock nubus_clk -max 53.416 [get_ports {ad_3v3_n[23]}]
set_input_delay -clock nubus_clk -min 2.291 [get_ports {ad_3v3_n[24]}]
set_input_delay -clock nubus_clk -max 52.305 [get_ports {ad_3v3_n[24]}]
set_output_delay -clock nubus_clk -min -6.268 [get_ports {ad_3v3_n[24]}]
set_output_delay -clock nubus_clk -max 53.476 [get_ports {ad_3v3_n[24]}]
set_input_delay -clock nubus_clk -min 2.239 [get_ports {ad_3v3_n[21]}]
set_input_delay -clock nubus_clk -max 52.235 [get_ports {ad_3v3_n[21]}]
set_output_delay -clock nubus_clk -min -6.320 [get_ports {ad_3v3_n[21]}]
set_output_delay -clock nubus_clk -max 53.406 [get_ports {ad_3v3_n[21]}]
set_input_delay -clock nubus_clk -min 2.218 [get_ports {ad_3v3_n[22]}]
set_input_delay -clock nubus_clk -max 52.207 [get_ports {ad_3v3_n[22]}]
set_output_delay -clock nubus_clk -min -6.341 [get_ports {ad_3v3_n[22]}]
set_output_delay -clock nubus_clk -max 53.378 [get_ports {ad_3v3_n[22]}]
set_input_delay -clock nubus_clk -min 2.211 [get_ports {ad_3v3_n[18]}]
set_input_delay -clock nubus_clk -max 52.198 [get_ports {ad_3v3_n[18]}]
set_output_delay -clock nubus_clk -min -6.348 [get_ports {ad_3v3_n[18]}]
set_output_delay -clock nubus_clk -max 53.370 [get_ports {ad_3v3_n[18]}]
set_input_delay -clock nubus_clk -min 2.202 [get_ports {ad_3v3_n[20]}]
set_input_delay -clock nubus_clk -max 52.185 [get_ports {ad_3v3_n[20]}]
set_output_delay -clock nubus_clk -min -6.357 [get_ports {ad_3v3_n[20]}]
set_output_delay -clock nubus_clk -max 53.357 [get_ports {ad_3v3_n[20]}]
set_input_delay -clock nubus_clk -min 2.251 [get_ports {ad_3v3_n[16]}]
set_input_delay -clock nubus_clk -max 52.252 [get_ports {ad_3v3_n[16]}]
set_output_delay -clock nubus_clk -min -6.308 [get_ports {ad_3v3_n[16]}]
set_output_delay -clock nubus_clk -max 53.423 [get_ports {ad_3v3_n[16]}]
set_input_delay -clock nubus_clk -min 2.205 [get_ports {ad_3v3_n[19]}]
set_input_delay -clock nubus_clk -max 52.190 [get_ports {ad_3v3_n[19]}]
set_output_delay -clock nubus_clk -min -6.354 [get_ports {ad_3v3_n[19]}]
set_output_delay -clock nubus_clk -max 53.361 [get_ports {ad_3v3_n[19]}]
set_input_delay -clock nubus_clk -min 2.147 [get_ports {ad_3v3_n[14]}]
set_input_delay -clock nubus_clk -max 52.113 [get_ports {ad_3v3_n[14]}]
set_output_delay -clock nubus_clk -min -6.412 [get_ports {ad_3v3_n[14]}]
set_output_delay -clock nubus_clk -max 53.284 [get_ports {ad_3v3_n[14]}]
set_input_delay -clock nubus_clk -min 2.178 [get_ports {ad_3v3_n[17]}]
set_input_delay -clock nubus_clk -max 52.154 [get_ports {ad_3v3_n[17]}]
set_output_delay -clock nubus_clk -min -6.381 [get_ports {ad_3v3_n[17]}]
set_output_delay -clock nubus_clk -max 53.326 [get_ports {ad_3v3_n[17]}]
set_input_delay -clock nubus_clk -min 2.148 [get_ports {ad_3v3_n[15]}]
set_input_delay -clock nubus_clk -max 52.113 [get_ports {ad_3v3_n[15]}]
set_output_delay -clock nubus_clk -min -6.411 [get_ports {ad_3v3_n[15]}]
set_output_delay -clock nubus_clk -max 53.285 [get_ports {ad_3v3_n[15]}]
set_input_delay -clock nubus_clk -min 2.145 [get_ports {ad_3v3_n[12]}]
set_input_delay -clock nubus_clk -max 52.110 [get_ports {ad_3v3_n[12]}]
set_output_delay -clock nubus_clk -min -6.414 [get_ports {ad_3v3_n[12]}]
set_output_delay -clock nubus_clk -max 53.281 [get_ports {ad_3v3_n[12]}]
set_input_delay -clock nubus_clk -min 2.134 [get_ports {ad_3v3_n[13]}]
set_input_delay -clock nubus_clk -max 52.095 [get_ports {ad_3v3_n[13]}]
set_output_delay -clock nubus_clk -min -6.425 [get_ports {ad_3v3_n[13]}]
set_output_delay -clock nubus_clk -max 53.267 [get_ports {ad_3v3_n[13]}]
set_input_delay -clock nubus_clk -min 2.139 [get_ports {ad_3v3_n[10]}]
set_input_delay -clock nubus_clk -max 52.103 [get_ports {ad_3v3_n[10]}]
set_output_delay -clock nubus_clk -min -6.420 [get_ports {ad_3v3_n[10]}]
set_output_delay -clock nubus_clk -max 53.274 [get_ports {ad_3v3_n[10]}]
set_input_delay -clock nubus_clk -min 2.120 [get_ports {ad_3v3_n[11]}]
set_input_delay -clock nubus_clk -max 52.077 [get_ports {ad_3v3_n[11]}]
set_output_delay -clock nubus_clk -min -6.439 [get_ports {ad_3v3_n[11]}]
set_output_delay -clock nubus_clk -max 53.248 [get_ports {ad_3v3_n[11]}]
set_input_delay -clock nubus_clk -min 2.163 [get_ports {ad_3v3_n[8]}]
set_input_delay -clock nubus_clk -max 52.134 [get_ports {ad_3v3_n[8]}]
set_output_delay -clock nubus_clk -min -6.396 [get_ports {ad_3v3_n[8]}]
set_output_delay -clock nubus_clk -max 53.306 [get_ports {ad_3v3_n[8]}]
set_input_delay -clock nubus_clk -min 2.146 [get_ports {ad_3v3_n[6]}]
set_input_delay -clock nubus_clk -max 52.111 [get_ports {ad_3v3_n[6]}]
set_input_delay -clock nubus_clk -min 2.128 [get_ports {ad_3v3_n[9]}]
set_input_delay -clock nubus_clk -max 52.087 [get_ports {ad_3v3_n[9]}]
set_input_delay -clock nubus_clk -min 2.166 [get_ports {ad_3v3_n[4]}]
set_input_delay -clock nubus_clk -max 52.138 [get_ports {ad_3v3_n[4]}]
set_input_delay -clock nubus_clk -min 2.142 [get_ports {ad_3v3_n[7]}]
set_input_delay -clock nubus_clk -max 52.106 [get_ports {ad_3v3_n[7]}]
set_input_delay -clock nubus_clk -min 2.189 [get_ports {ad_3v3_n[5]}]
set_input_delay -clock nubus_clk -max 52.169 [get_ports {ad_3v3_n[5]}]
set_output_delay -clock nubus_clk -min -6.370 [get_ports {ad_3v3_n[5]}]
set_output_delay -clock nubus_clk -max 53.340 [get_ports {ad_3v3_n[5]}]
set_input_delay -clock nubus_clk -min 2.614 [get_ports {tm2_3v3_n}]
set_input_delay -clock nubus_clk -max 52.736 [get_ports {tm2_3v3_n}]
set_input_delay -clock nubus_clk -min 2.168 [get_ports {ad_3v3_n[3]}]
set_input_delay -clock nubus_clk -max 52.141 [get_ports {ad_3v3_n[3]}]
set_input_delay -clock nubus_clk -min 2.136 [get_ports {ad_3v3_n[2]}]
set_input_delay -clock nubus_clk -max 52.097 [get_ports {ad_3v3_n[2]}]
set_input_delay -clock nubus_clk -min 2.250 [get_ports {ad_3v3_n[0]}]
set_input_delay -clock nubus_clk -max 52.250 [get_ports {ad_3v3_n[0]}]
set_input_delay -clock nubus_clk -min 2.264 [get_ports {ad_3v3_n[1]}]
set_input_delay -clock nubus_clk -max 52.269 [get_ports {ad_3v3_n[1]}]
set_input_delay -clock nubus_clk -min 2.508 [get_ports {tm1_3v3_n}]
set_input_delay -clock nubus_clk -max 52.594 [get_ports {tm1_3v3_n}]
set_input_delay -clock nubus_clk -min 2.498 [get_ports {tm0_3v3_n}]
set_input_delay -clock nubus_clk -max 52.581 [get_ports {tm0_3v3_n}]