diff --git a/GW4190A-gerber.zip b/GW4190A-gerber.zip new file mode 100644 index 0000000..cc8128e Binary files /dev/null and b/GW4190A-gerber.zip differ diff --git a/gerber/simm-30-4mb-B_Cu.gbl b/gerber/simm-30-4mb-B_Cu.gbl new file mode 100644 index 0000000..29e75dc --- /dev/null +++ b/gerber/simm-30-4mb-B_Cu.gbl @@ -0,0 +1,16056 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L4,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.800000*% +%ADD11C,0.100000*% +%ADD12C,1.778000*% +%ADD13C,0.508000*% +%ADD14C,1.000000*% +%ADD15C,0.152400*% +G04 APERTURE END LIST* +D10* +X157480000Y-98806000D03* +X154940000Y-98806000D03* +X129540000Y-98806000D03* +X144780000Y-98806000D03* +X139700000Y-98806000D03* +X142240000Y-98806000D03* +X137160000Y-98806000D03* +X132080000Y-98806000D03* +X134620000Y-98806000D03* +X152400000Y-98806000D03* +X147320000Y-98806000D03* +X149860000Y-98806000D03* +X127000000Y-98806000D03* +X121920000Y-98806000D03* +X124460000Y-98806000D03* +X119380000Y-98806000D03* +X114300000Y-98806000D03* +X116840000Y-98806000D03* +X111760000Y-98806000D03* +X106680000Y-98806000D03* +X109220000Y-98806000D03* +X101600000Y-98806000D03* +X104140000Y-98806000D03* +X99060000Y-98806000D03* +X96520000Y-98806000D03* +X91440000Y-98806000D03* +X93980000Y-98806000D03* +X83820000Y-98806000D03* +X86360000Y-98806000D03* +X88900000Y-98806000D03* +D11* +G36* +X157968069Y-98808140D02* +G01* +X158011218Y-98814541D01* +X158053532Y-98825140D01* +X158094603Y-98839836D01* +X158134036Y-98858486D01* +X158171451Y-98880912D01* +X158206488Y-98906897D01* +X158238809Y-98936191D01* +X158268103Y-98968512D01* +X158294088Y-99003549D01* +X158316514Y-99040964D01* +X158335164Y-99080397D01* +X158349860Y-99121468D01* +X158360459Y-99163782D01* +X158366860Y-99206931D01* +X158369000Y-99250500D01* +X158369000Y-100393500D01* +X158366860Y-100437069D01* +X158360459Y-100480218D01* +X158349860Y-100522532D01* +X158335164Y-100563603D01* +X158316514Y-100603036D01* +X158294088Y-100640451D01* +X158268103Y-100675488D01* +X158238809Y-100707809D01* +X158206488Y-100737103D01* +X158171451Y-100763088D01* +X158134036Y-100785514D01* +X158094603Y-100804164D01* +X158053532Y-100818860D01* +X158011218Y-100829459D01* +X157968069Y-100835860D01* +X157924500Y-100838000D01* +X157035500Y-100838000D01* +X156991931Y-100835860D01* +X156948782Y-100829459D01* +X156906468Y-100818860D01* +X156865397Y-100804164D01* +X156825964Y-100785514D01* +X156788549Y-100763088D01* +X156753512Y-100737103D01* +X156721191Y-100707809D01* +X156691897Y-100675488D01* +X156665912Y-100640451D01* +X156643486Y-100603036D01* +X156624836Y-100563603D01* +X156610140Y-100522532D01* +X156599541Y-100480218D01* +X156593140Y-100437069D01* +X156591000Y-100393500D01* +X156591000Y-99250500D01* +X156593140Y-99206931D01* +X156599541Y-99163782D01* +X156610140Y-99121468D01* +X156624836Y-99080397D01* +X156643486Y-99040964D01* +X156665912Y-99003549D01* +X156691897Y-98968512D01* +X156721191Y-98936191D01* +X156753512Y-98906897D01* +X156788549Y-98880912D01* +X156825964Y-98858486D01* +X156865397Y-98839836D01* +X156906468Y-98825140D01* +X156948782Y-98814541D01* +X156991931Y-98808140D01* +X157035500Y-98806000D01* +X157924500Y-98806000D01* +X157968069Y-98808140D01* +X157968069Y-98808140D01* +G37* +D12* +X157480000Y-99822000D03* +D11* +G36* +X155428069Y-98808140D02* +G01* +X155471218Y-98814541D01* +X155513532Y-98825140D01* +X155554603Y-98839836D01* +X155594036Y-98858486D01* +X155631451Y-98880912D01* +X155666488Y-98906897D01* +X155698809Y-98936191D01* +X155728103Y-98968512D01* +X155754088Y-99003549D01* +X155776514Y-99040964D01* +X155795164Y-99080397D01* +X155809860Y-99121468D01* +X155820459Y-99163782D01* +X155826860Y-99206931D01* +X155829000Y-99250500D01* +X155829000Y-100393500D01* +X155826860Y-100437069D01* +X155820459Y-100480218D01* +X155809860Y-100522532D01* +X155795164Y-100563603D01* +X155776514Y-100603036D01* +X155754088Y-100640451D01* +X155728103Y-100675488D01* +X155698809Y-100707809D01* +X155666488Y-100737103D01* +X155631451Y-100763088D01* +X155594036Y-100785514D01* +X155554603Y-100804164D01* +X155513532Y-100818860D01* +X155471218Y-100829459D01* +X155428069Y-100835860D01* +X155384500Y-100838000D01* +X154495500Y-100838000D01* +X154451931Y-100835860D01* +X154408782Y-100829459D01* +X154366468Y-100818860D01* +X154325397Y-100804164D01* +X154285964Y-100785514D01* +X154248549Y-100763088D01* +X154213512Y-100737103D01* +X154181191Y-100707809D01* +X154151897Y-100675488D01* +X154125912Y-100640451D01* +X154103486Y-100603036D01* +X154084836Y-100563603D01* +X154070140Y-100522532D01* +X154059541Y-100480218D01* +X154053140Y-100437069D01* +X154051000Y-100393500D01* +X154051000Y-99250500D01* +X154053140Y-99206931D01* +X154059541Y-99163782D01* +X154070140Y-99121468D01* +X154084836Y-99080397D01* +X154103486Y-99040964D01* +X154125912Y-99003549D01* +X154151897Y-98968512D01* +X154181191Y-98936191D01* +X154213512Y-98906897D01* +X154248549Y-98880912D01* +X154285964Y-98858486D01* +X154325397Y-98839836D01* +X154366468Y-98825140D01* +X154408782Y-98814541D01* +X154451931Y-98808140D01* +X154495500Y-98806000D01* +X155384500Y-98806000D01* +X155428069Y-98808140D01* +X155428069Y-98808140D01* +G37* +D12* +X154940000Y-99822000D03* +D11* +G36* +X152888069Y-98808140D02* +G01* +X152931218Y-98814541D01* +X152973532Y-98825140D01* +X153014603Y-98839836D01* +X153054036Y-98858486D01* +X153091451Y-98880912D01* +X153126488Y-98906897D01* +X153158809Y-98936191D01* +X153188103Y-98968512D01* +X153214088Y-99003549D01* +X153236514Y-99040964D01* +X153255164Y-99080397D01* +X153269860Y-99121468D01* +X153280459Y-99163782D01* +X153286860Y-99206931D01* +X153289000Y-99250500D01* +X153289000Y-100393500D01* +X153286860Y-100437069D01* +X153280459Y-100480218D01* +X153269860Y-100522532D01* +X153255164Y-100563603D01* +X153236514Y-100603036D01* +X153214088Y-100640451D01* +X153188103Y-100675488D01* +X153158809Y-100707809D01* +X153126488Y-100737103D01* +X153091451Y-100763088D01* +X153054036Y-100785514D01* +X153014603Y-100804164D01* +X152973532Y-100818860D01* +X152931218Y-100829459D01* +X152888069Y-100835860D01* +X152844500Y-100838000D01* +X151955500Y-100838000D01* +X151911931Y-100835860D01* +X151868782Y-100829459D01* +X151826468Y-100818860D01* +X151785397Y-100804164D01* +X151745964Y-100785514D01* +X151708549Y-100763088D01* +X151673512Y-100737103D01* +X151641191Y-100707809D01* +X151611897Y-100675488D01* +X151585912Y-100640451D01* +X151563486Y-100603036D01* +X151544836Y-100563603D01* +X151530140Y-100522532D01* +X151519541Y-100480218D01* +X151513140Y-100437069D01* +X151511000Y-100393500D01* +X151511000Y-99250500D01* +X151513140Y-99206931D01* +X151519541Y-99163782D01* +X151530140Y-99121468D01* +X151544836Y-99080397D01* +X151563486Y-99040964D01* +X151585912Y-99003549D01* +X151611897Y-98968512D01* +X151641191Y-98936191D01* +X151673512Y-98906897D01* +X151708549Y-98880912D01* +X151745964Y-98858486D01* +X151785397Y-98839836D01* +X151826468Y-98825140D01* +X151868782Y-98814541D01* +X151911931Y-98808140D01* +X151955500Y-98806000D01* +X152844500Y-98806000D01* +X152888069Y-98808140D01* +X152888069Y-98808140D01* +G37* +D12* +X152400000Y-99822000D03* +D11* +G36* +X150348069Y-98808140D02* +G01* +X150391218Y-98814541D01* +X150433532Y-98825140D01* +X150474603Y-98839836D01* +X150514036Y-98858486D01* +X150551451Y-98880912D01* +X150586488Y-98906897D01* +X150618809Y-98936191D01* +X150648103Y-98968512D01* +X150674088Y-99003549D01* +X150696514Y-99040964D01* +X150715164Y-99080397D01* +X150729860Y-99121468D01* +X150740459Y-99163782D01* +X150746860Y-99206931D01* +X150749000Y-99250500D01* +X150749000Y-100393500D01* +X150746860Y-100437069D01* +X150740459Y-100480218D01* +X150729860Y-100522532D01* +X150715164Y-100563603D01* +X150696514Y-100603036D01* +X150674088Y-100640451D01* +X150648103Y-100675488D01* +X150618809Y-100707809D01* +X150586488Y-100737103D01* +X150551451Y-100763088D01* +X150514036Y-100785514D01* +X150474603Y-100804164D01* +X150433532Y-100818860D01* +X150391218Y-100829459D01* +X150348069Y-100835860D01* +X150304500Y-100838000D01* +X149415500Y-100838000D01* +X149371931Y-100835860D01* +X149328782Y-100829459D01* +X149286468Y-100818860D01* +X149245397Y-100804164D01* +X149205964Y-100785514D01* +X149168549Y-100763088D01* +X149133512Y-100737103D01* +X149101191Y-100707809D01* +X149071897Y-100675488D01* +X149045912Y-100640451D01* +X149023486Y-100603036D01* +X149004836Y-100563603D01* +X148990140Y-100522532D01* +X148979541Y-100480218D01* +X148973140Y-100437069D01* +X148971000Y-100393500D01* +X148971000Y-99250500D01* +X148973140Y-99206931D01* +X148979541Y-99163782D01* +X148990140Y-99121468D01* +X149004836Y-99080397D01* +X149023486Y-99040964D01* +X149045912Y-99003549D01* +X149071897Y-98968512D01* +X149101191Y-98936191D01* +X149133512Y-98906897D01* +X149168549Y-98880912D01* +X149205964Y-98858486D01* +X149245397Y-98839836D01* +X149286468Y-98825140D01* +X149328782Y-98814541D01* +X149371931Y-98808140D01* +X149415500Y-98806000D01* +X150304500Y-98806000D01* +X150348069Y-98808140D01* +X150348069Y-98808140D01* +G37* +D12* +X149860000Y-99822000D03* +D11* +G36* +X147808069Y-98808140D02* +G01* +X147851218Y-98814541D01* +X147893532Y-98825140D01* +X147934603Y-98839836D01* +X147974036Y-98858486D01* +X148011451Y-98880912D01* +X148046488Y-98906897D01* +X148078809Y-98936191D01* +X148108103Y-98968512D01* +X148134088Y-99003549D01* +X148156514Y-99040964D01* +X148175164Y-99080397D01* +X148189860Y-99121468D01* +X148200459Y-99163782D01* +X148206860Y-99206931D01* +X148209000Y-99250500D01* +X148209000Y-100393500D01* +X148206860Y-100437069D01* +X148200459Y-100480218D01* +X148189860Y-100522532D01* +X148175164Y-100563603D01* +X148156514Y-100603036D01* +X148134088Y-100640451D01* +X148108103Y-100675488D01* +X148078809Y-100707809D01* +X148046488Y-100737103D01* +X148011451Y-100763088D01* +X147974036Y-100785514D01* +X147934603Y-100804164D01* +X147893532Y-100818860D01* +X147851218Y-100829459D01* +X147808069Y-100835860D01* +X147764500Y-100838000D01* +X146875500Y-100838000D01* +X146831931Y-100835860D01* +X146788782Y-100829459D01* +X146746468Y-100818860D01* +X146705397Y-100804164D01* +X146665964Y-100785514D01* +X146628549Y-100763088D01* +X146593512Y-100737103D01* +X146561191Y-100707809D01* +X146531897Y-100675488D01* +X146505912Y-100640451D01* +X146483486Y-100603036D01* +X146464836Y-100563603D01* +X146450140Y-100522532D01* +X146439541Y-100480218D01* +X146433140Y-100437069D01* +X146431000Y-100393500D01* +X146431000Y-99250500D01* +X146433140Y-99206931D01* +X146439541Y-99163782D01* +X146450140Y-99121468D01* +X146464836Y-99080397D01* +X146483486Y-99040964D01* +X146505912Y-99003549D01* +X146531897Y-98968512D01* +X146561191Y-98936191D01* +X146593512Y-98906897D01* +X146628549Y-98880912D01* +X146665964Y-98858486D01* +X146705397Y-98839836D01* +X146746468Y-98825140D01* +X146788782Y-98814541D01* +X146831931Y-98808140D01* +X146875500Y-98806000D01* +X147764500Y-98806000D01* +X147808069Y-98808140D01* +X147808069Y-98808140D01* +G37* +D12* +X147320000Y-99822000D03* +D11* +G36* +X145268069Y-98808140D02* +G01* +X145311218Y-98814541D01* +X145353532Y-98825140D01* +X145394603Y-98839836D01* +X145434036Y-98858486D01* +X145471451Y-98880912D01* +X145506488Y-98906897D01* +X145538809Y-98936191D01* +X145568103Y-98968512D01* +X145594088Y-99003549D01* +X145616514Y-99040964D01* +X145635164Y-99080397D01* +X145649860Y-99121468D01* +X145660459Y-99163782D01* +X145666860Y-99206931D01* +X145669000Y-99250500D01* +X145669000Y-100393500D01* +X145666860Y-100437069D01* +X145660459Y-100480218D01* +X145649860Y-100522532D01* +X145635164Y-100563603D01* +X145616514Y-100603036D01* +X145594088Y-100640451D01* +X145568103Y-100675488D01* +X145538809Y-100707809D01* +X145506488Y-100737103D01* +X145471451Y-100763088D01* +X145434036Y-100785514D01* +X145394603Y-100804164D01* +X145353532Y-100818860D01* +X145311218Y-100829459D01* +X145268069Y-100835860D01* +X145224500Y-100838000D01* +X144335500Y-100838000D01* +X144291931Y-100835860D01* +X144248782Y-100829459D01* +X144206468Y-100818860D01* +X144165397Y-100804164D01* +X144125964Y-100785514D01* +X144088549Y-100763088D01* +X144053512Y-100737103D01* +X144021191Y-100707809D01* +X143991897Y-100675488D01* +X143965912Y-100640451D01* +X143943486Y-100603036D01* +X143924836Y-100563603D01* +X143910140Y-100522532D01* +X143899541Y-100480218D01* +X143893140Y-100437069D01* +X143891000Y-100393500D01* +X143891000Y-99250500D01* +X143893140Y-99206931D01* +X143899541Y-99163782D01* +X143910140Y-99121468D01* +X143924836Y-99080397D01* +X143943486Y-99040964D01* +X143965912Y-99003549D01* +X143991897Y-98968512D01* +X144021191Y-98936191D01* +X144053512Y-98906897D01* +X144088549Y-98880912D01* +X144125964Y-98858486D01* +X144165397Y-98839836D01* +X144206468Y-98825140D01* +X144248782Y-98814541D01* +X144291931Y-98808140D01* +X144335500Y-98806000D01* +X145224500Y-98806000D01* +X145268069Y-98808140D01* +X145268069Y-98808140D01* +G37* +D12* +X144780000Y-99822000D03* +D11* +G36* +X142728069Y-98808140D02* +G01* +X142771218Y-98814541D01* +X142813532Y-98825140D01* +X142854603Y-98839836D01* +X142894036Y-98858486D01* +X142931451Y-98880912D01* +X142966488Y-98906897D01* +X142998809Y-98936191D01* +X143028103Y-98968512D01* +X143054088Y-99003549D01* +X143076514Y-99040964D01* +X143095164Y-99080397D01* +X143109860Y-99121468D01* +X143120459Y-99163782D01* +X143126860Y-99206931D01* +X143129000Y-99250500D01* +X143129000Y-100393500D01* +X143126860Y-100437069D01* +X143120459Y-100480218D01* +X143109860Y-100522532D01* +X143095164Y-100563603D01* +X143076514Y-100603036D01* +X143054088Y-100640451D01* +X143028103Y-100675488D01* +X142998809Y-100707809D01* +X142966488Y-100737103D01* +X142931451Y-100763088D01* +X142894036Y-100785514D01* +X142854603Y-100804164D01* +X142813532Y-100818860D01* +X142771218Y-100829459D01* +X142728069Y-100835860D01* +X142684500Y-100838000D01* +X141795500Y-100838000D01* +X141751931Y-100835860D01* +X141708782Y-100829459D01* +X141666468Y-100818860D01* +X141625397Y-100804164D01* +X141585964Y-100785514D01* +X141548549Y-100763088D01* +X141513512Y-100737103D01* +X141481191Y-100707809D01* +X141451897Y-100675488D01* +X141425912Y-100640451D01* +X141403486Y-100603036D01* +X141384836Y-100563603D01* +X141370140Y-100522532D01* +X141359541Y-100480218D01* +X141353140Y-100437069D01* +X141351000Y-100393500D01* +X141351000Y-99250500D01* +X141353140Y-99206931D01* +X141359541Y-99163782D01* +X141370140Y-99121468D01* +X141384836Y-99080397D01* +X141403486Y-99040964D01* +X141425912Y-99003549D01* +X141451897Y-98968512D01* +X141481191Y-98936191D01* +X141513512Y-98906897D01* +X141548549Y-98880912D01* +X141585964Y-98858486D01* +X141625397Y-98839836D01* +X141666468Y-98825140D01* +X141708782Y-98814541D01* +X141751931Y-98808140D01* +X141795500Y-98806000D01* +X142684500Y-98806000D01* +X142728069Y-98808140D01* +X142728069Y-98808140D01* +G37* +D12* +X142240000Y-99822000D03* +D11* +G36* +X140188069Y-98808140D02* +G01* +X140231218Y-98814541D01* +X140273532Y-98825140D01* +X140314603Y-98839836D01* +X140354036Y-98858486D01* +X140391451Y-98880912D01* +X140426488Y-98906897D01* +X140458809Y-98936191D01* +X140488103Y-98968512D01* +X140514088Y-99003549D01* +X140536514Y-99040964D01* +X140555164Y-99080397D01* +X140569860Y-99121468D01* +X140580459Y-99163782D01* +X140586860Y-99206931D01* +X140589000Y-99250500D01* +X140589000Y-100393500D01* +X140586860Y-100437069D01* +X140580459Y-100480218D01* +X140569860Y-100522532D01* +X140555164Y-100563603D01* +X140536514Y-100603036D01* +X140514088Y-100640451D01* +X140488103Y-100675488D01* +X140458809Y-100707809D01* +X140426488Y-100737103D01* +X140391451Y-100763088D01* +X140354036Y-100785514D01* +X140314603Y-100804164D01* +X140273532Y-100818860D01* +X140231218Y-100829459D01* +X140188069Y-100835860D01* +X140144500Y-100838000D01* +X139255500Y-100838000D01* +X139211931Y-100835860D01* +X139168782Y-100829459D01* +X139126468Y-100818860D01* +X139085397Y-100804164D01* +X139045964Y-100785514D01* +X139008549Y-100763088D01* +X138973512Y-100737103D01* +X138941191Y-100707809D01* +X138911897Y-100675488D01* +X138885912Y-100640451D01* +X138863486Y-100603036D01* +X138844836Y-100563603D01* +X138830140Y-100522532D01* +X138819541Y-100480218D01* +X138813140Y-100437069D01* +X138811000Y-100393500D01* +X138811000Y-99250500D01* +X138813140Y-99206931D01* +X138819541Y-99163782D01* +X138830140Y-99121468D01* +X138844836Y-99080397D01* +X138863486Y-99040964D01* +X138885912Y-99003549D01* +X138911897Y-98968512D01* +X138941191Y-98936191D01* +X138973512Y-98906897D01* +X139008549Y-98880912D01* +X139045964Y-98858486D01* +X139085397Y-98839836D01* +X139126468Y-98825140D01* +X139168782Y-98814541D01* +X139211931Y-98808140D01* +X139255500Y-98806000D01* +X140144500Y-98806000D01* +X140188069Y-98808140D01* +X140188069Y-98808140D01* +G37* +D12* +X139700000Y-99822000D03* +D11* +G36* +X137648069Y-98808140D02* +G01* +X137691218Y-98814541D01* +X137733532Y-98825140D01* +X137774603Y-98839836D01* +X137814036Y-98858486D01* +X137851451Y-98880912D01* +X137886488Y-98906897D01* +X137918809Y-98936191D01* +X137948103Y-98968512D01* +X137974088Y-99003549D01* +X137996514Y-99040964D01* +X138015164Y-99080397D01* +X138029860Y-99121468D01* +X138040459Y-99163782D01* +X138046860Y-99206931D01* +X138049000Y-99250500D01* +X138049000Y-100393500D01* +X138046860Y-100437069D01* +X138040459Y-100480218D01* +X138029860Y-100522532D01* +X138015164Y-100563603D01* +X137996514Y-100603036D01* +X137974088Y-100640451D01* +X137948103Y-100675488D01* +X137918809Y-100707809D01* +X137886488Y-100737103D01* +X137851451Y-100763088D01* +X137814036Y-100785514D01* +X137774603Y-100804164D01* +X137733532Y-100818860D01* +X137691218Y-100829459D01* +X137648069Y-100835860D01* +X137604500Y-100838000D01* +X136715500Y-100838000D01* +X136671931Y-100835860D01* +X136628782Y-100829459D01* +X136586468Y-100818860D01* +X136545397Y-100804164D01* +X136505964Y-100785514D01* +X136468549Y-100763088D01* +X136433512Y-100737103D01* +X136401191Y-100707809D01* +X136371897Y-100675488D01* +X136345912Y-100640451D01* +X136323486Y-100603036D01* +X136304836Y-100563603D01* +X136290140Y-100522532D01* +X136279541Y-100480218D01* +X136273140Y-100437069D01* +X136271000Y-100393500D01* +X136271000Y-99250500D01* +X136273140Y-99206931D01* +X136279541Y-99163782D01* +X136290140Y-99121468D01* +X136304836Y-99080397D01* +X136323486Y-99040964D01* +X136345912Y-99003549D01* +X136371897Y-98968512D01* +X136401191Y-98936191D01* +X136433512Y-98906897D01* +X136468549Y-98880912D01* +X136505964Y-98858486D01* +X136545397Y-98839836D01* +X136586468Y-98825140D01* +X136628782Y-98814541D01* +X136671931Y-98808140D01* +X136715500Y-98806000D01* +X137604500Y-98806000D01* +X137648069Y-98808140D01* +X137648069Y-98808140D01* +G37* +D12* +X137160000Y-99822000D03* +D11* +G36* +X135108069Y-98808140D02* +G01* +X135151218Y-98814541D01* +X135193532Y-98825140D01* +X135234603Y-98839836D01* +X135274036Y-98858486D01* +X135311451Y-98880912D01* +X135346488Y-98906897D01* +X135378809Y-98936191D01* +X135408103Y-98968512D01* +X135434088Y-99003549D01* +X135456514Y-99040964D01* +X135475164Y-99080397D01* +X135489860Y-99121468D01* +X135500459Y-99163782D01* +X135506860Y-99206931D01* +X135509000Y-99250500D01* +X135509000Y-100393500D01* +X135506860Y-100437069D01* +X135500459Y-100480218D01* +X135489860Y-100522532D01* +X135475164Y-100563603D01* +X135456514Y-100603036D01* +X135434088Y-100640451D01* +X135408103Y-100675488D01* +X135378809Y-100707809D01* +X135346488Y-100737103D01* +X135311451Y-100763088D01* +X135274036Y-100785514D01* +X135234603Y-100804164D01* +X135193532Y-100818860D01* +X135151218Y-100829459D01* +X135108069Y-100835860D01* +X135064500Y-100838000D01* +X134175500Y-100838000D01* +X134131931Y-100835860D01* +X134088782Y-100829459D01* +X134046468Y-100818860D01* +X134005397Y-100804164D01* +X133965964Y-100785514D01* +X133928549Y-100763088D01* +X133893512Y-100737103D01* +X133861191Y-100707809D01* +X133831897Y-100675488D01* +X133805912Y-100640451D01* +X133783486Y-100603036D01* +X133764836Y-100563603D01* +X133750140Y-100522532D01* +X133739541Y-100480218D01* +X133733140Y-100437069D01* +X133731000Y-100393500D01* +X133731000Y-99250500D01* +X133733140Y-99206931D01* +X133739541Y-99163782D01* +X133750140Y-99121468D01* +X133764836Y-99080397D01* +X133783486Y-99040964D01* +X133805912Y-99003549D01* +X133831897Y-98968512D01* +X133861191Y-98936191D01* +X133893512Y-98906897D01* +X133928549Y-98880912D01* +X133965964Y-98858486D01* +X134005397Y-98839836D01* +X134046468Y-98825140D01* +X134088782Y-98814541D01* +X134131931Y-98808140D01* +X134175500Y-98806000D01* +X135064500Y-98806000D01* +X135108069Y-98808140D01* +X135108069Y-98808140D01* +G37* +D12* +X134620000Y-99822000D03* +D11* +G36* +X132568069Y-98808140D02* +G01* +X132611218Y-98814541D01* +X132653532Y-98825140D01* +X132694603Y-98839836D01* +X132734036Y-98858486D01* +X132771451Y-98880912D01* +X132806488Y-98906897D01* +X132838809Y-98936191D01* +X132868103Y-98968512D01* +X132894088Y-99003549D01* +X132916514Y-99040964D01* +X132935164Y-99080397D01* +X132949860Y-99121468D01* +X132960459Y-99163782D01* +X132966860Y-99206931D01* +X132969000Y-99250500D01* +X132969000Y-100393500D01* +X132966860Y-100437069D01* +X132960459Y-100480218D01* +X132949860Y-100522532D01* +X132935164Y-100563603D01* +X132916514Y-100603036D01* +X132894088Y-100640451D01* +X132868103Y-100675488D01* +X132838809Y-100707809D01* +X132806488Y-100737103D01* +X132771451Y-100763088D01* +X132734036Y-100785514D01* +X132694603Y-100804164D01* +X132653532Y-100818860D01* +X132611218Y-100829459D01* +X132568069Y-100835860D01* +X132524500Y-100838000D01* +X131635500Y-100838000D01* +X131591931Y-100835860D01* +X131548782Y-100829459D01* +X131506468Y-100818860D01* +X131465397Y-100804164D01* +X131425964Y-100785514D01* +X131388549Y-100763088D01* +X131353512Y-100737103D01* +X131321191Y-100707809D01* +X131291897Y-100675488D01* +X131265912Y-100640451D01* +X131243486Y-100603036D01* +X131224836Y-100563603D01* +X131210140Y-100522532D01* +X131199541Y-100480218D01* +X131193140Y-100437069D01* +X131191000Y-100393500D01* +X131191000Y-99250500D01* +X131193140Y-99206931D01* +X131199541Y-99163782D01* +X131210140Y-99121468D01* +X131224836Y-99080397D01* +X131243486Y-99040964D01* +X131265912Y-99003549D01* +X131291897Y-98968512D01* +X131321191Y-98936191D01* +X131353512Y-98906897D01* +X131388549Y-98880912D01* +X131425964Y-98858486D01* +X131465397Y-98839836D01* +X131506468Y-98825140D01* +X131548782Y-98814541D01* +X131591931Y-98808140D01* +X131635500Y-98806000D01* +X132524500Y-98806000D01* +X132568069Y-98808140D01* +X132568069Y-98808140D01* +G37* +D12* +X132080000Y-99822000D03* +D11* +G36* +X130028069Y-98808140D02* +G01* +X130071218Y-98814541D01* +X130113532Y-98825140D01* +X130154603Y-98839836D01* +X130194036Y-98858486D01* +X130231451Y-98880912D01* +X130266488Y-98906897D01* +X130298809Y-98936191D01* +X130328103Y-98968512D01* +X130354088Y-99003549D01* +X130376514Y-99040964D01* +X130395164Y-99080397D01* +X130409860Y-99121468D01* +X130420459Y-99163782D01* +X130426860Y-99206931D01* +X130429000Y-99250500D01* +X130429000Y-100393500D01* +X130426860Y-100437069D01* +X130420459Y-100480218D01* +X130409860Y-100522532D01* +X130395164Y-100563603D01* +X130376514Y-100603036D01* +X130354088Y-100640451D01* +X130328103Y-100675488D01* +X130298809Y-100707809D01* +X130266488Y-100737103D01* +X130231451Y-100763088D01* +X130194036Y-100785514D01* +X130154603Y-100804164D01* +X130113532Y-100818860D01* +X130071218Y-100829459D01* +X130028069Y-100835860D01* +X129984500Y-100838000D01* +X129095500Y-100838000D01* +X129051931Y-100835860D01* +X129008782Y-100829459D01* +X128966468Y-100818860D01* +X128925397Y-100804164D01* +X128885964Y-100785514D01* +X128848549Y-100763088D01* +X128813512Y-100737103D01* +X128781191Y-100707809D01* +X128751897Y-100675488D01* +X128725912Y-100640451D01* +X128703486Y-100603036D01* +X128684836Y-100563603D01* +X128670140Y-100522532D01* +X128659541Y-100480218D01* +X128653140Y-100437069D01* +X128651000Y-100393500D01* +X128651000Y-99250500D01* +X128653140Y-99206931D01* +X128659541Y-99163782D01* +X128670140Y-99121468D01* +X128684836Y-99080397D01* +X128703486Y-99040964D01* +X128725912Y-99003549D01* +X128751897Y-98968512D01* +X128781191Y-98936191D01* +X128813512Y-98906897D01* +X128848549Y-98880912D01* +X128885964Y-98858486D01* +X128925397Y-98839836D01* +X128966468Y-98825140D01* +X129008782Y-98814541D01* +X129051931Y-98808140D01* +X129095500Y-98806000D01* +X129984500Y-98806000D01* +X130028069Y-98808140D01* +X130028069Y-98808140D01* +G37* +D12* +X129540000Y-99822000D03* +D11* +G36* +X127488069Y-98808140D02* +G01* +X127531218Y-98814541D01* +X127573532Y-98825140D01* +X127614603Y-98839836D01* +X127654036Y-98858486D01* +X127691451Y-98880912D01* +X127726488Y-98906897D01* +X127758809Y-98936191D01* +X127788103Y-98968512D01* +X127814088Y-99003549D01* +X127836514Y-99040964D01* +X127855164Y-99080397D01* +X127869860Y-99121468D01* +X127880459Y-99163782D01* +X127886860Y-99206931D01* +X127889000Y-99250500D01* +X127889000Y-100393500D01* +X127886860Y-100437069D01* +X127880459Y-100480218D01* +X127869860Y-100522532D01* +X127855164Y-100563603D01* +X127836514Y-100603036D01* +X127814088Y-100640451D01* +X127788103Y-100675488D01* +X127758809Y-100707809D01* +X127726488Y-100737103D01* +X127691451Y-100763088D01* +X127654036Y-100785514D01* +X127614603Y-100804164D01* +X127573532Y-100818860D01* +X127531218Y-100829459D01* +X127488069Y-100835860D01* +X127444500Y-100838000D01* +X126555500Y-100838000D01* +X126511931Y-100835860D01* +X126468782Y-100829459D01* +X126426468Y-100818860D01* +X126385397Y-100804164D01* +X126345964Y-100785514D01* +X126308549Y-100763088D01* +X126273512Y-100737103D01* +X126241191Y-100707809D01* +X126211897Y-100675488D01* +X126185912Y-100640451D01* +X126163486Y-100603036D01* +X126144836Y-100563603D01* +X126130140Y-100522532D01* +X126119541Y-100480218D01* +X126113140Y-100437069D01* +X126111000Y-100393500D01* +X126111000Y-99250500D01* +X126113140Y-99206931D01* +X126119541Y-99163782D01* +X126130140Y-99121468D01* +X126144836Y-99080397D01* +X126163486Y-99040964D01* +X126185912Y-99003549D01* +X126211897Y-98968512D01* +X126241191Y-98936191D01* +X126273512Y-98906897D01* +X126308549Y-98880912D01* +X126345964Y-98858486D01* +X126385397Y-98839836D01* +X126426468Y-98825140D01* +X126468782Y-98814541D01* +X126511931Y-98808140D01* +X126555500Y-98806000D01* +X127444500Y-98806000D01* +X127488069Y-98808140D01* +X127488069Y-98808140D01* +G37* +D12* +X127000000Y-99822000D03* +D11* +G36* +X124948069Y-98808140D02* +G01* +X124991218Y-98814541D01* +X125033532Y-98825140D01* +X125074603Y-98839836D01* +X125114036Y-98858486D01* +X125151451Y-98880912D01* +X125186488Y-98906897D01* +X125218809Y-98936191D01* +X125248103Y-98968512D01* +X125274088Y-99003549D01* +X125296514Y-99040964D01* +X125315164Y-99080397D01* +X125329860Y-99121468D01* +X125340459Y-99163782D01* +X125346860Y-99206931D01* +X125349000Y-99250500D01* +X125349000Y-100393500D01* +X125346860Y-100437069D01* +X125340459Y-100480218D01* +X125329860Y-100522532D01* +X125315164Y-100563603D01* +X125296514Y-100603036D01* +X125274088Y-100640451D01* +X125248103Y-100675488D01* +X125218809Y-100707809D01* +X125186488Y-100737103D01* +X125151451Y-100763088D01* +X125114036Y-100785514D01* +X125074603Y-100804164D01* +X125033532Y-100818860D01* +X124991218Y-100829459D01* +X124948069Y-100835860D01* +X124904500Y-100838000D01* +X124015500Y-100838000D01* +X123971931Y-100835860D01* +X123928782Y-100829459D01* +X123886468Y-100818860D01* +X123845397Y-100804164D01* +X123805964Y-100785514D01* +X123768549Y-100763088D01* +X123733512Y-100737103D01* +X123701191Y-100707809D01* +X123671897Y-100675488D01* +X123645912Y-100640451D01* +X123623486Y-100603036D01* +X123604836Y-100563603D01* +X123590140Y-100522532D01* +X123579541Y-100480218D01* +X123573140Y-100437069D01* +X123571000Y-100393500D01* +X123571000Y-99250500D01* +X123573140Y-99206931D01* +X123579541Y-99163782D01* +X123590140Y-99121468D01* +X123604836Y-99080397D01* +X123623486Y-99040964D01* +X123645912Y-99003549D01* +X123671897Y-98968512D01* +X123701191Y-98936191D01* +X123733512Y-98906897D01* +X123768549Y-98880912D01* +X123805964Y-98858486D01* +X123845397Y-98839836D01* +X123886468Y-98825140D01* +X123928782Y-98814541D01* +X123971931Y-98808140D01* +X124015500Y-98806000D01* +X124904500Y-98806000D01* +X124948069Y-98808140D01* +X124948069Y-98808140D01* +G37* +D12* +X124460000Y-99822000D03* +D11* +G36* +X122408069Y-98808140D02* +G01* +X122451218Y-98814541D01* +X122493532Y-98825140D01* +X122534603Y-98839836D01* +X122574036Y-98858486D01* +X122611451Y-98880912D01* +X122646488Y-98906897D01* +X122678809Y-98936191D01* +X122708103Y-98968512D01* +X122734088Y-99003549D01* +X122756514Y-99040964D01* +X122775164Y-99080397D01* +X122789860Y-99121468D01* +X122800459Y-99163782D01* +X122806860Y-99206931D01* +X122809000Y-99250500D01* +X122809000Y-100393500D01* +X122806860Y-100437069D01* +X122800459Y-100480218D01* +X122789860Y-100522532D01* +X122775164Y-100563603D01* +X122756514Y-100603036D01* +X122734088Y-100640451D01* +X122708103Y-100675488D01* +X122678809Y-100707809D01* +X122646488Y-100737103D01* +X122611451Y-100763088D01* +X122574036Y-100785514D01* +X122534603Y-100804164D01* +X122493532Y-100818860D01* +X122451218Y-100829459D01* +X122408069Y-100835860D01* +X122364500Y-100838000D01* +X121475500Y-100838000D01* +X121431931Y-100835860D01* +X121388782Y-100829459D01* +X121346468Y-100818860D01* +X121305397Y-100804164D01* +X121265964Y-100785514D01* +X121228549Y-100763088D01* +X121193512Y-100737103D01* +X121161191Y-100707809D01* +X121131897Y-100675488D01* +X121105912Y-100640451D01* +X121083486Y-100603036D01* +X121064836Y-100563603D01* +X121050140Y-100522532D01* +X121039541Y-100480218D01* +X121033140Y-100437069D01* +X121031000Y-100393500D01* +X121031000Y-99250500D01* +X121033140Y-99206931D01* +X121039541Y-99163782D01* +X121050140Y-99121468D01* +X121064836Y-99080397D01* +X121083486Y-99040964D01* +X121105912Y-99003549D01* +X121131897Y-98968512D01* +X121161191Y-98936191D01* +X121193512Y-98906897D01* +X121228549Y-98880912D01* +X121265964Y-98858486D01* +X121305397Y-98839836D01* +X121346468Y-98825140D01* +X121388782Y-98814541D01* +X121431931Y-98808140D01* +X121475500Y-98806000D01* +X122364500Y-98806000D01* +X122408069Y-98808140D01* +X122408069Y-98808140D01* +G37* +D12* +X121920000Y-99822000D03* +D11* +G36* +X119868069Y-98808140D02* +G01* +X119911218Y-98814541D01* +X119953532Y-98825140D01* +X119994603Y-98839836D01* +X120034036Y-98858486D01* +X120071451Y-98880912D01* +X120106488Y-98906897D01* +X120138809Y-98936191D01* +X120168103Y-98968512D01* +X120194088Y-99003549D01* +X120216514Y-99040964D01* +X120235164Y-99080397D01* +X120249860Y-99121468D01* +X120260459Y-99163782D01* +X120266860Y-99206931D01* +X120269000Y-99250500D01* +X120269000Y-100393500D01* +X120266860Y-100437069D01* +X120260459Y-100480218D01* +X120249860Y-100522532D01* +X120235164Y-100563603D01* +X120216514Y-100603036D01* +X120194088Y-100640451D01* +X120168103Y-100675488D01* +X120138809Y-100707809D01* +X120106488Y-100737103D01* +X120071451Y-100763088D01* +X120034036Y-100785514D01* +X119994603Y-100804164D01* +X119953532Y-100818860D01* +X119911218Y-100829459D01* +X119868069Y-100835860D01* +X119824500Y-100838000D01* +X118935500Y-100838000D01* +X118891931Y-100835860D01* +X118848782Y-100829459D01* +X118806468Y-100818860D01* +X118765397Y-100804164D01* +X118725964Y-100785514D01* +X118688549Y-100763088D01* +X118653512Y-100737103D01* +X118621191Y-100707809D01* +X118591897Y-100675488D01* +X118565912Y-100640451D01* +X118543486Y-100603036D01* +X118524836Y-100563603D01* +X118510140Y-100522532D01* +X118499541Y-100480218D01* +X118493140Y-100437069D01* +X118491000Y-100393500D01* +X118491000Y-99250500D01* +X118493140Y-99206931D01* +X118499541Y-99163782D01* +X118510140Y-99121468D01* +X118524836Y-99080397D01* +X118543486Y-99040964D01* +X118565912Y-99003549D01* +X118591897Y-98968512D01* +X118621191Y-98936191D01* +X118653512Y-98906897D01* +X118688549Y-98880912D01* +X118725964Y-98858486D01* +X118765397Y-98839836D01* +X118806468Y-98825140D01* +X118848782Y-98814541D01* +X118891931Y-98808140D01* +X118935500Y-98806000D01* +X119824500Y-98806000D01* +X119868069Y-98808140D01* +X119868069Y-98808140D01* +G37* +D12* +X119380000Y-99822000D03* +D11* +G36* +X117328069Y-98808140D02* +G01* +X117371218Y-98814541D01* +X117413532Y-98825140D01* +X117454603Y-98839836D01* +X117494036Y-98858486D01* +X117531451Y-98880912D01* +X117566488Y-98906897D01* +X117598809Y-98936191D01* +X117628103Y-98968512D01* +X117654088Y-99003549D01* +X117676514Y-99040964D01* +X117695164Y-99080397D01* +X117709860Y-99121468D01* +X117720459Y-99163782D01* +X117726860Y-99206931D01* +X117729000Y-99250500D01* +X117729000Y-100393500D01* +X117726860Y-100437069D01* +X117720459Y-100480218D01* +X117709860Y-100522532D01* +X117695164Y-100563603D01* +X117676514Y-100603036D01* +X117654088Y-100640451D01* +X117628103Y-100675488D01* +X117598809Y-100707809D01* +X117566488Y-100737103D01* +X117531451Y-100763088D01* +X117494036Y-100785514D01* +X117454603Y-100804164D01* +X117413532Y-100818860D01* +X117371218Y-100829459D01* +X117328069Y-100835860D01* +X117284500Y-100838000D01* +X116395500Y-100838000D01* +X116351931Y-100835860D01* +X116308782Y-100829459D01* +X116266468Y-100818860D01* +X116225397Y-100804164D01* +X116185964Y-100785514D01* +X116148549Y-100763088D01* +X116113512Y-100737103D01* +X116081191Y-100707809D01* +X116051897Y-100675488D01* +X116025912Y-100640451D01* +X116003486Y-100603036D01* +X115984836Y-100563603D01* +X115970140Y-100522532D01* +X115959541Y-100480218D01* +X115953140Y-100437069D01* +X115951000Y-100393500D01* +X115951000Y-99250500D01* +X115953140Y-99206931D01* +X115959541Y-99163782D01* +X115970140Y-99121468D01* +X115984836Y-99080397D01* +X116003486Y-99040964D01* +X116025912Y-99003549D01* +X116051897Y-98968512D01* +X116081191Y-98936191D01* +X116113512Y-98906897D01* +X116148549Y-98880912D01* +X116185964Y-98858486D01* +X116225397Y-98839836D01* +X116266468Y-98825140D01* +X116308782Y-98814541D01* +X116351931Y-98808140D01* +X116395500Y-98806000D01* +X117284500Y-98806000D01* +X117328069Y-98808140D01* +X117328069Y-98808140D01* +G37* +D12* +X116840000Y-99822000D03* +D11* +G36* +X114788069Y-98808140D02* +G01* +X114831218Y-98814541D01* +X114873532Y-98825140D01* +X114914603Y-98839836D01* +X114954036Y-98858486D01* +X114991451Y-98880912D01* +X115026488Y-98906897D01* +X115058809Y-98936191D01* +X115088103Y-98968512D01* +X115114088Y-99003549D01* +X115136514Y-99040964D01* +X115155164Y-99080397D01* +X115169860Y-99121468D01* +X115180459Y-99163782D01* +X115186860Y-99206931D01* +X115189000Y-99250500D01* +X115189000Y-100393500D01* +X115186860Y-100437069D01* +X115180459Y-100480218D01* +X115169860Y-100522532D01* +X115155164Y-100563603D01* +X115136514Y-100603036D01* +X115114088Y-100640451D01* +X115088103Y-100675488D01* +X115058809Y-100707809D01* +X115026488Y-100737103D01* +X114991451Y-100763088D01* +X114954036Y-100785514D01* +X114914603Y-100804164D01* +X114873532Y-100818860D01* +X114831218Y-100829459D01* +X114788069Y-100835860D01* +X114744500Y-100838000D01* +X113855500Y-100838000D01* +X113811931Y-100835860D01* +X113768782Y-100829459D01* +X113726468Y-100818860D01* +X113685397Y-100804164D01* +X113645964Y-100785514D01* +X113608549Y-100763088D01* +X113573512Y-100737103D01* +X113541191Y-100707809D01* +X113511897Y-100675488D01* +X113485912Y-100640451D01* +X113463486Y-100603036D01* +X113444836Y-100563603D01* +X113430140Y-100522532D01* +X113419541Y-100480218D01* +X113413140Y-100437069D01* +X113411000Y-100393500D01* +X113411000Y-99250500D01* +X113413140Y-99206931D01* +X113419541Y-99163782D01* +X113430140Y-99121468D01* +X113444836Y-99080397D01* +X113463486Y-99040964D01* +X113485912Y-99003549D01* +X113511897Y-98968512D01* +X113541191Y-98936191D01* +X113573512Y-98906897D01* +X113608549Y-98880912D01* +X113645964Y-98858486D01* +X113685397Y-98839836D01* +X113726468Y-98825140D01* +X113768782Y-98814541D01* +X113811931Y-98808140D01* +X113855500Y-98806000D01* +X114744500Y-98806000D01* +X114788069Y-98808140D01* +X114788069Y-98808140D01* +G37* +D12* +X114300000Y-99822000D03* +D11* +G36* +X112248069Y-98808140D02* +G01* +X112291218Y-98814541D01* +X112333532Y-98825140D01* +X112374603Y-98839836D01* +X112414036Y-98858486D01* +X112451451Y-98880912D01* +X112486488Y-98906897D01* +X112518809Y-98936191D01* +X112548103Y-98968512D01* +X112574088Y-99003549D01* +X112596514Y-99040964D01* +X112615164Y-99080397D01* +X112629860Y-99121468D01* +X112640459Y-99163782D01* +X112646860Y-99206931D01* +X112649000Y-99250500D01* +X112649000Y-100393500D01* +X112646860Y-100437069D01* +X112640459Y-100480218D01* +X112629860Y-100522532D01* +X112615164Y-100563603D01* +X112596514Y-100603036D01* +X112574088Y-100640451D01* +X112548103Y-100675488D01* +X112518809Y-100707809D01* +X112486488Y-100737103D01* +X112451451Y-100763088D01* +X112414036Y-100785514D01* +X112374603Y-100804164D01* +X112333532Y-100818860D01* +X112291218Y-100829459D01* +X112248069Y-100835860D01* +X112204500Y-100838000D01* +X111315500Y-100838000D01* +X111271931Y-100835860D01* +X111228782Y-100829459D01* +X111186468Y-100818860D01* +X111145397Y-100804164D01* +X111105964Y-100785514D01* +X111068549Y-100763088D01* +X111033512Y-100737103D01* +X111001191Y-100707809D01* +X110971897Y-100675488D01* +X110945912Y-100640451D01* +X110923486Y-100603036D01* +X110904836Y-100563603D01* +X110890140Y-100522532D01* +X110879541Y-100480218D01* +X110873140Y-100437069D01* +X110871000Y-100393500D01* +X110871000Y-99250500D01* +X110873140Y-99206931D01* +X110879541Y-99163782D01* +X110890140Y-99121468D01* +X110904836Y-99080397D01* +X110923486Y-99040964D01* +X110945912Y-99003549D01* +X110971897Y-98968512D01* +X111001191Y-98936191D01* +X111033512Y-98906897D01* +X111068549Y-98880912D01* +X111105964Y-98858486D01* +X111145397Y-98839836D01* +X111186468Y-98825140D01* +X111228782Y-98814541D01* +X111271931Y-98808140D01* +X111315500Y-98806000D01* +X112204500Y-98806000D01* +X112248069Y-98808140D01* +X112248069Y-98808140D01* +G37* +D12* +X111760000Y-99822000D03* +D11* +G36* +X109708069Y-98808140D02* +G01* +X109751218Y-98814541D01* +X109793532Y-98825140D01* +X109834603Y-98839836D01* +X109874036Y-98858486D01* +X109911451Y-98880912D01* +X109946488Y-98906897D01* +X109978809Y-98936191D01* +X110008103Y-98968512D01* +X110034088Y-99003549D01* +X110056514Y-99040964D01* +X110075164Y-99080397D01* +X110089860Y-99121468D01* +X110100459Y-99163782D01* +X110106860Y-99206931D01* +X110109000Y-99250500D01* +X110109000Y-100393500D01* +X110106860Y-100437069D01* +X110100459Y-100480218D01* +X110089860Y-100522532D01* +X110075164Y-100563603D01* +X110056514Y-100603036D01* +X110034088Y-100640451D01* +X110008103Y-100675488D01* +X109978809Y-100707809D01* +X109946488Y-100737103D01* +X109911451Y-100763088D01* +X109874036Y-100785514D01* +X109834603Y-100804164D01* +X109793532Y-100818860D01* +X109751218Y-100829459D01* +X109708069Y-100835860D01* +X109664500Y-100838000D01* +X108775500Y-100838000D01* +X108731931Y-100835860D01* +X108688782Y-100829459D01* +X108646468Y-100818860D01* +X108605397Y-100804164D01* +X108565964Y-100785514D01* +X108528549Y-100763088D01* +X108493512Y-100737103D01* +X108461191Y-100707809D01* +X108431897Y-100675488D01* +X108405912Y-100640451D01* +X108383486Y-100603036D01* +X108364836Y-100563603D01* +X108350140Y-100522532D01* +X108339541Y-100480218D01* +X108333140Y-100437069D01* +X108331000Y-100393500D01* +X108331000Y-99250500D01* +X108333140Y-99206931D01* +X108339541Y-99163782D01* +X108350140Y-99121468D01* +X108364836Y-99080397D01* +X108383486Y-99040964D01* +X108405912Y-99003549D01* +X108431897Y-98968512D01* +X108461191Y-98936191D01* +X108493512Y-98906897D01* +X108528549Y-98880912D01* +X108565964Y-98858486D01* +X108605397Y-98839836D01* +X108646468Y-98825140D01* +X108688782Y-98814541D01* +X108731931Y-98808140D01* +X108775500Y-98806000D01* +X109664500Y-98806000D01* +X109708069Y-98808140D01* +X109708069Y-98808140D01* +G37* +D12* +X109220000Y-99822000D03* +D11* +G36* +X107168069Y-98808140D02* +G01* +X107211218Y-98814541D01* +X107253532Y-98825140D01* +X107294603Y-98839836D01* +X107334036Y-98858486D01* +X107371451Y-98880912D01* +X107406488Y-98906897D01* +X107438809Y-98936191D01* +X107468103Y-98968512D01* +X107494088Y-99003549D01* +X107516514Y-99040964D01* +X107535164Y-99080397D01* +X107549860Y-99121468D01* +X107560459Y-99163782D01* +X107566860Y-99206931D01* +X107569000Y-99250500D01* +X107569000Y-100393500D01* +X107566860Y-100437069D01* +X107560459Y-100480218D01* +X107549860Y-100522532D01* +X107535164Y-100563603D01* +X107516514Y-100603036D01* +X107494088Y-100640451D01* +X107468103Y-100675488D01* +X107438809Y-100707809D01* +X107406488Y-100737103D01* +X107371451Y-100763088D01* +X107334036Y-100785514D01* +X107294603Y-100804164D01* +X107253532Y-100818860D01* +X107211218Y-100829459D01* +X107168069Y-100835860D01* +X107124500Y-100838000D01* +X106235500Y-100838000D01* +X106191931Y-100835860D01* +X106148782Y-100829459D01* +X106106468Y-100818860D01* +X106065397Y-100804164D01* +X106025964Y-100785514D01* +X105988549Y-100763088D01* +X105953512Y-100737103D01* +X105921191Y-100707809D01* +X105891897Y-100675488D01* +X105865912Y-100640451D01* +X105843486Y-100603036D01* +X105824836Y-100563603D01* +X105810140Y-100522532D01* +X105799541Y-100480218D01* +X105793140Y-100437069D01* +X105791000Y-100393500D01* +X105791000Y-99250500D01* +X105793140Y-99206931D01* +X105799541Y-99163782D01* +X105810140Y-99121468D01* +X105824836Y-99080397D01* +X105843486Y-99040964D01* +X105865912Y-99003549D01* +X105891897Y-98968512D01* +X105921191Y-98936191D01* +X105953512Y-98906897D01* +X105988549Y-98880912D01* +X106025964Y-98858486D01* +X106065397Y-98839836D01* +X106106468Y-98825140D01* +X106148782Y-98814541D01* +X106191931Y-98808140D01* +X106235500Y-98806000D01* +X107124500Y-98806000D01* +X107168069Y-98808140D01* +X107168069Y-98808140D01* +G37* +D12* +X106680000Y-99822000D03* +D11* +G36* +X104628069Y-98808140D02* +G01* +X104671218Y-98814541D01* +X104713532Y-98825140D01* +X104754603Y-98839836D01* +X104794036Y-98858486D01* +X104831451Y-98880912D01* +X104866488Y-98906897D01* +X104898809Y-98936191D01* +X104928103Y-98968512D01* +X104954088Y-99003549D01* +X104976514Y-99040964D01* +X104995164Y-99080397D01* +X105009860Y-99121468D01* +X105020459Y-99163782D01* +X105026860Y-99206931D01* +X105029000Y-99250500D01* +X105029000Y-100393500D01* +X105026860Y-100437069D01* +X105020459Y-100480218D01* +X105009860Y-100522532D01* +X104995164Y-100563603D01* +X104976514Y-100603036D01* +X104954088Y-100640451D01* +X104928103Y-100675488D01* +X104898809Y-100707809D01* +X104866488Y-100737103D01* +X104831451Y-100763088D01* +X104794036Y-100785514D01* +X104754603Y-100804164D01* +X104713532Y-100818860D01* +X104671218Y-100829459D01* +X104628069Y-100835860D01* +X104584500Y-100838000D01* +X103695500Y-100838000D01* +X103651931Y-100835860D01* +X103608782Y-100829459D01* +X103566468Y-100818860D01* +X103525397Y-100804164D01* +X103485964Y-100785514D01* +X103448549Y-100763088D01* +X103413512Y-100737103D01* +X103381191Y-100707809D01* +X103351897Y-100675488D01* +X103325912Y-100640451D01* +X103303486Y-100603036D01* +X103284836Y-100563603D01* +X103270140Y-100522532D01* +X103259541Y-100480218D01* +X103253140Y-100437069D01* +X103251000Y-100393500D01* +X103251000Y-99250500D01* +X103253140Y-99206931D01* +X103259541Y-99163782D01* +X103270140Y-99121468D01* +X103284836Y-99080397D01* +X103303486Y-99040964D01* +X103325912Y-99003549D01* +X103351897Y-98968512D01* +X103381191Y-98936191D01* +X103413512Y-98906897D01* +X103448549Y-98880912D01* +X103485964Y-98858486D01* +X103525397Y-98839836D01* +X103566468Y-98825140D01* +X103608782Y-98814541D01* +X103651931Y-98808140D01* +X103695500Y-98806000D01* +X104584500Y-98806000D01* +X104628069Y-98808140D01* +X104628069Y-98808140D01* +G37* +D12* +X104140000Y-99822000D03* +D11* +G36* +X102088069Y-98808140D02* +G01* +X102131218Y-98814541D01* +X102173532Y-98825140D01* +X102214603Y-98839836D01* +X102254036Y-98858486D01* +X102291451Y-98880912D01* +X102326488Y-98906897D01* +X102358809Y-98936191D01* +X102388103Y-98968512D01* +X102414088Y-99003549D01* +X102436514Y-99040964D01* +X102455164Y-99080397D01* +X102469860Y-99121468D01* +X102480459Y-99163782D01* +X102486860Y-99206931D01* +X102489000Y-99250500D01* +X102489000Y-100393500D01* +X102486860Y-100437069D01* +X102480459Y-100480218D01* +X102469860Y-100522532D01* +X102455164Y-100563603D01* +X102436514Y-100603036D01* +X102414088Y-100640451D01* +X102388103Y-100675488D01* +X102358809Y-100707809D01* +X102326488Y-100737103D01* +X102291451Y-100763088D01* +X102254036Y-100785514D01* +X102214603Y-100804164D01* +X102173532Y-100818860D01* +X102131218Y-100829459D01* +X102088069Y-100835860D01* +X102044500Y-100838000D01* +X101155500Y-100838000D01* +X101111931Y-100835860D01* +X101068782Y-100829459D01* +X101026468Y-100818860D01* +X100985397Y-100804164D01* +X100945964Y-100785514D01* +X100908549Y-100763088D01* +X100873512Y-100737103D01* +X100841191Y-100707809D01* +X100811897Y-100675488D01* +X100785912Y-100640451D01* +X100763486Y-100603036D01* +X100744836Y-100563603D01* +X100730140Y-100522532D01* +X100719541Y-100480218D01* +X100713140Y-100437069D01* +X100711000Y-100393500D01* +X100711000Y-99250500D01* +X100713140Y-99206931D01* +X100719541Y-99163782D01* +X100730140Y-99121468D01* +X100744836Y-99080397D01* +X100763486Y-99040964D01* +X100785912Y-99003549D01* +X100811897Y-98968512D01* +X100841191Y-98936191D01* +X100873512Y-98906897D01* +X100908549Y-98880912D01* +X100945964Y-98858486D01* +X100985397Y-98839836D01* +X101026468Y-98825140D01* +X101068782Y-98814541D01* +X101111931Y-98808140D01* +X101155500Y-98806000D01* +X102044500Y-98806000D01* +X102088069Y-98808140D01* +X102088069Y-98808140D01* +G37* +D12* +X101600000Y-99822000D03* +D11* +G36* +X99548069Y-98808140D02* +G01* +X99591218Y-98814541D01* +X99633532Y-98825140D01* +X99674603Y-98839836D01* +X99714036Y-98858486D01* +X99751451Y-98880912D01* +X99786488Y-98906897D01* +X99818809Y-98936191D01* +X99848103Y-98968512D01* +X99874088Y-99003549D01* +X99896514Y-99040964D01* +X99915164Y-99080397D01* +X99929860Y-99121468D01* +X99940459Y-99163782D01* +X99946860Y-99206931D01* +X99949000Y-99250500D01* +X99949000Y-100393500D01* +X99946860Y-100437069D01* +X99940459Y-100480218D01* +X99929860Y-100522532D01* +X99915164Y-100563603D01* +X99896514Y-100603036D01* +X99874088Y-100640451D01* +X99848103Y-100675488D01* +X99818809Y-100707809D01* +X99786488Y-100737103D01* +X99751451Y-100763088D01* +X99714036Y-100785514D01* +X99674603Y-100804164D01* +X99633532Y-100818860D01* +X99591218Y-100829459D01* +X99548069Y-100835860D01* +X99504500Y-100838000D01* +X98615500Y-100838000D01* +X98571931Y-100835860D01* +X98528782Y-100829459D01* +X98486468Y-100818860D01* +X98445397Y-100804164D01* +X98405964Y-100785514D01* +X98368549Y-100763088D01* +X98333512Y-100737103D01* +X98301191Y-100707809D01* +X98271897Y-100675488D01* +X98245912Y-100640451D01* +X98223486Y-100603036D01* +X98204836Y-100563603D01* +X98190140Y-100522532D01* +X98179541Y-100480218D01* +X98173140Y-100437069D01* +X98171000Y-100393500D01* +X98171000Y-99250500D01* +X98173140Y-99206931D01* +X98179541Y-99163782D01* +X98190140Y-99121468D01* +X98204836Y-99080397D01* +X98223486Y-99040964D01* +X98245912Y-99003549D01* +X98271897Y-98968512D01* +X98301191Y-98936191D01* +X98333512Y-98906897D01* +X98368549Y-98880912D01* +X98405964Y-98858486D01* +X98445397Y-98839836D01* +X98486468Y-98825140D01* +X98528782Y-98814541D01* +X98571931Y-98808140D01* +X98615500Y-98806000D01* +X99504500Y-98806000D01* +X99548069Y-98808140D01* +X99548069Y-98808140D01* +G37* +D12* +X99060000Y-99822000D03* +D11* +G36* +X97008069Y-98808140D02* +G01* +X97051218Y-98814541D01* +X97093532Y-98825140D01* +X97134603Y-98839836D01* +X97174036Y-98858486D01* +X97211451Y-98880912D01* +X97246488Y-98906897D01* +X97278809Y-98936191D01* +X97308103Y-98968512D01* +X97334088Y-99003549D01* +X97356514Y-99040964D01* +X97375164Y-99080397D01* +X97389860Y-99121468D01* +X97400459Y-99163782D01* +X97406860Y-99206931D01* +X97409000Y-99250500D01* +X97409000Y-100393500D01* +X97406860Y-100437069D01* +X97400459Y-100480218D01* +X97389860Y-100522532D01* +X97375164Y-100563603D01* +X97356514Y-100603036D01* +X97334088Y-100640451D01* +X97308103Y-100675488D01* +X97278809Y-100707809D01* +X97246488Y-100737103D01* +X97211451Y-100763088D01* +X97174036Y-100785514D01* +X97134603Y-100804164D01* +X97093532Y-100818860D01* +X97051218Y-100829459D01* +X97008069Y-100835860D01* +X96964500Y-100838000D01* +X96075500Y-100838000D01* +X96031931Y-100835860D01* +X95988782Y-100829459D01* +X95946468Y-100818860D01* +X95905397Y-100804164D01* +X95865964Y-100785514D01* +X95828549Y-100763088D01* +X95793512Y-100737103D01* +X95761191Y-100707809D01* +X95731897Y-100675488D01* +X95705912Y-100640451D01* +X95683486Y-100603036D01* +X95664836Y-100563603D01* +X95650140Y-100522532D01* +X95639541Y-100480218D01* +X95633140Y-100437069D01* +X95631000Y-100393500D01* +X95631000Y-99250500D01* +X95633140Y-99206931D01* +X95639541Y-99163782D01* +X95650140Y-99121468D01* +X95664836Y-99080397D01* +X95683486Y-99040964D01* +X95705912Y-99003549D01* +X95731897Y-98968512D01* +X95761191Y-98936191D01* +X95793512Y-98906897D01* +X95828549Y-98880912D01* +X95865964Y-98858486D01* +X95905397Y-98839836D01* +X95946468Y-98825140D01* +X95988782Y-98814541D01* +X96031931Y-98808140D01* +X96075500Y-98806000D01* +X96964500Y-98806000D01* +X97008069Y-98808140D01* +X97008069Y-98808140D01* +G37* +D12* +X96520000Y-99822000D03* +D11* +G36* +X94468069Y-98808140D02* +G01* +X94511218Y-98814541D01* +X94553532Y-98825140D01* +X94594603Y-98839836D01* +X94634036Y-98858486D01* +X94671451Y-98880912D01* +X94706488Y-98906897D01* +X94738809Y-98936191D01* +X94768103Y-98968512D01* +X94794088Y-99003549D01* +X94816514Y-99040964D01* +X94835164Y-99080397D01* +X94849860Y-99121468D01* +X94860459Y-99163782D01* +X94866860Y-99206931D01* +X94869000Y-99250500D01* +X94869000Y-100393500D01* +X94866860Y-100437069D01* +X94860459Y-100480218D01* +X94849860Y-100522532D01* +X94835164Y-100563603D01* +X94816514Y-100603036D01* +X94794088Y-100640451D01* +X94768103Y-100675488D01* +X94738809Y-100707809D01* +X94706488Y-100737103D01* +X94671451Y-100763088D01* +X94634036Y-100785514D01* +X94594603Y-100804164D01* +X94553532Y-100818860D01* +X94511218Y-100829459D01* +X94468069Y-100835860D01* +X94424500Y-100838000D01* +X93535500Y-100838000D01* +X93491931Y-100835860D01* +X93448782Y-100829459D01* +X93406468Y-100818860D01* +X93365397Y-100804164D01* +X93325964Y-100785514D01* +X93288549Y-100763088D01* +X93253512Y-100737103D01* +X93221191Y-100707809D01* +X93191897Y-100675488D01* +X93165912Y-100640451D01* +X93143486Y-100603036D01* +X93124836Y-100563603D01* +X93110140Y-100522532D01* +X93099541Y-100480218D01* +X93093140Y-100437069D01* +X93091000Y-100393500D01* +X93091000Y-99250500D01* +X93093140Y-99206931D01* +X93099541Y-99163782D01* +X93110140Y-99121468D01* +X93124836Y-99080397D01* +X93143486Y-99040964D01* +X93165912Y-99003549D01* +X93191897Y-98968512D01* +X93221191Y-98936191D01* +X93253512Y-98906897D01* +X93288549Y-98880912D01* +X93325964Y-98858486D01* +X93365397Y-98839836D01* +X93406468Y-98825140D01* +X93448782Y-98814541D01* +X93491931Y-98808140D01* +X93535500Y-98806000D01* +X94424500Y-98806000D01* +X94468069Y-98808140D01* +X94468069Y-98808140D01* +G37* +D12* +X93980000Y-99822000D03* +D11* +G36* +X91928069Y-98808140D02* +G01* +X91971218Y-98814541D01* +X92013532Y-98825140D01* +X92054603Y-98839836D01* +X92094036Y-98858486D01* +X92131451Y-98880912D01* +X92166488Y-98906897D01* +X92198809Y-98936191D01* +X92228103Y-98968512D01* +X92254088Y-99003549D01* +X92276514Y-99040964D01* +X92295164Y-99080397D01* +X92309860Y-99121468D01* +X92320459Y-99163782D01* +X92326860Y-99206931D01* +X92329000Y-99250500D01* +X92329000Y-100393500D01* +X92326860Y-100437069D01* +X92320459Y-100480218D01* +X92309860Y-100522532D01* +X92295164Y-100563603D01* +X92276514Y-100603036D01* +X92254088Y-100640451D01* +X92228103Y-100675488D01* +X92198809Y-100707809D01* +X92166488Y-100737103D01* +X92131451Y-100763088D01* +X92094036Y-100785514D01* +X92054603Y-100804164D01* +X92013532Y-100818860D01* +X91971218Y-100829459D01* +X91928069Y-100835860D01* +X91884500Y-100838000D01* +X90995500Y-100838000D01* +X90951931Y-100835860D01* +X90908782Y-100829459D01* +X90866468Y-100818860D01* +X90825397Y-100804164D01* +X90785964Y-100785514D01* +X90748549Y-100763088D01* +X90713512Y-100737103D01* +X90681191Y-100707809D01* +X90651897Y-100675488D01* +X90625912Y-100640451D01* +X90603486Y-100603036D01* +X90584836Y-100563603D01* +X90570140Y-100522532D01* +X90559541Y-100480218D01* +X90553140Y-100437069D01* +X90551000Y-100393500D01* +X90551000Y-99250500D01* +X90553140Y-99206931D01* +X90559541Y-99163782D01* +X90570140Y-99121468D01* +X90584836Y-99080397D01* +X90603486Y-99040964D01* +X90625912Y-99003549D01* +X90651897Y-98968512D01* +X90681191Y-98936191D01* +X90713512Y-98906897D01* +X90748549Y-98880912D01* +X90785964Y-98858486D01* +X90825397Y-98839836D01* +X90866468Y-98825140D01* +X90908782Y-98814541D01* +X90951931Y-98808140D01* +X90995500Y-98806000D01* +X91884500Y-98806000D01* +X91928069Y-98808140D01* +X91928069Y-98808140D01* +G37* +D12* +X91440000Y-99822000D03* +D11* +G36* +X89388069Y-98808140D02* +G01* +X89431218Y-98814541D01* +X89473532Y-98825140D01* +X89514603Y-98839836D01* +X89554036Y-98858486D01* +X89591451Y-98880912D01* +X89626488Y-98906897D01* +X89658809Y-98936191D01* +X89688103Y-98968512D01* +X89714088Y-99003549D01* +X89736514Y-99040964D01* +X89755164Y-99080397D01* +X89769860Y-99121468D01* +X89780459Y-99163782D01* +X89786860Y-99206931D01* +X89789000Y-99250500D01* +X89789000Y-100393500D01* +X89786860Y-100437069D01* +X89780459Y-100480218D01* +X89769860Y-100522532D01* +X89755164Y-100563603D01* +X89736514Y-100603036D01* +X89714088Y-100640451D01* +X89688103Y-100675488D01* +X89658809Y-100707809D01* +X89626488Y-100737103D01* +X89591451Y-100763088D01* +X89554036Y-100785514D01* +X89514603Y-100804164D01* +X89473532Y-100818860D01* +X89431218Y-100829459D01* +X89388069Y-100835860D01* +X89344500Y-100838000D01* +X88455500Y-100838000D01* +X88411931Y-100835860D01* +X88368782Y-100829459D01* +X88326468Y-100818860D01* +X88285397Y-100804164D01* +X88245964Y-100785514D01* +X88208549Y-100763088D01* +X88173512Y-100737103D01* +X88141191Y-100707809D01* +X88111897Y-100675488D01* +X88085912Y-100640451D01* +X88063486Y-100603036D01* +X88044836Y-100563603D01* +X88030140Y-100522532D01* +X88019541Y-100480218D01* +X88013140Y-100437069D01* +X88011000Y-100393500D01* +X88011000Y-99250500D01* +X88013140Y-99206931D01* +X88019541Y-99163782D01* +X88030140Y-99121468D01* +X88044836Y-99080397D01* +X88063486Y-99040964D01* +X88085912Y-99003549D01* +X88111897Y-98968512D01* +X88141191Y-98936191D01* +X88173512Y-98906897D01* +X88208549Y-98880912D01* +X88245964Y-98858486D01* +X88285397Y-98839836D01* +X88326468Y-98825140D01* +X88368782Y-98814541D01* +X88411931Y-98808140D01* +X88455500Y-98806000D01* +X89344500Y-98806000D01* +X89388069Y-98808140D01* +X89388069Y-98808140D01* +G37* +D12* +X88900000Y-99822000D03* +D11* +G36* +X86848069Y-98808140D02* +G01* +X86891218Y-98814541D01* +X86933532Y-98825140D01* +X86974603Y-98839836D01* +X87014036Y-98858486D01* +X87051451Y-98880912D01* +X87086488Y-98906897D01* +X87118809Y-98936191D01* +X87148103Y-98968512D01* +X87174088Y-99003549D01* +X87196514Y-99040964D01* +X87215164Y-99080397D01* +X87229860Y-99121468D01* +X87240459Y-99163782D01* +X87246860Y-99206931D01* +X87249000Y-99250500D01* +X87249000Y-100393500D01* +X87246860Y-100437069D01* +X87240459Y-100480218D01* +X87229860Y-100522532D01* +X87215164Y-100563603D01* +X87196514Y-100603036D01* +X87174088Y-100640451D01* +X87148103Y-100675488D01* +X87118809Y-100707809D01* +X87086488Y-100737103D01* +X87051451Y-100763088D01* +X87014036Y-100785514D01* +X86974603Y-100804164D01* +X86933532Y-100818860D01* +X86891218Y-100829459D01* +X86848069Y-100835860D01* +X86804500Y-100838000D01* +X85915500Y-100838000D01* +X85871931Y-100835860D01* +X85828782Y-100829459D01* +X85786468Y-100818860D01* +X85745397Y-100804164D01* +X85705964Y-100785514D01* +X85668549Y-100763088D01* +X85633512Y-100737103D01* +X85601191Y-100707809D01* +X85571897Y-100675488D01* +X85545912Y-100640451D01* +X85523486Y-100603036D01* +X85504836Y-100563603D01* +X85490140Y-100522532D01* +X85479541Y-100480218D01* +X85473140Y-100437069D01* +X85471000Y-100393500D01* +X85471000Y-99250500D01* +X85473140Y-99206931D01* +X85479541Y-99163782D01* +X85490140Y-99121468D01* +X85504836Y-99080397D01* +X85523486Y-99040964D01* +X85545912Y-99003549D01* +X85571897Y-98968512D01* +X85601191Y-98936191D01* +X85633512Y-98906897D01* +X85668549Y-98880912D01* +X85705964Y-98858486D01* +X85745397Y-98839836D01* +X85786468Y-98825140D01* +X85828782Y-98814541D01* +X85871931Y-98808140D01* +X85915500Y-98806000D01* +X86804500Y-98806000D01* +X86848069Y-98808140D01* +X86848069Y-98808140D01* +G37* +D12* +X86360000Y-99822000D03* +D11* +G36* +X84308069Y-98808140D02* +G01* +X84351218Y-98814541D01* +X84393532Y-98825140D01* +X84434603Y-98839836D01* +X84474036Y-98858486D01* +X84511451Y-98880912D01* +X84546488Y-98906897D01* +X84578809Y-98936191D01* +X84608103Y-98968512D01* +X84634088Y-99003549D01* +X84656514Y-99040964D01* +X84675164Y-99080397D01* +X84689860Y-99121468D01* +X84700459Y-99163782D01* +X84706860Y-99206931D01* +X84709000Y-99250500D01* +X84709000Y-100393500D01* +X84706860Y-100437069D01* +X84700459Y-100480218D01* +X84689860Y-100522532D01* +X84675164Y-100563603D01* +X84656514Y-100603036D01* +X84634088Y-100640451D01* +X84608103Y-100675488D01* +X84578809Y-100707809D01* +X84546488Y-100737103D01* +X84511451Y-100763088D01* +X84474036Y-100785514D01* +X84434603Y-100804164D01* +X84393532Y-100818860D01* +X84351218Y-100829459D01* +X84308069Y-100835860D01* +X84264500Y-100838000D01* +X83375500Y-100838000D01* +X83331931Y-100835860D01* +X83288782Y-100829459D01* +X83246468Y-100818860D01* +X83205397Y-100804164D01* +X83165964Y-100785514D01* +X83128549Y-100763088D01* +X83093512Y-100737103D01* +X83061191Y-100707809D01* +X83031897Y-100675488D01* +X83005912Y-100640451D01* +X82983486Y-100603036D01* +X82964836Y-100563603D01* +X82950140Y-100522532D01* +X82939541Y-100480218D01* +X82933140Y-100437069D01* +X82931000Y-100393500D01* +X82931000Y-99250500D01* +X82933140Y-99206931D01* +X82939541Y-99163782D01* +X82950140Y-99121468D01* +X82964836Y-99080397D01* +X82983486Y-99040964D01* +X83005912Y-99003549D01* +X83031897Y-98968512D01* +X83061191Y-98936191D01* +X83093512Y-98906897D01* +X83128549Y-98880912D01* +X83165964Y-98858486D01* +X83205397Y-98839836D01* +X83246468Y-98825140D01* +X83288782Y-98814541D01* +X83331931Y-98808140D01* +X83375500Y-98806000D01* +X84264500Y-98806000D01* +X84308069Y-98808140D01* +X84308069Y-98808140D01* +G37* +D12* +X83820000Y-99822000D03* +D10* +X86741000Y-94107000D03* +X121031000Y-94107000D03* +X138049000Y-94107000D03* +X83820000Y-94107000D03* +X85090000Y-95250000D03* +X103759000Y-94107000D03* +X106680000Y-94107000D03* +X118110000Y-94107000D03* +X119380000Y-95250000D03* +D13* +X105410000Y-94996000D03* +D10* +X139700000Y-95250000D03* +X140970000Y-94107000D03* +X156210000Y-94107000D03* +X158750000Y-94107000D03* +D14* +X157480000Y-95377000D03* +D13* +X125730000Y-90932000D03* +X115189000Y-93281500D03* +X127000000Y-90932000D03* +X112522000Y-92456000D03* +X91440000Y-88392000D03* +X121158000Y-90170000D03* +X125730000Y-89027000D03* +D10* +X121031000Y-84836000D03* +X138049000Y-85217000D03* +X103759000Y-84836000D03* +X86741000Y-85217000D03* +D13* +X134620000Y-89408000D03* +X133350000Y-89408000D03* +X132080000Y-89408000D03* +X135890000Y-89408000D03* +X130810000Y-89408000D03* +X108839000Y-95377000D03* +X104267000Y-95377000D03* +X129540000Y-89662000D03* +X95250000Y-89662000D03* +X112395000Y-89408000D03* +X119634000Y-89408000D03* +X92710000Y-96520000D03* +X111252000Y-97536000D03* +X117729000Y-97917000D03* +X118872000Y-97536000D03* +X139065000Y-97409000D03* +X134239000Y-97663000D03* +X89408000Y-97028000D03* +X88138000Y-96266000D03* +X142621000Y-97409000D03* +X114681000Y-95758000D03* +X144145000Y-97409000D03* +X143129000Y-96393000D03* +X112395000Y-84709000D03* +X111379000Y-89916000D03* +X108077000Y-84709000D03* +X116713000Y-84709000D03* +X88900000Y-90043000D03* +X87630000Y-90043000D03* +X90170000Y-90043000D03* +X91948000Y-89662000D03* +X126492000Y-89662000D03* +X92710000Y-88392000D03* +X121412000Y-97536000D03* +X122428000Y-97536000D03* +X97282000Y-83439000D03* +X131572000Y-83439000D03* +X77089000Y-83439000D03* +X164211000Y-83439000D03* +X164211000Y-100711000D03* +X79121000Y-100711000D03* +X77089000Y-94742000D03* +X164211000Y-91440000D03* +X77089000Y-91440000D03* +X77089000Y-87376000D03* +X164211000Y-87376000D03* +X140081000Y-83439000D03* +X88773000Y-83439000D03* +X105791000Y-83439000D03* +X123063000Y-83439000D03* +X114427000Y-83439000D03* +X118745000Y-83439000D03* +X110109000Y-83439000D03* +X127127000Y-83439000D03* +X136017000Y-83439000D03* +X101727000Y-83439000D03* +X92837000Y-83439000D03* +X82804000Y-83439000D03* +X152146000Y-83439000D03* +X158242000Y-83439000D03* +X146050000Y-83439000D03* +X164211000Y-96139000D03* +X82804000Y-87376000D03* +X158242000Y-87376000D03* +X152146000Y-87376000D03* +X146050000Y-87376000D03* +X146050000Y-91440000D03* +X152146000Y-91440000D03* +X152146000Y-95885000D03* +X79883000Y-85344000D03* +X161290000Y-85344000D03* +X155194000Y-85344000D03* +X149098000Y-85344000D03* +X143002000Y-85344000D03* +X149098000Y-89408000D03* +X161290000Y-89408000D03* +X149098000Y-93853000D03* +X161290000Y-93853000D03* +X161290000Y-98552000D03* +X78994000Y-94742000D03* +X80899000Y-97790000D03* +X79883000Y-89281000D03* +X139954000Y-87376000D03* +X143002000Y-89408000D03* +X143002000Y-93853000D03* +X146050000Y-95885000D03* +X131699000Y-97663000D03* +X110109000Y-97917000D03* +X102743000Y-97917000D03* +X99949000Y-97917000D03* +X97409000Y-97917000D03* +X128270000Y-98298000D03* +X147701000Y-97409000D03* +X158623000Y-96139000D03* +X113411000Y-91948000D03* +X116205000Y-92964000D03* +X114935000Y-91948000D03* +X114173000Y-92964000D03* +X112395000Y-93472000D03* +X112776000Y-95377000D03* +X110363000Y-95377000D03* +X111506000Y-92583000D03* +X110617000Y-93345000D03* +X111569500Y-94234000D03* +X82931000Y-95250000D03* +D10* +X83820000Y-92456000D03* +X85090000Y-91313000D03* +D13* +X104013000Y-89408000D03* +D10* +X105410000Y-91313000D03* +X119380000Y-91313000D03* +X117729000Y-91821000D03* +X106807000Y-92075000D03* +X139700000Y-91186000D03* +X140970000Y-92456000D03* +X156210000Y-92456000D03* +X158750000Y-92456000D03* +X157480000Y-91313000D03* +D13* +X132080000Y-90932000D03* +X100330000Y-90932000D03* +X133350000Y-90932000D03* +X99060000Y-90932000D03* +X134620000Y-90932000D03* +X97790000Y-90932000D03* +X135890000Y-90932000D03* +X96520000Y-90932000D03* +X93980000Y-88392000D03* +X135890000Y-88392000D03* +X134620000Y-88392000D03* +X96520000Y-88392000D03* +X97790000Y-88392000D03* +X133350000Y-88392000D03* +X99060000Y-88392000D03* +X132080000Y-88392000D03* +X100330000Y-88392000D03* +X130810000Y-88392000D03* +X130810000Y-90932000D03* +X101600000Y-90932000D03* +X101600000Y-88392000D03* +X128270000Y-88392000D03* +D15* +G36* +X164771401Y-101271400D02* +G01* +X78560600Y-101271400D01* +X78560600Y-100663468D01* +X78638400Y-100663468D01* +X78638400Y-100758532D01* +X78656946Y-100851769D01* +X78693326Y-100939597D01* +X78746140Y-101018640D01* +X78813360Y-101085860D01* +X78892403Y-101138674D01* +X78980231Y-101175054D01* +X79073468Y-101193600D01* +X79168532Y-101193600D01* +X79261769Y-101175054D01* +X79349597Y-101138674D01* +X79428640Y-101085860D01* +X79495860Y-101018640D01* +X79548674Y-100939597D01* +X79585054Y-100851769D01* +X79587792Y-100838000D01* +X82701294Y-100838000D01* +X82705708Y-100882813D01* +X82718779Y-100925905D01* +X82740006Y-100965618D01* +X82768573Y-101000427D01* +X82803382Y-101028994D01* +X82843095Y-101050221D01* +X82886187Y-101063292D01* +X82931000Y-101067706D01* +X83689050Y-101066600D01* +X83746200Y-101009450D01* +X83746200Y-99895800D01* +X83893800Y-99895800D01* +X83893800Y-101009450D01* +X83950950Y-101066600D01* +X84709000Y-101067706D01* +X84753813Y-101063292D01* +X84796905Y-101050221D01* +X84836618Y-101028994D01* +X84871427Y-101000427D01* +X84899994Y-100965618D01* +X84921221Y-100925905D01* +X84934292Y-100882813D01* +X84938706Y-100838000D01* +X84937600Y-99952950D01* +X84880450Y-99895800D01* +X83893800Y-99895800D01* +X83746200Y-99895800D01* +X82759550Y-99895800D01* +X82702400Y-99952950D01* +X82701294Y-100838000D01* +X79587792Y-100838000D01* +X79603600Y-100758532D01* +X79603600Y-100663468D01* +X79585054Y-100570231D01* +X79548674Y-100482403D01* +X79495860Y-100403360D01* +X79428640Y-100336140D01* +X79349597Y-100283326D01* +X79261769Y-100246946D01* +X79168532Y-100228400D01* +X79073468Y-100228400D01* +X78980231Y-100246946D01* +X78892403Y-100283326D01* +X78813360Y-100336140D01* +X78746140Y-100403360D01* +X78693326Y-100482403D01* +X78656946Y-100570231D01* +X78638400Y-100663468D01* +X78560600Y-100663468D01* +X78560600Y-98806000D01* +X82701294Y-98806000D01* +X82702400Y-99691050D01* +X82759550Y-99748200D01* +X83746200Y-99748200D01* +X83746200Y-99728200D01* +X83893800Y-99728200D01* +X83893800Y-99748200D01* +X84880450Y-99748200D01* +X84937600Y-99691050D01* +X84938150Y-99250500D01* +X85241294Y-99250500D01* +X85241294Y-100393500D01* +X85254249Y-100525031D01* +X85292615Y-100651507D01* +X85354918Y-100768069D01* +X85438764Y-100870236D01* +X85540931Y-100954082D01* +X85657493Y-101016385D01* +X85783969Y-101054751D01* +X85915500Y-101067706D01* +X86804500Y-101067706D01* +X86936031Y-101054751D01* +X87062507Y-101016385D01* +X87179069Y-100954082D01* +X87281236Y-100870236D01* +X87365082Y-100768069D01* +X87427385Y-100651507D01* +X87465751Y-100525031D01* +X87478706Y-100393500D01* +X87478706Y-99250500D01* +X87781294Y-99250500D01* +X87781294Y-100393500D01* +X87794249Y-100525031D01* +X87832615Y-100651507D01* +X87894918Y-100768069D01* +X87978764Y-100870236D01* +X88080931Y-100954082D01* +X88197493Y-101016385D01* +X88323969Y-101054751D01* +X88455500Y-101067706D01* +X89344500Y-101067706D01* +X89476031Y-101054751D01* +X89602507Y-101016385D01* +X89719069Y-100954082D01* +X89821236Y-100870236D01* +X89905082Y-100768069D01* +X89967385Y-100651507D01* +X90005751Y-100525031D01* +X90018706Y-100393500D01* +X90018706Y-99250500D01* +X90321294Y-99250500D01* +X90321294Y-100393500D01* +X90334249Y-100525031D01* +X90372615Y-100651507D01* +X90434918Y-100768069D01* +X90518764Y-100870236D01* +X90620931Y-100954082D01* +X90737493Y-101016385D01* +X90863969Y-101054751D01* +X90995500Y-101067706D01* +X91884500Y-101067706D01* +X92016031Y-101054751D01* +X92142507Y-101016385D01* +X92259069Y-100954082D01* +X92361236Y-100870236D01* +X92445082Y-100768069D01* +X92507385Y-100651507D01* +X92545751Y-100525031D01* +X92558706Y-100393500D01* +X92558706Y-99250500D01* +X92861294Y-99250500D01* +X92861294Y-100393500D01* +X92874249Y-100525031D01* +X92912615Y-100651507D01* +X92974918Y-100768069D01* +X93058764Y-100870236D01* +X93160931Y-100954082D01* +X93277493Y-101016385D01* +X93403969Y-101054751D01* +X93535500Y-101067706D01* +X94424500Y-101067706D01* +X94556031Y-101054751D01* +X94682507Y-101016385D01* +X94799069Y-100954082D01* +X94901236Y-100870236D01* +X94985082Y-100768069D01* +X95047385Y-100651507D01* +X95085751Y-100525031D01* +X95098706Y-100393500D01* +X95098706Y-99250500D01* +X95401294Y-99250500D01* +X95401294Y-100393500D01* +X95414249Y-100525031D01* +X95452615Y-100651507D01* +X95514918Y-100768069D01* +X95598764Y-100870236D01* +X95700931Y-100954082D01* +X95817493Y-101016385D01* +X95943969Y-101054751D01* +X96075500Y-101067706D01* +X96964500Y-101067706D01* +X97096031Y-101054751D01* +X97222507Y-101016385D01* +X97339069Y-100954082D01* +X97441236Y-100870236D01* +X97525082Y-100768069D01* +X97587385Y-100651507D01* +X97625751Y-100525031D01* +X97638706Y-100393500D01* +X97638706Y-99250500D01* +X97941294Y-99250500D01* +X97941294Y-100393500D01* +X97954249Y-100525031D01* +X97992615Y-100651507D01* +X98054918Y-100768069D01* +X98138764Y-100870236D01* +X98240931Y-100954082D01* +X98357493Y-101016385D01* +X98483969Y-101054751D01* +X98615500Y-101067706D01* +X99504500Y-101067706D01* +X99636031Y-101054751D01* +X99762507Y-101016385D01* +X99879069Y-100954082D01* +X99981236Y-100870236D01* +X100065082Y-100768069D01* +X100127385Y-100651507D01* +X100165751Y-100525031D01* +X100178706Y-100393500D01* +X100178706Y-99250500D01* +X100481294Y-99250500D01* +X100481294Y-100393500D01* +X100494249Y-100525031D01* +X100532615Y-100651507D01* +X100594918Y-100768069D01* +X100678764Y-100870236D01* +X100780931Y-100954082D01* +X100897493Y-101016385D01* +X101023969Y-101054751D01* +X101155500Y-101067706D01* +X102044500Y-101067706D01* +X102176031Y-101054751D01* +X102302507Y-101016385D01* +X102419069Y-100954082D01* +X102521236Y-100870236D01* +X102605082Y-100768069D01* +X102667385Y-100651507D01* +X102705751Y-100525031D01* +X102718706Y-100393500D01* +X102718706Y-99250500D01* +X103021294Y-99250500D01* +X103021294Y-100393500D01* +X103034249Y-100525031D01* +X103072615Y-100651507D01* +X103134918Y-100768069D01* +X103218764Y-100870236D01* +X103320931Y-100954082D01* +X103437493Y-101016385D01* +X103563969Y-101054751D01* +X103695500Y-101067706D01* +X104584500Y-101067706D01* +X104716031Y-101054751D01* +X104842507Y-101016385D01* +X104959069Y-100954082D01* +X105061236Y-100870236D01* +X105145082Y-100768069D01* +X105207385Y-100651507D01* +X105245751Y-100525031D01* +X105258706Y-100393500D01* +X105258706Y-99250500D01* +X105561294Y-99250500D01* +X105561294Y-100393500D01* +X105574249Y-100525031D01* +X105612615Y-100651507D01* +X105674918Y-100768069D01* +X105758764Y-100870236D01* +X105860931Y-100954082D01* +X105977493Y-101016385D01* +X106103969Y-101054751D01* +X106235500Y-101067706D01* +X107124500Y-101067706D01* +X107256031Y-101054751D01* +X107382507Y-101016385D01* +X107499069Y-100954082D01* +X107601236Y-100870236D01* +X107685082Y-100768069D01* +X107747385Y-100651507D01* +X107785751Y-100525031D01* +X107798706Y-100393500D01* +X107798706Y-99250500D01* +X108101294Y-99250500D01* +X108101294Y-100393500D01* +X108114249Y-100525031D01* +X108152615Y-100651507D01* +X108214918Y-100768069D01* +X108298764Y-100870236D01* +X108400931Y-100954082D01* +X108517493Y-101016385D01* +X108643969Y-101054751D01* +X108775500Y-101067706D01* +X109664500Y-101067706D01* +X109796031Y-101054751D01* +X109922507Y-101016385D01* +X110039069Y-100954082D01* +X110141236Y-100870236D01* +X110225082Y-100768069D01* +X110287385Y-100651507D01* +X110325751Y-100525031D01* +X110338706Y-100393500D01* +X110338706Y-99250500D01* +X110641294Y-99250500D01* +X110641294Y-100393500D01* +X110654249Y-100525031D01* +X110692615Y-100651507D01* +X110754918Y-100768069D01* +X110838764Y-100870236D01* +X110940931Y-100954082D01* +X111057493Y-101016385D01* +X111183969Y-101054751D01* +X111315500Y-101067706D01* +X112204500Y-101067706D01* +X112336031Y-101054751D01* +X112462507Y-101016385D01* +X112579069Y-100954082D01* +X112681236Y-100870236D01* +X112765082Y-100768069D01* +X112827385Y-100651507D01* +X112865751Y-100525031D01* +X112878706Y-100393500D01* +X112878706Y-99250500D01* +X113181294Y-99250500D01* +X113181294Y-100393500D01* +X113194249Y-100525031D01* +X113232615Y-100651507D01* +X113294918Y-100768069D01* +X113378764Y-100870236D01* +X113480931Y-100954082D01* +X113597493Y-101016385D01* +X113723969Y-101054751D01* +X113855500Y-101067706D01* +X114744500Y-101067706D01* +X114876031Y-101054751D01* +X115002507Y-101016385D01* +X115119069Y-100954082D01* +X115221236Y-100870236D01* +X115305082Y-100768069D01* +X115367385Y-100651507D01* +X115405751Y-100525031D01* +X115418706Y-100393500D01* +X115418706Y-99250500D01* +X115721294Y-99250500D01* +X115721294Y-100393500D01* +X115734249Y-100525031D01* +X115772615Y-100651507D01* +X115834918Y-100768069D01* +X115918764Y-100870236D01* +X116020931Y-100954082D01* +X116137493Y-101016385D01* +X116263969Y-101054751D01* +X116395500Y-101067706D01* +X117284500Y-101067706D01* +X117416031Y-101054751D01* +X117542507Y-101016385D01* +X117659069Y-100954082D01* +X117761236Y-100870236D01* +X117845082Y-100768069D01* +X117907385Y-100651507D01* +X117945751Y-100525031D01* +X117958706Y-100393500D01* +X117958706Y-99250500D01* +X118261294Y-99250500D01* +X118261294Y-100393500D01* +X118274249Y-100525031D01* +X118312615Y-100651507D01* +X118374918Y-100768069D01* +X118458764Y-100870236D01* +X118560931Y-100954082D01* +X118677493Y-101016385D01* +X118803969Y-101054751D01* +X118935500Y-101067706D01* +X119824500Y-101067706D01* +X119956031Y-101054751D01* +X120082507Y-101016385D01* +X120199069Y-100954082D01* +X120301236Y-100870236D01* +X120385082Y-100768069D01* +X120447385Y-100651507D01* +X120485751Y-100525031D01* +X120498706Y-100393500D01* +X120498706Y-99250500D01* +X120801294Y-99250500D01* +X120801294Y-100393500D01* +X120814249Y-100525031D01* +X120852615Y-100651507D01* +X120914918Y-100768069D01* +X120998764Y-100870236D01* +X121100931Y-100954082D01* +X121217493Y-101016385D01* +X121343969Y-101054751D01* +X121475500Y-101067706D01* +X122364500Y-101067706D01* +X122496031Y-101054751D01* +X122622507Y-101016385D01* +X122739069Y-100954082D01* +X122841236Y-100870236D01* +X122925082Y-100768069D01* +X122987385Y-100651507D01* +X123025751Y-100525031D01* +X123038706Y-100393500D01* +X123038706Y-99250500D01* +X123341294Y-99250500D01* +X123341294Y-100393500D01* +X123354249Y-100525031D01* +X123392615Y-100651507D01* +X123454918Y-100768069D01* +X123538764Y-100870236D01* +X123640931Y-100954082D01* +X123757493Y-101016385D01* +X123883969Y-101054751D01* +X124015500Y-101067706D01* +X124904500Y-101067706D01* +X125036031Y-101054751D01* +X125162507Y-101016385D01* +X125279069Y-100954082D01* +X125381236Y-100870236D01* +X125465082Y-100768069D01* +X125527385Y-100651507D01* +X125565751Y-100525031D01* +X125578706Y-100393500D01* +X125578706Y-99250500D01* +X125881294Y-99250500D01* +X125881294Y-100393500D01* +X125894249Y-100525031D01* +X125932615Y-100651507D01* +X125994918Y-100768069D01* +X126078764Y-100870236D01* +X126180931Y-100954082D01* +X126297493Y-101016385D01* +X126423969Y-101054751D01* +X126555500Y-101067706D01* +X127444500Y-101067706D01* +X127576031Y-101054751D01* +X127702507Y-101016385D01* +X127819069Y-100954082D01* +X127921236Y-100870236D01* +X128005082Y-100768069D01* +X128067385Y-100651507D01* +X128105751Y-100525031D01* +X128118706Y-100393500D01* +X128118706Y-99250500D01* +X128421294Y-99250500D01* +X128421294Y-100393500D01* +X128434249Y-100525031D01* +X128472615Y-100651507D01* +X128534918Y-100768069D01* +X128618764Y-100870236D01* +X128720931Y-100954082D01* +X128837493Y-101016385D01* +X128963969Y-101054751D01* +X129095500Y-101067706D01* +X129984500Y-101067706D01* +X130116031Y-101054751D01* +X130242507Y-101016385D01* +X130359069Y-100954082D01* +X130461236Y-100870236D01* +X130545082Y-100768069D01* +X130607385Y-100651507D01* +X130645751Y-100525031D01* +X130658706Y-100393500D01* +X130658706Y-99250500D01* +X130961294Y-99250500D01* +X130961294Y-100393500D01* +X130974249Y-100525031D01* +X131012615Y-100651507D01* +X131074918Y-100768069D01* +X131158764Y-100870236D01* +X131260931Y-100954082D01* +X131377493Y-101016385D01* +X131503969Y-101054751D01* +X131635500Y-101067706D01* +X132524500Y-101067706D01* +X132656031Y-101054751D01* +X132782507Y-101016385D01* +X132899069Y-100954082D01* +X133001236Y-100870236D01* +X133085082Y-100768069D01* +X133147385Y-100651507D01* +X133185751Y-100525031D01* +X133198706Y-100393500D01* +X133198706Y-99250500D01* +X133501294Y-99250500D01* +X133501294Y-100393500D01* +X133514249Y-100525031D01* +X133552615Y-100651507D01* +X133614918Y-100768069D01* +X133698764Y-100870236D01* +X133800931Y-100954082D01* +X133917493Y-101016385D01* +X134043969Y-101054751D01* +X134175500Y-101067706D01* +X135064500Y-101067706D01* +X135196031Y-101054751D01* +X135322507Y-101016385D01* +X135439069Y-100954082D01* +X135541236Y-100870236D01* +X135625082Y-100768069D01* +X135687385Y-100651507D01* +X135725751Y-100525031D01* +X135738706Y-100393500D01* +X135738706Y-99250500D01* +X136041294Y-99250500D01* +X136041294Y-100393500D01* +X136054249Y-100525031D01* +X136092615Y-100651507D01* +X136154918Y-100768069D01* +X136238764Y-100870236D01* +X136340931Y-100954082D01* +X136457493Y-101016385D01* +X136583969Y-101054751D01* +X136715500Y-101067706D01* +X137604500Y-101067706D01* +X137736031Y-101054751D01* +X137862507Y-101016385D01* +X137979069Y-100954082D01* +X138081236Y-100870236D01* +X138165082Y-100768069D01* +X138227385Y-100651507D01* +X138265751Y-100525031D01* +X138278706Y-100393500D01* +X138278706Y-99250500D01* +X138581294Y-99250500D01* +X138581294Y-100393500D01* +X138594249Y-100525031D01* +X138632615Y-100651507D01* +X138694918Y-100768069D01* +X138778764Y-100870236D01* +X138880931Y-100954082D01* +X138997493Y-101016385D01* +X139123969Y-101054751D01* +X139255500Y-101067706D01* +X140144500Y-101067706D01* +X140276031Y-101054751D01* +X140402507Y-101016385D01* +X140519069Y-100954082D01* +X140621236Y-100870236D01* +X140705082Y-100768069D01* +X140767385Y-100651507D01* +X140805751Y-100525031D01* +X140818706Y-100393500D01* +X140818706Y-99250500D01* +X141121294Y-99250500D01* +X141121294Y-100393500D01* +X141134249Y-100525031D01* +X141172615Y-100651507D01* +X141234918Y-100768069D01* +X141318764Y-100870236D01* +X141420931Y-100954082D01* +X141537493Y-101016385D01* +X141663969Y-101054751D01* +X141795500Y-101067706D01* +X142684500Y-101067706D01* +X142816031Y-101054751D01* +X142942507Y-101016385D01* +X143059069Y-100954082D01* +X143161236Y-100870236D01* +X143245082Y-100768069D01* +X143307385Y-100651507D01* +X143345751Y-100525031D01* +X143358706Y-100393500D01* +X143358706Y-99250500D01* +X143661294Y-99250500D01* +X143661294Y-100393500D01* +X143674249Y-100525031D01* +X143712615Y-100651507D01* +X143774918Y-100768069D01* +X143858764Y-100870236D01* +X143960931Y-100954082D01* +X144077493Y-101016385D01* +X144203969Y-101054751D01* +X144335500Y-101067706D01* +X145224500Y-101067706D01* +X145356031Y-101054751D01* +X145482507Y-101016385D01* +X145599069Y-100954082D01* +X145701236Y-100870236D01* +X145785082Y-100768069D01* +X145847385Y-100651507D01* +X145885751Y-100525031D01* +X145898706Y-100393500D01* +X145898706Y-99250500D01* +X146201294Y-99250500D01* +X146201294Y-100393500D01* +X146214249Y-100525031D01* +X146252615Y-100651507D01* +X146314918Y-100768069D01* +X146398764Y-100870236D01* +X146500931Y-100954082D01* +X146617493Y-101016385D01* +X146743969Y-101054751D01* +X146875500Y-101067706D01* +X147764500Y-101067706D01* +X147896031Y-101054751D01* +X148022507Y-101016385D01* +X148139069Y-100954082D01* +X148241236Y-100870236D01* +X148325082Y-100768069D01* +X148387385Y-100651507D01* +X148425751Y-100525031D01* +X148438706Y-100393500D01* +X148438706Y-99250500D01* +X148741294Y-99250500D01* +X148741294Y-100393500D01* +X148754249Y-100525031D01* +X148792615Y-100651507D01* +X148854918Y-100768069D01* +X148938764Y-100870236D01* +X149040931Y-100954082D01* +X149157493Y-101016385D01* +X149283969Y-101054751D01* +X149415500Y-101067706D01* +X150304500Y-101067706D01* +X150436031Y-101054751D01* +X150562507Y-101016385D01* +X150679069Y-100954082D01* +X150781236Y-100870236D01* +X150865082Y-100768069D01* +X150927385Y-100651507D01* +X150965751Y-100525031D01* +X150978706Y-100393500D01* +X150978706Y-99250500D01* +X151281294Y-99250500D01* +X151281294Y-100393500D01* +X151294249Y-100525031D01* +X151332615Y-100651507D01* +X151394918Y-100768069D01* +X151478764Y-100870236D01* +X151580931Y-100954082D01* +X151697493Y-101016385D01* +X151823969Y-101054751D01* +X151955500Y-101067706D01* +X152844500Y-101067706D01* +X152976031Y-101054751D01* +X153102507Y-101016385D01* +X153219069Y-100954082D01* +X153321236Y-100870236D01* +X153405082Y-100768069D01* +X153467385Y-100651507D01* +X153505751Y-100525031D01* +X153518706Y-100393500D01* +X153518706Y-99250500D01* +X153821294Y-99250500D01* +X153821294Y-100393500D01* +X153834249Y-100525031D01* +X153872615Y-100651507D01* +X153934918Y-100768069D01* +X154018764Y-100870236D01* +X154120931Y-100954082D01* +X154237493Y-101016385D01* +X154363969Y-101054751D01* +X154495500Y-101067706D01* +X155384500Y-101067706D01* +X155516031Y-101054751D01* +X155642507Y-101016385D01* +X155759069Y-100954082D01* +X155861236Y-100870236D01* +X155887691Y-100838000D01* +X156361294Y-100838000D01* +X156365708Y-100882813D01* +X156378779Y-100925905D01* +X156400006Y-100965618D01* +X156428573Y-101000427D01* +X156463382Y-101028994D01* +X156503095Y-101050221D01* +X156546187Y-101063292D01* +X156591000Y-101067706D01* +X157349050Y-101066600D01* +X157406200Y-101009450D01* +X157406200Y-99895800D01* +X157553800Y-99895800D01* +X157553800Y-101009450D01* +X157610950Y-101066600D01* +X158369000Y-101067706D01* +X158413813Y-101063292D01* +X158456905Y-101050221D01* +X158496618Y-101028994D01* +X158531427Y-101000427D01* +X158559994Y-100965618D01* +X158581221Y-100925905D01* +X158594292Y-100882813D01* +X158598706Y-100838000D01* +X158598488Y-100663468D01* +X163728400Y-100663468D01* +X163728400Y-100758532D01* +X163746946Y-100851769D01* +X163783326Y-100939597D01* +X163836140Y-101018640D01* +X163903360Y-101085860D01* +X163982403Y-101138674D01* +X164070231Y-101175054D01* +X164163468Y-101193600D01* +X164258532Y-101193600D01* +X164351769Y-101175054D01* +X164439597Y-101138674D01* +X164518640Y-101085860D01* +X164585860Y-101018640D01* +X164638674Y-100939597D01* +X164675054Y-100851769D01* +X164693600Y-100758532D01* +X164693600Y-100663468D01* +X164675054Y-100570231D01* +X164638674Y-100482403D01* +X164585860Y-100403360D01* +X164518640Y-100336140D01* +X164439597Y-100283326D01* +X164351769Y-100246946D01* +X164258532Y-100228400D01* +X164163468Y-100228400D01* +X164070231Y-100246946D01* +X163982403Y-100283326D01* +X163903360Y-100336140D01* +X163836140Y-100403360D01* +X163783326Y-100482403D01* +X163746946Y-100570231D01* +X163728400Y-100663468D01* +X158598488Y-100663468D01* +X158597600Y-99952950D01* +X158540450Y-99895800D01* +X157553800Y-99895800D01* +X157406200Y-99895800D01* +X156419550Y-99895800D01* +X156362400Y-99952950D01* +X156361294Y-100838000D01* +X155887691Y-100838000D01* +X155945082Y-100768069D01* +X156007385Y-100651507D01* +X156045751Y-100525031D01* +X156058706Y-100393500D01* +X156058706Y-99250500D01* +X156045751Y-99118969D01* +X156007385Y-98992493D01* +X155945082Y-98875931D01* +X155887692Y-98806000D01* +X156361294Y-98806000D01* +X156362400Y-99691050D01* +X156419550Y-99748200D01* +X157406200Y-99748200D01* +X157406200Y-99728200D01* +X157553800Y-99728200D01* +X157553800Y-99748200D01* +X158540450Y-99748200D01* +X158597600Y-99691050D01* +X158598706Y-98806000D01* +X158594292Y-98761187D01* +X158581221Y-98718095D01* +X158559994Y-98678382D01* +X158531427Y-98643573D01* +X158496618Y-98615006D01* +X158456905Y-98593779D01* +X158413813Y-98580708D01* +X158369000Y-98576294D01* +X158065300Y-98576737D01* +X158042998Y-98519637D01* +X158034891Y-98504468D01* +X160807400Y-98504468D01* +X160807400Y-98599532D01* +X160825946Y-98692769D01* +X160862326Y-98780597D01* +X160915140Y-98859640D01* +X160982360Y-98926860D01* +X161061403Y-98979674D01* +X161149231Y-99016054D01* +X161242468Y-99034600D01* +X161337532Y-99034600D01* +X161430769Y-99016054D01* +X161518597Y-98979674D01* +X161597640Y-98926860D01* +X161664860Y-98859640D01* +X161717674Y-98780597D01* +X161754054Y-98692769D01* +X161772600Y-98599532D01* +X161772600Y-98504468D01* +X161754054Y-98411231D01* +X161717674Y-98323403D01* +X161664860Y-98244360D01* +X161597640Y-98177140D01* +X161518597Y-98124326D01* +X161430769Y-98087946D01* +X161337532Y-98069400D01* +X161242468Y-98069400D01* +X161149231Y-98087946D01* +X161061403Y-98124326D01* +X160982360Y-98177140D01* +X160915140Y-98244360D01* +X160862326Y-98323403D01* +X160825946Y-98411231D01* +X160807400Y-98504468D01* +X158034891Y-98504468D01* +X158030888Y-98496978D01* +X157935046Y-98455323D01* +X157813264Y-98577105D01* +X157610950Y-98577400D01* +X157553802Y-98634548D01* +X157553802Y-98627829D01* +X157830677Y-98350954D01* +X157789022Y-98255112D01* +X157675611Y-98205410D01* +X157554683Y-98178789D01* +X157430885Y-98176271D01* +X157308975Y-98197953D01* +X157193637Y-98243002D01* +X157170978Y-98255112D01* +X157129323Y-98350954D01* +X157406198Y-98627829D01* +X157406198Y-98634548D01* +X157349050Y-98577400D01* +X157146736Y-98577105D01* +X157024954Y-98455323D01* +X156929112Y-98496978D01* +X156894158Y-98576736D01* +X156591000Y-98576294D01* +X156546187Y-98580708D01* +X156503095Y-98593779D01* +X156463382Y-98615006D01* +X156428573Y-98643573D01* +X156400006Y-98678382D01* +X156378779Y-98718095D01* +X156365708Y-98761187D01* +X156361294Y-98806000D01* +X155887692Y-98806000D01* +X155861236Y-98773764D01* +X155759069Y-98689918D01* +X155642507Y-98627615D01* +X155532707Y-98594308D01* +X155497059Y-98508246D01* +X155428266Y-98405291D01* +X155340709Y-98317734D01* +X155237754Y-98248941D01* +X155123356Y-98201556D01* +X155001912Y-98177400D01* +X154878088Y-98177400D01* +X154756644Y-98201556D01* +X154642246Y-98248941D01* +X154539291Y-98317734D01* +X154451734Y-98405291D01* +X154382941Y-98508246D01* +X154347293Y-98594308D01* +X154237493Y-98627615D01* +X154120931Y-98689918D01* +X154018764Y-98773764D01* +X153934918Y-98875931D01* +X153872615Y-98992493D01* +X153834249Y-99118969D01* +X153821294Y-99250500D01* +X153518706Y-99250500D01* +X153505751Y-99118969D01* +X153467385Y-98992493D01* +X153405082Y-98875931D01* +X153321236Y-98773764D01* +X153219069Y-98689918D01* +X153102507Y-98627615D01* +X152992707Y-98594308D01* +X152957059Y-98508246D01* +X152888266Y-98405291D01* +X152800709Y-98317734D01* +X152697754Y-98248941D01* +X152583356Y-98201556D01* +X152461912Y-98177400D01* +X152338088Y-98177400D01* +X152216644Y-98201556D01* +X152102246Y-98248941D01* +X151999291Y-98317734D01* +X151911734Y-98405291D01* +X151842941Y-98508246D01* +X151807293Y-98594308D01* +X151697493Y-98627615D01* +X151580931Y-98689918D01* +X151478764Y-98773764D01* +X151394918Y-98875931D01* +X151332615Y-98992493D01* +X151294249Y-99118969D01* +X151281294Y-99250500D01* +X150978706Y-99250500D01* +X150965751Y-99118969D01* +X150927385Y-98992493D01* +X150865082Y-98875931D01* +X150781236Y-98773764D01* +X150679069Y-98689918D01* +X150562507Y-98627615D01* +X150452707Y-98594308D01* +X150417059Y-98508246D01* +X150348266Y-98405291D01* +X150260709Y-98317734D01* +X150157754Y-98248941D01* +X150043356Y-98201556D01* +X149921912Y-98177400D01* +X149798088Y-98177400D01* +X149676644Y-98201556D01* +X149562246Y-98248941D01* +X149459291Y-98317734D01* +X149371734Y-98405291D01* +X149302941Y-98508246D01* +X149267293Y-98594308D01* +X149157493Y-98627615D01* +X149040931Y-98689918D01* +X148938764Y-98773764D01* +X148854918Y-98875931D01* +X148792615Y-98992493D01* +X148754249Y-99118969D01* +X148741294Y-99250500D01* +X148438706Y-99250500D01* +X148425751Y-99118969D01* +X148387385Y-98992493D01* +X148325082Y-98875931D01* +X148241236Y-98773764D01* +X148139069Y-98689918D01* +X148022507Y-98627615D01* +X147912707Y-98594308D01* +X147877059Y-98508246D01* +X147808266Y-98405291D01* +X147720709Y-98317734D01* +X147617754Y-98248941D01* +X147503356Y-98201556D01* +X147381912Y-98177400D01* +X147258088Y-98177400D01* +X147136644Y-98201556D01* +X147022246Y-98248941D01* +X146919291Y-98317734D01* +X146831734Y-98405291D01* +X146762941Y-98508246D01* +X146727293Y-98594308D01* +X146617493Y-98627615D01* +X146500931Y-98689918D01* +X146398764Y-98773764D01* +X146314918Y-98875931D01* +X146252615Y-98992493D01* +X146214249Y-99118969D01* +X146201294Y-99250500D01* +X145898706Y-99250500D01* +X145885751Y-99118969D01* +X145847385Y-98992493D01* +X145785082Y-98875931D01* +X145701236Y-98773764D01* +X145599069Y-98689918D01* +X145482507Y-98627615D01* +X145372707Y-98594308D01* +X145337059Y-98508246D01* +X145268266Y-98405291D01* +X145180709Y-98317734D01* +X145077754Y-98248941D01* +X144963356Y-98201556D01* +X144841912Y-98177400D01* +X144718088Y-98177400D01* +X144596644Y-98201556D01* +X144482246Y-98248941D01* +X144379291Y-98317734D01* +X144291734Y-98405291D01* +X144222941Y-98508246D01* +X144187293Y-98594308D01* +X144077493Y-98627615D01* +X143960931Y-98689918D01* +X143858764Y-98773764D01* +X143774918Y-98875931D01* +X143712615Y-98992493D01* +X143674249Y-99118969D01* +X143661294Y-99250500D01* +X143358706Y-99250500D01* +X143345751Y-99118969D01* +X143307385Y-98992493D01* +X143245082Y-98875931D01* +X143161236Y-98773764D01* +X143059069Y-98689918D01* +X142942507Y-98627615D01* +X142832707Y-98594308D01* +X142797059Y-98508246D01* +X142728266Y-98405291D01* +X142640709Y-98317734D01* +X142537754Y-98248941D01* +X142423356Y-98201556D01* +X142301912Y-98177400D01* +X142178088Y-98177400D01* +X142056644Y-98201556D01* +X141942246Y-98248941D01* +X141839291Y-98317734D01* +X141751734Y-98405291D01* +X141682941Y-98508246D01* +X141647293Y-98594308D01* +X141537493Y-98627615D01* +X141420931Y-98689918D01* +X141318764Y-98773764D01* +X141234918Y-98875931D01* +X141172615Y-98992493D01* +X141134249Y-99118969D01* +X141121294Y-99250500D01* +X140818706Y-99250500D01* +X140805751Y-99118969D01* +X140767385Y-98992493D01* +X140705082Y-98875931D01* +X140621236Y-98773764D01* +X140519069Y-98689918D01* +X140402507Y-98627615D01* +X140292707Y-98594308D01* +X140257059Y-98508246D01* +X140188266Y-98405291D01* +X140100709Y-98317734D01* +X139997754Y-98248941D01* +X139883356Y-98201556D01* +X139761912Y-98177400D01* +X139638088Y-98177400D01* +X139516644Y-98201556D01* +X139402246Y-98248941D01* +X139299291Y-98317734D01* +X139211734Y-98405291D01* +X139142941Y-98508246D01* +X139107293Y-98594308D01* +X138997493Y-98627615D01* +X138880931Y-98689918D01* +X138778764Y-98773764D01* +X138694918Y-98875931D01* +X138632615Y-98992493D01* +X138594249Y-99118969D01* +X138581294Y-99250500D01* +X138278706Y-99250500D01* +X138265751Y-99118969D01* +X138227385Y-98992493D01* +X138165082Y-98875931D01* +X138081236Y-98773764D01* +X137979069Y-98689918D01* +X137862507Y-98627615D01* +X137752707Y-98594308D01* +X137717059Y-98508246D01* +X137648266Y-98405291D01* +X137560709Y-98317734D01* +X137457754Y-98248941D01* +X137343356Y-98201556D01* +X137221912Y-98177400D01* +X137098088Y-98177400D01* +X136976644Y-98201556D01* +X136862246Y-98248941D01* +X136759291Y-98317734D01* +X136671734Y-98405291D01* +X136602941Y-98508246D01* +X136567293Y-98594308D01* +X136457493Y-98627615D01* +X136340931Y-98689918D01* +X136238764Y-98773764D01* +X136154918Y-98875931D01* +X136092615Y-98992493D01* +X136054249Y-99118969D01* +X136041294Y-99250500D01* +X135738706Y-99250500D01* +X135725751Y-99118969D01* +X135687385Y-98992493D01* +X135625082Y-98875931D01* +X135541236Y-98773764D01* +X135439069Y-98689918D01* +X135322507Y-98627615D01* +X135212707Y-98594308D01* +X135177059Y-98508246D01* +X135108266Y-98405291D01* +X135020709Y-98317734D01* +X134917754Y-98248941D01* +X134803356Y-98201556D01* +X134681912Y-98177400D01* +X134558088Y-98177400D01* +X134436644Y-98201556D01* +X134322246Y-98248941D01* +X134219291Y-98317734D01* +X134131734Y-98405291D01* +X134062941Y-98508246D01* +X134027293Y-98594308D01* +X133917493Y-98627615D01* +X133800931Y-98689918D01* +X133698764Y-98773764D01* +X133614918Y-98875931D01* +X133552615Y-98992493D01* +X133514249Y-99118969D01* +X133501294Y-99250500D01* +X133198706Y-99250500D01* +X133185751Y-99118969D01* +X133147385Y-98992493D01* +X133085082Y-98875931D01* +X133001236Y-98773764D01* +X132899069Y-98689918D01* +X132782507Y-98627615D01* +X132672707Y-98594308D01* +X132637059Y-98508246D01* +X132568266Y-98405291D01* +X132480709Y-98317734D01* +X132377754Y-98248941D01* +X132263356Y-98201556D01* +X132141912Y-98177400D01* +X132018088Y-98177400D01* +X131896644Y-98201556D01* +X131782246Y-98248941D01* +X131679291Y-98317734D01* +X131591734Y-98405291D01* +X131522941Y-98508246D01* +X131487293Y-98594308D01* +X131377493Y-98627615D01* +X131260931Y-98689918D01* +X131158764Y-98773764D01* +X131074918Y-98875931D01* +X131012615Y-98992493D01* +X130974249Y-99118969D01* +X130961294Y-99250500D01* +X130658706Y-99250500D01* +X130645751Y-99118969D01* +X130607385Y-98992493D01* +X130545082Y-98875931D01* +X130461236Y-98773764D01* +X130359069Y-98689918D01* +X130242507Y-98627615D01* +X130132707Y-98594308D01* +X130097059Y-98508246D01* +X130028266Y-98405291D01* +X129940709Y-98317734D01* +X129837754Y-98248941D01* +X129723356Y-98201556D01* +X129601912Y-98177400D01* +X129478088Y-98177400D01* +X129356644Y-98201556D01* +X129242246Y-98248941D01* +X129139291Y-98317734D01* +X129051734Y-98405291D01* +X128982941Y-98508246D01* +X128947293Y-98594308D01* +X128837493Y-98627615D01* +X128720931Y-98689918D01* +X128618764Y-98773764D01* +X128534918Y-98875931D01* +X128472615Y-98992493D01* +X128434249Y-99118969D01* +X128421294Y-99250500D01* +X128118706Y-99250500D01* +X128105751Y-99118969D01* +X128067385Y-98992493D01* +X128005082Y-98875931D01* +X127921236Y-98773764D01* +X127819069Y-98689918D01* +X127702507Y-98627615D01* +X127592707Y-98594308D01* +X127557059Y-98508246D01* +X127488266Y-98405291D01* +X127400709Y-98317734D01* +X127300040Y-98250468D01* +X127787400Y-98250468D01* +X127787400Y-98345532D01* +X127805946Y-98438769D01* +X127842326Y-98526597D01* +X127895140Y-98605640D01* +X127962360Y-98672860D01* +X128041403Y-98725674D01* +X128129231Y-98762054D01* +X128222468Y-98780600D01* +X128317532Y-98780600D01* +X128410769Y-98762054D01* +X128498597Y-98725674D01* +X128577640Y-98672860D01* +X128644860Y-98605640D01* +X128697674Y-98526597D01* +X128734054Y-98438769D01* +X128752600Y-98345532D01* +X128752600Y-98250468D01* +X128734054Y-98157231D01* +X128697674Y-98069403D01* +X128644860Y-97990360D01* +X128577640Y-97923140D01* +X128498597Y-97870326D01* +X128410769Y-97833946D01* +X128317532Y-97815400D01* +X128222468Y-97815400D01* +X128129231Y-97833946D01* +X128041403Y-97870326D01* +X127962360Y-97923140D01* +X127895140Y-97990360D01* +X127842326Y-98069403D01* +X127805946Y-98157231D01* +X127787400Y-98250468D01* +X127300040Y-98250468D01* +X127297754Y-98248941D01* +X127183356Y-98201556D01* +X127061912Y-98177400D01* +X126938088Y-98177400D01* +X126816644Y-98201556D01* +X126702246Y-98248941D01* +X126599291Y-98317734D01* +X126511734Y-98405291D01* +X126442941Y-98508246D01* +X126407293Y-98594308D01* +X126297493Y-98627615D01* +X126180931Y-98689918D01* +X126078764Y-98773764D01* +X125994918Y-98875931D01* +X125932615Y-98992493D01* +X125894249Y-99118969D01* +X125881294Y-99250500D01* +X125578706Y-99250500D01* +X125565751Y-99118969D01* +X125527385Y-98992493D01* +X125465082Y-98875931D01* +X125381236Y-98773764D01* +X125279069Y-98689918D01* +X125162507Y-98627615D01* +X125052707Y-98594308D01* +X125017059Y-98508246D01* +X124948266Y-98405291D01* +X124860709Y-98317734D01* +X124757754Y-98248941D01* +X124643356Y-98201556D01* +X124521912Y-98177400D01* +X124398088Y-98177400D01* +X124276644Y-98201556D01* +X124162246Y-98248941D01* +X124059291Y-98317734D01* +X123971734Y-98405291D01* +X123902941Y-98508246D01* +X123867293Y-98594308D01* +X123757493Y-98627615D01* +X123640931Y-98689918D01* +X123538764Y-98773764D01* +X123454918Y-98875931D01* +X123392615Y-98992493D01* +X123354249Y-99118969D01* +X123341294Y-99250500D01* +X123038706Y-99250500D01* +X123025751Y-99118969D01* +X122987385Y-98992493D01* +X122925082Y-98875931D01* +X122841236Y-98773764D01* +X122739069Y-98689918D01* +X122622507Y-98627615D01* +X122512707Y-98594308D01* +X122477059Y-98508246D01* +X122408266Y-98405291D01* +X122320709Y-98317734D01* +X122217754Y-98248941D01* +X122103356Y-98201556D01* +X121981912Y-98177400D01* +X121858088Y-98177400D01* +X121736644Y-98201556D01* +X121622246Y-98248941D01* +X121519291Y-98317734D01* +X121431734Y-98405291D01* +X121362941Y-98508246D01* +X121327293Y-98594308D01* +X121217493Y-98627615D01* +X121100931Y-98689918D01* +X120998764Y-98773764D01* +X120914918Y-98875931D01* +X120852615Y-98992493D01* +X120814249Y-99118969D01* +X120801294Y-99250500D01* +X120498706Y-99250500D01* +X120485751Y-99118969D01* +X120447385Y-98992493D01* +X120385082Y-98875931D01* +X120301236Y-98773764D01* +X120199069Y-98689918D01* +X120082507Y-98627615D01* +X119972707Y-98594308D01* +X119937059Y-98508246D01* +X119868266Y-98405291D01* +X119780709Y-98317734D01* +X119677754Y-98248941D01* +X119563356Y-98201556D01* +X119441912Y-98177400D01* +X119318088Y-98177400D01* +X119196644Y-98201556D01* +X119082246Y-98248941D01* +X118979291Y-98317734D01* +X118891734Y-98405291D01* +X118822941Y-98508246D01* +X118787293Y-98594308D01* +X118677493Y-98627615D01* +X118560931Y-98689918D01* +X118458764Y-98773764D01* +X118374918Y-98875931D01* +X118312615Y-98992493D01* +X118274249Y-99118969D01* +X118261294Y-99250500D01* +X117958706Y-99250500D01* +X117945751Y-99118969D01* +X117907385Y-98992493D01* +X117845082Y-98875931D01* +X117761236Y-98773764D01* +X117659069Y-98689918D01* +X117542507Y-98627615D01* +X117432707Y-98594308D01* +X117397059Y-98508246D01* +X117328266Y-98405291D01* +X117240709Y-98317734D01* +X117137754Y-98248941D01* +X117023356Y-98201556D01* +X116901912Y-98177400D01* +X116778088Y-98177400D01* +X116656644Y-98201556D01* +X116542246Y-98248941D01* +X116439291Y-98317734D01* +X116351734Y-98405291D01* +X116282941Y-98508246D01* +X116247293Y-98594308D01* +X116137493Y-98627615D01* +X116020931Y-98689918D01* +X115918764Y-98773764D01* +X115834918Y-98875931D01* +X115772615Y-98992493D01* +X115734249Y-99118969D01* +X115721294Y-99250500D01* +X115418706Y-99250500D01* +X115405751Y-99118969D01* +X115367385Y-98992493D01* +X115305082Y-98875931D01* +X115221236Y-98773764D01* +X115119069Y-98689918D01* +X115002507Y-98627615D01* +X114892707Y-98594308D01* +X114857059Y-98508246D01* +X114788266Y-98405291D01* +X114700709Y-98317734D01* +X114597754Y-98248941D01* +X114483356Y-98201556D01* +X114361912Y-98177400D01* +X114238088Y-98177400D01* +X114116644Y-98201556D01* +X114002246Y-98248941D01* +X113899291Y-98317734D01* +X113811734Y-98405291D01* +X113742941Y-98508246D01* +X113707293Y-98594308D01* +X113597493Y-98627615D01* +X113480931Y-98689918D01* +X113378764Y-98773764D01* +X113294918Y-98875931D01* +X113232615Y-98992493D01* +X113194249Y-99118969D01* +X113181294Y-99250500D01* +X112878706Y-99250500D01* +X112865751Y-99118969D01* +X112827385Y-98992493D01* +X112765082Y-98875931D01* +X112681236Y-98773764D01* +X112579069Y-98689918D01* +X112462507Y-98627615D01* +X112352707Y-98594308D01* +X112317059Y-98508246D01* +X112248266Y-98405291D01* +X112160709Y-98317734D01* +X112057754Y-98248941D01* +X111943356Y-98201556D01* +X111821912Y-98177400D01* +X111698088Y-98177400D01* +X111576644Y-98201556D01* +X111462246Y-98248941D01* +X111359291Y-98317734D01* +X111271734Y-98405291D01* +X111202941Y-98508246D01* +X111167293Y-98594308D01* +X111057493Y-98627615D01* +X110940931Y-98689918D01* +X110838764Y-98773764D01* +X110754918Y-98875931D01* +X110692615Y-98992493D01* +X110654249Y-99118969D01* +X110641294Y-99250500D01* +X110338706Y-99250500D01* +X110325751Y-99118969D01* +X110287385Y-98992493D01* +X110225082Y-98875931D01* +X110141236Y-98773764D01* +X110039069Y-98689918D01* +X109922507Y-98627615D01* +X109812707Y-98594308D01* +X109777059Y-98508246D01* +X109708266Y-98405291D01* +X109620709Y-98317734D01* +X109517754Y-98248941D01* +X109403356Y-98201556D01* +X109281912Y-98177400D01* +X109158088Y-98177400D01* +X109036644Y-98201556D01* +X108922246Y-98248941D01* +X108819291Y-98317734D01* +X108731734Y-98405291D01* +X108662941Y-98508246D01* +X108627293Y-98594308D01* +X108517493Y-98627615D01* +X108400931Y-98689918D01* +X108298764Y-98773764D01* +X108214918Y-98875931D01* +X108152615Y-98992493D01* +X108114249Y-99118969D01* +X108101294Y-99250500D01* +X107798706Y-99250500D01* +X107785751Y-99118969D01* +X107747385Y-98992493D01* +X107685082Y-98875931D01* +X107601236Y-98773764D01* +X107499069Y-98689918D01* +X107382507Y-98627615D01* +X107272707Y-98594308D01* +X107237059Y-98508246D01* +X107168266Y-98405291D01* +X107080709Y-98317734D01* +X106977754Y-98248941D01* +X106863356Y-98201556D01* +X106741912Y-98177400D01* +X106618088Y-98177400D01* +X106496644Y-98201556D01* +X106382246Y-98248941D01* +X106279291Y-98317734D01* +X106191734Y-98405291D01* +X106122941Y-98508246D01* +X106087293Y-98594308D01* +X105977493Y-98627615D01* +X105860931Y-98689918D01* +X105758764Y-98773764D01* +X105674918Y-98875931D01* +X105612615Y-98992493D01* +X105574249Y-99118969D01* +X105561294Y-99250500D01* +X105258706Y-99250500D01* +X105245751Y-99118969D01* +X105207385Y-98992493D01* +X105145082Y-98875931D01* +X105061236Y-98773764D01* +X104959069Y-98689918D01* +X104842507Y-98627615D01* +X104732707Y-98594308D01* +X104697059Y-98508246D01* +X104628266Y-98405291D01* +X104540709Y-98317734D01* +X104437754Y-98248941D01* +X104323356Y-98201556D01* +X104201912Y-98177400D01* +X104078088Y-98177400D01* +X103956644Y-98201556D01* +X103842246Y-98248941D01* +X103739291Y-98317734D01* +X103651734Y-98405291D01* +X103582941Y-98508246D01* +X103547293Y-98594308D01* +X103437493Y-98627615D01* +X103320931Y-98689918D01* +X103218764Y-98773764D01* +X103134918Y-98875931D01* +X103072615Y-98992493D01* +X103034249Y-99118969D01* +X103021294Y-99250500D01* +X102718706Y-99250500D01* +X102705751Y-99118969D01* +X102667385Y-98992493D01* +X102605082Y-98875931D01* +X102521236Y-98773764D01* +X102419069Y-98689918D01* +X102302507Y-98627615D01* +X102192707Y-98594308D01* +X102157059Y-98508246D01* +X102088266Y-98405291D01* +X102000709Y-98317734D01* +X101897754Y-98248941D01* +X101783356Y-98201556D01* +X101661912Y-98177400D01* +X101538088Y-98177400D01* +X101416644Y-98201556D01* +X101302246Y-98248941D01* +X101199291Y-98317734D01* +X101111734Y-98405291D01* +X101042941Y-98508246D01* +X101007293Y-98594308D01* +X100897493Y-98627615D01* +X100780931Y-98689918D01* +X100678764Y-98773764D01* +X100594918Y-98875931D01* +X100532615Y-98992493D01* +X100494249Y-99118969D01* +X100481294Y-99250500D01* +X100178706Y-99250500D01* +X100165751Y-99118969D01* +X100127385Y-98992493D01* +X100065082Y-98875931D01* +X99981236Y-98773764D01* +X99879069Y-98689918D01* +X99762507Y-98627615D01* +X99652707Y-98594308D01* +X99617059Y-98508246D01* +X99548266Y-98405291D01* +X99460709Y-98317734D01* +X99357754Y-98248941D01* +X99243356Y-98201556D01* +X99121912Y-98177400D01* +X98998088Y-98177400D01* +X98876644Y-98201556D01* +X98762246Y-98248941D01* +X98659291Y-98317734D01* +X98571734Y-98405291D01* +X98502941Y-98508246D01* +X98467293Y-98594308D01* +X98357493Y-98627615D01* +X98240931Y-98689918D01* +X98138764Y-98773764D01* +X98054918Y-98875931D01* +X97992615Y-98992493D01* +X97954249Y-99118969D01* +X97941294Y-99250500D01* +X97638706Y-99250500D01* +X97625751Y-99118969D01* +X97587385Y-98992493D01* +X97525082Y-98875931D01* +X97441236Y-98773764D01* +X97339069Y-98689918D01* +X97222507Y-98627615D01* +X97112707Y-98594308D01* +X97077059Y-98508246D01* +X97008266Y-98405291D01* +X96920709Y-98317734D01* +X96817754Y-98248941D01* +X96703356Y-98201556D01* +X96581912Y-98177400D01* +X96458088Y-98177400D01* +X96336644Y-98201556D01* +X96222246Y-98248941D01* +X96119291Y-98317734D01* +X96031734Y-98405291D01* +X95962941Y-98508246D01* +X95927293Y-98594308D01* +X95817493Y-98627615D01* +X95700931Y-98689918D01* +X95598764Y-98773764D01* +X95514918Y-98875931D01* +X95452615Y-98992493D01* +X95414249Y-99118969D01* +X95401294Y-99250500D01* +X95098706Y-99250500D01* +X95085751Y-99118969D01* +X95047385Y-98992493D01* +X94985082Y-98875931D01* +X94901236Y-98773764D01* +X94799069Y-98689918D01* +X94682507Y-98627615D01* +X94572707Y-98594308D01* +X94537059Y-98508246D01* +X94468266Y-98405291D01* +X94380709Y-98317734D01* +X94277754Y-98248941D01* +X94163356Y-98201556D01* +X94041912Y-98177400D01* +X93918088Y-98177400D01* +X93796644Y-98201556D01* +X93682246Y-98248941D01* +X93579291Y-98317734D01* +X93491734Y-98405291D01* +X93422941Y-98508246D01* +X93387293Y-98594308D01* +X93277493Y-98627615D01* +X93160931Y-98689918D01* +X93058764Y-98773764D01* +X92974918Y-98875931D01* +X92912615Y-98992493D01* +X92874249Y-99118969D01* +X92861294Y-99250500D01* +X92558706Y-99250500D01* +X92545751Y-99118969D01* +X92507385Y-98992493D01* +X92445082Y-98875931D01* +X92361236Y-98773764D01* +X92259069Y-98689918D01* +X92142507Y-98627615D01* +X92032707Y-98594308D01* +X91997059Y-98508246D01* +X91928266Y-98405291D01* +X91840709Y-98317734D01* +X91737754Y-98248941D01* +X91623356Y-98201556D01* +X91501912Y-98177400D01* +X91378088Y-98177400D01* +X91256644Y-98201556D01* +X91142246Y-98248941D01* +X91039291Y-98317734D01* +X90951734Y-98405291D01* +X90882941Y-98508246D01* +X90847293Y-98594308D01* +X90737493Y-98627615D01* +X90620931Y-98689918D01* +X90518764Y-98773764D01* +X90434918Y-98875931D01* +X90372615Y-98992493D01* +X90334249Y-99118969D01* +X90321294Y-99250500D01* +X90018706Y-99250500D01* +X90005751Y-99118969D01* +X89967385Y-98992493D01* +X89905082Y-98875931D01* +X89821236Y-98773764D01* +X89719069Y-98689918D01* +X89602507Y-98627615D01* +X89492707Y-98594308D01* +X89457059Y-98508246D01* +X89388266Y-98405291D01* +X89300709Y-98317734D01* +X89197754Y-98248941D01* +X89083356Y-98201556D01* +X88961912Y-98177400D01* +X88838088Y-98177400D01* +X88716644Y-98201556D01* +X88602246Y-98248941D01* +X88499291Y-98317734D01* +X88411734Y-98405291D01* +X88342941Y-98508246D01* +X88307293Y-98594308D01* +X88197493Y-98627615D01* +X88080931Y-98689918D01* +X87978764Y-98773764D01* +X87894918Y-98875931D01* +X87832615Y-98992493D01* +X87794249Y-99118969D01* +X87781294Y-99250500D01* +X87478706Y-99250500D01* +X87465751Y-99118969D01* +X87427385Y-98992493D01* +X87365082Y-98875931D01* +X87281236Y-98773764D01* +X87179069Y-98689918D01* +X87062507Y-98627615D01* +X86952707Y-98594308D01* +X86917059Y-98508246D01* +X86848266Y-98405291D01* +X86760709Y-98317734D01* +X86657754Y-98248941D01* +X86543356Y-98201556D01* +X86421912Y-98177400D01* +X86298088Y-98177400D01* +X86176644Y-98201556D01* +X86062246Y-98248941D01* +X85959291Y-98317734D01* +X85871734Y-98405291D01* +X85802941Y-98508246D01* +X85767293Y-98594308D01* +X85657493Y-98627615D01* +X85540931Y-98689918D01* +X85438764Y-98773764D01* +X85354918Y-98875931D01* +X85292615Y-98992493D01* +X85254249Y-99118969D01* +X85241294Y-99250500D01* +X84938150Y-99250500D01* +X84938706Y-98806000D01* +X84934292Y-98761187D01* +X84921221Y-98718095D01* +X84899994Y-98678382D01* +X84871427Y-98643573D01* +X84836618Y-98615006D01* +X84796905Y-98593779D01* +X84753813Y-98580708D01* +X84709000Y-98576294D01* +X84405300Y-98576737D01* +X84382998Y-98519637D01* +X84370888Y-98496978D01* +X84275046Y-98455323D01* +X84153264Y-98577105D01* +X83950950Y-98577400D01* +X83893802Y-98634548D01* +X83893802Y-98627829D01* +X84170677Y-98350954D01* +X84129022Y-98255112D01* +X84015611Y-98205410D01* +X83894683Y-98178789D01* +X83770885Y-98176271D01* +X83648975Y-98197953D01* +X83533637Y-98243002D01* +X83510978Y-98255112D01* +X83469323Y-98350954D01* +X83746198Y-98627829D01* +X83746198Y-98634548D01* +X83689050Y-98577400D01* +X83486736Y-98577105D01* +X83364954Y-98455323D01* +X83269112Y-98496978D01* +X83234158Y-98576736D01* +X82931000Y-98576294D01* +X82886187Y-98580708D01* +X82843095Y-98593779D01* +X82803382Y-98615006D01* +X82768573Y-98643573D01* +X82740006Y-98678382D01* +X82718779Y-98718095D01* +X82705708Y-98761187D01* +X82701294Y-98806000D01* +X78560600Y-98806000D01* +X78560600Y-97742468D01* +X80416400Y-97742468D01* +X80416400Y-97837532D01* +X80434946Y-97930769D01* +X80471326Y-98018597D01* +X80524140Y-98097640D01* +X80591360Y-98164860D01* +X80670403Y-98217674D01* +X80758231Y-98254054D01* +X80851468Y-98272600D01* +X80946532Y-98272600D01* +X81039769Y-98254054D01* +X81127597Y-98217674D01* +X81206640Y-98164860D01* +X81273860Y-98097640D01* +X81326674Y-98018597D01* +X81363054Y-97930769D01* +X81375247Y-97869468D01* +X96926400Y-97869468D01* +X96926400Y-97964532D01* +X96944946Y-98057769D01* +X96981326Y-98145597D01* +X97034140Y-98224640D01* +X97101360Y-98291860D01* +X97180403Y-98344674D01* +X97268231Y-98381054D01* +X97361468Y-98399600D01* +X97456532Y-98399600D01* +X97549769Y-98381054D01* +X97637597Y-98344674D01* +X97716640Y-98291860D01* +X97783860Y-98224640D01* +X97836674Y-98145597D01* +X97873054Y-98057769D01* +X97891600Y-97964532D01* +X97891600Y-97869468D01* +X99466400Y-97869468D01* +X99466400Y-97964532D01* +X99484946Y-98057769D01* +X99521326Y-98145597D01* +X99574140Y-98224640D01* +X99641360Y-98291860D01* +X99720403Y-98344674D01* +X99808231Y-98381054D01* +X99901468Y-98399600D01* +X99996532Y-98399600D01* +X100089769Y-98381054D01* +X100177597Y-98344674D01* +X100256640Y-98291860D01* +X100323860Y-98224640D01* +X100376674Y-98145597D01* +X100413054Y-98057769D01* +X100431600Y-97964532D01* +X100431600Y-97869468D01* +X102260400Y-97869468D01* +X102260400Y-97964532D01* +X102278946Y-98057769D01* +X102315326Y-98145597D01* +X102368140Y-98224640D01* +X102435360Y-98291860D01* +X102514403Y-98344674D01* +X102602231Y-98381054D01* +X102695468Y-98399600D01* +X102790532Y-98399600D01* +X102883769Y-98381054D01* +X102971597Y-98344674D01* +X103050640Y-98291860D01* +X103117860Y-98224640D01* +X103170674Y-98145597D01* +X103207054Y-98057769D01* +X103225600Y-97964532D01* +X103225600Y-97869468D01* +X109626400Y-97869468D01* +X109626400Y-97964532D01* +X109644946Y-98057769D01* +X109681326Y-98145597D01* +X109734140Y-98224640D01* +X109801360Y-98291860D01* +X109880403Y-98344674D01* +X109968231Y-98381054D01* +X110061468Y-98399600D01* +X110156532Y-98399600D01* +X110249769Y-98381054D01* +X110337597Y-98344674D01* +X110416640Y-98291860D01* +X110483860Y-98224640D01* +X110536674Y-98145597D01* +X110573054Y-98057769D01* +X110591600Y-97964532D01* +X110591600Y-97869468D01* +X110573054Y-97776231D01* +X110536674Y-97688403D01* +X110483860Y-97609360D01* +X110416640Y-97542140D01* +X110337597Y-97489326D01* +X110335526Y-97488468D01* +X110769400Y-97488468D01* +X110769400Y-97583532D01* +X110787946Y-97676769D01* +X110824326Y-97764597D01* +X110877140Y-97843640D01* +X110944360Y-97910860D01* +X111023403Y-97963674D01* +X111111231Y-98000054D01* +X111204468Y-98018600D01* +X111299532Y-98018600D01* +X111392769Y-98000054D01* +X111480597Y-97963674D01* +X111559640Y-97910860D01* +X111601032Y-97869468D01* +X117246400Y-97869468D01* +X117246400Y-97964532D01* +X117264946Y-98057769D01* +X117301326Y-98145597D01* +X117354140Y-98224640D01* +X117421360Y-98291860D01* +X117500403Y-98344674D01* +X117588231Y-98381054D01* +X117681468Y-98399600D01* +X117776532Y-98399600D01* +X117869769Y-98381054D01* +X117957597Y-98344674D01* +X118036640Y-98291860D01* +X118103860Y-98224640D01* +X118156674Y-98145597D01* +X118193054Y-98057769D01* +X118211600Y-97964532D01* +X118211600Y-97869468D01* +X118193054Y-97776231D01* +X118156674Y-97688403D01* +X118103860Y-97609360D01* +X118036640Y-97542140D01* +X117957597Y-97489326D01* +X117955526Y-97488468D01* +X118389400Y-97488468D01* +X118389400Y-97583532D01* +X118407946Y-97676769D01* +X118444326Y-97764597D01* +X118497140Y-97843640D01* +X118564360Y-97910860D01* +X118643403Y-97963674D01* +X118731231Y-98000054D01* +X118824468Y-98018600D01* +X118919532Y-98018600D01* +X119012769Y-98000054D01* +X119100597Y-97963674D01* +X119179640Y-97910860D01* +X119246860Y-97843640D01* +X119299674Y-97764597D01* +X119336054Y-97676769D01* +X119354600Y-97583532D01* +X119354600Y-97488468D01* +X120929400Y-97488468D01* +X120929400Y-97583532D01* +X120947946Y-97676769D01* +X120984326Y-97764597D01* +X121037140Y-97843640D01* +X121104360Y-97910860D01* +X121183403Y-97963674D01* +X121271231Y-98000054D01* +X121364468Y-98018600D01* +X121459532Y-98018600D01* +X121552769Y-98000054D01* +X121640597Y-97963674D01* +X121719640Y-97910860D01* +X121786860Y-97843640D01* +X121839674Y-97764597D01* +X121876054Y-97676769D01* +X121894600Y-97583532D01* +X121894600Y-97488468D01* +X121945400Y-97488468D01* +X121945400Y-97583532D01* +X121963946Y-97676769D01* +X122000326Y-97764597D01* +X122053140Y-97843640D01* +X122120360Y-97910860D01* +X122199403Y-97963674D01* +X122287231Y-98000054D01* +X122380468Y-98018600D01* +X122475532Y-98018600D01* +X122568769Y-98000054D01* +X122656597Y-97963674D01* +X122735640Y-97910860D01* +X122802860Y-97843640D01* +X122855674Y-97764597D01* +X122892054Y-97676769D01* +X122904247Y-97615468D01* +X131216400Y-97615468D01* +X131216400Y-97710532D01* +X131234946Y-97803769D01* +X131271326Y-97891597D01* +X131324140Y-97970640D01* +X131391360Y-98037860D01* +X131470403Y-98090674D01* +X131558231Y-98127054D01* +X131651468Y-98145600D01* +X131746532Y-98145600D01* +X131839769Y-98127054D01* +X131927597Y-98090674D01* +X132006640Y-98037860D01* +X132073860Y-97970640D01* +X132126674Y-97891597D01* +X132163054Y-97803769D01* +X132181600Y-97710532D01* +X132181600Y-97615468D01* +X133756400Y-97615468D01* +X133756400Y-97710532D01* +X133774946Y-97803769D01* +X133811326Y-97891597D01* +X133864140Y-97970640D01* +X133931360Y-98037860D01* +X134010403Y-98090674D01* +X134098231Y-98127054D01* +X134191468Y-98145600D01* +X134286532Y-98145600D01* +X134379769Y-98127054D01* +X134467597Y-98090674D01* +X134546640Y-98037860D01* +X134613860Y-97970640D01* +X134666674Y-97891597D01* +X134703054Y-97803769D01* +X134721600Y-97710532D01* +X134721600Y-97615468D01* +X134703054Y-97522231D01* +X134666674Y-97434403D01* +X134617942Y-97361468D01* +X138582400Y-97361468D01* +X138582400Y-97456532D01* +X138600946Y-97549769D01* +X138637326Y-97637597D01* +X138690140Y-97716640D01* +X138757360Y-97783860D01* +X138836403Y-97836674D01* +X138924231Y-97873054D01* +X139017468Y-97891600D01* +X139112532Y-97891600D01* +X139205769Y-97873054D01* +X139293597Y-97836674D01* +X139372640Y-97783860D01* +X139439860Y-97716640D01* +X139492674Y-97637597D01* +X139529054Y-97549769D01* +X139547600Y-97456532D01* +X139547600Y-97361468D01* +X142138400Y-97361468D01* +X142138400Y-97456532D01* +X142156946Y-97549769D01* +X142193326Y-97637597D01* +X142246140Y-97716640D01* +X142313360Y-97783860D01* +X142392403Y-97836674D01* +X142480231Y-97873054D01* +X142573468Y-97891600D01* +X142668532Y-97891600D01* +X142761769Y-97873054D01* +X142849597Y-97836674D01* +X142928640Y-97783860D01* +X142995860Y-97716640D01* +X143048674Y-97637597D01* +X143085054Y-97549769D01* +X143103600Y-97456532D01* +X143103600Y-97361468D01* +X143662400Y-97361468D01* +X143662400Y-97456532D01* +X143680946Y-97549769D01* +X143717326Y-97637597D01* +X143770140Y-97716640D01* +X143837360Y-97783860D01* +X143916403Y-97836674D01* +X144004231Y-97873054D01* +X144097468Y-97891600D01* +X144192532Y-97891600D01* +X144285769Y-97873054D01* +X144373597Y-97836674D01* +X144452640Y-97783860D01* +X144519860Y-97716640D01* +X144572674Y-97637597D01* +X144609054Y-97549769D01* +X144627600Y-97456532D01* +X144627600Y-97361468D01* +X147218400Y-97361468D01* +X147218400Y-97456532D01* +X147236946Y-97549769D01* +X147273326Y-97637597D01* +X147326140Y-97716640D01* +X147393360Y-97783860D01* +X147472403Y-97836674D01* +X147560231Y-97873054D01* +X147653468Y-97891600D01* +X147748532Y-97891600D01* +X147841769Y-97873054D01* +X147929597Y-97836674D01* +X148008640Y-97783860D01* +X148075860Y-97716640D01* +X148128674Y-97637597D01* +X148165054Y-97549769D01* +X148183600Y-97456532D01* +X148183600Y-97361468D01* +X148165054Y-97268231D01* +X148128674Y-97180403D01* +X148075860Y-97101360D01* +X148008640Y-97034140D01* +X147929597Y-96981326D01* +X147841769Y-96944946D01* +X147748532Y-96926400D01* +X147653468Y-96926400D01* +X147560231Y-96944946D01* +X147472403Y-96981326D01* +X147393360Y-97034140D01* +X147326140Y-97101360D01* +X147273326Y-97180403D01* +X147236946Y-97268231D01* +X147218400Y-97361468D01* +X144627600Y-97361468D01* +X144609054Y-97268231D01* +X144572674Y-97180403D01* +X144519860Y-97101360D01* +X144452640Y-97034140D01* +X144373597Y-96981326D01* +X144285769Y-96944946D01* +X144192532Y-96926400D01* +X144097468Y-96926400D01* +X144004231Y-96944946D01* +X143916403Y-96981326D01* +X143837360Y-97034140D01* +X143770140Y-97101360D01* +X143717326Y-97180403D01* +X143680946Y-97268231D01* +X143662400Y-97361468D01* +X143103600Y-97361468D01* +X143085054Y-97268231D01* +X143048674Y-97180403D01* +X142995860Y-97101360D01* +X142928640Y-97034140D01* +X142849597Y-96981326D01* +X142761769Y-96944946D01* +X142668532Y-96926400D01* +X142573468Y-96926400D01* +X142480231Y-96944946D01* +X142392403Y-96981326D01* +X142313360Y-97034140D01* +X142246140Y-97101360D01* +X142193326Y-97180403D01* +X142156946Y-97268231D01* +X142138400Y-97361468D01* +X139547600Y-97361468D01* +X139529054Y-97268231D01* +X139492674Y-97180403D01* +X139439860Y-97101360D01* +X139372640Y-97034140D01* +X139293597Y-96981326D01* +X139205769Y-96944946D01* +X139112532Y-96926400D01* +X139017468Y-96926400D01* +X138924231Y-96944946D01* +X138836403Y-96981326D01* +X138757360Y-97034140D01* +X138690140Y-97101360D01* +X138637326Y-97180403D01* +X138600946Y-97268231D01* +X138582400Y-97361468D01* +X134617942Y-97361468D01* +X134613860Y-97355360D01* +X134546640Y-97288140D01* +X134467597Y-97235326D01* +X134379769Y-97198946D01* +X134286532Y-97180400D01* +X134191468Y-97180400D01* +X134098231Y-97198946D01* +X134010403Y-97235326D01* +X133931360Y-97288140D01* +X133864140Y-97355360D01* +X133811326Y-97434403D01* +X133774946Y-97522231D01* +X133756400Y-97615468D01* +X132181600Y-97615468D01* +X132163054Y-97522231D01* +X132126674Y-97434403D01* +X132073860Y-97355360D01* +X132006640Y-97288140D01* +X131927597Y-97235326D01* +X131839769Y-97198946D01* +X131746532Y-97180400D01* +X131651468Y-97180400D01* +X131558231Y-97198946D01* +X131470403Y-97235326D01* +X131391360Y-97288140D01* +X131324140Y-97355360D01* +X131271326Y-97434403D01* +X131234946Y-97522231D01* +X131216400Y-97615468D01* +X122904247Y-97615468D01* +X122910600Y-97583532D01* +X122910600Y-97488468D01* +X122892054Y-97395231D01* +X122855674Y-97307403D01* +X122802860Y-97228360D01* +X122735640Y-97161140D01* +X122656597Y-97108326D01* +X122568769Y-97071946D01* +X122475532Y-97053400D01* +X122380468Y-97053400D01* +X122287231Y-97071946D01* +X122199403Y-97108326D01* +X122120360Y-97161140D01* +X122053140Y-97228360D01* +X122000326Y-97307403D01* +X121963946Y-97395231D01* +X121945400Y-97488468D01* +X121894600Y-97488468D01* +X121876054Y-97395231D01* +X121839674Y-97307403D01* +X121786860Y-97228360D01* +X121719640Y-97161140D01* +X121640597Y-97108326D01* +X121552769Y-97071946D01* +X121459532Y-97053400D01* +X121364468Y-97053400D01* +X121271231Y-97071946D01* +X121183403Y-97108326D01* +X121104360Y-97161140D01* +X121037140Y-97228360D01* +X120984326Y-97307403D01* +X120947946Y-97395231D01* +X120929400Y-97488468D01* +X119354600Y-97488468D01* +X119336054Y-97395231D01* +X119299674Y-97307403D01* +X119246860Y-97228360D01* +X119179640Y-97161140D01* +X119100597Y-97108326D01* +X119012769Y-97071946D01* +X118919532Y-97053400D01* +X118824468Y-97053400D01* +X118731231Y-97071946D01* +X118643403Y-97108326D01* +X118564360Y-97161140D01* +X118497140Y-97228360D01* +X118444326Y-97307403D01* +X118407946Y-97395231D01* +X118389400Y-97488468D01* +X117955526Y-97488468D01* +X117869769Y-97452946D01* +X117776532Y-97434400D01* +X117681468Y-97434400D01* +X117588231Y-97452946D01* +X117500403Y-97489326D01* +X117421360Y-97542140D01* +X117354140Y-97609360D01* +X117301326Y-97688403D01* +X117264946Y-97776231D01* +X117246400Y-97869468D01* +X111601032Y-97869468D01* +X111626860Y-97843640D01* +X111679674Y-97764597D01* +X111716054Y-97676769D01* +X111734600Y-97583532D01* +X111734600Y-97488468D01* +X111716054Y-97395231D01* +X111679674Y-97307403D01* +X111626860Y-97228360D01* +X111559640Y-97161140D01* +X111480597Y-97108326D01* +X111392769Y-97071946D01* +X111299532Y-97053400D01* +X111204468Y-97053400D01* +X111111231Y-97071946D01* +X111023403Y-97108326D01* +X110944360Y-97161140D01* +X110877140Y-97228360D01* +X110824326Y-97307403D01* +X110787946Y-97395231D01* +X110769400Y-97488468D01* +X110335526Y-97488468D01* +X110249769Y-97452946D01* +X110156532Y-97434400D01* +X110061468Y-97434400D01* +X109968231Y-97452946D01* +X109880403Y-97489326D01* +X109801360Y-97542140D01* +X109734140Y-97609360D01* +X109681326Y-97688403D01* +X109644946Y-97776231D01* +X109626400Y-97869468D01* +X103225600Y-97869468D01* +X103207054Y-97776231D01* +X103170674Y-97688403D01* +X103117860Y-97609360D01* +X103050640Y-97542140D01* +X102971597Y-97489326D01* +X102883769Y-97452946D01* +X102790532Y-97434400D01* +X102695468Y-97434400D01* +X102602231Y-97452946D01* +X102514403Y-97489326D01* +X102435360Y-97542140D01* +X102368140Y-97609360D01* +X102315326Y-97688403D01* +X102278946Y-97776231D01* +X102260400Y-97869468D01* +X100431600Y-97869468D01* +X100413054Y-97776231D01* +X100376674Y-97688403D01* +X100323860Y-97609360D01* +X100256640Y-97542140D01* +X100177597Y-97489326D01* +X100089769Y-97452946D01* +X99996532Y-97434400D01* +X99901468Y-97434400D01* +X99808231Y-97452946D01* +X99720403Y-97489326D01* +X99641360Y-97542140D01* +X99574140Y-97609360D01* +X99521326Y-97688403D01* +X99484946Y-97776231D01* +X99466400Y-97869468D01* +X97891600Y-97869468D01* +X97873054Y-97776231D01* +X97836674Y-97688403D01* +X97783860Y-97609360D01* +X97716640Y-97542140D01* +X97637597Y-97489326D01* +X97549769Y-97452946D01* +X97456532Y-97434400D01* +X97361468Y-97434400D01* +X97268231Y-97452946D01* +X97180403Y-97489326D01* +X97101360Y-97542140D01* +X97034140Y-97609360D01* +X96981326Y-97688403D01* +X96944946Y-97776231D01* +X96926400Y-97869468D01* +X81375247Y-97869468D01* +X81381600Y-97837532D01* +X81381600Y-97742468D01* +X81363054Y-97649231D01* +X81326674Y-97561403D01* +X81273860Y-97482360D01* +X81206640Y-97415140D01* +X81127597Y-97362326D01* +X81039769Y-97325946D01* +X80946532Y-97307400D01* +X80851468Y-97307400D01* +X80758231Y-97325946D01* +X80670403Y-97362326D01* +X80591360Y-97415140D01* +X80524140Y-97482360D01* +X80471326Y-97561403D01* +X80434946Y-97649231D01* +X80416400Y-97742468D01* +X78560600Y-97742468D01* +X78560600Y-97265865D01* +X78559136Y-97251000D01* +X78559208Y-97240692D01* +X78558760Y-97236126D01* +X78531890Y-96980468D01* +X88925400Y-96980468D01* +X88925400Y-97075532D01* +X88943946Y-97168769D01* +X88980326Y-97256597D01* +X89033140Y-97335640D01* +X89100360Y-97402860D01* +X89179403Y-97455674D01* +X89267231Y-97492054D01* +X89360468Y-97510600D01* +X89455532Y-97510600D01* +X89548769Y-97492054D01* +X89636597Y-97455674D01* +X89715640Y-97402860D01* +X89782860Y-97335640D01* +X89835674Y-97256597D01* +X89872054Y-97168769D01* +X89890600Y-97075532D01* +X89890600Y-96980468D01* +X89872054Y-96887231D01* +X89835674Y-96799403D01* +X89782860Y-96720360D01* +X89715640Y-96653140D01* +X89636597Y-96600326D01* +X89548769Y-96563946D01* +X89455532Y-96545400D01* +X89360468Y-96545400D01* +X89267231Y-96563946D01* +X89179403Y-96600326D01* +X89100360Y-96653140D01* +X89033140Y-96720360D01* +X88980326Y-96799403D01* +X88943946Y-96887231D01* +X88925400Y-96980468D01* +X78531890Y-96980468D01* +X78525079Y-96915672D01* +X78519080Y-96886446D01* +X78513509Y-96857242D01* +X78512185Y-96852858D01* +X78512184Y-96852851D01* +X78512181Y-96852845D01* +X78416900Y-96545042D01* +X78405368Y-96517608D01* +X78394203Y-96489973D01* +X78392049Y-96485922D01* +X78247437Y-96218468D01* +X87655400Y-96218468D01* +X87655400Y-96313532D01* +X87673946Y-96406769D01* +X87710326Y-96494597D01* +X87763140Y-96573640D01* +X87830360Y-96640860D01* +X87909403Y-96693674D01* +X87997231Y-96730054D01* +X88090468Y-96748600D01* +X88185532Y-96748600D01* +X88278769Y-96730054D01* +X88366597Y-96693674D01* +X88445640Y-96640860D01* +X88512860Y-96573640D01* +X88565674Y-96494597D01* +X88574840Y-96472468D01* +X92227400Y-96472468D01* +X92227400Y-96567532D01* +X92245946Y-96660769D01* +X92282326Y-96748597D01* +X92335140Y-96827640D01* +X92402360Y-96894860D01* +X92481403Y-96947674D01* +X92569231Y-96984054D01* +X92662468Y-97002600D01* +X92757532Y-97002600D01* +X92850769Y-96984054D01* +X92938597Y-96947674D01* +X93017640Y-96894860D01* +X93084860Y-96827640D01* +X93137674Y-96748597D01* +X93174054Y-96660769D01* +X93192600Y-96567532D01* +X93192600Y-96472468D01* +X93174054Y-96379231D01* +X93160069Y-96345468D01* +X142646400Y-96345468D01* +X142646400Y-96440532D01* +X142664946Y-96533769D01* +X142701326Y-96621597D01* +X142754140Y-96700640D01* +X142821360Y-96767860D01* +X142900403Y-96820674D01* +X142988231Y-96857054D01* +X143081468Y-96875600D01* +X143176532Y-96875600D01* +X143269769Y-96857054D01* +X143357597Y-96820674D01* +X143436640Y-96767860D01* +X143503860Y-96700640D01* +X143556674Y-96621597D01* +X143593054Y-96533769D01* +X143611600Y-96440532D01* +X143611600Y-96345468D01* +X143593054Y-96252231D01* +X143556674Y-96164403D01* +X143503860Y-96085360D01* +X143436640Y-96018140D01* +X143357597Y-95965326D01* +X143269769Y-95928946D01* +X143176532Y-95910400D01* +X143081468Y-95910400D01* +X142988231Y-95928946D01* +X142900403Y-95965326D01* +X142821360Y-96018140D01* +X142754140Y-96085360D01* +X142701326Y-96164403D01* +X142664946Y-96252231D01* +X142646400Y-96345468D01* +X93160069Y-96345468D01* +X93137674Y-96291403D01* +X93084860Y-96212360D01* +X93017640Y-96145140D01* +X92938597Y-96092326D01* +X92850769Y-96055946D01* +X92757532Y-96037400D01* +X92662468Y-96037400D01* +X92569231Y-96055946D01* +X92481403Y-96092326D01* +X92402360Y-96145140D01* +X92335140Y-96212360D01* +X92282326Y-96291403D01* +X92245946Y-96379231D01* +X92227400Y-96472468D01* +X88574840Y-96472468D01* +X88602054Y-96406769D01* +X88620600Y-96313532D01* +X88620600Y-96218468D01* +X88602054Y-96125231D01* +X88565674Y-96037403D01* +X88512860Y-95958360D01* +X88445640Y-95891140D01* +X88366597Y-95838326D01* +X88278769Y-95801946D01* +X88185532Y-95783400D01* +X88090468Y-95783400D01* +X87997231Y-95801946D01* +X87909403Y-95838326D01* +X87830360Y-95891140D01* +X87763140Y-95958360D01* +X87710326Y-96037403D01* +X87673946Y-96125231D01* +X87655400Y-96218468D01* +X78247437Y-96218468D01* +X78238793Y-96202483D01* +X78222151Y-96177810D01* +X78205832Y-96152871D01* +X78202932Y-96149316D01* +X77997542Y-95901042D01* +X77976410Y-95880057D01* +X77955571Y-95858777D01* +X77952036Y-95855852D01* +X77702334Y-95652200D01* +X77677513Y-95635709D01* +X77652954Y-95618893D01* +X77648918Y-95616711D01* +X77364416Y-95465438D01* +X77336876Y-95454086D01* +X77309505Y-95442355D01* +X77305130Y-95441001D01* +X77305124Y-95440999D01* +X77305118Y-95440998D01* +X76996656Y-95347868D01* +X76967429Y-95342081D01* +X76938310Y-95335891D01* +X76933747Y-95335411D01* +X76613066Y-95303968D01* +X76613056Y-95303968D01* +X76597135Y-95302400D01* +X76528600Y-95302400D01* +X76528600Y-94694468D01* +X76606400Y-94694468D01* +X76606400Y-94789532D01* +X76624946Y-94882769D01* +X76661326Y-94970597D01* +X76714140Y-95049640D01* +X76781360Y-95116860D01* +X76860403Y-95169674D01* +X76948231Y-95206054D01* +X77041468Y-95224600D01* +X77136532Y-95224600D01* +X77229769Y-95206054D01* +X77317597Y-95169674D01* +X77396640Y-95116860D01* +X77463860Y-95049640D01* +X77516674Y-94970597D01* +X77553054Y-94882769D01* +X77571600Y-94789532D01* +X77571600Y-94694468D01* +X78511400Y-94694468D01* +X78511400Y-94789532D01* +X78529946Y-94882769D01* +X78566326Y-94970597D01* +X78619140Y-95049640D01* +X78686360Y-95116860D01* +X78765403Y-95169674D01* +X78853231Y-95206054D01* +X78946468Y-95224600D01* +X79041532Y-95224600D01* +X79134769Y-95206054D01* +X79143426Y-95202468D01* +X82448400Y-95202468D01* +X82448400Y-95297532D01* +X82466946Y-95390769D01* +X82503326Y-95478597D01* +X82556140Y-95557640D01* +X82623360Y-95624860D01* +X82702403Y-95677674D01* +X82790231Y-95714054D01* +X82883468Y-95732600D01* +X82978532Y-95732600D01* +X83071769Y-95714054D01* +X83159597Y-95677674D01* +X83238640Y-95624860D01* +X83305860Y-95557640D01* +X83358674Y-95478597D01* +X83395054Y-95390769D01* +X83407247Y-95329468D01* +X103784400Y-95329468D01* +X103784400Y-95424532D01* +X103802946Y-95517769D01* +X103839326Y-95605597D01* +X103892140Y-95684640D01* +X103959360Y-95751860D01* +X104038403Y-95804674D01* +X104126231Y-95841054D01* +X104219468Y-95859600D01* +X104314532Y-95859600D01* +X104407769Y-95841054D01* +X104495597Y-95804674D01* +X104574640Y-95751860D01* +X104641860Y-95684640D01* +X104694674Y-95605597D01* +X104731054Y-95517769D01* +X104749600Y-95424532D01* +X104749600Y-95329468D01* +X108356400Y-95329468D01* +X108356400Y-95424532D01* +X108374946Y-95517769D01* +X108411326Y-95605597D01* +X108464140Y-95684640D01* +X108531360Y-95751860D01* +X108610403Y-95804674D01* +X108698231Y-95841054D01* +X108791468Y-95859600D01* +X108886532Y-95859600D01* +X108979769Y-95841054D01* +X109067597Y-95804674D01* +X109146640Y-95751860D01* +X109213860Y-95684640D01* +X109266674Y-95605597D01* +X109303054Y-95517769D01* +X109321600Y-95424532D01* +X109321600Y-95329468D01* +X109880400Y-95329468D01* +X109880400Y-95424532D01* +X109898946Y-95517769D01* +X109935326Y-95605597D01* +X109988140Y-95684640D01* +X110055360Y-95751860D01* +X110134403Y-95804674D01* +X110222231Y-95841054D01* +X110315468Y-95859600D01* +X110410532Y-95859600D01* +X110503769Y-95841054D01* +X110591597Y-95804674D01* +X110670640Y-95751860D01* +X110737860Y-95684640D01* +X110790674Y-95605597D01* +X110827054Y-95517769D01* +X110845600Y-95424532D01* +X110845600Y-95329468D01* +X112293400Y-95329468D01* +X112293400Y-95424532D01* +X112311946Y-95517769D01* +X112348326Y-95605597D01* +X112401140Y-95684640D01* +X112468360Y-95751860D01* +X112547403Y-95804674D01* +X112635231Y-95841054D01* +X112728468Y-95859600D01* +X112823532Y-95859600D01* +X112916769Y-95841054D01* +X113004597Y-95804674D01* +X113083640Y-95751860D01* +X113125032Y-95710468D01* +X114198400Y-95710468D01* +X114198400Y-95805532D01* +X114216946Y-95898769D01* +X114253326Y-95986597D01* +X114306140Y-96065640D01* +X114373360Y-96132860D01* +X114452403Y-96185674D01* +X114540231Y-96222054D01* +X114633468Y-96240600D01* +X114728532Y-96240600D01* +X114821769Y-96222054D01* +X114909597Y-96185674D01* +X114988640Y-96132860D01* +X115055860Y-96065640D01* +X115108674Y-95986597D01* +X115145054Y-95898769D01* +X115157247Y-95837468D01* +X145567400Y-95837468D01* +X145567400Y-95932532D01* +X145585946Y-96025769D01* +X145622326Y-96113597D01* +X145675140Y-96192640D01* +X145742360Y-96259860D01* +X145821403Y-96312674D01* +X145909231Y-96349054D01* +X146002468Y-96367600D01* +X146097532Y-96367600D01* +X146190769Y-96349054D01* +X146278597Y-96312674D01* +X146357640Y-96259860D01* +X146424860Y-96192640D01* +X146477674Y-96113597D01* +X146514054Y-96025769D01* +X146532600Y-95932532D01* +X146532600Y-95837468D01* +X151663400Y-95837468D01* +X151663400Y-95932532D01* +X151681946Y-96025769D01* +X151718326Y-96113597D01* +X151771140Y-96192640D01* +X151838360Y-96259860D01* +X151917403Y-96312674D01* +X152005231Y-96349054D01* +X152098468Y-96367600D01* +X152193532Y-96367600D01* +X152286769Y-96349054D01* +X152374597Y-96312674D01* +X152453640Y-96259860D01* +X152520860Y-96192640D01* +X152573674Y-96113597D01* +X152582840Y-96091468D01* +X158140400Y-96091468D01* +X158140400Y-96186532D01* +X158158946Y-96279769D01* +X158195326Y-96367597D01* +X158248140Y-96446640D01* +X158315360Y-96513860D01* +X158394403Y-96566674D01* +X158482231Y-96603054D01* +X158575468Y-96621600D01* +X158670532Y-96621600D01* +X158763769Y-96603054D01* +X158851597Y-96566674D01* +X158930640Y-96513860D01* +X158997860Y-96446640D01* +X159050674Y-96367597D01* +X159087054Y-96279769D01* +X159105600Y-96186532D01* +X159105600Y-96091468D01* +X163728400Y-96091468D01* +X163728400Y-96186532D01* +X163746946Y-96279769D01* +X163783326Y-96367597D01* +X163836140Y-96446640D01* +X163903360Y-96513860D01* +X163982403Y-96566674D01* +X164070231Y-96603054D01* +X164163468Y-96621600D01* +X164258532Y-96621600D01* +X164351769Y-96603054D01* +X164439597Y-96566674D01* +X164518640Y-96513860D01* +X164585860Y-96446640D01* +X164638674Y-96367597D01* +X164675054Y-96279769D01* +X164693600Y-96186532D01* +X164693600Y-96091468D01* +X164675054Y-95998231D01* +X164638674Y-95910403D01* +X164585860Y-95831360D01* +X164518640Y-95764140D01* +X164439597Y-95711326D01* +X164351769Y-95674946D01* +X164258532Y-95656400D01* +X164163468Y-95656400D01* +X164070231Y-95674946D01* +X163982403Y-95711326D01* +X163903360Y-95764140D01* +X163836140Y-95831360D01* +X163783326Y-95910403D01* +X163746946Y-95998231D01* +X163728400Y-96091468D01* +X159105600Y-96091468D01* +X159087054Y-95998231D01* +X159050674Y-95910403D01* +X158997860Y-95831360D01* +X158930640Y-95764140D01* +X158851597Y-95711326D01* +X158763769Y-95674946D01* +X158670532Y-95656400D01* +X158575468Y-95656400D01* +X158482231Y-95674946D01* +X158394403Y-95711326D01* +X158315360Y-95764140D01* +X158248140Y-95831360D01* +X158195326Y-95910403D01* +X158158946Y-95998231D01* +X158140400Y-96091468D01* +X152582840Y-96091468D01* +X152610054Y-96025769D01* +X152628600Y-95932532D01* +X152628600Y-95837468D01* +X152610054Y-95744231D01* +X152573674Y-95656403D01* +X152520860Y-95577360D01* +X152453640Y-95510140D01* +X152374597Y-95457326D01* +X152286769Y-95420946D01* +X152193532Y-95402400D01* +X152098468Y-95402400D01* +X152005231Y-95420946D01* +X151917403Y-95457326D01* +X151838360Y-95510140D01* +X151771140Y-95577360D01* +X151718326Y-95656403D01* +X151681946Y-95744231D01* +X151663400Y-95837468D01* +X146532600Y-95837468D01* +X146514054Y-95744231D01* +X146477674Y-95656403D01* +X146424860Y-95577360D01* +X146357640Y-95510140D01* +X146278597Y-95457326D01* +X146190769Y-95420946D01* +X146097532Y-95402400D01* +X146002468Y-95402400D01* +X145909231Y-95420946D01* +X145821403Y-95457326D01* +X145742360Y-95510140D01* +X145675140Y-95577360D01* +X145622326Y-95656403D01* +X145585946Y-95744231D01* +X145567400Y-95837468D01* +X115157247Y-95837468D01* +X115163600Y-95805532D01* +X115163600Y-95710468D01* +X115145054Y-95617231D01* +X115108674Y-95529403D01* +X115055860Y-95450360D01* +X114988640Y-95383140D01* +X114909597Y-95330326D01* +X114821769Y-95293946D01* +X114728532Y-95275400D01* +X114633468Y-95275400D01* +X114540231Y-95293946D01* +X114452403Y-95330326D01* +X114373360Y-95383140D01* +X114306140Y-95450360D01* +X114253326Y-95529403D01* +X114216946Y-95617231D01* +X114198400Y-95710468D01* +X113125032Y-95710468D01* +X113150860Y-95684640D01* +X113203674Y-95605597D01* +X113240054Y-95517769D01* +X113258600Y-95424532D01* +X113258600Y-95329468D01* +X113240054Y-95236231D01* +X113203674Y-95148403D01* +X113150860Y-95069360D01* +X113083640Y-95002140D01* +X113004597Y-94949326D01* +X112916769Y-94912946D01* +X112823532Y-94894400D01* +X112728468Y-94894400D01* +X112635231Y-94912946D01* +X112547403Y-94949326D01* +X112468360Y-95002140D01* +X112401140Y-95069360D01* +X112348326Y-95148403D01* +X112311946Y-95236231D01* +X112293400Y-95329468D01* +X110845600Y-95329468D01* +X110827054Y-95236231D01* +X110790674Y-95148403D01* +X110737860Y-95069360D01* +X110670640Y-95002140D01* +X110591597Y-94949326D01* +X110503769Y-94912946D01* +X110410532Y-94894400D01* +X110315468Y-94894400D01* +X110222231Y-94912946D01* +X110134403Y-94949326D01* +X110055360Y-95002140D01* +X109988140Y-95069360D01* +X109935326Y-95148403D01* +X109898946Y-95236231D01* +X109880400Y-95329468D01* +X109321600Y-95329468D01* +X109303054Y-95236231D01* +X109266674Y-95148403D01* +X109213860Y-95069360D01* +X109146640Y-95002140D01* +X109067597Y-94949326D01* +X108979769Y-94912946D01* +X108886532Y-94894400D01* +X108791468Y-94894400D01* +X108698231Y-94912946D01* +X108610403Y-94949326D01* +X108531360Y-95002140D01* +X108464140Y-95069360D01* +X108411326Y-95148403D01* +X108374946Y-95236231D01* +X108356400Y-95329468D01* +X104749600Y-95329468D01* +X104731054Y-95236231D01* +X104694674Y-95148403D01* +X104641860Y-95069360D01* +X104574640Y-95002140D01* +X104495597Y-94949326D01* +X104407769Y-94912946D01* +X104314532Y-94894400D01* +X104219468Y-94894400D01* +X104126231Y-94912946D01* +X104038403Y-94949326D01* +X103959360Y-95002140D01* +X103892140Y-95069360D01* +X103839326Y-95148403D01* +X103802946Y-95236231D01* +X103784400Y-95329468D01* +X83407247Y-95329468D01* +X83413600Y-95297532D01* +X83413600Y-95202468D01* +X83395054Y-95109231D01* +X83358674Y-95021403D01* +X83305860Y-94942360D01* +X83238640Y-94875140D01* +X83159597Y-94822326D01* +X83071769Y-94785946D01* +X82978532Y-94767400D01* +X82883468Y-94767400D01* +X82790231Y-94785946D01* +X82702403Y-94822326D01* +X82623360Y-94875140D01* +X82556140Y-94942360D01* +X82503326Y-95021403D01* +X82466946Y-95109231D01* +X82448400Y-95202468D01* +X79143426Y-95202468D01* +X79222597Y-95169674D01* +X79301640Y-95116860D01* +X79368860Y-95049640D01* +X79421674Y-94970597D01* +X79458054Y-94882769D01* +X79476600Y-94789532D01* +X79476600Y-94694468D01* +X79458054Y-94601231D01* +X79421674Y-94513403D01* +X79368860Y-94434360D01* +X79301640Y-94367140D01* +X79222597Y-94314326D01* +X79134769Y-94277946D01* +X79041532Y-94259400D01* +X78946468Y-94259400D01* +X78853231Y-94277946D01* +X78765403Y-94314326D01* +X78686360Y-94367140D01* +X78619140Y-94434360D01* +X78566326Y-94513403D01* +X78529946Y-94601231D01* +X78511400Y-94694468D01* +X77571600Y-94694468D01* +X77553054Y-94601231D01* +X77516674Y-94513403D01* +X77463860Y-94434360D01* +X77396640Y-94367140D01* +X77317597Y-94314326D01* +X77229769Y-94277946D01* +X77136532Y-94259400D01* +X77041468Y-94259400D01* +X76948231Y-94277946D01* +X76860403Y-94314326D01* +X76781360Y-94367140D01* +X76714140Y-94434360D01* +X76661326Y-94513403D01* +X76624946Y-94601231D01* +X76606400Y-94694468D01* +X76528600Y-94694468D01* +X76528600Y-94186468D01* +X111086900Y-94186468D01* +X111086900Y-94281532D01* +X111105446Y-94374769D01* +X111141826Y-94462597D01* +X111194640Y-94541640D01* +X111261860Y-94608860D01* +X111340903Y-94661674D01* +X111428731Y-94698054D01* +X111521968Y-94716600D01* +X111617032Y-94716600D01* +X111710269Y-94698054D01* +X111798097Y-94661674D01* +X111877140Y-94608860D01* +X111944360Y-94541640D01* +X111997174Y-94462597D01* +X112033554Y-94374769D01* +X112052100Y-94281532D01* +X112052100Y-94186468D01* +X112033554Y-94093231D01* +X111997174Y-94005403D01* +X111944360Y-93926360D01* +X111877140Y-93859140D01* +X111798097Y-93806326D01* +X111710269Y-93769946D01* +X111617032Y-93751400D01* +X111521968Y-93751400D01* +X111428731Y-93769946D01* +X111340903Y-93806326D01* +X111261860Y-93859140D01* +X111194640Y-93926360D01* +X111141826Y-94005403D01* +X111105446Y-94093231D01* +X111086900Y-94186468D01* +X76528600Y-94186468D01* +X76528600Y-91392468D01* +X76606400Y-91392468D01* +X76606400Y-91487532D01* +X76624946Y-91580769D01* +X76661326Y-91668597D01* +X76714140Y-91747640D01* +X76781360Y-91814860D01* +X76860403Y-91867674D01* +X76948231Y-91904054D01* +X77041468Y-91922600D01* +X77136532Y-91922600D01* +X77229769Y-91904054D01* +X77317597Y-91867674D01* +X77396640Y-91814860D01* +X77463860Y-91747640D01* +X77516674Y-91668597D01* +X77553054Y-91580769D01* +X77571600Y-91487532D01* +X77571600Y-91466683D01* +X77654586Y-91466683D01* +X77696162Y-91837340D01* +X77808940Y-92192862D01* +X77988626Y-92519708D01* +X78228373Y-92805429D01* +X78519052Y-93039140D01* +X78849589Y-93211941D01* +X79207396Y-93317249D01* +X79578842Y-93351053D01* +X79949780Y-93312066D01* +X79996938Y-93297468D01* +X110134400Y-93297468D01* +X110134400Y-93392532D01* +X110152946Y-93485769D01* +X110189326Y-93573597D01* +X110242140Y-93652640D01* +X110309360Y-93719860D01* +X110388403Y-93772674D01* +X110476231Y-93809054D01* +X110569468Y-93827600D01* +X110664532Y-93827600D01* +X110757769Y-93809054D01* +X110845597Y-93772674D01* +X110924640Y-93719860D01* +X110991860Y-93652640D01* +X111044674Y-93573597D01* +X111081054Y-93485769D01* +X111093247Y-93424468D01* +X111912400Y-93424468D01* +X111912400Y-93519532D01* +X111930946Y-93612769D01* +X111967326Y-93700597D01* +X112020140Y-93779640D01* +X112087360Y-93846860D01* +X112166403Y-93899674D01* +X112254231Y-93936054D01* +X112347468Y-93954600D01* +X112442532Y-93954600D01* +X112535769Y-93936054D01* +X112623597Y-93899674D01* +X112702640Y-93846860D01* +X112744032Y-93805468D01* +X142519400Y-93805468D01* +X142519400Y-93900532D01* +X142537946Y-93993769D01* +X142574326Y-94081597D01* +X142627140Y-94160640D01* +X142694360Y-94227860D01* +X142773403Y-94280674D01* +X142861231Y-94317054D01* +X142954468Y-94335600D01* +X143049532Y-94335600D01* +X143142769Y-94317054D01* +X143230597Y-94280674D01* +X143309640Y-94227860D01* +X143376860Y-94160640D01* +X143429674Y-94081597D01* +X143466054Y-93993769D01* +X143484600Y-93900532D01* +X143484600Y-93805468D01* +X148615400Y-93805468D01* +X148615400Y-93900532D01* +X148633946Y-93993769D01* +X148670326Y-94081597D01* +X148723140Y-94160640D01* +X148790360Y-94227860D01* +X148869403Y-94280674D01* +X148957231Y-94317054D01* +X149050468Y-94335600D01* +X149145532Y-94335600D01* +X149238769Y-94317054D01* +X149326597Y-94280674D01* +X149405640Y-94227860D01* +X149472860Y-94160640D01* +X149525674Y-94081597D01* +X149562054Y-93993769D01* +X149580600Y-93900532D01* +X149580600Y-93805468D01* +X160807400Y-93805468D01* +X160807400Y-93900532D01* +X160825946Y-93993769D01* +X160862326Y-94081597D01* +X160915140Y-94160640D01* +X160982360Y-94227860D01* +X161061403Y-94280674D01* +X161149231Y-94317054D01* +X161242468Y-94335600D01* +X161337532Y-94335600D01* +X161430769Y-94317054D01* +X161518597Y-94280674D01* +X161597640Y-94227860D01* +X161664860Y-94160640D01* +X161717674Y-94081597D01* +X161754054Y-93993769D01* +X161772600Y-93900532D01* +X161772600Y-93805468D01* +X161754054Y-93712231D01* +X161717674Y-93624403D01* +X161664860Y-93545360D01* +X161597640Y-93478140D01* +X161518597Y-93425326D01* +X161430769Y-93388946D01* +X161337532Y-93370400D01* +X161242468Y-93370400D01* +X161149231Y-93388946D01* +X161061403Y-93425326D01* +X160982360Y-93478140D01* +X160915140Y-93545360D01* +X160862326Y-93624403D01* +X160825946Y-93712231D01* +X160807400Y-93805468D01* +X149580600Y-93805468D01* +X149562054Y-93712231D01* +X149525674Y-93624403D01* +X149472860Y-93545360D01* +X149405640Y-93478140D01* +X149326597Y-93425326D01* +X149238769Y-93388946D01* +X149145532Y-93370400D01* +X149050468Y-93370400D01* +X148957231Y-93388946D01* +X148869403Y-93425326D01* +X148790360Y-93478140D01* +X148723140Y-93545360D01* +X148670326Y-93624403D01* +X148633946Y-93712231D01* +X148615400Y-93805468D01* +X143484600Y-93805468D01* +X143466054Y-93712231D01* +X143429674Y-93624403D01* +X143376860Y-93545360D01* +X143309640Y-93478140D01* +X143230597Y-93425326D01* +X143142769Y-93388946D01* +X143049532Y-93370400D01* +X142954468Y-93370400D01* +X142861231Y-93388946D01* +X142773403Y-93425326D01* +X142694360Y-93478140D01* +X142627140Y-93545360D01* +X142574326Y-93624403D01* +X142537946Y-93712231D01* +X142519400Y-93805468D01* +X112744032Y-93805468D01* +X112769860Y-93779640D01* +X112822674Y-93700597D01* +X112859054Y-93612769D01* +X112877600Y-93519532D01* +X112877600Y-93424468D01* +X112859054Y-93331231D01* +X112822674Y-93243403D01* +X112769860Y-93164360D01* +X112702640Y-93097140D01* +X112623597Y-93044326D01* +X112535769Y-93007946D01* +X112442532Y-92989400D01* +X112347468Y-92989400D01* +X112254231Y-93007946D01* +X112166403Y-93044326D01* +X112087360Y-93097140D01* +X112020140Y-93164360D01* +X111967326Y-93243403D01* +X111930946Y-93331231D01* +X111912400Y-93424468D01* +X111093247Y-93424468D01* +X111099600Y-93392532D01* +X111099600Y-93297468D01* +X111081054Y-93204231D01* +X111044674Y-93116403D01* +X110991860Y-93037360D01* +X110924640Y-92970140D01* +X110845597Y-92917326D01* +X110757769Y-92880946D01* +X110664532Y-92862400D01* +X110569468Y-92862400D01* +X110476231Y-92880946D01* +X110388403Y-92917326D01* +X110309360Y-92970140D01* +X110242140Y-93037360D01* +X110189326Y-93116403D01* +X110152946Y-93204231D01* +X110134400Y-93297468D01* +X79996938Y-93297468D01* +X80306081Y-93201773D01* +X80634174Y-93024374D01* +X80921561Y-92786626D01* +X81157296Y-92497587D01* +X81212327Y-92394088D01* +X83191400Y-92394088D01* +X83191400Y-92517912D01* +X83215556Y-92639356D01* +X83262941Y-92753754D01* +X83331734Y-92856709D01* +X83419291Y-92944266D01* +X83522246Y-93013059D01* +X83636644Y-93060444D01* +X83758088Y-93084600D01* +X83881912Y-93084600D01* +X84003356Y-93060444D01* +X84117754Y-93013059D01* +X84220709Y-92944266D01* +X84308266Y-92856709D01* +X84377059Y-92753754D01* +X84424444Y-92639356D01* +X84448600Y-92517912D01* +X84448600Y-92394088D01* +X84424444Y-92272644D01* +X84377059Y-92158246D01* +X84308266Y-92055291D01* +X84266063Y-92013088D01* +X106178400Y-92013088D01* +X106178400Y-92136912D01* +X106202556Y-92258356D01* +X106249941Y-92372754D01* +X106318734Y-92475709D01* +X106406291Y-92563266D01* +X106509246Y-92632059D01* +X106623644Y-92679444D01* +X106745088Y-92703600D01* +X106868912Y-92703600D01* +X106990356Y-92679444D01* +X107104754Y-92632059D01* +X107207709Y-92563266D01* +X107235507Y-92535468D01* +X111023400Y-92535468D01* +X111023400Y-92630532D01* +X111041946Y-92723769D01* +X111078326Y-92811597D01* +X111131140Y-92890640D01* +X111198360Y-92957860D01* +X111277403Y-93010674D01* +X111365231Y-93047054D01* +X111458468Y-93065600D01* +X111553532Y-93065600D01* +X111646769Y-93047054D01* +X111734597Y-93010674D01* +X111813640Y-92957860D01* +X111880860Y-92890640D01* +X111933674Y-92811597D01* +X111970054Y-92723769D01* +X111988600Y-92630532D01* +X111988600Y-92535468D01* +X111970054Y-92442231D01* +X111956069Y-92408468D01* +X112039400Y-92408468D01* +X112039400Y-92503532D01* +X112057946Y-92596769D01* +X112094326Y-92684597D01* +X112147140Y-92763640D01* +X112214360Y-92830860D01* +X112293403Y-92883674D01* +X112381231Y-92920054D01* +X112474468Y-92938600D01* +X112569532Y-92938600D01* +X112662769Y-92920054D01* +X112671426Y-92916468D01* +X113690400Y-92916468D01* +X113690400Y-93011532D01* +X113708946Y-93104769D01* +X113745326Y-93192597D01* +X113798140Y-93271640D01* +X113865360Y-93338860D01* +X113944403Y-93391674D01* +X114032231Y-93428054D01* +X114125468Y-93446600D01* +X114220532Y-93446600D01* +X114313769Y-93428054D01* +X114401597Y-93391674D01* +X114480640Y-93338860D01* +X114547860Y-93271640D01* +X114573031Y-93233968D01* +X114706400Y-93233968D01* +X114706400Y-93329032D01* +X114724946Y-93422269D01* +X114761326Y-93510097D01* +X114814140Y-93589140D01* +X114881360Y-93656360D01* +X114960403Y-93709174D01* +X115048231Y-93745554D01* +X115141468Y-93764100D01* +X115236532Y-93764100D01* +X115329769Y-93745554D01* +X115417597Y-93709174D01* +X115496640Y-93656360D01* +X115563860Y-93589140D01* +X115616674Y-93510097D01* +X115653054Y-93422269D01* +X115671600Y-93329032D01* +X115671600Y-93233968D01* +X115653054Y-93140731D01* +X115616674Y-93052903D01* +X115563860Y-92973860D01* +X115506468Y-92916468D01* +X115722400Y-92916468D01* +X115722400Y-93011532D01* +X115740946Y-93104769D01* +X115777326Y-93192597D01* +X115830140Y-93271640D01* +X115897360Y-93338860D01* +X115976403Y-93391674D01* +X116064231Y-93428054D01* +X116157468Y-93446600D01* +X116252532Y-93446600D01* +X116345769Y-93428054D01* +X116433597Y-93391674D01* +X116512640Y-93338860D01* +X116579860Y-93271640D01* +X116632674Y-93192597D01* +X116669054Y-93104769D01* +X116687600Y-93011532D01* +X116687600Y-92916468D01* +X116669054Y-92823231D01* +X116632674Y-92735403D01* +X116579860Y-92656360D01* +X116512640Y-92589140D01* +X116433597Y-92536326D01* +X116345769Y-92499946D01* +X116252532Y-92481400D01* +X116157468Y-92481400D01* +X116064231Y-92499946D01* +X115976403Y-92536326D01* +X115897360Y-92589140D01* +X115830140Y-92656360D01* +X115777326Y-92735403D01* +X115740946Y-92823231D01* +X115722400Y-92916468D01* +X115506468Y-92916468D01* +X115496640Y-92906640D01* +X115417597Y-92853826D01* +X115329769Y-92817446D01* +X115236532Y-92798900D01* +X115141468Y-92798900D01* +X115048231Y-92817446D01* +X114960403Y-92853826D01* +X114881360Y-92906640D01* +X114814140Y-92973860D01* +X114761326Y-93052903D01* +X114724946Y-93140731D01* +X114706400Y-93233968D01* +X114573031Y-93233968D01* +X114600674Y-93192597D01* +X114637054Y-93104769D01* +X114655600Y-93011532D01* +X114655600Y-92916468D01* +X114637054Y-92823231D01* +X114600674Y-92735403D01* +X114547860Y-92656360D01* +X114480640Y-92589140D01* +X114401597Y-92536326D01* +X114313769Y-92499946D01* +X114220532Y-92481400D01* +X114125468Y-92481400D01* +X114032231Y-92499946D01* +X113944403Y-92536326D01* +X113865360Y-92589140D01* +X113798140Y-92656360D01* +X113745326Y-92735403D01* +X113708946Y-92823231D01* +X113690400Y-92916468D01* +X112671426Y-92916468D01* +X112750597Y-92883674D01* +X112829640Y-92830860D01* +X112896860Y-92763640D01* +X112949674Y-92684597D01* +X112986054Y-92596769D01* +X113004600Y-92503532D01* +X113004600Y-92408468D01* +X112986054Y-92315231D01* +X112949674Y-92227403D01* +X112896860Y-92148360D01* +X112829640Y-92081140D01* +X112750597Y-92028326D01* +X112662769Y-91991946D01* +X112569532Y-91973400D01* +X112474468Y-91973400D01* +X112381231Y-91991946D01* +X112293403Y-92028326D01* +X112214360Y-92081140D01* +X112147140Y-92148360D01* +X112094326Y-92227403D01* +X112057946Y-92315231D01* +X112039400Y-92408468D01* +X111956069Y-92408468D01* +X111933674Y-92354403D01* +X111880860Y-92275360D01* +X111813640Y-92208140D01* +X111734597Y-92155326D01* +X111646769Y-92118946D01* +X111553532Y-92100400D01* +X111458468Y-92100400D01* +X111365231Y-92118946D01* +X111277403Y-92155326D01* +X111198360Y-92208140D01* +X111131140Y-92275360D01* +X111078326Y-92354403D01* +X111041946Y-92442231D01* +X111023400Y-92535468D01* +X107235507Y-92535468D01* +X107295266Y-92475709D01* +X107364059Y-92372754D01* +X107411444Y-92258356D01* +X107435600Y-92136912D01* +X107435600Y-92013088D01* +X107413200Y-91900468D01* +X112928400Y-91900468D01* +X112928400Y-91995532D01* +X112946946Y-92088769D01* +X112983326Y-92176597D01* +X113036140Y-92255640D01* +X113103360Y-92322860D01* +X113182403Y-92375674D01* +X113270231Y-92412054D01* +X113363468Y-92430600D01* +X113458532Y-92430600D01* +X113551769Y-92412054D01* +X113639597Y-92375674D01* +X113718640Y-92322860D01* +X113785860Y-92255640D01* +X113838674Y-92176597D01* +X113875054Y-92088769D01* +X113893600Y-91995532D01* +X113893600Y-91900468D01* +X114452400Y-91900468D01* +X114452400Y-91995532D01* +X114470946Y-92088769D01* +X114507326Y-92176597D01* +X114560140Y-92255640D01* +X114627360Y-92322860D01* +X114706403Y-92375674D01* +X114794231Y-92412054D01* +X114887468Y-92430600D01* +X114982532Y-92430600D01* +X115075769Y-92412054D01* +X115163597Y-92375674D01* +X115242640Y-92322860D01* +X115309860Y-92255640D01* +X115362674Y-92176597D01* +X115399054Y-92088769D01* +X115417600Y-91995532D01* +X115417600Y-91900468D01* +X115399054Y-91807231D01* +X115379113Y-91759088D01* +X117100400Y-91759088D01* +X117100400Y-91882912D01* +X117124556Y-92004356D01* +X117171941Y-92118754D01* +X117240734Y-92221709D01* +X117328291Y-92309266D01* +X117431246Y-92378059D01* +X117545644Y-92425444D01* +X117667088Y-92449600D01* +X117790912Y-92449600D01* +X117912356Y-92425444D01* +X117988056Y-92394088D01* +X140341400Y-92394088D01* +X140341400Y-92517912D01* +X140365556Y-92639356D01* +X140412941Y-92753754D01* +X140481734Y-92856709D01* +X140569291Y-92944266D01* +X140672246Y-93013059D01* +X140786644Y-93060444D01* +X140908088Y-93084600D01* +X141031912Y-93084600D01* +X141153356Y-93060444D01* +X141267754Y-93013059D01* +X141370709Y-92944266D01* +X141458266Y-92856709D01* +X141527059Y-92753754D01* +X141574444Y-92639356D01* +X141598600Y-92517912D01* +X141598600Y-92394088D01* +X155581400Y-92394088D01* +X155581400Y-92517912D01* +X155605556Y-92639356D01* +X155652941Y-92753754D01* +X155721734Y-92856709D01* +X155809291Y-92944266D01* +X155912246Y-93013059D01* +X156026644Y-93060444D01* +X156148088Y-93084600D01* +X156271912Y-93084600D01* +X156393356Y-93060444D01* +X156507754Y-93013059D01* +X156610709Y-92944266D01* +X156698266Y-92856709D01* +X156767059Y-92753754D01* +X156814444Y-92639356D01* +X156838600Y-92517912D01* +X156838600Y-92394088D01* +X158121400Y-92394088D01* +X158121400Y-92517912D01* +X158145556Y-92639356D01* +X158192941Y-92753754D01* +X158261734Y-92856709D01* +X158349291Y-92944266D01* +X158452246Y-93013059D01* +X158566644Y-93060444D01* +X158688088Y-93084600D01* +X158811912Y-93084600D01* +X158933356Y-93060444D01* +X159047754Y-93013059D01* +X159150709Y-92944266D01* +X159238266Y-92856709D01* +X159307059Y-92753754D01* +X159354444Y-92639356D01* +X159378600Y-92517912D01* +X159378600Y-92394088D01* +X159354444Y-92272644D01* +X159307059Y-92158246D01* +X159238266Y-92055291D01* +X159150709Y-91967734D01* +X159047754Y-91898941D01* +X158933356Y-91851556D01* +X158811912Y-91827400D01* +X158688088Y-91827400D01* +X158566644Y-91851556D01* +X158452246Y-91898941D01* +X158349291Y-91967734D01* +X158261734Y-92055291D01* +X158192941Y-92158246D01* +X158145556Y-92272644D01* +X158121400Y-92394088D01* +X156838600Y-92394088D01* +X156814444Y-92272644D01* +X156767059Y-92158246D01* +X156698266Y-92055291D01* +X156610709Y-91967734D01* +X156507754Y-91898941D01* +X156393356Y-91851556D01* +X156271912Y-91827400D01* +X156148088Y-91827400D01* +X156026644Y-91851556D01* +X155912246Y-91898941D01* +X155809291Y-91967734D01* +X155721734Y-92055291D01* +X155652941Y-92158246D01* +X155605556Y-92272644D01* +X155581400Y-92394088D01* +X141598600Y-92394088D01* +X141574444Y-92272644D01* +X141527059Y-92158246D01* +X141458266Y-92055291D01* +X141370709Y-91967734D01* +X141267754Y-91898941D01* +X141153356Y-91851556D01* +X141031912Y-91827400D01* +X140908088Y-91827400D01* +X140786644Y-91851556D01* +X140672246Y-91898941D01* +X140569291Y-91967734D01* +X140481734Y-92055291D01* +X140412941Y-92158246D01* +X140365556Y-92272644D01* +X140341400Y-92394088D01* +X117988056Y-92394088D01* +X118026754Y-92378059D01* +X118129709Y-92309266D01* +X118217266Y-92221709D01* +X118286059Y-92118754D01* +X118333444Y-92004356D01* +X118357600Y-91882912D01* +X118357600Y-91759088D01* +X118333444Y-91637644D01* +X118286059Y-91523246D01* +X118217266Y-91420291D01* +X118129709Y-91332734D01* +X118026754Y-91263941D01* +X117995724Y-91251088D01* +X118751400Y-91251088D01* +X118751400Y-91374912D01* +X118775556Y-91496356D01* +X118822941Y-91610754D01* +X118891734Y-91713709D01* +X118979291Y-91801266D01* +X119082246Y-91870059D01* +X119196644Y-91917444D01* +X119318088Y-91941600D01* +X119441912Y-91941600D01* +X119563356Y-91917444D01* +X119677754Y-91870059D01* +X119780709Y-91801266D01* +X119868266Y-91713709D01* +X119937059Y-91610754D01* +X119984444Y-91496356D01* +X120008600Y-91374912D01* +X120008600Y-91251088D01* +X119984444Y-91129644D01* +X119937059Y-91015246D01* +X119868266Y-90912291D01* +X119840443Y-90884468D01* +X125247400Y-90884468D01* +X125247400Y-90979532D01* +X125265946Y-91072769D01* +X125302326Y-91160597D01* +X125355140Y-91239640D01* +X125422360Y-91306860D01* +X125501403Y-91359674D01* +X125589231Y-91396054D01* +X125682468Y-91414600D01* +X125777532Y-91414600D01* +X125870769Y-91396054D01* +X125958597Y-91359674D01* +X126037640Y-91306860D01* +X126104860Y-91239640D01* +X126157674Y-91160597D01* +X126194054Y-91072769D01* +X126212600Y-90979532D01* +X126212600Y-90884468D01* +X126517400Y-90884468D01* +X126517400Y-90979532D01* +X126535946Y-91072769D01* +X126572326Y-91160597D01* +X126625140Y-91239640D01* +X126692360Y-91306860D01* +X126771403Y-91359674D01* +X126859231Y-91396054D01* +X126952468Y-91414600D01* +X127047532Y-91414600D01* +X127140769Y-91396054D01* +X127228597Y-91359674D01* +X127307640Y-91306860D01* +X127374860Y-91239640D01* +X127427674Y-91160597D01* +X127464054Y-91072769D01* +X127482600Y-90979532D01* +X127482600Y-90884468D01* +X130327400Y-90884468D01* +X130327400Y-90979532D01* +X130345946Y-91072769D01* +X130382326Y-91160597D01* +X130435140Y-91239640D01* +X130502360Y-91306860D01* +X130581403Y-91359674D01* +X130669231Y-91396054D01* +X130762468Y-91414600D01* +X130857532Y-91414600D01* +X130950769Y-91396054D01* +X131038597Y-91359674D01* +X131117640Y-91306860D01* +X131184860Y-91239640D01* +X131237674Y-91160597D01* +X131274054Y-91072769D01* +X131292600Y-90979532D01* +X131292600Y-90884468D01* +X131597400Y-90884468D01* +X131597400Y-90979532D01* +X131615946Y-91072769D01* +X131652326Y-91160597D01* +X131705140Y-91239640D01* +X131772360Y-91306860D01* +X131851403Y-91359674D01* +X131939231Y-91396054D01* +X132032468Y-91414600D01* +X132127532Y-91414600D01* +X132220769Y-91396054D01* +X132308597Y-91359674D01* +X132387640Y-91306860D01* +X132454860Y-91239640D01* +X132507674Y-91160597D01* +X132544054Y-91072769D01* +X132562600Y-90979532D01* +X132562600Y-90884468D01* +X132867400Y-90884468D01* +X132867400Y-90979532D01* +X132885946Y-91072769D01* +X132922326Y-91160597D01* +X132975140Y-91239640D01* +X133042360Y-91306860D01* +X133121403Y-91359674D01* +X133209231Y-91396054D01* +X133302468Y-91414600D01* +X133397532Y-91414600D01* +X133490769Y-91396054D01* +X133578597Y-91359674D01* +X133657640Y-91306860D01* +X133724860Y-91239640D01* +X133777674Y-91160597D01* +X133814054Y-91072769D01* +X133832600Y-90979532D01* +X133832600Y-90884468D01* +X134137400Y-90884468D01* +X134137400Y-90979532D01* +X134155946Y-91072769D01* +X134192326Y-91160597D01* +X134245140Y-91239640D01* +X134312360Y-91306860D01* +X134391403Y-91359674D01* +X134479231Y-91396054D01* +X134572468Y-91414600D01* +X134667532Y-91414600D01* +X134760769Y-91396054D01* +X134848597Y-91359674D01* +X134927640Y-91306860D01* +X134994860Y-91239640D01* +X135047674Y-91160597D01* +X135084054Y-91072769D01* +X135102600Y-90979532D01* +X135102600Y-90884468D01* +X135407400Y-90884468D01* +X135407400Y-90979532D01* +X135425946Y-91072769D01* +X135462326Y-91160597D01* +X135515140Y-91239640D01* +X135582360Y-91306860D01* +X135661403Y-91359674D01* +X135749231Y-91396054D01* +X135842468Y-91414600D01* +X135937532Y-91414600D01* +X136030769Y-91396054D01* +X136118597Y-91359674D01* +X136197640Y-91306860D01* +X136264860Y-91239640D01* +X136317674Y-91160597D01* +X136332796Y-91124088D01* +X139071400Y-91124088D01* +X139071400Y-91247912D01* +X139095556Y-91369356D01* +X139142941Y-91483754D01* +X139211734Y-91586709D01* +X139299291Y-91674266D01* +X139402246Y-91743059D01* +X139516644Y-91790444D01* +X139638088Y-91814600D01* +X139761912Y-91814600D01* +X139883356Y-91790444D01* +X139997754Y-91743059D01* +X140100709Y-91674266D01* +X140188266Y-91586709D01* +X140257059Y-91483754D01* +X140294870Y-91392468D01* +X145567400Y-91392468D01* +X145567400Y-91487532D01* +X145585946Y-91580769D01* +X145622326Y-91668597D01* +X145675140Y-91747640D01* +X145742360Y-91814860D01* +X145821403Y-91867674D01* +X145909231Y-91904054D01* +X146002468Y-91922600D01* +X146097532Y-91922600D01* +X146190769Y-91904054D01* +X146278597Y-91867674D01* +X146357640Y-91814860D01* +X146424860Y-91747640D01* +X146477674Y-91668597D01* +X146514054Y-91580769D01* +X146532600Y-91487532D01* +X146532600Y-91392468D01* +X151663400Y-91392468D01* +X151663400Y-91487532D01* +X151681946Y-91580769D01* +X151718326Y-91668597D01* +X151771140Y-91747640D01* +X151838360Y-91814860D01* +X151917403Y-91867674D01* +X152005231Y-91904054D01* +X152098468Y-91922600D01* +X152193532Y-91922600D01* +X152286769Y-91904054D01* +X152374597Y-91867674D01* +X152453640Y-91814860D01* +X152520860Y-91747640D01* +X152573674Y-91668597D01* +X152610054Y-91580769D01* +X152628600Y-91487532D01* +X152628600Y-91392468D01* +X152610054Y-91299231D01* +X152590113Y-91251088D01* +X156851400Y-91251088D01* +X156851400Y-91374912D01* +X156875556Y-91496356D01* +X156922941Y-91610754D01* +X156991734Y-91713709D01* +X157079291Y-91801266D01* +X157182246Y-91870059D01* +X157296644Y-91917444D01* +X157418088Y-91941600D01* +X157541912Y-91941600D01* +X157663356Y-91917444D01* +X157777754Y-91870059D01* +X157880709Y-91801266D01* +X157968266Y-91713709D01* +X158037059Y-91610754D01* +X158084444Y-91496356D01* +X158090346Y-91466683D01* +X159823586Y-91466683D01* +X159865162Y-91837340D01* +X159977940Y-92192862D01* +X160157626Y-92519708D01* +X160397373Y-92805429D01* +X160688052Y-93039140D01* +X161018589Y-93211941D01* +X161376396Y-93317249D01* +X161747842Y-93351053D01* +X162118780Y-93312066D01* +X162475081Y-93201773D01* +X162803174Y-93024374D01* +X163090561Y-92786626D01* +X163326296Y-92497587D01* +X163501400Y-92168264D01* +X163609203Y-91811201D01* +X163645600Y-91440000D01* +X163644937Y-91392468D01* +X163728400Y-91392468D01* +X163728400Y-91487532D01* +X163746946Y-91580769D01* +X163783326Y-91668597D01* +X163836140Y-91747640D01* +X163903360Y-91814860D01* +X163982403Y-91867674D01* +X164070231Y-91904054D01* +X164163468Y-91922600D01* +X164258532Y-91922600D01* +X164351769Y-91904054D01* +X164439597Y-91867674D01* +X164518640Y-91814860D01* +X164585860Y-91747640D01* +X164638674Y-91668597D01* +X164675054Y-91580769D01* +X164693600Y-91487532D01* +X164693600Y-91392468D01* +X164675054Y-91299231D01* +X164638674Y-91211403D01* +X164585860Y-91132360D01* +X164518640Y-91065140D01* +X164439597Y-91012326D01* +X164351769Y-90975946D01* +X164258532Y-90957400D01* +X164163468Y-90957400D01* +X164070231Y-90975946D01* +X163982403Y-91012326D01* +X163903360Y-91065140D01* +X163836140Y-91132360D01* +X163783326Y-91211403D01* +X163746946Y-91299231D01* +X163728400Y-91392468D01* +X163644937Y-91392468D01* +X163644855Y-91386639D01* +X163598108Y-91016599D01* +X163480377Y-90662686D01* +X163296146Y-90338380D01* +X163052432Y-90056035D01* +X162758519Y-89826405D01* +X162425601Y-89658236D01* +X162066359Y-89557934D01* +X161756966Y-89534127D01* +X161772600Y-89455532D01* +X161772600Y-89360468D01* +X161754054Y-89267231D01* +X161717674Y-89179403D01* +X161664860Y-89100360D01* +X161597640Y-89033140D01* +X161518597Y-88980326D01* +X161430769Y-88943946D01* +X161337532Y-88925400D01* +X161242468Y-88925400D01* +X161149231Y-88943946D01* +X161061403Y-88980326D01* +X160982360Y-89033140D01* +X160915140Y-89100360D01* +X160862326Y-89179403D01* +X160825946Y-89267231D01* +X160807400Y-89360468D01* +X160807400Y-89455532D01* +X160825946Y-89548769D01* +X160862326Y-89636597D01* +X160915140Y-89715640D01* +X160917334Y-89717834D01* +X160643809Y-89870702D01* +X160359770Y-90112438D01* +X160128093Y-90404741D01* +X159957604Y-90736477D01* +X159854797Y-91095010D01* +X159823586Y-91466683D01* +X158090346Y-91466683D01* +X158108600Y-91374912D01* +X158108600Y-91251088D01* +X158084444Y-91129644D01* +X158037059Y-91015246D01* +X157968266Y-90912291D01* +X157880709Y-90824734D01* +X157777754Y-90755941D01* +X157663356Y-90708556D01* +X157541912Y-90684400D01* +X157418088Y-90684400D01* +X157296644Y-90708556D01* +X157182246Y-90755941D01* +X157079291Y-90824734D01* +X156991734Y-90912291D01* +X156922941Y-91015246D01* +X156875556Y-91129644D01* +X156851400Y-91251088D01* +X152590113Y-91251088D01* +X152573674Y-91211403D01* +X152520860Y-91132360D01* +X152453640Y-91065140D01* +X152374597Y-91012326D01* +X152286769Y-90975946D01* +X152193532Y-90957400D01* +X152098468Y-90957400D01* +X152005231Y-90975946D01* +X151917403Y-91012326D01* +X151838360Y-91065140D01* +X151771140Y-91132360D01* +X151718326Y-91211403D01* +X151681946Y-91299231D01* +X151663400Y-91392468D01* +X146532600Y-91392468D01* +X146514054Y-91299231D01* +X146477674Y-91211403D01* +X146424860Y-91132360D01* +X146357640Y-91065140D01* +X146278597Y-91012326D01* +X146190769Y-90975946D01* +X146097532Y-90957400D01* +X146002468Y-90957400D01* +X145909231Y-90975946D01* +X145821403Y-91012326D01* +X145742360Y-91065140D01* +X145675140Y-91132360D01* +X145622326Y-91211403D01* +X145585946Y-91299231D01* +X145567400Y-91392468D01* +X140294870Y-91392468D01* +X140304444Y-91369356D01* +X140328600Y-91247912D01* +X140328600Y-91124088D01* +X140304444Y-91002644D01* +X140257059Y-90888246D01* +X140188266Y-90785291D01* +X140100709Y-90697734D01* +X139997754Y-90628941D01* +X139883356Y-90581556D01* +X139761912Y-90557400D01* +X139638088Y-90557400D01* +X139516644Y-90581556D01* +X139402246Y-90628941D01* +X139299291Y-90697734D01* +X139211734Y-90785291D01* +X139142941Y-90888246D01* +X139095556Y-91002644D01* +X139071400Y-91124088D01* +X136332796Y-91124088D01* +X136354054Y-91072769D01* +X136372600Y-90979532D01* +X136372600Y-90884468D01* +X136354054Y-90791231D01* +X136317674Y-90703403D01* +X136264860Y-90624360D01* +X136197640Y-90557140D01* +X136118597Y-90504326D01* +X136030769Y-90467946D01* +X135937532Y-90449400D01* +X135842468Y-90449400D01* +X135749231Y-90467946D01* +X135661403Y-90504326D01* +X135582360Y-90557140D01* +X135515140Y-90624360D01* +X135462326Y-90703403D01* +X135425946Y-90791231D01* +X135407400Y-90884468D01* +X135102600Y-90884468D01* +X135084054Y-90791231D01* +X135047674Y-90703403D01* +X134994860Y-90624360D01* +X134927640Y-90557140D01* +X134848597Y-90504326D01* +X134760769Y-90467946D01* +X134667532Y-90449400D01* +X134572468Y-90449400D01* +X134479231Y-90467946D01* +X134391403Y-90504326D01* +X134312360Y-90557140D01* +X134245140Y-90624360D01* +X134192326Y-90703403D01* +X134155946Y-90791231D01* +X134137400Y-90884468D01* +X133832600Y-90884468D01* +X133814054Y-90791231D01* +X133777674Y-90703403D01* +X133724860Y-90624360D01* +X133657640Y-90557140D01* +X133578597Y-90504326D01* +X133490769Y-90467946D01* +X133397532Y-90449400D01* +X133302468Y-90449400D01* +X133209231Y-90467946D01* +X133121403Y-90504326D01* +X133042360Y-90557140D01* +X132975140Y-90624360D01* +X132922326Y-90703403D01* +X132885946Y-90791231D01* +X132867400Y-90884468D01* +X132562600Y-90884468D01* +X132544054Y-90791231D01* +X132507674Y-90703403D01* +X132454860Y-90624360D01* +X132387640Y-90557140D01* +X132308597Y-90504326D01* +X132220769Y-90467946D01* +X132127532Y-90449400D01* +X132032468Y-90449400D01* +X131939231Y-90467946D01* +X131851403Y-90504326D01* +X131772360Y-90557140D01* +X131705140Y-90624360D01* +X131652326Y-90703403D01* +X131615946Y-90791231D01* +X131597400Y-90884468D01* +X131292600Y-90884468D01* +X131274054Y-90791231D01* +X131237674Y-90703403D01* +X131184860Y-90624360D01* +X131117640Y-90557140D01* +X131038597Y-90504326D01* +X130950769Y-90467946D01* +X130857532Y-90449400D01* +X130762468Y-90449400D01* +X130669231Y-90467946D01* +X130581403Y-90504326D01* +X130502360Y-90557140D01* +X130435140Y-90624360D01* +X130382326Y-90703403D01* +X130345946Y-90791231D01* +X130327400Y-90884468D01* +X127482600Y-90884468D01* +X127464054Y-90791231D01* +X127427674Y-90703403D01* +X127374860Y-90624360D01* +X127307640Y-90557140D01* +X127228597Y-90504326D01* +X127140769Y-90467946D01* +X127047532Y-90449400D01* +X126952468Y-90449400D01* +X126859231Y-90467946D01* +X126771403Y-90504326D01* +X126692360Y-90557140D01* +X126625140Y-90624360D01* +X126572326Y-90703403D01* +X126535946Y-90791231D01* +X126517400Y-90884468D01* +X126212600Y-90884468D01* +X126194054Y-90791231D01* +X126157674Y-90703403D01* +X126104860Y-90624360D01* +X126037640Y-90557140D01* +X125958597Y-90504326D01* +X125870769Y-90467946D01* +X125777532Y-90449400D01* +X125682468Y-90449400D01* +X125589231Y-90467946D01* +X125501403Y-90504326D01* +X125422360Y-90557140D01* +X125355140Y-90624360D01* +X125302326Y-90703403D01* +X125265946Y-90791231D01* +X125247400Y-90884468D01* +X119840443Y-90884468D01* +X119780709Y-90824734D01* +X119677754Y-90755941D01* +X119563356Y-90708556D01* +X119441912Y-90684400D01* +X119318088Y-90684400D01* +X119196644Y-90708556D01* +X119082246Y-90755941D01* +X118979291Y-90824734D01* +X118891734Y-90912291D01* +X118822941Y-91015246D01* +X118775556Y-91129644D01* +X118751400Y-91251088D01* +X117995724Y-91251088D01* +X117912356Y-91216556D01* +X117790912Y-91192400D01* +X117667088Y-91192400D01* +X117545644Y-91216556D01* +X117431246Y-91263941D01* +X117328291Y-91332734D01* +X117240734Y-91420291D01* +X117171941Y-91523246D01* +X117124556Y-91637644D01* +X117100400Y-91759088D01* +X115379113Y-91759088D01* +X115362674Y-91719403D01* +X115309860Y-91640360D01* +X115242640Y-91573140D01* +X115163597Y-91520326D01* +X115075769Y-91483946D01* +X114982532Y-91465400D01* +X114887468Y-91465400D01* +X114794231Y-91483946D01* +X114706403Y-91520326D01* +X114627360Y-91573140D01* +X114560140Y-91640360D01* +X114507326Y-91719403D01* +X114470946Y-91807231D01* +X114452400Y-91900468D01* +X113893600Y-91900468D01* +X113875054Y-91807231D01* +X113838674Y-91719403D01* +X113785860Y-91640360D01* +X113718640Y-91573140D01* +X113639597Y-91520326D01* +X113551769Y-91483946D01* +X113458532Y-91465400D01* +X113363468Y-91465400D01* +X113270231Y-91483946D01* +X113182403Y-91520326D01* +X113103360Y-91573140D01* +X113036140Y-91640360D01* +X112983326Y-91719403D01* +X112946946Y-91807231D01* +X112928400Y-91900468D01* +X107413200Y-91900468D01* +X107411444Y-91891644D01* +X107364059Y-91777246D01* +X107295266Y-91674291D01* +X107207709Y-91586734D01* +X107104754Y-91517941D01* +X106990356Y-91470556D01* +X106868912Y-91446400D01* +X106745088Y-91446400D01* +X106623644Y-91470556D01* +X106509246Y-91517941D01* +X106406291Y-91586734D01* +X106318734Y-91674291D01* +X106249941Y-91777246D01* +X106202556Y-91891644D01* +X106178400Y-92013088D01* +X84266063Y-92013088D01* +X84220709Y-91967734D01* +X84117754Y-91898941D01* +X84003356Y-91851556D01* +X83881912Y-91827400D01* +X83758088Y-91827400D01* +X83636644Y-91851556D01* +X83522246Y-91898941D01* +X83419291Y-91967734D01* +X83331734Y-92055291D01* +X83262941Y-92158246D01* +X83215556Y-92272644D01* +X83191400Y-92394088D01* +X81212327Y-92394088D01* +X81332400Y-92168264D01* +X81440203Y-91811201D01* +X81476600Y-91440000D01* +X81475855Y-91386639D01* +X81458731Y-91251088D01* +X84461400Y-91251088D01* +X84461400Y-91374912D01* +X84485556Y-91496356D01* +X84532941Y-91610754D01* +X84601734Y-91713709D01* +X84689291Y-91801266D01* +X84792246Y-91870059D01* +X84906644Y-91917444D01* +X85028088Y-91941600D01* +X85151912Y-91941600D01* +X85273356Y-91917444D01* +X85387754Y-91870059D01* +X85490709Y-91801266D01* +X85578266Y-91713709D01* +X85647059Y-91610754D01* +X85694444Y-91496356D01* +X85718600Y-91374912D01* +X85718600Y-91251088D01* +X85694444Y-91129644D01* +X85647059Y-91015246D01* +X85578266Y-90912291D01* +X85550443Y-90884468D01* +X96037400Y-90884468D01* +X96037400Y-90979532D01* +X96055946Y-91072769D01* +X96092326Y-91160597D01* +X96145140Y-91239640D01* +X96212360Y-91306860D01* +X96291403Y-91359674D01* +X96379231Y-91396054D01* +X96472468Y-91414600D01* +X96567532Y-91414600D01* +X96660769Y-91396054D01* +X96748597Y-91359674D01* +X96827640Y-91306860D01* +X96894860Y-91239640D01* +X96947674Y-91160597D01* +X96984054Y-91072769D01* +X97002600Y-90979532D01* +X97002600Y-90884468D01* +X97307400Y-90884468D01* +X97307400Y-90979532D01* +X97325946Y-91072769D01* +X97362326Y-91160597D01* +X97415140Y-91239640D01* +X97482360Y-91306860D01* +X97561403Y-91359674D01* +X97649231Y-91396054D01* +X97742468Y-91414600D01* +X97837532Y-91414600D01* +X97930769Y-91396054D01* +X98018597Y-91359674D01* +X98097640Y-91306860D01* +X98164860Y-91239640D01* +X98217674Y-91160597D01* +X98254054Y-91072769D01* +X98272600Y-90979532D01* +X98272600Y-90884468D01* +X98577400Y-90884468D01* +X98577400Y-90979532D01* +X98595946Y-91072769D01* +X98632326Y-91160597D01* +X98685140Y-91239640D01* +X98752360Y-91306860D01* +X98831403Y-91359674D01* +X98919231Y-91396054D01* +X99012468Y-91414600D01* +X99107532Y-91414600D01* +X99200769Y-91396054D01* +X99288597Y-91359674D01* +X99367640Y-91306860D01* +X99434860Y-91239640D01* +X99487674Y-91160597D01* +X99524054Y-91072769D01* +X99542600Y-90979532D01* +X99542600Y-90884468D01* +X99847400Y-90884468D01* +X99847400Y-90979532D01* +X99865946Y-91072769D01* +X99902326Y-91160597D01* +X99955140Y-91239640D01* +X100022360Y-91306860D01* +X100101403Y-91359674D01* +X100189231Y-91396054D01* +X100282468Y-91414600D01* +X100377532Y-91414600D01* +X100470769Y-91396054D01* +X100558597Y-91359674D01* +X100637640Y-91306860D01* +X100704860Y-91239640D01* +X100757674Y-91160597D01* +X100794054Y-91072769D01* +X100812600Y-90979532D01* +X100812600Y-90884468D01* +X101117400Y-90884468D01* +X101117400Y-90979532D01* +X101135946Y-91072769D01* +X101172326Y-91160597D01* +X101225140Y-91239640D01* +X101292360Y-91306860D01* +X101371403Y-91359674D01* +X101459231Y-91396054D01* +X101552468Y-91414600D01* +X101647532Y-91414600D01* +X101740769Y-91396054D01* +X101828597Y-91359674D01* +X101907640Y-91306860D01* +X101963412Y-91251088D01* +X104781400Y-91251088D01* +X104781400Y-91374912D01* +X104805556Y-91496356D01* +X104852941Y-91610754D01* +X104921734Y-91713709D01* +X105009291Y-91801266D01* +X105112246Y-91870059D01* +X105226644Y-91917444D01* +X105348088Y-91941600D01* +X105471912Y-91941600D01* +X105593356Y-91917444D01* +X105707754Y-91870059D01* +X105810709Y-91801266D01* +X105898266Y-91713709D01* +X105967059Y-91610754D01* +X106014444Y-91496356D01* +X106038600Y-91374912D01* +X106038600Y-91251088D01* +X106014444Y-91129644D01* +X105967059Y-91015246D01* +X105898266Y-90912291D01* +X105810709Y-90824734D01* +X105707754Y-90755941D01* +X105593356Y-90708556D01* +X105471912Y-90684400D01* +X105348088Y-90684400D01* +X105226644Y-90708556D01* +X105112246Y-90755941D01* +X105009291Y-90824734D01* +X104921734Y-90912291D01* +X104852941Y-91015246D01* +X104805556Y-91129644D01* +X104781400Y-91251088D01* +X101963412Y-91251088D01* +X101974860Y-91239640D01* +X102027674Y-91160597D01* +X102064054Y-91072769D01* +X102082600Y-90979532D01* +X102082600Y-90884468D01* +X102064054Y-90791231D01* +X102027674Y-90703403D01* +X101974860Y-90624360D01* +X101907640Y-90557140D01* +X101828597Y-90504326D01* +X101740769Y-90467946D01* +X101647532Y-90449400D01* +X101552468Y-90449400D01* +X101459231Y-90467946D01* +X101371403Y-90504326D01* +X101292360Y-90557140D01* +X101225140Y-90624360D01* +X101172326Y-90703403D01* +X101135946Y-90791231D01* +X101117400Y-90884468D01* +X100812600Y-90884468D01* +X100794054Y-90791231D01* +X100757674Y-90703403D01* +X100704860Y-90624360D01* +X100637640Y-90557140D01* +X100558597Y-90504326D01* +X100470769Y-90467946D01* +X100377532Y-90449400D01* +X100282468Y-90449400D01* +X100189231Y-90467946D01* +X100101403Y-90504326D01* +X100022360Y-90557140D01* +X99955140Y-90624360D01* +X99902326Y-90703403D01* +X99865946Y-90791231D01* +X99847400Y-90884468D01* +X99542600Y-90884468D01* +X99524054Y-90791231D01* +X99487674Y-90703403D01* +X99434860Y-90624360D01* +X99367640Y-90557140D01* +X99288597Y-90504326D01* +X99200769Y-90467946D01* +X99107532Y-90449400D01* +X99012468Y-90449400D01* +X98919231Y-90467946D01* +X98831403Y-90504326D01* +X98752360Y-90557140D01* +X98685140Y-90624360D01* +X98632326Y-90703403D01* +X98595946Y-90791231D01* +X98577400Y-90884468D01* +X98272600Y-90884468D01* +X98254054Y-90791231D01* +X98217674Y-90703403D01* +X98164860Y-90624360D01* +X98097640Y-90557140D01* +X98018597Y-90504326D01* +X97930769Y-90467946D01* +X97837532Y-90449400D01* +X97742468Y-90449400D01* +X97649231Y-90467946D01* +X97561403Y-90504326D01* +X97482360Y-90557140D01* +X97415140Y-90624360D01* +X97362326Y-90703403D01* +X97325946Y-90791231D01* +X97307400Y-90884468D01* +X97002600Y-90884468D01* +X96984054Y-90791231D01* +X96947674Y-90703403D01* +X96894860Y-90624360D01* +X96827640Y-90557140D01* +X96748597Y-90504326D01* +X96660769Y-90467946D01* +X96567532Y-90449400D01* +X96472468Y-90449400D01* +X96379231Y-90467946D01* +X96291403Y-90504326D01* +X96212360Y-90557140D01* +X96145140Y-90624360D01* +X96092326Y-90703403D01* +X96055946Y-90791231D01* +X96037400Y-90884468D01* +X85550443Y-90884468D01* +X85490709Y-90824734D01* +X85387754Y-90755941D01* +X85273356Y-90708556D01* +X85151912Y-90684400D01* +X85028088Y-90684400D01* +X84906644Y-90708556D01* +X84792246Y-90755941D01* +X84689291Y-90824734D01* +X84601734Y-90912291D01* +X84532941Y-91015246D01* +X84485556Y-91129644D01* +X84461400Y-91251088D01* +X81458731Y-91251088D01* +X81429108Y-91016599D01* +X81311377Y-90662686D01* +X81127146Y-90338380D01* +X80883432Y-90056035D01* +X80805910Y-89995468D01* +X87147400Y-89995468D01* +X87147400Y-90090532D01* +X87165946Y-90183769D01* +X87202326Y-90271597D01* +X87255140Y-90350640D01* +X87322360Y-90417860D01* +X87401403Y-90470674D01* +X87489231Y-90507054D01* +X87582468Y-90525600D01* +X87677532Y-90525600D01* +X87770769Y-90507054D01* +X87858597Y-90470674D01* +X87937640Y-90417860D01* +X88004860Y-90350640D01* +X88057674Y-90271597D01* +X88094054Y-90183769D01* +X88112600Y-90090532D01* +X88112600Y-89995468D01* +X88417400Y-89995468D01* +X88417400Y-90090532D01* +X88435946Y-90183769D01* +X88472326Y-90271597D01* +X88525140Y-90350640D01* +X88592360Y-90417860D01* +X88671403Y-90470674D01* +X88759231Y-90507054D01* +X88852468Y-90525600D01* +X88947532Y-90525600D01* +X89040769Y-90507054D01* +X89128597Y-90470674D01* +X89207640Y-90417860D01* +X89274860Y-90350640D01* +X89327674Y-90271597D01* +X89364054Y-90183769D01* +X89382600Y-90090532D01* +X89382600Y-89995468D01* +X89687400Y-89995468D01* +X89687400Y-90090532D01* +X89705946Y-90183769D01* +X89742326Y-90271597D01* +X89795140Y-90350640D01* +X89862360Y-90417860D01* +X89941403Y-90470674D01* +X90029231Y-90507054D01* +X90122468Y-90525600D01* +X90217532Y-90525600D01* +X90310769Y-90507054D01* +X90398597Y-90470674D01* +X90477640Y-90417860D01* +X90544860Y-90350640D01* +X90597674Y-90271597D01* +X90634054Y-90183769D01* +X90652600Y-90090532D01* +X90652600Y-89995468D01* +X90634054Y-89902231D01* +X90597674Y-89814403D01* +X90544860Y-89735360D01* +X90477640Y-89668140D01* +X90398597Y-89615326D01* +X90396526Y-89614468D01* +X91465400Y-89614468D01* +X91465400Y-89709532D01* +X91483946Y-89802769D01* +X91520326Y-89890597D01* +X91573140Y-89969640D01* +X91640360Y-90036860D01* +X91719403Y-90089674D01* +X91807231Y-90126054D01* +X91900468Y-90144600D01* +X91995532Y-90144600D01* +X92088769Y-90126054D01* +X92176597Y-90089674D01* +X92255640Y-90036860D01* +X92322860Y-89969640D01* +X92375674Y-89890597D01* +X92412054Y-89802769D01* +X92430600Y-89709532D01* +X92430600Y-89614468D01* +X94767400Y-89614468D01* +X94767400Y-89709532D01* +X94785946Y-89802769D01* +X94822326Y-89890597D01* +X94875140Y-89969640D01* +X94942360Y-90036860D01* +X95021403Y-90089674D01* +X95109231Y-90126054D01* +X95202468Y-90144600D01* +X95297532Y-90144600D01* +X95390769Y-90126054D01* +X95478597Y-90089674D01* +X95557640Y-90036860D01* +X95624860Y-89969640D01* +X95677674Y-89890597D01* +X95714054Y-89802769D01* +X95732600Y-89709532D01* +X95732600Y-89614468D01* +X95714054Y-89521231D01* +X95677674Y-89433403D01* +X95628942Y-89360468D01* +X103530400Y-89360468D01* +X103530400Y-89455532D01* +X103548946Y-89548769D01* +X103585326Y-89636597D01* +X103638140Y-89715640D01* +X103705360Y-89782860D01* +X103784403Y-89835674D01* +X103872231Y-89872054D01* +X103965468Y-89890600D01* +X104060532Y-89890600D01* +X104153769Y-89872054D01* +X104162426Y-89868468D01* +X110896400Y-89868468D01* +X110896400Y-89963532D01* +X110914946Y-90056769D01* +X110951326Y-90144597D01* +X111004140Y-90223640D01* +X111071360Y-90290860D01* +X111150403Y-90343674D01* +X111238231Y-90380054D01* +X111331468Y-90398600D01* +X111426532Y-90398600D01* +X111519769Y-90380054D01* +X111607597Y-90343674D01* +X111686640Y-90290860D01* +X111753860Y-90223640D01* +X111806674Y-90144597D01* +X111815840Y-90122468D01* +X120675400Y-90122468D01* +X120675400Y-90217532D01* +X120693946Y-90310769D01* +X120730326Y-90398597D01* +X120783140Y-90477640D01* +X120850360Y-90544860D01* +X120929403Y-90597674D01* +X121017231Y-90634054D01* +X121110468Y-90652600D01* +X121205532Y-90652600D01* +X121298769Y-90634054D01* +X121386597Y-90597674D01* +X121465640Y-90544860D01* +X121532860Y-90477640D01* +X121585674Y-90398597D01* +X121622054Y-90310769D01* +X121640600Y-90217532D01* +X121640600Y-90122468D01* +X121622054Y-90029231D01* +X121585674Y-89941403D01* +X121532860Y-89862360D01* +X121465640Y-89795140D01* +X121386597Y-89742326D01* +X121298769Y-89705946D01* +X121205532Y-89687400D01* +X121110468Y-89687400D01* +X121017231Y-89705946D01* +X120929403Y-89742326D01* +X120850360Y-89795140D01* +X120783140Y-89862360D01* +X120730326Y-89941403D01* +X120693946Y-90029231D01* +X120675400Y-90122468D01* +X111815840Y-90122468D01* +X111843054Y-90056769D01* +X111861600Y-89963532D01* +X111861600Y-89868468D01* +X111843054Y-89775231D01* +X111806674Y-89687403D01* +X111753860Y-89608360D01* +X111686640Y-89541140D01* +X111607597Y-89488326D01* +X111519769Y-89451946D01* +X111426532Y-89433400D01* +X111331468Y-89433400D01* +X111238231Y-89451946D01* +X111150403Y-89488326D01* +X111071360Y-89541140D01* +X111004140Y-89608360D01* +X110951326Y-89687403D01* +X110914946Y-89775231D01* +X110896400Y-89868468D01* +X104162426Y-89868468D01* +X104241597Y-89835674D01* +X104320640Y-89782860D01* +X104387860Y-89715640D01* +X104440674Y-89636597D01* +X104477054Y-89548769D01* +X104495600Y-89455532D01* +X104495600Y-89360468D01* +X111912400Y-89360468D01* +X111912400Y-89455532D01* +X111930946Y-89548769D01* +X111967326Y-89636597D01* +X112020140Y-89715640D01* +X112087360Y-89782860D01* +X112166403Y-89835674D01* +X112254231Y-89872054D01* +X112347468Y-89890600D01* +X112442532Y-89890600D01* +X112535769Y-89872054D01* +X112623597Y-89835674D01* +X112702640Y-89782860D01* +X112769860Y-89715640D01* +X112822674Y-89636597D01* +X112859054Y-89548769D01* +X112877600Y-89455532D01* +X112877600Y-89360468D01* +X119151400Y-89360468D01* +X119151400Y-89455532D01* +X119169946Y-89548769D01* +X119206326Y-89636597D01* +X119259140Y-89715640D01* +X119326360Y-89782860D01* +X119405403Y-89835674D01* +X119493231Y-89872054D01* +X119586468Y-89890600D01* +X119681532Y-89890600D01* +X119774769Y-89872054D01* +X119862597Y-89835674D01* +X119941640Y-89782860D01* +X120008860Y-89715640D01* +X120061674Y-89636597D01* +X120070840Y-89614468D01* +X126009400Y-89614468D01* +X126009400Y-89709532D01* +X126027946Y-89802769D01* +X126064326Y-89890597D01* +X126117140Y-89969640D01* +X126184360Y-90036860D01* +X126263403Y-90089674D01* +X126351231Y-90126054D01* +X126444468Y-90144600D01* +X126539532Y-90144600D01* +X126632769Y-90126054D01* +X126720597Y-90089674D01* +X126799640Y-90036860D01* +X126866860Y-89969640D01* +X126919674Y-89890597D01* +X126956054Y-89802769D01* +X126974600Y-89709532D01* +X126974600Y-89614468D01* +X129057400Y-89614468D01* +X129057400Y-89709532D01* +X129075946Y-89802769D01* +X129112326Y-89890597D01* +X129165140Y-89969640D01* +X129232360Y-90036860D01* +X129311403Y-90089674D01* +X129399231Y-90126054D01* +X129492468Y-90144600D01* +X129587532Y-90144600D01* +X129680769Y-90126054D01* +X129768597Y-90089674D01* +X129847640Y-90036860D01* +X129914860Y-89969640D01* +X129967674Y-89890597D01* +X130004054Y-89802769D01* +X130022600Y-89709532D01* +X130022600Y-89614468D01* +X130004054Y-89521231D01* +X129967674Y-89433403D01* +X129918942Y-89360468D01* +X130327400Y-89360468D01* +X130327400Y-89455532D01* +X130345946Y-89548769D01* +X130382326Y-89636597D01* +X130435140Y-89715640D01* +X130502360Y-89782860D01* +X130581403Y-89835674D01* +X130669231Y-89872054D01* +X130762468Y-89890600D01* +X130857532Y-89890600D01* +X130950769Y-89872054D01* +X131038597Y-89835674D01* +X131117640Y-89782860D01* +X131184860Y-89715640D01* +X131237674Y-89636597D01* +X131274054Y-89548769D01* +X131292600Y-89455532D01* +X131292600Y-89360468D01* +X131597400Y-89360468D01* +X131597400Y-89455532D01* +X131615946Y-89548769D01* +X131652326Y-89636597D01* +X131705140Y-89715640D01* +X131772360Y-89782860D01* +X131851403Y-89835674D01* +X131939231Y-89872054D01* +X132032468Y-89890600D01* +X132127532Y-89890600D01* +X132220769Y-89872054D01* +X132308597Y-89835674D01* +X132387640Y-89782860D01* +X132454860Y-89715640D01* +X132507674Y-89636597D01* +X132544054Y-89548769D01* +X132562600Y-89455532D01* +X132562600Y-89360468D01* +X132867400Y-89360468D01* +X132867400Y-89455532D01* +X132885946Y-89548769D01* +X132922326Y-89636597D01* +X132975140Y-89715640D01* +X133042360Y-89782860D01* +X133121403Y-89835674D01* +X133209231Y-89872054D01* +X133302468Y-89890600D01* +X133397532Y-89890600D01* +X133490769Y-89872054D01* +X133578597Y-89835674D01* +X133657640Y-89782860D01* +X133724860Y-89715640D01* +X133777674Y-89636597D01* +X133814054Y-89548769D01* +X133832600Y-89455532D01* +X133832600Y-89360468D01* +X134137400Y-89360468D01* +X134137400Y-89455532D01* +X134155946Y-89548769D01* +X134192326Y-89636597D01* +X134245140Y-89715640D01* +X134312360Y-89782860D01* +X134391403Y-89835674D01* +X134479231Y-89872054D01* +X134572468Y-89890600D01* +X134667532Y-89890600D01* +X134760769Y-89872054D01* +X134848597Y-89835674D01* +X134927640Y-89782860D01* +X134994860Y-89715640D01* +X135047674Y-89636597D01* +X135084054Y-89548769D01* +X135102600Y-89455532D01* +X135102600Y-89360468D01* +X135407400Y-89360468D01* +X135407400Y-89455532D01* +X135425946Y-89548769D01* +X135462326Y-89636597D01* +X135515140Y-89715640D01* +X135582360Y-89782860D01* +X135661403Y-89835674D01* +X135749231Y-89872054D01* +X135842468Y-89890600D01* +X135937532Y-89890600D01* +X136030769Y-89872054D01* +X136118597Y-89835674D01* +X136197640Y-89782860D01* +X136264860Y-89715640D01* +X136317674Y-89636597D01* +X136354054Y-89548769D01* +X136372600Y-89455532D01* +X136372600Y-89360468D01* +X142519400Y-89360468D01* +X142519400Y-89455532D01* +X142537946Y-89548769D01* +X142574326Y-89636597D01* +X142627140Y-89715640D01* +X142694360Y-89782860D01* +X142773403Y-89835674D01* +X142861231Y-89872054D01* +X142954468Y-89890600D01* +X143049532Y-89890600D01* +X143142769Y-89872054D01* +X143230597Y-89835674D01* +X143309640Y-89782860D01* +X143376860Y-89715640D01* +X143429674Y-89636597D01* +X143466054Y-89548769D01* +X143484600Y-89455532D01* +X143484600Y-89360468D01* +X148615400Y-89360468D01* +X148615400Y-89455532D01* +X148633946Y-89548769D01* +X148670326Y-89636597D01* +X148723140Y-89715640D01* +X148790360Y-89782860D01* +X148869403Y-89835674D01* +X148957231Y-89872054D01* +X149050468Y-89890600D01* +X149145532Y-89890600D01* +X149238769Y-89872054D01* +X149326597Y-89835674D01* +X149405640Y-89782860D01* +X149472860Y-89715640D01* +X149525674Y-89636597D01* +X149562054Y-89548769D01* +X149580600Y-89455532D01* +X149580600Y-89360468D01* +X149562054Y-89267231D01* +X149525674Y-89179403D01* +X149472860Y-89100360D01* +X149405640Y-89033140D01* +X149326597Y-88980326D01* +X149238769Y-88943946D01* +X149145532Y-88925400D01* +X149050468Y-88925400D01* +X148957231Y-88943946D01* +X148869403Y-88980326D01* +X148790360Y-89033140D01* +X148723140Y-89100360D01* +X148670326Y-89179403D01* +X148633946Y-89267231D01* +X148615400Y-89360468D01* +X143484600Y-89360468D01* +X143466054Y-89267231D01* +X143429674Y-89179403D01* +X143376860Y-89100360D01* +X143309640Y-89033140D01* +X143230597Y-88980326D01* +X143142769Y-88943946D01* +X143049532Y-88925400D01* +X142954468Y-88925400D01* +X142861231Y-88943946D01* +X142773403Y-88980326D01* +X142694360Y-89033140D01* +X142627140Y-89100360D01* +X142574326Y-89179403D01* +X142537946Y-89267231D01* +X142519400Y-89360468D01* +X136372600Y-89360468D01* +X136354054Y-89267231D01* +X136317674Y-89179403D01* +X136264860Y-89100360D01* +X136197640Y-89033140D01* +X136118597Y-88980326D01* +X136030769Y-88943946D01* +X135937532Y-88925400D01* +X135842468Y-88925400D01* +X135749231Y-88943946D01* +X135661403Y-88980326D01* +X135582360Y-89033140D01* +X135515140Y-89100360D01* +X135462326Y-89179403D01* +X135425946Y-89267231D01* +X135407400Y-89360468D01* +X135102600Y-89360468D01* +X135084054Y-89267231D01* +X135047674Y-89179403D01* +X134994860Y-89100360D01* +X134927640Y-89033140D01* +X134848597Y-88980326D01* +X134760769Y-88943946D01* +X134667532Y-88925400D01* +X134572468Y-88925400D01* +X134479231Y-88943946D01* +X134391403Y-88980326D01* +X134312360Y-89033140D01* +X134245140Y-89100360D01* +X134192326Y-89179403D01* +X134155946Y-89267231D01* +X134137400Y-89360468D01* +X133832600Y-89360468D01* +X133814054Y-89267231D01* +X133777674Y-89179403D01* +X133724860Y-89100360D01* +X133657640Y-89033140D01* +X133578597Y-88980326D01* +X133490769Y-88943946D01* +X133397532Y-88925400D01* +X133302468Y-88925400D01* +X133209231Y-88943946D01* +X133121403Y-88980326D01* +X133042360Y-89033140D01* +X132975140Y-89100360D01* +X132922326Y-89179403D01* +X132885946Y-89267231D01* +X132867400Y-89360468D01* +X132562600Y-89360468D01* +X132544054Y-89267231D01* +X132507674Y-89179403D01* +X132454860Y-89100360D01* +X132387640Y-89033140D01* +X132308597Y-88980326D01* +X132220769Y-88943946D01* +X132127532Y-88925400D01* +X132032468Y-88925400D01* +X131939231Y-88943946D01* +X131851403Y-88980326D01* +X131772360Y-89033140D01* +X131705140Y-89100360D01* +X131652326Y-89179403D01* +X131615946Y-89267231D01* +X131597400Y-89360468D01* +X131292600Y-89360468D01* +X131274054Y-89267231D01* +X131237674Y-89179403D01* +X131184860Y-89100360D01* +X131117640Y-89033140D01* +X131038597Y-88980326D01* +X130950769Y-88943946D01* +X130857532Y-88925400D01* +X130762468Y-88925400D01* +X130669231Y-88943946D01* +X130581403Y-88980326D01* +X130502360Y-89033140D01* +X130435140Y-89100360D01* +X130382326Y-89179403D01* +X130345946Y-89267231D01* +X130327400Y-89360468D01* +X129918942Y-89360468D01* +X129914860Y-89354360D01* +X129847640Y-89287140D01* +X129768597Y-89234326D01* +X129680769Y-89197946D01* +X129587532Y-89179400D01* +X129492468Y-89179400D01* +X129399231Y-89197946D01* +X129311403Y-89234326D01* +X129232360Y-89287140D01* +X129165140Y-89354360D01* +X129112326Y-89433403D01* +X129075946Y-89521231D01* +X129057400Y-89614468D01* +X126974600Y-89614468D01* +X126956054Y-89521231D01* +X126919674Y-89433403D01* +X126866860Y-89354360D01* +X126799640Y-89287140D01* +X126720597Y-89234326D01* +X126632769Y-89197946D01* +X126539532Y-89179400D01* +X126444468Y-89179400D01* +X126351231Y-89197946D01* +X126263403Y-89234326D01* +X126184360Y-89287140D01* +X126117140Y-89354360D01* +X126064326Y-89433403D01* +X126027946Y-89521231D01* +X126009400Y-89614468D01* +X120070840Y-89614468D01* +X120098054Y-89548769D01* +X120116600Y-89455532D01* +X120116600Y-89360468D01* +X120098054Y-89267231D01* +X120061674Y-89179403D01* +X120008860Y-89100360D01* +X119941640Y-89033140D01* +X119862597Y-88980326D01* +X119860526Y-88979468D01* +X125247400Y-88979468D01* +X125247400Y-89074532D01* +X125265946Y-89167769D01* +X125302326Y-89255597D01* +X125355140Y-89334640D01* +X125422360Y-89401860D01* +X125501403Y-89454674D01* +X125589231Y-89491054D01* +X125682468Y-89509600D01* +X125777532Y-89509600D01* +X125870769Y-89491054D01* +X125958597Y-89454674D01* +X126037640Y-89401860D01* +X126104860Y-89334640D01* +X126157674Y-89255597D01* +X126194054Y-89167769D01* +X126212600Y-89074532D01* +X126212600Y-88979468D01* +X126194054Y-88886231D01* +X126157674Y-88798403D01* +X126104860Y-88719360D01* +X126037640Y-88652140D01* +X125958597Y-88599326D01* +X125870769Y-88562946D01* +X125777532Y-88544400D01* +X125682468Y-88544400D01* +X125589231Y-88562946D01* +X125501403Y-88599326D01* +X125422360Y-88652140D01* +X125355140Y-88719360D01* +X125302326Y-88798403D01* +X125265946Y-88886231D01* +X125247400Y-88979468D01* +X119860526Y-88979468D01* +X119774769Y-88943946D01* +X119681532Y-88925400D01* +X119586468Y-88925400D01* +X119493231Y-88943946D01* +X119405403Y-88980326D01* +X119326360Y-89033140D01* +X119259140Y-89100360D01* +X119206326Y-89179403D01* +X119169946Y-89267231D01* +X119151400Y-89360468D01* +X112877600Y-89360468D01* +X112859054Y-89267231D01* +X112822674Y-89179403D01* +X112769860Y-89100360D01* +X112702640Y-89033140D01* +X112623597Y-88980326D01* +X112535769Y-88943946D01* +X112442532Y-88925400D01* +X112347468Y-88925400D01* +X112254231Y-88943946D01* +X112166403Y-88980326D01* +X112087360Y-89033140D01* +X112020140Y-89100360D01* +X111967326Y-89179403D01* +X111930946Y-89267231D01* +X111912400Y-89360468D01* +X104495600Y-89360468D01* +X104477054Y-89267231D01* +X104440674Y-89179403D01* +X104387860Y-89100360D01* +X104320640Y-89033140D01* +X104241597Y-88980326D01* +X104153769Y-88943946D01* +X104060532Y-88925400D01* +X103965468Y-88925400D01* +X103872231Y-88943946D01* +X103784403Y-88980326D01* +X103705360Y-89033140D01* +X103638140Y-89100360D01* +X103585326Y-89179403D01* +X103548946Y-89267231D01* +X103530400Y-89360468D01* +X95628942Y-89360468D01* +X95624860Y-89354360D01* +X95557640Y-89287140D01* +X95478597Y-89234326D01* +X95390769Y-89197946D01* +X95297532Y-89179400D01* +X95202468Y-89179400D01* +X95109231Y-89197946D01* +X95021403Y-89234326D01* +X94942360Y-89287140D01* +X94875140Y-89354360D01* +X94822326Y-89433403D01* +X94785946Y-89521231D01* +X94767400Y-89614468D01* +X92430600Y-89614468D01* +X92412054Y-89521231D01* +X92375674Y-89433403D01* +X92322860Y-89354360D01* +X92255640Y-89287140D01* +X92176597Y-89234326D01* +X92088769Y-89197946D01* +X91995532Y-89179400D01* +X91900468Y-89179400D01* +X91807231Y-89197946D01* +X91719403Y-89234326D01* +X91640360Y-89287140D01* +X91573140Y-89354360D01* +X91520326Y-89433403D01* +X91483946Y-89521231D01* +X91465400Y-89614468D01* +X90396526Y-89614468D01* +X90310769Y-89578946D01* +X90217532Y-89560400D01* +X90122468Y-89560400D01* +X90029231Y-89578946D01* +X89941403Y-89615326D01* +X89862360Y-89668140D01* +X89795140Y-89735360D01* +X89742326Y-89814403D01* +X89705946Y-89902231D01* +X89687400Y-89995468D01* +X89382600Y-89995468D01* +X89364054Y-89902231D01* +X89327674Y-89814403D01* +X89274860Y-89735360D01* +X89207640Y-89668140D01* +X89128597Y-89615326D01* +X89040769Y-89578946D01* +X88947532Y-89560400D01* +X88852468Y-89560400D01* +X88759231Y-89578946D01* +X88671403Y-89615326D01* +X88592360Y-89668140D01* +X88525140Y-89735360D01* +X88472326Y-89814403D01* +X88435946Y-89902231D01* +X88417400Y-89995468D01* +X88112600Y-89995468D01* +X88094054Y-89902231D01* +X88057674Y-89814403D01* +X88004860Y-89735360D01* +X87937640Y-89668140D01* +X87858597Y-89615326D01* +X87770769Y-89578946D01* +X87677532Y-89560400D01* +X87582468Y-89560400D01* +X87489231Y-89578946D01* +X87401403Y-89615326D01* +X87322360Y-89668140D01* +X87255140Y-89735360D01* +X87202326Y-89814403D01* +X87165946Y-89902231D01* +X87147400Y-89995468D01* +X80805910Y-89995468D01* +X80589519Y-89826405D01* +X80256601Y-89658236D01* +X80203180Y-89643320D01* +X80257860Y-89588640D01* +X80310674Y-89509597D01* +X80347054Y-89421769D01* +X80365600Y-89328532D01* +X80365600Y-89233468D01* +X80347054Y-89140231D01* +X80310674Y-89052403D01* +X80257860Y-88973360D01* +X80190640Y-88906140D01* +X80111597Y-88853326D01* +X80023769Y-88816946D01* +X79930532Y-88798400D01* +X79835468Y-88798400D01* +X79742231Y-88816946D01* +X79654403Y-88853326D01* +X79575360Y-88906140D01* +X79508140Y-88973360D01* +X79455326Y-89052403D01* +X79418946Y-89140231D01* +X79400400Y-89233468D01* +X79400400Y-89328532D01* +X79418946Y-89421769D01* +X79455326Y-89509597D01* +X79472708Y-89535611D01* +X79155119Y-89573482D01* +X78800393Y-89688739D01* +X78474809Y-89870702D01* +X78190770Y-90112438D01* +X77959093Y-90404741D01* +X77788604Y-90736477D01* +X77685797Y-91095010D01* +X77654586Y-91466683D01* +X77571600Y-91466683D01* +X77571600Y-91392468D01* +X77553054Y-91299231D01* +X77516674Y-91211403D01* +X77463860Y-91132360D01* +X77396640Y-91065140D01* +X77317597Y-91012326D01* +X77229769Y-90975946D01* +X77136532Y-90957400D01* +X77041468Y-90957400D01* +X76948231Y-90975946D01* +X76860403Y-91012326D01* +X76781360Y-91065140D01* +X76714140Y-91132360D01* +X76661326Y-91211403D01* +X76624946Y-91299231D01* +X76606400Y-91392468D01* +X76528600Y-91392468D01* +X76528600Y-88344468D01* +X90957400Y-88344468D01* +X90957400Y-88439532D01* +X90975946Y-88532769D01* +X91012326Y-88620597D01* +X91065140Y-88699640D01* +X91132360Y-88766860D01* +X91211403Y-88819674D01* +X91299231Y-88856054D01* +X91392468Y-88874600D01* +X91487532Y-88874600D01* +X91580769Y-88856054D01* +X91668597Y-88819674D01* +X91747640Y-88766860D01* +X91814860Y-88699640D01* +X91867674Y-88620597D01* +X91904054Y-88532769D01* +X91922600Y-88439532D01* +X91922600Y-88344468D01* +X92227400Y-88344468D01* +X92227400Y-88439532D01* +X92245946Y-88532769D01* +X92282326Y-88620597D01* +X92335140Y-88699640D01* +X92402360Y-88766860D01* +X92481403Y-88819674D01* +X92569231Y-88856054D01* +X92662468Y-88874600D01* +X92757532Y-88874600D01* +X92850769Y-88856054D01* +X92938597Y-88819674D01* +X93017640Y-88766860D01* +X93084860Y-88699640D01* +X93137674Y-88620597D01* +X93174054Y-88532769D01* +X93192600Y-88439532D01* +X93192600Y-88344468D01* +X93497400Y-88344468D01* +X93497400Y-88439532D01* +X93515946Y-88532769D01* +X93552326Y-88620597D01* +X93605140Y-88699640D01* +X93672360Y-88766860D01* +X93751403Y-88819674D01* +X93839231Y-88856054D01* +X93932468Y-88874600D01* +X94027532Y-88874600D01* +X94120769Y-88856054D01* +X94208597Y-88819674D01* +X94287640Y-88766860D01* +X94354860Y-88699640D01* +X94407674Y-88620597D01* +X94444054Y-88532769D01* +X94462600Y-88439532D01* +X94462600Y-88344468D01* +X96037400Y-88344468D01* +X96037400Y-88439532D01* +X96055946Y-88532769D01* +X96092326Y-88620597D01* +X96145140Y-88699640D01* +X96212360Y-88766860D01* +X96291403Y-88819674D01* +X96379231Y-88856054D01* +X96472468Y-88874600D01* +X96567532Y-88874600D01* +X96660769Y-88856054D01* +X96748597Y-88819674D01* +X96827640Y-88766860D01* +X96894860Y-88699640D01* +X96947674Y-88620597D01* +X96984054Y-88532769D01* +X97002600Y-88439532D01* +X97002600Y-88344468D01* +X97307400Y-88344468D01* +X97307400Y-88439532D01* +X97325946Y-88532769D01* +X97362326Y-88620597D01* +X97415140Y-88699640D01* +X97482360Y-88766860D01* +X97561403Y-88819674D01* +X97649231Y-88856054D01* +X97742468Y-88874600D01* +X97837532Y-88874600D01* +X97930769Y-88856054D01* +X98018597Y-88819674D01* +X98097640Y-88766860D01* +X98164860Y-88699640D01* +X98217674Y-88620597D01* +X98254054Y-88532769D01* +X98272600Y-88439532D01* +X98272600Y-88344468D01* +X98577400Y-88344468D01* +X98577400Y-88439532D01* +X98595946Y-88532769D01* +X98632326Y-88620597D01* +X98685140Y-88699640D01* +X98752360Y-88766860D01* +X98831403Y-88819674D01* +X98919231Y-88856054D01* +X99012468Y-88874600D01* +X99107532Y-88874600D01* +X99200769Y-88856054D01* +X99288597Y-88819674D01* +X99367640Y-88766860D01* +X99434860Y-88699640D01* +X99487674Y-88620597D01* +X99524054Y-88532769D01* +X99542600Y-88439532D01* +X99542600Y-88344468D01* +X99847400Y-88344468D01* +X99847400Y-88439532D01* +X99865946Y-88532769D01* +X99902326Y-88620597D01* +X99955140Y-88699640D01* +X100022360Y-88766860D01* +X100101403Y-88819674D01* +X100189231Y-88856054D01* +X100282468Y-88874600D01* +X100377532Y-88874600D01* +X100470769Y-88856054D01* +X100558597Y-88819674D01* +X100637640Y-88766860D01* +X100704860Y-88699640D01* +X100757674Y-88620597D01* +X100794054Y-88532769D01* +X100812600Y-88439532D01* +X100812600Y-88344468D01* +X101117400Y-88344468D01* +X101117400Y-88439532D01* +X101135946Y-88532769D01* +X101172326Y-88620597D01* +X101225140Y-88699640D01* +X101292360Y-88766860D01* +X101371403Y-88819674D01* +X101459231Y-88856054D01* +X101552468Y-88874600D01* +X101647532Y-88874600D01* +X101740769Y-88856054D01* +X101828597Y-88819674D01* +X101907640Y-88766860D01* +X101974860Y-88699640D01* +X102027674Y-88620597D01* +X102064054Y-88532769D01* +X102082600Y-88439532D01* +X102082600Y-88344468D01* +X127787400Y-88344468D01* +X127787400Y-88439532D01* +X127805946Y-88532769D01* +X127842326Y-88620597D01* +X127895140Y-88699640D01* +X127962360Y-88766860D01* +X128041403Y-88819674D01* +X128129231Y-88856054D01* +X128222468Y-88874600D01* +X128317532Y-88874600D01* +X128410769Y-88856054D01* +X128498597Y-88819674D01* +X128577640Y-88766860D01* +X128644860Y-88699640D01* +X128697674Y-88620597D01* +X128734054Y-88532769D01* +X128752600Y-88439532D01* +X128752600Y-88344468D01* +X130327400Y-88344468D01* +X130327400Y-88439532D01* +X130345946Y-88532769D01* +X130382326Y-88620597D01* +X130435140Y-88699640D01* +X130502360Y-88766860D01* +X130581403Y-88819674D01* +X130669231Y-88856054D01* +X130762468Y-88874600D01* +X130857532Y-88874600D01* +X130950769Y-88856054D01* +X131038597Y-88819674D01* +X131117640Y-88766860D01* +X131184860Y-88699640D01* +X131237674Y-88620597D01* +X131274054Y-88532769D01* +X131292600Y-88439532D01* +X131292600Y-88344468D01* +X131597400Y-88344468D01* +X131597400Y-88439532D01* +X131615946Y-88532769D01* +X131652326Y-88620597D01* +X131705140Y-88699640D01* +X131772360Y-88766860D01* +X131851403Y-88819674D01* +X131939231Y-88856054D01* +X132032468Y-88874600D01* +X132127532Y-88874600D01* +X132220769Y-88856054D01* +X132308597Y-88819674D01* +X132387640Y-88766860D01* +X132454860Y-88699640D01* +X132507674Y-88620597D01* +X132544054Y-88532769D01* +X132562600Y-88439532D01* +X132562600Y-88344468D01* +X132867400Y-88344468D01* +X132867400Y-88439532D01* +X132885946Y-88532769D01* +X132922326Y-88620597D01* +X132975140Y-88699640D01* +X133042360Y-88766860D01* +X133121403Y-88819674D01* +X133209231Y-88856054D01* +X133302468Y-88874600D01* +X133397532Y-88874600D01* +X133490769Y-88856054D01* +X133578597Y-88819674D01* +X133657640Y-88766860D01* +X133724860Y-88699640D01* +X133777674Y-88620597D01* +X133814054Y-88532769D01* +X133832600Y-88439532D01* +X133832600Y-88344468D01* +X134137400Y-88344468D01* +X134137400Y-88439532D01* +X134155946Y-88532769D01* +X134192326Y-88620597D01* +X134245140Y-88699640D01* +X134312360Y-88766860D01* +X134391403Y-88819674D01* +X134479231Y-88856054D01* +X134572468Y-88874600D01* +X134667532Y-88874600D01* +X134760769Y-88856054D01* +X134848597Y-88819674D01* +X134927640Y-88766860D01* +X134994860Y-88699640D01* +X135047674Y-88620597D01* +X135084054Y-88532769D01* +X135102600Y-88439532D01* +X135102600Y-88344468D01* +X135407400Y-88344468D01* +X135407400Y-88439532D01* +X135425946Y-88532769D01* +X135462326Y-88620597D01* +X135515140Y-88699640D01* +X135582360Y-88766860D01* +X135661403Y-88819674D01* +X135749231Y-88856054D01* +X135842468Y-88874600D01* +X135937532Y-88874600D01* +X136030769Y-88856054D01* +X136118597Y-88819674D01* +X136197640Y-88766860D01* +X136264860Y-88699640D01* +X136317674Y-88620597D01* +X136354054Y-88532769D01* +X136372600Y-88439532D01* +X136372600Y-88344468D01* +X136354054Y-88251231D01* +X136317674Y-88163403D01* +X136264860Y-88084360D01* +X136197640Y-88017140D01* +X136118597Y-87964326D01* +X136030769Y-87927946D01* +X135937532Y-87909400D01* +X135842468Y-87909400D01* +X135749231Y-87927946D01* +X135661403Y-87964326D01* +X135582360Y-88017140D01* +X135515140Y-88084360D01* +X135462326Y-88163403D01* +X135425946Y-88251231D01* +X135407400Y-88344468D01* +X135102600Y-88344468D01* +X135084054Y-88251231D01* +X135047674Y-88163403D01* +X134994860Y-88084360D01* +X134927640Y-88017140D01* +X134848597Y-87964326D01* +X134760769Y-87927946D01* +X134667532Y-87909400D01* +X134572468Y-87909400D01* +X134479231Y-87927946D01* +X134391403Y-87964326D01* +X134312360Y-88017140D01* +X134245140Y-88084360D01* +X134192326Y-88163403D01* +X134155946Y-88251231D01* +X134137400Y-88344468D01* +X133832600Y-88344468D01* +X133814054Y-88251231D01* +X133777674Y-88163403D01* +X133724860Y-88084360D01* +X133657640Y-88017140D01* +X133578597Y-87964326D01* +X133490769Y-87927946D01* +X133397532Y-87909400D01* +X133302468Y-87909400D01* +X133209231Y-87927946D01* +X133121403Y-87964326D01* +X133042360Y-88017140D01* +X132975140Y-88084360D01* +X132922326Y-88163403D01* +X132885946Y-88251231D01* +X132867400Y-88344468D01* +X132562600Y-88344468D01* +X132544054Y-88251231D01* +X132507674Y-88163403D01* +X132454860Y-88084360D01* +X132387640Y-88017140D01* +X132308597Y-87964326D01* +X132220769Y-87927946D01* +X132127532Y-87909400D01* +X132032468Y-87909400D01* +X131939231Y-87927946D01* +X131851403Y-87964326D01* +X131772360Y-88017140D01* +X131705140Y-88084360D01* +X131652326Y-88163403D01* +X131615946Y-88251231D01* +X131597400Y-88344468D01* +X131292600Y-88344468D01* +X131274054Y-88251231D01* +X131237674Y-88163403D01* +X131184860Y-88084360D01* +X131117640Y-88017140D01* +X131038597Y-87964326D01* +X130950769Y-87927946D01* +X130857532Y-87909400D01* +X130762468Y-87909400D01* +X130669231Y-87927946D01* +X130581403Y-87964326D01* +X130502360Y-88017140D01* +X130435140Y-88084360D01* +X130382326Y-88163403D01* +X130345946Y-88251231D01* +X130327400Y-88344468D01* +X128752600Y-88344468D01* +X128734054Y-88251231D01* +X128697674Y-88163403D01* +X128644860Y-88084360D01* +X128577640Y-88017140D01* +X128498597Y-87964326D01* +X128410769Y-87927946D01* +X128317532Y-87909400D01* +X128222468Y-87909400D01* +X128129231Y-87927946D01* +X128041403Y-87964326D01* +X127962360Y-88017140D01* +X127895140Y-88084360D01* +X127842326Y-88163403D01* +X127805946Y-88251231D01* +X127787400Y-88344468D01* +X102082600Y-88344468D01* +X102064054Y-88251231D01* +X102027674Y-88163403D01* +X101974860Y-88084360D01* +X101907640Y-88017140D01* +X101828597Y-87964326D01* +X101740769Y-87927946D01* +X101647532Y-87909400D01* +X101552468Y-87909400D01* +X101459231Y-87927946D01* +X101371403Y-87964326D01* +X101292360Y-88017140D01* +X101225140Y-88084360D01* +X101172326Y-88163403D01* +X101135946Y-88251231D01* +X101117400Y-88344468D01* +X100812600Y-88344468D01* +X100794054Y-88251231D01* +X100757674Y-88163403D01* +X100704860Y-88084360D01* +X100637640Y-88017140D01* +X100558597Y-87964326D01* +X100470769Y-87927946D01* +X100377532Y-87909400D01* +X100282468Y-87909400D01* +X100189231Y-87927946D01* +X100101403Y-87964326D01* +X100022360Y-88017140D01* +X99955140Y-88084360D01* +X99902326Y-88163403D01* +X99865946Y-88251231D01* +X99847400Y-88344468D01* +X99542600Y-88344468D01* +X99524054Y-88251231D01* +X99487674Y-88163403D01* +X99434860Y-88084360D01* +X99367640Y-88017140D01* +X99288597Y-87964326D01* +X99200769Y-87927946D01* +X99107532Y-87909400D01* +X99012468Y-87909400D01* +X98919231Y-87927946D01* +X98831403Y-87964326D01* +X98752360Y-88017140D01* +X98685140Y-88084360D01* +X98632326Y-88163403D01* +X98595946Y-88251231D01* +X98577400Y-88344468D01* +X98272600Y-88344468D01* +X98254054Y-88251231D01* +X98217674Y-88163403D01* +X98164860Y-88084360D01* +X98097640Y-88017140D01* +X98018597Y-87964326D01* +X97930769Y-87927946D01* +X97837532Y-87909400D01* +X97742468Y-87909400D01* +X97649231Y-87927946D01* +X97561403Y-87964326D01* +X97482360Y-88017140D01* +X97415140Y-88084360D01* +X97362326Y-88163403D01* +X97325946Y-88251231D01* +X97307400Y-88344468D01* +X97002600Y-88344468D01* +X96984054Y-88251231D01* +X96947674Y-88163403D01* +X96894860Y-88084360D01* +X96827640Y-88017140D01* +X96748597Y-87964326D01* +X96660769Y-87927946D01* +X96567532Y-87909400D01* +X96472468Y-87909400D01* +X96379231Y-87927946D01* +X96291403Y-87964326D01* +X96212360Y-88017140D01* +X96145140Y-88084360D01* +X96092326Y-88163403D01* +X96055946Y-88251231D01* +X96037400Y-88344468D01* +X94462600Y-88344468D01* +X94444054Y-88251231D01* +X94407674Y-88163403D01* +X94354860Y-88084360D01* +X94287640Y-88017140D01* +X94208597Y-87964326D01* +X94120769Y-87927946D01* +X94027532Y-87909400D01* +X93932468Y-87909400D01* +X93839231Y-87927946D01* +X93751403Y-87964326D01* +X93672360Y-88017140D01* +X93605140Y-88084360D01* +X93552326Y-88163403D01* +X93515946Y-88251231D01* +X93497400Y-88344468D01* +X93192600Y-88344468D01* +X93174054Y-88251231D01* +X93137674Y-88163403D01* +X93084860Y-88084360D01* +X93017640Y-88017140D01* +X92938597Y-87964326D01* +X92850769Y-87927946D01* +X92757532Y-87909400D01* +X92662468Y-87909400D01* +X92569231Y-87927946D01* +X92481403Y-87964326D01* +X92402360Y-88017140D01* +X92335140Y-88084360D01* +X92282326Y-88163403D01* +X92245946Y-88251231D01* +X92227400Y-88344468D01* +X91922600Y-88344468D01* +X91904054Y-88251231D01* +X91867674Y-88163403D01* +X91814860Y-88084360D01* +X91747640Y-88017140D01* +X91668597Y-87964326D01* +X91580769Y-87927946D01* +X91487532Y-87909400D01* +X91392468Y-87909400D01* +X91299231Y-87927946D01* +X91211403Y-87964326D01* +X91132360Y-88017140D01* +X91065140Y-88084360D01* +X91012326Y-88163403D01* +X90975946Y-88251231D01* +X90957400Y-88344468D01* +X76528600Y-88344468D01* +X76528600Y-87328468D01* +X76606400Y-87328468D01* +X76606400Y-87423532D01* +X76624946Y-87516769D01* +X76661326Y-87604597D01* +X76714140Y-87683640D01* +X76781360Y-87750860D01* +X76860403Y-87803674D01* +X76948231Y-87840054D01* +X77041468Y-87858600D01* +X77136532Y-87858600D01* +X77229769Y-87840054D01* +X77317597Y-87803674D01* +X77396640Y-87750860D01* +X77463860Y-87683640D01* +X77516674Y-87604597D01* +X77553054Y-87516769D01* +X77571600Y-87423532D01* +X77571600Y-87328468D01* +X82321400Y-87328468D01* +X82321400Y-87423532D01* +X82339946Y-87516769D01* +X82376326Y-87604597D01* +X82429140Y-87683640D01* +X82496360Y-87750860D01* +X82575403Y-87803674D01* +X82663231Y-87840054D01* +X82756468Y-87858600D01* +X82851532Y-87858600D01* +X82944769Y-87840054D01* +X83032597Y-87803674D01* +X83111640Y-87750860D01* +X83178860Y-87683640D01* +X83231674Y-87604597D01* +X83268054Y-87516769D01* +X83286600Y-87423532D01* +X83286600Y-87328468D01* +X139471400Y-87328468D01* +X139471400Y-87423532D01* +X139489946Y-87516769D01* +X139526326Y-87604597D01* +X139579140Y-87683640D01* +X139646360Y-87750860D01* +X139725403Y-87803674D01* +X139813231Y-87840054D01* +X139906468Y-87858600D01* +X140001532Y-87858600D01* +X140094769Y-87840054D01* +X140182597Y-87803674D01* +X140261640Y-87750860D01* +X140328860Y-87683640D01* +X140381674Y-87604597D01* +X140418054Y-87516769D01* +X140436600Y-87423532D01* +X140436600Y-87328468D01* +X145567400Y-87328468D01* +X145567400Y-87423532D01* +X145585946Y-87516769D01* +X145622326Y-87604597D01* +X145675140Y-87683640D01* +X145742360Y-87750860D01* +X145821403Y-87803674D01* +X145909231Y-87840054D01* +X146002468Y-87858600D01* +X146097532Y-87858600D01* +X146190769Y-87840054D01* +X146278597Y-87803674D01* +X146357640Y-87750860D01* +X146424860Y-87683640D01* +X146477674Y-87604597D01* +X146514054Y-87516769D01* +X146532600Y-87423532D01* +X146532600Y-87328468D01* +X151663400Y-87328468D01* +X151663400Y-87423532D01* +X151681946Y-87516769D01* +X151718326Y-87604597D01* +X151771140Y-87683640D01* +X151838360Y-87750860D01* +X151917403Y-87803674D01* +X152005231Y-87840054D01* +X152098468Y-87858600D01* +X152193532Y-87858600D01* +X152286769Y-87840054D01* +X152374597Y-87803674D01* +X152453640Y-87750860D01* +X152520860Y-87683640D01* +X152573674Y-87604597D01* +X152610054Y-87516769D01* +X152628600Y-87423532D01* +X152628600Y-87328468D01* +X157759400Y-87328468D01* +X157759400Y-87423532D01* +X157777946Y-87516769D01* +X157814326Y-87604597D01* +X157867140Y-87683640D01* +X157934360Y-87750860D01* +X158013403Y-87803674D01* +X158101231Y-87840054D01* +X158194468Y-87858600D01* +X158289532Y-87858600D01* +X158382769Y-87840054D01* +X158470597Y-87803674D01* +X158549640Y-87750860D01* +X158616860Y-87683640D01* +X158669674Y-87604597D01* +X158706054Y-87516769D01* +X158724600Y-87423532D01* +X158724600Y-87328468D01* +X163728400Y-87328468D01* +X163728400Y-87423532D01* +X163746946Y-87516769D01* +X163783326Y-87604597D01* +X163836140Y-87683640D01* +X163903360Y-87750860D01* +X163982403Y-87803674D01* +X164070231Y-87840054D01* +X164163468Y-87858600D01* +X164258532Y-87858600D01* +X164351769Y-87840054D01* +X164439597Y-87803674D01* +X164518640Y-87750860D01* +X164585860Y-87683640D01* +X164638674Y-87604597D01* +X164675054Y-87516769D01* +X164693600Y-87423532D01* +X164693600Y-87328468D01* +X164675054Y-87235231D01* +X164638674Y-87147403D01* +X164585860Y-87068360D01* +X164518640Y-87001140D01* +X164439597Y-86948326D01* +X164351769Y-86911946D01* +X164258532Y-86893400D01* +X164163468Y-86893400D01* +X164070231Y-86911946D01* +X163982403Y-86948326D01* +X163903360Y-87001140D01* +X163836140Y-87068360D01* +X163783326Y-87147403D01* +X163746946Y-87235231D01* +X163728400Y-87328468D01* +X158724600Y-87328468D01* +X158706054Y-87235231D01* +X158669674Y-87147403D01* +X158616860Y-87068360D01* +X158549640Y-87001140D01* +X158470597Y-86948326D01* +X158382769Y-86911946D01* +X158289532Y-86893400D01* +X158194468Y-86893400D01* +X158101231Y-86911946D01* +X158013403Y-86948326D01* +X157934360Y-87001140D01* +X157867140Y-87068360D01* +X157814326Y-87147403D01* +X157777946Y-87235231D01* +X157759400Y-87328468D01* +X152628600Y-87328468D01* +X152610054Y-87235231D01* +X152573674Y-87147403D01* +X152520860Y-87068360D01* +X152453640Y-87001140D01* +X152374597Y-86948326D01* +X152286769Y-86911946D01* +X152193532Y-86893400D01* +X152098468Y-86893400D01* +X152005231Y-86911946D01* +X151917403Y-86948326D01* +X151838360Y-87001140D01* +X151771140Y-87068360D01* +X151718326Y-87147403D01* +X151681946Y-87235231D01* +X151663400Y-87328468D01* +X146532600Y-87328468D01* +X146514054Y-87235231D01* +X146477674Y-87147403D01* +X146424860Y-87068360D01* +X146357640Y-87001140D01* +X146278597Y-86948326D01* +X146190769Y-86911946D01* +X146097532Y-86893400D01* +X146002468Y-86893400D01* +X145909231Y-86911946D01* +X145821403Y-86948326D01* +X145742360Y-87001140D01* +X145675140Y-87068360D01* +X145622326Y-87147403D01* +X145585946Y-87235231D01* +X145567400Y-87328468D01* +X140436600Y-87328468D01* +X140418054Y-87235231D01* +X140381674Y-87147403D01* +X140328860Y-87068360D01* +X140261640Y-87001140D01* +X140182597Y-86948326D01* +X140094769Y-86911946D01* +X140001532Y-86893400D01* +X139906468Y-86893400D01* +X139813231Y-86911946D01* +X139725403Y-86948326D01* +X139646360Y-87001140D01* +X139579140Y-87068360D01* +X139526326Y-87147403D01* +X139489946Y-87235231D01* +X139471400Y-87328468D01* +X83286600Y-87328468D01* +X83268054Y-87235231D01* +X83231674Y-87147403D01* +X83178860Y-87068360D01* +X83111640Y-87001140D01* +X83032597Y-86948326D01* +X82944769Y-86911946D01* +X82851532Y-86893400D01* +X82756468Y-86893400D01* +X82663231Y-86911946D01* +X82575403Y-86948326D01* +X82496360Y-87001140D01* +X82429140Y-87068360D01* +X82376326Y-87147403D01* +X82339946Y-87235231D01* +X82321400Y-87328468D01* +X77571600Y-87328468D01* +X77553054Y-87235231D01* +X77516674Y-87147403D01* +X77463860Y-87068360D01* +X77396640Y-87001140D01* +X77317597Y-86948326D01* +X77229769Y-86911946D01* +X77136532Y-86893400D01* +X77041468Y-86893400D01* +X76948231Y-86911946D01* +X76860403Y-86948326D01* +X76781360Y-87001140D01* +X76714140Y-87068360D01* +X76661326Y-87147403D01* +X76624946Y-87235231D01* +X76606400Y-87328468D01* +X76528600Y-87328468D01* +X76528600Y-85296468D01* +X79400400Y-85296468D01* +X79400400Y-85391532D01* +X79418946Y-85484769D01* +X79455326Y-85572597D01* +X79508140Y-85651640D01* +X79575360Y-85718860D01* +X79654403Y-85771674D01* +X79742231Y-85808054D01* +X79835468Y-85826600D01* +X79930532Y-85826600D01* +X80023769Y-85808054D01* +X80111597Y-85771674D01* +X80190640Y-85718860D01* +X80257860Y-85651640D01* +X80310674Y-85572597D01* +X80347054Y-85484769D01* +X80365600Y-85391532D01* +X80365600Y-85296468D01* +X80347054Y-85203231D01* +X80327113Y-85155088D01* +X86112400Y-85155088D01* +X86112400Y-85278912D01* +X86136556Y-85400356D01* +X86183941Y-85514754D01* +X86252734Y-85617709D01* +X86340291Y-85705266D01* +X86443246Y-85774059D01* +X86557644Y-85821444D01* +X86679088Y-85845600D01* +X86802912Y-85845600D01* +X86924356Y-85821444D01* +X87038754Y-85774059D01* +X87141709Y-85705266D01* +X87229266Y-85617709D01* +X87298059Y-85514754D01* +X87345444Y-85400356D01* +X87369600Y-85278912D01* +X87369600Y-85155088D01* +X87345444Y-85033644D01* +X87298059Y-84919246D01* +X87229266Y-84816291D01* +X87187063Y-84774088D01* +X103130400Y-84774088D01* +X103130400Y-84897912D01* +X103154556Y-85019356D01* +X103201941Y-85133754D01* +X103270734Y-85236709D01* +X103358291Y-85324266D01* +X103461246Y-85393059D01* +X103575644Y-85440444D01* +X103697088Y-85464600D01* +X103820912Y-85464600D01* +X103942356Y-85440444D01* +X104056754Y-85393059D01* +X104159709Y-85324266D01* +X104247266Y-85236709D01* +X104316059Y-85133754D01* +X104363444Y-85019356D01* +X104387600Y-84897912D01* +X104387600Y-84774088D01* +X104365200Y-84661468D01* +X107594400Y-84661468D01* +X107594400Y-84756532D01* +X107612946Y-84849769D01* +X107649326Y-84937597D01* +X107702140Y-85016640D01* +X107769360Y-85083860D01* +X107848403Y-85136674D01* +X107936231Y-85173054D01* +X108029468Y-85191600D01* +X108124532Y-85191600D01* +X108217769Y-85173054D01* +X108305597Y-85136674D01* +X108384640Y-85083860D01* +X108451860Y-85016640D01* +X108504674Y-84937597D01* +X108541054Y-84849769D01* +X108559600Y-84756532D01* +X108559600Y-84661468D01* +X111912400Y-84661468D01* +X111912400Y-84756532D01* +X111930946Y-84849769D01* +X111967326Y-84937597D01* +X112020140Y-85016640D01* +X112087360Y-85083860D01* +X112166403Y-85136674D01* +X112254231Y-85173054D01* +X112347468Y-85191600D01* +X112442532Y-85191600D01* +X112535769Y-85173054D01* +X112623597Y-85136674D01* +X112702640Y-85083860D01* +X112769860Y-85016640D01* +X112822674Y-84937597D01* +X112859054Y-84849769D01* +X112877600Y-84756532D01* +X112877600Y-84661468D01* +X116230400Y-84661468D01* +X116230400Y-84756532D01* +X116248946Y-84849769D01* +X116285326Y-84937597D01* +X116338140Y-85016640D01* +X116405360Y-85083860D01* +X116484403Y-85136674D01* +X116572231Y-85173054D01* +X116665468Y-85191600D01* +X116760532Y-85191600D01* +X116853769Y-85173054D01* +X116941597Y-85136674D01* +X117020640Y-85083860D01* +X117087860Y-85016640D01* +X117140674Y-84937597D01* +X117177054Y-84849769D01* +X117192107Y-84774088D01* +X120402400Y-84774088D01* +X120402400Y-84897912D01* +X120426556Y-85019356D01* +X120473941Y-85133754D01* +X120542734Y-85236709D01* +X120630291Y-85324266D01* +X120733246Y-85393059D01* +X120847644Y-85440444D01* +X120969088Y-85464600D01* +X121092912Y-85464600D01* +X121214356Y-85440444D01* +X121328754Y-85393059D01* +X121431709Y-85324266D01* +X121519266Y-85236709D01* +X121573803Y-85155088D01* +X137420400Y-85155088D01* +X137420400Y-85278912D01* +X137444556Y-85400356D01* +X137491941Y-85514754D01* +X137560734Y-85617709D01* +X137648291Y-85705266D01* +X137751246Y-85774059D01* +X137865644Y-85821444D01* +X137987088Y-85845600D01* +X138110912Y-85845600D01* +X138232356Y-85821444D01* +X138346754Y-85774059D01* +X138449709Y-85705266D01* +X138537266Y-85617709D01* +X138606059Y-85514754D01* +X138653444Y-85400356D01* +X138674107Y-85296468D01* +X142519400Y-85296468D01* +X142519400Y-85391532D01* +X142537946Y-85484769D01* +X142574326Y-85572597D01* +X142627140Y-85651640D01* +X142694360Y-85718860D01* +X142773403Y-85771674D01* +X142861231Y-85808054D01* +X142954468Y-85826600D01* +X143049532Y-85826600D01* +X143142769Y-85808054D01* +X143230597Y-85771674D01* +X143309640Y-85718860D01* +X143376860Y-85651640D01* +X143429674Y-85572597D01* +X143466054Y-85484769D01* +X143484600Y-85391532D01* +X143484600Y-85296468D01* +X148615400Y-85296468D01* +X148615400Y-85391532D01* +X148633946Y-85484769D01* +X148670326Y-85572597D01* +X148723140Y-85651640D01* +X148790360Y-85718860D01* +X148869403Y-85771674D01* +X148957231Y-85808054D01* +X149050468Y-85826600D01* +X149145532Y-85826600D01* +X149238769Y-85808054D01* +X149326597Y-85771674D01* +X149405640Y-85718860D01* +X149472860Y-85651640D01* +X149525674Y-85572597D01* +X149562054Y-85484769D01* +X149580600Y-85391532D01* +X149580600Y-85296468D01* +X154711400Y-85296468D01* +X154711400Y-85391532D01* +X154729946Y-85484769D01* +X154766326Y-85572597D01* +X154819140Y-85651640D01* +X154886360Y-85718860D01* +X154965403Y-85771674D01* +X155053231Y-85808054D01* +X155146468Y-85826600D01* +X155241532Y-85826600D01* +X155334769Y-85808054D01* +X155422597Y-85771674D01* +X155501640Y-85718860D01* +X155568860Y-85651640D01* +X155621674Y-85572597D01* +X155658054Y-85484769D01* +X155676600Y-85391532D01* +X155676600Y-85296468D01* +X160807400Y-85296468D01* +X160807400Y-85391532D01* +X160825946Y-85484769D01* +X160862326Y-85572597D01* +X160915140Y-85651640D01* +X160982360Y-85718860D01* +X161061403Y-85771674D01* +X161149231Y-85808054D01* +X161242468Y-85826600D01* +X161337532Y-85826600D01* +X161430769Y-85808054D01* +X161518597Y-85771674D01* +X161597640Y-85718860D01* +X161664860Y-85651640D01* +X161717674Y-85572597D01* +X161754054Y-85484769D01* +X161772600Y-85391532D01* +X161772600Y-85296468D01* +X161754054Y-85203231D01* +X161717674Y-85115403D01* +X161664860Y-85036360D01* +X161597640Y-84969140D01* +X161518597Y-84916326D01* +X161430769Y-84879946D01* +X161337532Y-84861400D01* +X161242468Y-84861400D01* +X161149231Y-84879946D01* +X161061403Y-84916326D01* +X160982360Y-84969140D01* +X160915140Y-85036360D01* +X160862326Y-85115403D01* +X160825946Y-85203231D01* +X160807400Y-85296468D01* +X155676600Y-85296468D01* +X155658054Y-85203231D01* +X155621674Y-85115403D01* +X155568860Y-85036360D01* +X155501640Y-84969140D01* +X155422597Y-84916326D01* +X155334769Y-84879946D01* +X155241532Y-84861400D01* +X155146468Y-84861400D01* +X155053231Y-84879946D01* +X154965403Y-84916326D01* +X154886360Y-84969140D01* +X154819140Y-85036360D01* +X154766326Y-85115403D01* +X154729946Y-85203231D01* +X154711400Y-85296468D01* +X149580600Y-85296468D01* +X149562054Y-85203231D01* +X149525674Y-85115403D01* +X149472860Y-85036360D01* +X149405640Y-84969140D01* +X149326597Y-84916326D01* +X149238769Y-84879946D01* +X149145532Y-84861400D01* +X149050468Y-84861400D01* +X148957231Y-84879946D01* +X148869403Y-84916326D01* +X148790360Y-84969140D01* +X148723140Y-85036360D01* +X148670326Y-85115403D01* +X148633946Y-85203231D01* +X148615400Y-85296468D01* +X143484600Y-85296468D01* +X143466054Y-85203231D01* +X143429674Y-85115403D01* +X143376860Y-85036360D01* +X143309640Y-84969140D01* +X143230597Y-84916326D01* +X143142769Y-84879946D01* +X143049532Y-84861400D01* +X142954468Y-84861400D01* +X142861231Y-84879946D01* +X142773403Y-84916326D01* +X142694360Y-84969140D01* +X142627140Y-85036360D01* +X142574326Y-85115403D01* +X142537946Y-85203231D01* +X142519400Y-85296468D01* +X138674107Y-85296468D01* +X138677600Y-85278912D01* +X138677600Y-85155088D01* +X138653444Y-85033644D01* +X138606059Y-84919246D01* +X138537266Y-84816291D01* +X138449709Y-84728734D01* +X138346754Y-84659941D01* +X138232356Y-84612556D01* +X138110912Y-84588400D01* +X137987088Y-84588400D01* +X137865644Y-84612556D01* +X137751246Y-84659941D01* +X137648291Y-84728734D01* +X137560734Y-84816291D01* +X137491941Y-84919246D01* +X137444556Y-85033644D01* +X137420400Y-85155088D01* +X121573803Y-85155088D01* +X121588059Y-85133754D01* +X121635444Y-85019356D01* +X121659600Y-84897912D01* +X121659600Y-84774088D01* +X121635444Y-84652644D01* +X121588059Y-84538246D01* +X121519266Y-84435291D01* +X121431709Y-84347734D01* +X121328754Y-84278941D01* +X121214356Y-84231556D01* +X121092912Y-84207400D01* +X120969088Y-84207400D01* +X120847644Y-84231556D01* +X120733246Y-84278941D01* +X120630291Y-84347734D01* +X120542734Y-84435291D01* +X120473941Y-84538246D01* +X120426556Y-84652644D01* +X120402400Y-84774088D01* +X117192107Y-84774088D01* +X117195600Y-84756532D01* +X117195600Y-84661468D01* +X117177054Y-84568231D01* +X117140674Y-84480403D01* +X117087860Y-84401360D01* +X117020640Y-84334140D01* +X116941597Y-84281326D01* +X116853769Y-84244946D01* +X116760532Y-84226400D01* +X116665468Y-84226400D01* +X116572231Y-84244946D01* +X116484403Y-84281326D01* +X116405360Y-84334140D01* +X116338140Y-84401360D01* +X116285326Y-84480403D01* +X116248946Y-84568231D01* +X116230400Y-84661468D01* +X112877600Y-84661468D01* +X112859054Y-84568231D01* +X112822674Y-84480403D01* +X112769860Y-84401360D01* +X112702640Y-84334140D01* +X112623597Y-84281326D01* +X112535769Y-84244946D01* +X112442532Y-84226400D01* +X112347468Y-84226400D01* +X112254231Y-84244946D01* +X112166403Y-84281326D01* +X112087360Y-84334140D01* +X112020140Y-84401360D01* +X111967326Y-84480403D01* +X111930946Y-84568231D01* +X111912400Y-84661468D01* +X108559600Y-84661468D01* +X108541054Y-84568231D01* +X108504674Y-84480403D01* +X108451860Y-84401360D01* +X108384640Y-84334140D01* +X108305597Y-84281326D01* +X108217769Y-84244946D01* +X108124532Y-84226400D01* +X108029468Y-84226400D01* +X107936231Y-84244946D01* +X107848403Y-84281326D01* +X107769360Y-84334140D01* +X107702140Y-84401360D01* +X107649326Y-84480403D01* +X107612946Y-84568231D01* +X107594400Y-84661468D01* +X104365200Y-84661468D01* +X104363444Y-84652644D01* +X104316059Y-84538246D01* +X104247266Y-84435291D01* +X104159709Y-84347734D01* +X104056754Y-84278941D01* +X103942356Y-84231556D01* +X103820912Y-84207400D01* +X103697088Y-84207400D01* +X103575644Y-84231556D01* +X103461246Y-84278941D01* +X103358291Y-84347734D01* +X103270734Y-84435291D01* +X103201941Y-84538246D01* +X103154556Y-84652644D01* +X103130400Y-84774088D01* +X87187063Y-84774088D01* +X87141709Y-84728734D01* +X87038754Y-84659941D01* +X86924356Y-84612556D01* +X86802912Y-84588400D01* +X86679088Y-84588400D01* +X86557644Y-84612556D01* +X86443246Y-84659941D01* +X86340291Y-84728734D01* +X86252734Y-84816291D01* +X86183941Y-84919246D01* +X86136556Y-85033644D01* +X86112400Y-85155088D01* +X80327113Y-85155088D01* +X80310674Y-85115403D01* +X80257860Y-85036360D01* +X80190640Y-84969140D01* +X80111597Y-84916326D01* +X80023769Y-84879946D01* +X79930532Y-84861400D01* +X79835468Y-84861400D01* +X79742231Y-84879946D01* +X79654403Y-84916326D01* +X79575360Y-84969140D01* +X79508140Y-85036360D01* +X79455326Y-85115403D01* +X79418946Y-85203231D01* +X79400400Y-85296468D01* +X76528600Y-85296468D01* +X76528600Y-83391468D01* +X76606400Y-83391468D01* +X76606400Y-83486532D01* +X76624946Y-83579769D01* +X76661326Y-83667597D01* +X76714140Y-83746640D01* +X76781360Y-83813860D01* +X76860403Y-83866674D01* +X76948231Y-83903054D01* +X77041468Y-83921600D01* +X77136532Y-83921600D01* +X77229769Y-83903054D01* +X77317597Y-83866674D01* +X77396640Y-83813860D01* +X77463860Y-83746640D01* +X77516674Y-83667597D01* +X77553054Y-83579769D01* +X77571600Y-83486532D01* +X77571600Y-83391468D01* +X82321400Y-83391468D01* +X82321400Y-83486532D01* +X82339946Y-83579769D01* +X82376326Y-83667597D01* +X82429140Y-83746640D01* +X82496360Y-83813860D01* +X82575403Y-83866674D01* +X82663231Y-83903054D01* +X82756468Y-83921600D01* +X82851532Y-83921600D01* +X82944769Y-83903054D01* +X83032597Y-83866674D01* +X83111640Y-83813860D01* +X83178860Y-83746640D01* +X83231674Y-83667597D01* +X83268054Y-83579769D01* +X83286600Y-83486532D01* +X83286600Y-83391468D01* +X88290400Y-83391468D01* +X88290400Y-83486532D01* +X88308946Y-83579769D01* +X88345326Y-83667597D01* +X88398140Y-83746640D01* +X88465360Y-83813860D01* +X88544403Y-83866674D01* +X88632231Y-83903054D01* +X88725468Y-83921600D01* +X88820532Y-83921600D01* +X88913769Y-83903054D01* +X89001597Y-83866674D01* +X89080640Y-83813860D01* +X89147860Y-83746640D01* +X89200674Y-83667597D01* +X89237054Y-83579769D01* +X89255600Y-83486532D01* +X89255600Y-83391468D01* +X92354400Y-83391468D01* +X92354400Y-83486532D01* +X92372946Y-83579769D01* +X92409326Y-83667597D01* +X92462140Y-83746640D01* +X92529360Y-83813860D01* +X92608403Y-83866674D01* +X92696231Y-83903054D01* +X92789468Y-83921600D01* +X92884532Y-83921600D01* +X92977769Y-83903054D01* +X93065597Y-83866674D01* +X93144640Y-83813860D01* +X93211860Y-83746640D01* +X93264674Y-83667597D01* +X93301054Y-83579769D01* +X93319600Y-83486532D01* +X93319600Y-83391468D01* +X96799400Y-83391468D01* +X96799400Y-83486532D01* +X96817946Y-83579769D01* +X96854326Y-83667597D01* +X96907140Y-83746640D01* +X96974360Y-83813860D01* +X97053403Y-83866674D01* +X97141231Y-83903054D01* +X97234468Y-83921600D01* +X97329532Y-83921600D01* +X97422769Y-83903054D01* +X97510597Y-83866674D01* +X97589640Y-83813860D01* +X97656860Y-83746640D01* +X97709674Y-83667597D01* +X97746054Y-83579769D01* +X97764600Y-83486532D01* +X97764600Y-83391468D01* +X101244400Y-83391468D01* +X101244400Y-83486532D01* +X101262946Y-83579769D01* +X101299326Y-83667597D01* +X101352140Y-83746640D01* +X101419360Y-83813860D01* +X101498403Y-83866674D01* +X101586231Y-83903054D01* +X101679468Y-83921600D01* +X101774532Y-83921600D01* +X101867769Y-83903054D01* +X101955597Y-83866674D01* +X102034640Y-83813860D01* +X102101860Y-83746640D01* +X102154674Y-83667597D01* +X102191054Y-83579769D01* +X102209600Y-83486532D01* +X102209600Y-83391468D01* +X105308400Y-83391468D01* +X105308400Y-83486532D01* +X105326946Y-83579769D01* +X105363326Y-83667597D01* +X105416140Y-83746640D01* +X105483360Y-83813860D01* +X105562403Y-83866674D01* +X105650231Y-83903054D01* +X105743468Y-83921600D01* +X105838532Y-83921600D01* +X105931769Y-83903054D01* +X106019597Y-83866674D01* +X106098640Y-83813860D01* +X106165860Y-83746640D01* +X106218674Y-83667597D01* +X106255054Y-83579769D01* +X106273600Y-83486532D01* +X106273600Y-83391468D01* +X109626400Y-83391468D01* +X109626400Y-83486532D01* +X109644946Y-83579769D01* +X109681326Y-83667597D01* +X109734140Y-83746640D01* +X109801360Y-83813860D01* +X109880403Y-83866674D01* +X109968231Y-83903054D01* +X110061468Y-83921600D01* +X110156532Y-83921600D01* +X110249769Y-83903054D01* +X110337597Y-83866674D01* +X110416640Y-83813860D01* +X110483860Y-83746640D01* +X110536674Y-83667597D01* +X110573054Y-83579769D01* +X110591600Y-83486532D01* +X110591600Y-83391468D01* +X113944400Y-83391468D01* +X113944400Y-83486532D01* +X113962946Y-83579769D01* +X113999326Y-83667597D01* +X114052140Y-83746640D01* +X114119360Y-83813860D01* +X114198403Y-83866674D01* +X114286231Y-83903054D01* +X114379468Y-83921600D01* +X114474532Y-83921600D01* +X114567769Y-83903054D01* +X114655597Y-83866674D01* +X114734640Y-83813860D01* +X114801860Y-83746640D01* +X114854674Y-83667597D01* +X114891054Y-83579769D01* +X114909600Y-83486532D01* +X114909600Y-83391468D01* +X118262400Y-83391468D01* +X118262400Y-83486532D01* +X118280946Y-83579769D01* +X118317326Y-83667597D01* +X118370140Y-83746640D01* +X118437360Y-83813860D01* +X118516403Y-83866674D01* +X118604231Y-83903054D01* +X118697468Y-83921600D01* +X118792532Y-83921600D01* +X118885769Y-83903054D01* +X118973597Y-83866674D01* +X119052640Y-83813860D01* +X119119860Y-83746640D01* +X119172674Y-83667597D01* +X119209054Y-83579769D01* +X119227600Y-83486532D01* +X119227600Y-83391468D01* +X122580400Y-83391468D01* +X122580400Y-83486532D01* +X122598946Y-83579769D01* +X122635326Y-83667597D01* +X122688140Y-83746640D01* +X122755360Y-83813860D01* +X122834403Y-83866674D01* +X122922231Y-83903054D01* +X123015468Y-83921600D01* +X123110532Y-83921600D01* +X123203769Y-83903054D01* +X123291597Y-83866674D01* +X123370640Y-83813860D01* +X123437860Y-83746640D01* +X123490674Y-83667597D01* +X123527054Y-83579769D01* +X123545600Y-83486532D01* +X123545600Y-83391468D01* +X126644400Y-83391468D01* +X126644400Y-83486532D01* +X126662946Y-83579769D01* +X126699326Y-83667597D01* +X126752140Y-83746640D01* +X126819360Y-83813860D01* +X126898403Y-83866674D01* +X126986231Y-83903054D01* +X127079468Y-83921600D01* +X127174532Y-83921600D01* +X127267769Y-83903054D01* +X127355597Y-83866674D01* +X127434640Y-83813860D01* +X127501860Y-83746640D01* +X127554674Y-83667597D01* +X127591054Y-83579769D01* +X127609600Y-83486532D01* +X127609600Y-83391468D01* +X131089400Y-83391468D01* +X131089400Y-83486532D01* +X131107946Y-83579769D01* +X131144326Y-83667597D01* +X131197140Y-83746640D01* +X131264360Y-83813860D01* +X131343403Y-83866674D01* +X131431231Y-83903054D01* +X131524468Y-83921600D01* +X131619532Y-83921600D01* +X131712769Y-83903054D01* +X131800597Y-83866674D01* +X131879640Y-83813860D01* +X131946860Y-83746640D01* +X131999674Y-83667597D01* +X132036054Y-83579769D01* +X132054600Y-83486532D01* +X132054600Y-83391468D01* +X135534400Y-83391468D01* +X135534400Y-83486532D01* +X135552946Y-83579769D01* +X135589326Y-83667597D01* +X135642140Y-83746640D01* +X135709360Y-83813860D01* +X135788403Y-83866674D01* +X135876231Y-83903054D01* +X135969468Y-83921600D01* +X136064532Y-83921600D01* +X136157769Y-83903054D01* +X136245597Y-83866674D01* +X136324640Y-83813860D01* +X136391860Y-83746640D01* +X136444674Y-83667597D01* +X136481054Y-83579769D01* +X136499600Y-83486532D01* +X136499600Y-83391468D01* +X139598400Y-83391468D01* +X139598400Y-83486532D01* +X139616946Y-83579769D01* +X139653326Y-83667597D01* +X139706140Y-83746640D01* +X139773360Y-83813860D01* +X139852403Y-83866674D01* +X139940231Y-83903054D01* +X140033468Y-83921600D01* +X140128532Y-83921600D01* +X140221769Y-83903054D01* +X140309597Y-83866674D01* +X140388640Y-83813860D01* +X140455860Y-83746640D01* +X140508674Y-83667597D01* +X140545054Y-83579769D01* +X140563600Y-83486532D01* +X140563600Y-83391468D01* +X145567400Y-83391468D01* +X145567400Y-83486532D01* +X145585946Y-83579769D01* +X145622326Y-83667597D01* +X145675140Y-83746640D01* +X145742360Y-83813860D01* +X145821403Y-83866674D01* +X145909231Y-83903054D01* +X146002468Y-83921600D01* +X146097532Y-83921600D01* +X146190769Y-83903054D01* +X146278597Y-83866674D01* +X146357640Y-83813860D01* +X146424860Y-83746640D01* +X146477674Y-83667597D01* +X146514054Y-83579769D01* +X146532600Y-83486532D01* +X146532600Y-83391468D01* +X151663400Y-83391468D01* +X151663400Y-83486532D01* +X151681946Y-83579769D01* +X151718326Y-83667597D01* +X151771140Y-83746640D01* +X151838360Y-83813860D01* +X151917403Y-83866674D01* +X152005231Y-83903054D01* +X152098468Y-83921600D01* +X152193532Y-83921600D01* +X152286769Y-83903054D01* +X152374597Y-83866674D01* +X152453640Y-83813860D01* +X152520860Y-83746640D01* +X152573674Y-83667597D01* +X152610054Y-83579769D01* +X152628600Y-83486532D01* +X152628600Y-83391468D01* +X157759400Y-83391468D01* +X157759400Y-83486532D01* +X157777946Y-83579769D01* +X157814326Y-83667597D01* +X157867140Y-83746640D01* +X157934360Y-83813860D01* +X158013403Y-83866674D01* +X158101231Y-83903054D01* +X158194468Y-83921600D01* +X158289532Y-83921600D01* +X158382769Y-83903054D01* +X158470597Y-83866674D01* +X158549640Y-83813860D01* +X158616860Y-83746640D01* +X158669674Y-83667597D01* +X158706054Y-83579769D01* +X158724600Y-83486532D01* +X158724600Y-83391468D01* +X163728400Y-83391468D01* +X163728400Y-83486532D01* +X163746946Y-83579769D01* +X163783326Y-83667597D01* +X163836140Y-83746640D01* +X163903360Y-83813860D01* +X163982403Y-83866674D01* +X164070231Y-83903054D01* +X164163468Y-83921600D01* +X164258532Y-83921600D01* +X164351769Y-83903054D01* +X164439597Y-83866674D01* +X164518640Y-83813860D01* +X164585860Y-83746640D01* +X164638674Y-83667597D01* +X164675054Y-83579769D01* +X164693600Y-83486532D01* +X164693600Y-83391468D01* +X164675054Y-83298231D01* +X164638674Y-83210403D01* +X164585860Y-83131360D01* +X164518640Y-83064140D01* +X164439597Y-83011326D01* +X164351769Y-82974946D01* +X164258532Y-82956400D01* +X164163468Y-82956400D01* +X164070231Y-82974946D01* +X163982403Y-83011326D01* +X163903360Y-83064140D01* +X163836140Y-83131360D01* +X163783326Y-83210403D01* +X163746946Y-83298231D01* +X163728400Y-83391468D01* +X158724600Y-83391468D01* +X158706054Y-83298231D01* +X158669674Y-83210403D01* +X158616860Y-83131360D01* +X158549640Y-83064140D01* +X158470597Y-83011326D01* +X158382769Y-82974946D01* +X158289532Y-82956400D01* +X158194468Y-82956400D01* +X158101231Y-82974946D01* +X158013403Y-83011326D01* +X157934360Y-83064140D01* +X157867140Y-83131360D01* +X157814326Y-83210403D01* +X157777946Y-83298231D01* +X157759400Y-83391468D01* +X152628600Y-83391468D01* +X152610054Y-83298231D01* +X152573674Y-83210403D01* +X152520860Y-83131360D01* +X152453640Y-83064140D01* +X152374597Y-83011326D01* +X152286769Y-82974946D01* +X152193532Y-82956400D01* +X152098468Y-82956400D01* +X152005231Y-82974946D01* +X151917403Y-83011326D01* +X151838360Y-83064140D01* +X151771140Y-83131360D01* +X151718326Y-83210403D01* +X151681946Y-83298231D01* +X151663400Y-83391468D01* +X146532600Y-83391468D01* +X146514054Y-83298231D01* +X146477674Y-83210403D01* +X146424860Y-83131360D01* +X146357640Y-83064140D01* +X146278597Y-83011326D01* +X146190769Y-82974946D01* +X146097532Y-82956400D01* +X146002468Y-82956400D01* +X145909231Y-82974946D01* +X145821403Y-83011326D01* +X145742360Y-83064140D01* +X145675140Y-83131360D01* +X145622326Y-83210403D01* +X145585946Y-83298231D01* +X145567400Y-83391468D01* +X140563600Y-83391468D01* +X140545054Y-83298231D01* +X140508674Y-83210403D01* +X140455860Y-83131360D01* +X140388640Y-83064140D01* +X140309597Y-83011326D01* +X140221769Y-82974946D01* +X140128532Y-82956400D01* +X140033468Y-82956400D01* +X139940231Y-82974946D01* +X139852403Y-83011326D01* +X139773360Y-83064140D01* +X139706140Y-83131360D01* +X139653326Y-83210403D01* +X139616946Y-83298231D01* +X139598400Y-83391468D01* +X136499600Y-83391468D01* +X136481054Y-83298231D01* +X136444674Y-83210403D01* +X136391860Y-83131360D01* +X136324640Y-83064140D01* +X136245597Y-83011326D01* +X136157769Y-82974946D01* +X136064532Y-82956400D01* +X135969468Y-82956400D01* +X135876231Y-82974946D01* +X135788403Y-83011326D01* +X135709360Y-83064140D01* +X135642140Y-83131360D01* +X135589326Y-83210403D01* +X135552946Y-83298231D01* +X135534400Y-83391468D01* +X132054600Y-83391468D01* +X132036054Y-83298231D01* +X131999674Y-83210403D01* +X131946860Y-83131360D01* +X131879640Y-83064140D01* +X131800597Y-83011326D01* +X131712769Y-82974946D01* +X131619532Y-82956400D01* +X131524468Y-82956400D01* +X131431231Y-82974946D01* +X131343403Y-83011326D01* +X131264360Y-83064140D01* +X131197140Y-83131360D01* +X131144326Y-83210403D01* +X131107946Y-83298231D01* +X131089400Y-83391468D01* +X127609600Y-83391468D01* +X127591054Y-83298231D01* +X127554674Y-83210403D01* +X127501860Y-83131360D01* +X127434640Y-83064140D01* +X127355597Y-83011326D01* +X127267769Y-82974946D01* +X127174532Y-82956400D01* +X127079468Y-82956400D01* +X126986231Y-82974946D01* +X126898403Y-83011326D01* +X126819360Y-83064140D01* +X126752140Y-83131360D01* +X126699326Y-83210403D01* +X126662946Y-83298231D01* +X126644400Y-83391468D01* +X123545600Y-83391468D01* +X123527054Y-83298231D01* +X123490674Y-83210403D01* +X123437860Y-83131360D01* +X123370640Y-83064140D01* +X123291597Y-83011326D01* +X123203769Y-82974946D01* +X123110532Y-82956400D01* +X123015468Y-82956400D01* +X122922231Y-82974946D01* +X122834403Y-83011326D01* +X122755360Y-83064140D01* +X122688140Y-83131360D01* +X122635326Y-83210403D01* +X122598946Y-83298231D01* +X122580400Y-83391468D01* +X119227600Y-83391468D01* +X119209054Y-83298231D01* +X119172674Y-83210403D01* +X119119860Y-83131360D01* +X119052640Y-83064140D01* +X118973597Y-83011326D01* +X118885769Y-82974946D01* +X118792532Y-82956400D01* +X118697468Y-82956400D01* +X118604231Y-82974946D01* +X118516403Y-83011326D01* +X118437360Y-83064140D01* +X118370140Y-83131360D01* +X118317326Y-83210403D01* +X118280946Y-83298231D01* +X118262400Y-83391468D01* +X114909600Y-83391468D01* +X114891054Y-83298231D01* +X114854674Y-83210403D01* +X114801860Y-83131360D01* +X114734640Y-83064140D01* +X114655597Y-83011326D01* +X114567769Y-82974946D01* +X114474532Y-82956400D01* +X114379468Y-82956400D01* +X114286231Y-82974946D01* +X114198403Y-83011326D01* +X114119360Y-83064140D01* +X114052140Y-83131360D01* +X113999326Y-83210403D01* +X113962946Y-83298231D01* +X113944400Y-83391468D01* +X110591600Y-83391468D01* +X110573054Y-83298231D01* +X110536674Y-83210403D01* +X110483860Y-83131360D01* +X110416640Y-83064140D01* +X110337597Y-83011326D01* +X110249769Y-82974946D01* +X110156532Y-82956400D01* +X110061468Y-82956400D01* +X109968231Y-82974946D01* +X109880403Y-83011326D01* +X109801360Y-83064140D01* +X109734140Y-83131360D01* +X109681326Y-83210403D01* +X109644946Y-83298231D01* +X109626400Y-83391468D01* +X106273600Y-83391468D01* +X106255054Y-83298231D01* +X106218674Y-83210403D01* +X106165860Y-83131360D01* +X106098640Y-83064140D01* +X106019597Y-83011326D01* +X105931769Y-82974946D01* +X105838532Y-82956400D01* +X105743468Y-82956400D01* +X105650231Y-82974946D01* +X105562403Y-83011326D01* +X105483360Y-83064140D01* +X105416140Y-83131360D01* +X105363326Y-83210403D01* +X105326946Y-83298231D01* +X105308400Y-83391468D01* +X102209600Y-83391468D01* +X102191054Y-83298231D01* +X102154674Y-83210403D01* +X102101860Y-83131360D01* +X102034640Y-83064140D01* +X101955597Y-83011326D01* +X101867769Y-82974946D01* +X101774532Y-82956400D01* +X101679468Y-82956400D01* +X101586231Y-82974946D01* +X101498403Y-83011326D01* +X101419360Y-83064140D01* +X101352140Y-83131360D01* +X101299326Y-83210403D01* +X101262946Y-83298231D01* +X101244400Y-83391468D01* +X97764600Y-83391468D01* +X97746054Y-83298231D01* +X97709674Y-83210403D01* +X97656860Y-83131360D01* +X97589640Y-83064140D01* +X97510597Y-83011326D01* +X97422769Y-82974946D01* +X97329532Y-82956400D01* +X97234468Y-82956400D01* +X97141231Y-82974946D01* +X97053403Y-83011326D01* +X96974360Y-83064140D01* +X96907140Y-83131360D01* +X96854326Y-83210403D01* +X96817946Y-83298231D01* +X96799400Y-83391468D01* +X93319600Y-83391468D01* +X93301054Y-83298231D01* +X93264674Y-83210403D01* +X93211860Y-83131360D01* +X93144640Y-83064140D01* +X93065597Y-83011326D01* +X92977769Y-82974946D01* +X92884532Y-82956400D01* +X92789468Y-82956400D01* +X92696231Y-82974946D01* +X92608403Y-83011326D01* +X92529360Y-83064140D01* +X92462140Y-83131360D01* +X92409326Y-83210403D01* +X92372946Y-83298231D01* +X92354400Y-83391468D01* +X89255600Y-83391468D01* +X89237054Y-83298231D01* +X89200674Y-83210403D01* +X89147860Y-83131360D01* +X89080640Y-83064140D01* +X89001597Y-83011326D01* +X88913769Y-82974946D01* +X88820532Y-82956400D01* +X88725468Y-82956400D01* +X88632231Y-82974946D01* +X88544403Y-83011326D01* +X88465360Y-83064140D01* +X88398140Y-83131360D01* +X88345326Y-83210403D01* +X88308946Y-83298231D01* +X88290400Y-83391468D01* +X83286600Y-83391468D01* +X83268054Y-83298231D01* +X83231674Y-83210403D01* +X83178860Y-83131360D01* +X83111640Y-83064140D01* +X83032597Y-83011326D01* +X82944769Y-82974946D01* +X82851532Y-82956400D01* +X82756468Y-82956400D01* +X82663231Y-82974946D01* +X82575403Y-83011326D01* +X82496360Y-83064140D01* +X82429140Y-83131360D01* +X82376326Y-83210403D01* +X82339946Y-83298231D01* +X82321400Y-83391468D01* +X77571600Y-83391468D01* +X77553054Y-83298231D01* +X77516674Y-83210403D01* +X77463860Y-83131360D01* +X77396640Y-83064140D01* +X77317597Y-83011326D01* +X77229769Y-82974946D01* +X77136532Y-82956400D01* +X77041468Y-82956400D01* +X76948231Y-82974946D01* +X76860403Y-83011326D01* +X76781360Y-83064140D01* +X76714140Y-83131360D01* +X76661326Y-83210403D01* +X76624946Y-83298231D01* +X76606400Y-83391468D01* +X76528600Y-83391468D01* +X76528600Y-82878600D01* +X164771400Y-82878600D01* +X164771401Y-101271400D01* +X164771401Y-101271400D01* +G37* +X164771401Y-101271400D02* +X78560600Y-101271400D01* +X78560600Y-100663468D01* +X78638400Y-100663468D01* +X78638400Y-100758532D01* +X78656946Y-100851769D01* +X78693326Y-100939597D01* +X78746140Y-101018640D01* +X78813360Y-101085860D01* +X78892403Y-101138674D01* +X78980231Y-101175054D01* +X79073468Y-101193600D01* +X79168532Y-101193600D01* +X79261769Y-101175054D01* +X79349597Y-101138674D01* +X79428640Y-101085860D01* +X79495860Y-101018640D01* +X79548674Y-100939597D01* +X79585054Y-100851769D01* +X79587792Y-100838000D01* +X82701294Y-100838000D01* +X82705708Y-100882813D01* +X82718779Y-100925905D01* +X82740006Y-100965618D01* +X82768573Y-101000427D01* +X82803382Y-101028994D01* +X82843095Y-101050221D01* +X82886187Y-101063292D01* +X82931000Y-101067706D01* +X83689050Y-101066600D01* +X83746200Y-101009450D01* +X83746200Y-99895800D01* +X83893800Y-99895800D01* +X83893800Y-101009450D01* +X83950950Y-101066600D01* +X84709000Y-101067706D01* +X84753813Y-101063292D01* +X84796905Y-101050221D01* +X84836618Y-101028994D01* +X84871427Y-101000427D01* +X84899994Y-100965618D01* +X84921221Y-100925905D01* +X84934292Y-100882813D01* +X84938706Y-100838000D01* +X84937600Y-99952950D01* +X84880450Y-99895800D01* +X83893800Y-99895800D01* +X83746200Y-99895800D01* +X82759550Y-99895800D01* +X82702400Y-99952950D01* +X82701294Y-100838000D01* +X79587792Y-100838000D01* +X79603600Y-100758532D01* +X79603600Y-100663468D01* +X79585054Y-100570231D01* +X79548674Y-100482403D01* +X79495860Y-100403360D01* +X79428640Y-100336140D01* +X79349597Y-100283326D01* +X79261769Y-100246946D01* +X79168532Y-100228400D01* +X79073468Y-100228400D01* +X78980231Y-100246946D01* +X78892403Y-100283326D01* +X78813360Y-100336140D01* +X78746140Y-100403360D01* +X78693326Y-100482403D01* +X78656946Y-100570231D01* +X78638400Y-100663468D01* +X78560600Y-100663468D01* +X78560600Y-98806000D01* +X82701294Y-98806000D01* +X82702400Y-99691050D01* +X82759550Y-99748200D01* +X83746200Y-99748200D01* +X83746200Y-99728200D01* +X83893800Y-99728200D01* +X83893800Y-99748200D01* +X84880450Y-99748200D01* +X84937600Y-99691050D01* +X84938150Y-99250500D01* +X85241294Y-99250500D01* +X85241294Y-100393500D01* +X85254249Y-100525031D01* +X85292615Y-100651507D01* +X85354918Y-100768069D01* +X85438764Y-100870236D01* +X85540931Y-100954082D01* +X85657493Y-101016385D01* +X85783969Y-101054751D01* +X85915500Y-101067706D01* +X86804500Y-101067706D01* +X86936031Y-101054751D01* +X87062507Y-101016385D01* +X87179069Y-100954082D01* +X87281236Y-100870236D01* +X87365082Y-100768069D01* +X87427385Y-100651507D01* +X87465751Y-100525031D01* +X87478706Y-100393500D01* +X87478706Y-99250500D01* +X87781294Y-99250500D01* +X87781294Y-100393500D01* +X87794249Y-100525031D01* +X87832615Y-100651507D01* +X87894918Y-100768069D01* +X87978764Y-100870236D01* +X88080931Y-100954082D01* +X88197493Y-101016385D01* +X88323969Y-101054751D01* +X88455500Y-101067706D01* +X89344500Y-101067706D01* +X89476031Y-101054751D01* +X89602507Y-101016385D01* +X89719069Y-100954082D01* +X89821236Y-100870236D01* +X89905082Y-100768069D01* +X89967385Y-100651507D01* +X90005751Y-100525031D01* +X90018706Y-100393500D01* +X90018706Y-99250500D01* +X90321294Y-99250500D01* +X90321294Y-100393500D01* +X90334249Y-100525031D01* +X90372615Y-100651507D01* +X90434918Y-100768069D01* +X90518764Y-100870236D01* +X90620931Y-100954082D01* +X90737493Y-101016385D01* +X90863969Y-101054751D01* +X90995500Y-101067706D01* +X91884500Y-101067706D01* +X92016031Y-101054751D01* +X92142507Y-101016385D01* +X92259069Y-100954082D01* +X92361236Y-100870236D01* +X92445082Y-100768069D01* +X92507385Y-100651507D01* +X92545751Y-100525031D01* +X92558706Y-100393500D01* +X92558706Y-99250500D01* +X92861294Y-99250500D01* +X92861294Y-100393500D01* +X92874249Y-100525031D01* +X92912615Y-100651507D01* +X92974918Y-100768069D01* +X93058764Y-100870236D01* +X93160931Y-100954082D01* +X93277493Y-101016385D01* +X93403969Y-101054751D01* +X93535500Y-101067706D01* +X94424500Y-101067706D01* +X94556031Y-101054751D01* +X94682507Y-101016385D01* +X94799069Y-100954082D01* +X94901236Y-100870236D01* +X94985082Y-100768069D01* +X95047385Y-100651507D01* +X95085751Y-100525031D01* +X95098706Y-100393500D01* +X95098706Y-99250500D01* +X95401294Y-99250500D01* +X95401294Y-100393500D01* +X95414249Y-100525031D01* +X95452615Y-100651507D01* +X95514918Y-100768069D01* +X95598764Y-100870236D01* +X95700931Y-100954082D01* +X95817493Y-101016385D01* +X95943969Y-101054751D01* +X96075500Y-101067706D01* +X96964500Y-101067706D01* +X97096031Y-101054751D01* +X97222507Y-101016385D01* +X97339069Y-100954082D01* +X97441236Y-100870236D01* +X97525082Y-100768069D01* +X97587385Y-100651507D01* +X97625751Y-100525031D01* +X97638706Y-100393500D01* +X97638706Y-99250500D01* +X97941294Y-99250500D01* +X97941294Y-100393500D01* +X97954249Y-100525031D01* +X97992615Y-100651507D01* +X98054918Y-100768069D01* +X98138764Y-100870236D01* +X98240931Y-100954082D01* +X98357493Y-101016385D01* +X98483969Y-101054751D01* +X98615500Y-101067706D01* +X99504500Y-101067706D01* +X99636031Y-101054751D01* +X99762507Y-101016385D01* +X99879069Y-100954082D01* +X99981236Y-100870236D01* +X100065082Y-100768069D01* +X100127385Y-100651507D01* +X100165751Y-100525031D01* +X100178706Y-100393500D01* +X100178706Y-99250500D01* +X100481294Y-99250500D01* +X100481294Y-100393500D01* +X100494249Y-100525031D01* +X100532615Y-100651507D01* +X100594918Y-100768069D01* +X100678764Y-100870236D01* +X100780931Y-100954082D01* +X100897493Y-101016385D01* +X101023969Y-101054751D01* +X101155500Y-101067706D01* +X102044500Y-101067706D01* +X102176031Y-101054751D01* +X102302507Y-101016385D01* +X102419069Y-100954082D01* +X102521236Y-100870236D01* +X102605082Y-100768069D01* +X102667385Y-100651507D01* +X102705751Y-100525031D01* +X102718706Y-100393500D01* +X102718706Y-99250500D01* +X103021294Y-99250500D01* +X103021294Y-100393500D01* +X103034249Y-100525031D01* +X103072615Y-100651507D01* +X103134918Y-100768069D01* +X103218764Y-100870236D01* +X103320931Y-100954082D01* +X103437493Y-101016385D01* +X103563969Y-101054751D01* +X103695500Y-101067706D01* +X104584500Y-101067706D01* +X104716031Y-101054751D01* +X104842507Y-101016385D01* +X104959069Y-100954082D01* +X105061236Y-100870236D01* +X105145082Y-100768069D01* +X105207385Y-100651507D01* +X105245751Y-100525031D01* +X105258706Y-100393500D01* +X105258706Y-99250500D01* +X105561294Y-99250500D01* +X105561294Y-100393500D01* +X105574249Y-100525031D01* +X105612615Y-100651507D01* +X105674918Y-100768069D01* +X105758764Y-100870236D01* +X105860931Y-100954082D01* +X105977493Y-101016385D01* +X106103969Y-101054751D01* +X106235500Y-101067706D01* +X107124500Y-101067706D01* +X107256031Y-101054751D01* +X107382507Y-101016385D01* +X107499069Y-100954082D01* +X107601236Y-100870236D01* +X107685082Y-100768069D01* +X107747385Y-100651507D01* +X107785751Y-100525031D01* +X107798706Y-100393500D01* +X107798706Y-99250500D01* +X108101294Y-99250500D01* +X108101294Y-100393500D01* +X108114249Y-100525031D01* +X108152615Y-100651507D01* +X108214918Y-100768069D01* +X108298764Y-100870236D01* +X108400931Y-100954082D01* +X108517493Y-101016385D01* +X108643969Y-101054751D01* +X108775500Y-101067706D01* +X109664500Y-101067706D01* +X109796031Y-101054751D01* +X109922507Y-101016385D01* +X110039069Y-100954082D01* +X110141236Y-100870236D01* +X110225082Y-100768069D01* +X110287385Y-100651507D01* +X110325751Y-100525031D01* +X110338706Y-100393500D01* +X110338706Y-99250500D01* +X110641294Y-99250500D01* +X110641294Y-100393500D01* +X110654249Y-100525031D01* +X110692615Y-100651507D01* +X110754918Y-100768069D01* +X110838764Y-100870236D01* +X110940931Y-100954082D01* +X111057493Y-101016385D01* +X111183969Y-101054751D01* +X111315500Y-101067706D01* +X112204500Y-101067706D01* +X112336031Y-101054751D01* +X112462507Y-101016385D01* +X112579069Y-100954082D01* +X112681236Y-100870236D01* +X112765082Y-100768069D01* +X112827385Y-100651507D01* +X112865751Y-100525031D01* +X112878706Y-100393500D01* +X112878706Y-99250500D01* +X113181294Y-99250500D01* +X113181294Y-100393500D01* +X113194249Y-100525031D01* +X113232615Y-100651507D01* +X113294918Y-100768069D01* +X113378764Y-100870236D01* +X113480931Y-100954082D01* +X113597493Y-101016385D01* +X113723969Y-101054751D01* +X113855500Y-101067706D01* +X114744500Y-101067706D01* +X114876031Y-101054751D01* +X115002507Y-101016385D01* +X115119069Y-100954082D01* +X115221236Y-100870236D01* +X115305082Y-100768069D01* +X115367385Y-100651507D01* +X115405751Y-100525031D01* +X115418706Y-100393500D01* +X115418706Y-99250500D01* +X115721294Y-99250500D01* +X115721294Y-100393500D01* +X115734249Y-100525031D01* +X115772615Y-100651507D01* +X115834918Y-100768069D01* +X115918764Y-100870236D01* +X116020931Y-100954082D01* +X116137493Y-101016385D01* +X116263969Y-101054751D01* +X116395500Y-101067706D01* +X117284500Y-101067706D01* +X117416031Y-101054751D01* +X117542507Y-101016385D01* +X117659069Y-100954082D01* +X117761236Y-100870236D01* +X117845082Y-100768069D01* +X117907385Y-100651507D01* +X117945751Y-100525031D01* +X117958706Y-100393500D01* +X117958706Y-99250500D01* +X118261294Y-99250500D01* +X118261294Y-100393500D01* +X118274249Y-100525031D01* +X118312615Y-100651507D01* +X118374918Y-100768069D01* +X118458764Y-100870236D01* +X118560931Y-100954082D01* +X118677493Y-101016385D01* +X118803969Y-101054751D01* +X118935500Y-101067706D01* +X119824500Y-101067706D01* +X119956031Y-101054751D01* +X120082507Y-101016385D01* +X120199069Y-100954082D01* +X120301236Y-100870236D01* +X120385082Y-100768069D01* +X120447385Y-100651507D01* +X120485751Y-100525031D01* +X120498706Y-100393500D01* +X120498706Y-99250500D01* +X120801294Y-99250500D01* +X120801294Y-100393500D01* +X120814249Y-100525031D01* +X120852615Y-100651507D01* +X120914918Y-100768069D01* +X120998764Y-100870236D01* +X121100931Y-100954082D01* +X121217493Y-101016385D01* +X121343969Y-101054751D01* +X121475500Y-101067706D01* +X122364500Y-101067706D01* +X122496031Y-101054751D01* +X122622507Y-101016385D01* +X122739069Y-100954082D01* +X122841236Y-100870236D01* +X122925082Y-100768069D01* +X122987385Y-100651507D01* +X123025751Y-100525031D01* +X123038706Y-100393500D01* +X123038706Y-99250500D01* +X123341294Y-99250500D01* +X123341294Y-100393500D01* +X123354249Y-100525031D01* +X123392615Y-100651507D01* +X123454918Y-100768069D01* +X123538764Y-100870236D01* +X123640931Y-100954082D01* +X123757493Y-101016385D01* +X123883969Y-101054751D01* +X124015500Y-101067706D01* +X124904500Y-101067706D01* +X125036031Y-101054751D01* +X125162507Y-101016385D01* +X125279069Y-100954082D01* +X125381236Y-100870236D01* +X125465082Y-100768069D01* +X125527385Y-100651507D01* +X125565751Y-100525031D01* +X125578706Y-100393500D01* +X125578706Y-99250500D01* +X125881294Y-99250500D01* +X125881294Y-100393500D01* +X125894249Y-100525031D01* +X125932615Y-100651507D01* +X125994918Y-100768069D01* +X126078764Y-100870236D01* +X126180931Y-100954082D01* +X126297493Y-101016385D01* +X126423969Y-101054751D01* +X126555500Y-101067706D01* +X127444500Y-101067706D01* +X127576031Y-101054751D01* +X127702507Y-101016385D01* +X127819069Y-100954082D01* +X127921236Y-100870236D01* +X128005082Y-100768069D01* +X128067385Y-100651507D01* +X128105751Y-100525031D01* +X128118706Y-100393500D01* +X128118706Y-99250500D01* +X128421294Y-99250500D01* +X128421294Y-100393500D01* +X128434249Y-100525031D01* +X128472615Y-100651507D01* +X128534918Y-100768069D01* +X128618764Y-100870236D01* +X128720931Y-100954082D01* +X128837493Y-101016385D01* +X128963969Y-101054751D01* +X129095500Y-101067706D01* +X129984500Y-101067706D01* +X130116031Y-101054751D01* +X130242507Y-101016385D01* +X130359069Y-100954082D01* +X130461236Y-100870236D01* +X130545082Y-100768069D01* +X130607385Y-100651507D01* +X130645751Y-100525031D01* +X130658706Y-100393500D01* +X130658706Y-99250500D01* +X130961294Y-99250500D01* +X130961294Y-100393500D01* +X130974249Y-100525031D01* +X131012615Y-100651507D01* +X131074918Y-100768069D01* +X131158764Y-100870236D01* +X131260931Y-100954082D01* +X131377493Y-101016385D01* +X131503969Y-101054751D01* +X131635500Y-101067706D01* +X132524500Y-101067706D01* +X132656031Y-101054751D01* +X132782507Y-101016385D01* +X132899069Y-100954082D01* +X133001236Y-100870236D01* +X133085082Y-100768069D01* +X133147385Y-100651507D01* +X133185751Y-100525031D01* +X133198706Y-100393500D01* +X133198706Y-99250500D01* +X133501294Y-99250500D01* +X133501294Y-100393500D01* +X133514249Y-100525031D01* +X133552615Y-100651507D01* +X133614918Y-100768069D01* +X133698764Y-100870236D01* +X133800931Y-100954082D01* +X133917493Y-101016385D01* +X134043969Y-101054751D01* +X134175500Y-101067706D01* +X135064500Y-101067706D01* +X135196031Y-101054751D01* +X135322507Y-101016385D01* +X135439069Y-100954082D01* +X135541236Y-100870236D01* +X135625082Y-100768069D01* +X135687385Y-100651507D01* +X135725751Y-100525031D01* +X135738706Y-100393500D01* +X135738706Y-99250500D01* +X136041294Y-99250500D01* +X136041294Y-100393500D01* +X136054249Y-100525031D01* +X136092615Y-100651507D01* +X136154918Y-100768069D01* +X136238764Y-100870236D01* +X136340931Y-100954082D01* +X136457493Y-101016385D01* +X136583969Y-101054751D01* +X136715500Y-101067706D01* +X137604500Y-101067706D01* +X137736031Y-101054751D01* +X137862507Y-101016385D01* +X137979069Y-100954082D01* +X138081236Y-100870236D01* +X138165082Y-100768069D01* +X138227385Y-100651507D01* +X138265751Y-100525031D01* +X138278706Y-100393500D01* +X138278706Y-99250500D01* +X138581294Y-99250500D01* +X138581294Y-100393500D01* +X138594249Y-100525031D01* +X138632615Y-100651507D01* +X138694918Y-100768069D01* +X138778764Y-100870236D01* +X138880931Y-100954082D01* +X138997493Y-101016385D01* +X139123969Y-101054751D01* +X139255500Y-101067706D01* +X140144500Y-101067706D01* +X140276031Y-101054751D01* +X140402507Y-101016385D01* +X140519069Y-100954082D01* +X140621236Y-100870236D01* +X140705082Y-100768069D01* +X140767385Y-100651507D01* +X140805751Y-100525031D01* +X140818706Y-100393500D01* +X140818706Y-99250500D01* +X141121294Y-99250500D01* +X141121294Y-100393500D01* +X141134249Y-100525031D01* +X141172615Y-100651507D01* +X141234918Y-100768069D01* +X141318764Y-100870236D01* +X141420931Y-100954082D01* +X141537493Y-101016385D01* +X141663969Y-101054751D01* +X141795500Y-101067706D01* +X142684500Y-101067706D01* +X142816031Y-101054751D01* +X142942507Y-101016385D01* +X143059069Y-100954082D01* +X143161236Y-100870236D01* +X143245082Y-100768069D01* +X143307385Y-100651507D01* +X143345751Y-100525031D01* +X143358706Y-100393500D01* +X143358706Y-99250500D01* +X143661294Y-99250500D01* +X143661294Y-100393500D01* +X143674249Y-100525031D01* +X143712615Y-100651507D01* +X143774918Y-100768069D01* +X143858764Y-100870236D01* +X143960931Y-100954082D01* +X144077493Y-101016385D01* +X144203969Y-101054751D01* +X144335500Y-101067706D01* +X145224500Y-101067706D01* +X145356031Y-101054751D01* +X145482507Y-101016385D01* +X145599069Y-100954082D01* +X145701236Y-100870236D01* +X145785082Y-100768069D01* +X145847385Y-100651507D01* +X145885751Y-100525031D01* +X145898706Y-100393500D01* +X145898706Y-99250500D01* +X146201294Y-99250500D01* +X146201294Y-100393500D01* +X146214249Y-100525031D01* +X146252615Y-100651507D01* +X146314918Y-100768069D01* +X146398764Y-100870236D01* +X146500931Y-100954082D01* +X146617493Y-101016385D01* +X146743969Y-101054751D01* +X146875500Y-101067706D01* +X147764500Y-101067706D01* +X147896031Y-101054751D01* +X148022507Y-101016385D01* +X148139069Y-100954082D01* +X148241236Y-100870236D01* +X148325082Y-100768069D01* +X148387385Y-100651507D01* +X148425751Y-100525031D01* +X148438706Y-100393500D01* +X148438706Y-99250500D01* +X148741294Y-99250500D01* +X148741294Y-100393500D01* +X148754249Y-100525031D01* +X148792615Y-100651507D01* +X148854918Y-100768069D01* +X148938764Y-100870236D01* +X149040931Y-100954082D01* +X149157493Y-101016385D01* +X149283969Y-101054751D01* +X149415500Y-101067706D01* +X150304500Y-101067706D01* +X150436031Y-101054751D01* +X150562507Y-101016385D01* +X150679069Y-100954082D01* +X150781236Y-100870236D01* +X150865082Y-100768069D01* +X150927385Y-100651507D01* +X150965751Y-100525031D01* +X150978706Y-100393500D01* +X150978706Y-99250500D01* +X151281294Y-99250500D01* +X151281294Y-100393500D01* +X151294249Y-100525031D01* +X151332615Y-100651507D01* +X151394918Y-100768069D01* +X151478764Y-100870236D01* +X151580931Y-100954082D01* +X151697493Y-101016385D01* +X151823969Y-101054751D01* +X151955500Y-101067706D01* +X152844500Y-101067706D01* +X152976031Y-101054751D01* +X153102507Y-101016385D01* +X153219069Y-100954082D01* +X153321236Y-100870236D01* +X153405082Y-100768069D01* +X153467385Y-100651507D01* +X153505751Y-100525031D01* +X153518706Y-100393500D01* +X153518706Y-99250500D01* +X153821294Y-99250500D01* +X153821294Y-100393500D01* +X153834249Y-100525031D01* +X153872615Y-100651507D01* +X153934918Y-100768069D01* +X154018764Y-100870236D01* +X154120931Y-100954082D01* +X154237493Y-101016385D01* +X154363969Y-101054751D01* +X154495500Y-101067706D01* +X155384500Y-101067706D01* +X155516031Y-101054751D01* +X155642507Y-101016385D01* +X155759069Y-100954082D01* +X155861236Y-100870236D01* +X155887691Y-100838000D01* +X156361294Y-100838000D01* +X156365708Y-100882813D01* +X156378779Y-100925905D01* +X156400006Y-100965618D01* +X156428573Y-101000427D01* +X156463382Y-101028994D01* +X156503095Y-101050221D01* +X156546187Y-101063292D01* +X156591000Y-101067706D01* +X157349050Y-101066600D01* +X157406200Y-101009450D01* +X157406200Y-99895800D01* +X157553800Y-99895800D01* +X157553800Y-101009450D01* +X157610950Y-101066600D01* +X158369000Y-101067706D01* +X158413813Y-101063292D01* +X158456905Y-101050221D01* +X158496618Y-101028994D01* +X158531427Y-101000427D01* +X158559994Y-100965618D01* +X158581221Y-100925905D01* +X158594292Y-100882813D01* +X158598706Y-100838000D01* +X158598488Y-100663468D01* +X163728400Y-100663468D01* +X163728400Y-100758532D01* +X163746946Y-100851769D01* +X163783326Y-100939597D01* +X163836140Y-101018640D01* +X163903360Y-101085860D01* +X163982403Y-101138674D01* +X164070231Y-101175054D01* +X164163468Y-101193600D01* +X164258532Y-101193600D01* +X164351769Y-101175054D01* +X164439597Y-101138674D01* +X164518640Y-101085860D01* +X164585860Y-101018640D01* +X164638674Y-100939597D01* +X164675054Y-100851769D01* +X164693600Y-100758532D01* +X164693600Y-100663468D01* +X164675054Y-100570231D01* +X164638674Y-100482403D01* +X164585860Y-100403360D01* +X164518640Y-100336140D01* +X164439597Y-100283326D01* +X164351769Y-100246946D01* +X164258532Y-100228400D01* +X164163468Y-100228400D01* +X164070231Y-100246946D01* +X163982403Y-100283326D01* +X163903360Y-100336140D01* +X163836140Y-100403360D01* +X163783326Y-100482403D01* +X163746946Y-100570231D01* +X163728400Y-100663468D01* +X158598488Y-100663468D01* +X158597600Y-99952950D01* +X158540450Y-99895800D01* +X157553800Y-99895800D01* +X157406200Y-99895800D01* +X156419550Y-99895800D01* +X156362400Y-99952950D01* +X156361294Y-100838000D01* +X155887691Y-100838000D01* +X155945082Y-100768069D01* +X156007385Y-100651507D01* +X156045751Y-100525031D01* +X156058706Y-100393500D01* +X156058706Y-99250500D01* +X156045751Y-99118969D01* +X156007385Y-98992493D01* +X155945082Y-98875931D01* +X155887692Y-98806000D01* +X156361294Y-98806000D01* +X156362400Y-99691050D01* +X156419550Y-99748200D01* +X157406200Y-99748200D01* +X157406200Y-99728200D01* +X157553800Y-99728200D01* +X157553800Y-99748200D01* +X158540450Y-99748200D01* +X158597600Y-99691050D01* +X158598706Y-98806000D01* +X158594292Y-98761187D01* +X158581221Y-98718095D01* +X158559994Y-98678382D01* +X158531427Y-98643573D01* +X158496618Y-98615006D01* +X158456905Y-98593779D01* +X158413813Y-98580708D01* +X158369000Y-98576294D01* +X158065300Y-98576737D01* +X158042998Y-98519637D01* +X158034891Y-98504468D01* +X160807400Y-98504468D01* +X160807400Y-98599532D01* +X160825946Y-98692769D01* +X160862326Y-98780597D01* +X160915140Y-98859640D01* +X160982360Y-98926860D01* +X161061403Y-98979674D01* +X161149231Y-99016054D01* +X161242468Y-99034600D01* +X161337532Y-99034600D01* +X161430769Y-99016054D01* +X161518597Y-98979674D01* +X161597640Y-98926860D01* +X161664860Y-98859640D01* +X161717674Y-98780597D01* +X161754054Y-98692769D01* +X161772600Y-98599532D01* +X161772600Y-98504468D01* +X161754054Y-98411231D01* +X161717674Y-98323403D01* +X161664860Y-98244360D01* +X161597640Y-98177140D01* +X161518597Y-98124326D01* +X161430769Y-98087946D01* +X161337532Y-98069400D01* +X161242468Y-98069400D01* +X161149231Y-98087946D01* +X161061403Y-98124326D01* +X160982360Y-98177140D01* +X160915140Y-98244360D01* +X160862326Y-98323403D01* +X160825946Y-98411231D01* +X160807400Y-98504468D01* +X158034891Y-98504468D01* +X158030888Y-98496978D01* +X157935046Y-98455323D01* +X157813264Y-98577105D01* +X157610950Y-98577400D01* +X157553802Y-98634548D01* +X157553802Y-98627829D01* +X157830677Y-98350954D01* +X157789022Y-98255112D01* +X157675611Y-98205410D01* +X157554683Y-98178789D01* +X157430885Y-98176271D01* +X157308975Y-98197953D01* +X157193637Y-98243002D01* +X157170978Y-98255112D01* +X157129323Y-98350954D01* +X157406198Y-98627829D01* +X157406198Y-98634548D01* +X157349050Y-98577400D01* +X157146736Y-98577105D01* +X157024954Y-98455323D01* +X156929112Y-98496978D01* +X156894158Y-98576736D01* +X156591000Y-98576294D01* +X156546187Y-98580708D01* +X156503095Y-98593779D01* +X156463382Y-98615006D01* +X156428573Y-98643573D01* +X156400006Y-98678382D01* +X156378779Y-98718095D01* +X156365708Y-98761187D01* +X156361294Y-98806000D01* +X155887692Y-98806000D01* +X155861236Y-98773764D01* +X155759069Y-98689918D01* +X155642507Y-98627615D01* +X155532707Y-98594308D01* +X155497059Y-98508246D01* +X155428266Y-98405291D01* +X155340709Y-98317734D01* +X155237754Y-98248941D01* +X155123356Y-98201556D01* +X155001912Y-98177400D01* +X154878088Y-98177400D01* +X154756644Y-98201556D01* +X154642246Y-98248941D01* +X154539291Y-98317734D01* +X154451734Y-98405291D01* +X154382941Y-98508246D01* +X154347293Y-98594308D01* +X154237493Y-98627615D01* +X154120931Y-98689918D01* +X154018764Y-98773764D01* +X153934918Y-98875931D01* +X153872615Y-98992493D01* +X153834249Y-99118969D01* +X153821294Y-99250500D01* +X153518706Y-99250500D01* +X153505751Y-99118969D01* +X153467385Y-98992493D01* +X153405082Y-98875931D01* +X153321236Y-98773764D01* +X153219069Y-98689918D01* +X153102507Y-98627615D01* +X152992707Y-98594308D01* +X152957059Y-98508246D01* +X152888266Y-98405291D01* +X152800709Y-98317734D01* +X152697754Y-98248941D01* +X152583356Y-98201556D01* +X152461912Y-98177400D01* +X152338088Y-98177400D01* +X152216644Y-98201556D01* +X152102246Y-98248941D01* +X151999291Y-98317734D01* +X151911734Y-98405291D01* +X151842941Y-98508246D01* +X151807293Y-98594308D01* +X151697493Y-98627615D01* +X151580931Y-98689918D01* +X151478764Y-98773764D01* +X151394918Y-98875931D01* +X151332615Y-98992493D01* +X151294249Y-99118969D01* +X151281294Y-99250500D01* +X150978706Y-99250500D01* +X150965751Y-99118969D01* +X150927385Y-98992493D01* +X150865082Y-98875931D01* +X150781236Y-98773764D01* +X150679069Y-98689918D01* +X150562507Y-98627615D01* +X150452707Y-98594308D01* +X150417059Y-98508246D01* +X150348266Y-98405291D01* +X150260709Y-98317734D01* +X150157754Y-98248941D01* +X150043356Y-98201556D01* +X149921912Y-98177400D01* +X149798088Y-98177400D01* +X149676644Y-98201556D01* +X149562246Y-98248941D01* +X149459291Y-98317734D01* +X149371734Y-98405291D01* +X149302941Y-98508246D01* +X149267293Y-98594308D01* +X149157493Y-98627615D01* +X149040931Y-98689918D01* +X148938764Y-98773764D01* +X148854918Y-98875931D01* +X148792615Y-98992493D01* +X148754249Y-99118969D01* +X148741294Y-99250500D01* +X148438706Y-99250500D01* +X148425751Y-99118969D01* +X148387385Y-98992493D01* +X148325082Y-98875931D01* +X148241236Y-98773764D01* +X148139069Y-98689918D01* +X148022507Y-98627615D01* +X147912707Y-98594308D01* +X147877059Y-98508246D01* +X147808266Y-98405291D01* +X147720709Y-98317734D01* +X147617754Y-98248941D01* +X147503356Y-98201556D01* +X147381912Y-98177400D01* +X147258088Y-98177400D01* +X147136644Y-98201556D01* +X147022246Y-98248941D01* +X146919291Y-98317734D01* +X146831734Y-98405291D01* +X146762941Y-98508246D01* +X146727293Y-98594308D01* +X146617493Y-98627615D01* +X146500931Y-98689918D01* +X146398764Y-98773764D01* +X146314918Y-98875931D01* +X146252615Y-98992493D01* +X146214249Y-99118969D01* +X146201294Y-99250500D01* +X145898706Y-99250500D01* +X145885751Y-99118969D01* +X145847385Y-98992493D01* +X145785082Y-98875931D01* +X145701236Y-98773764D01* +X145599069Y-98689918D01* +X145482507Y-98627615D01* +X145372707Y-98594308D01* +X145337059Y-98508246D01* +X145268266Y-98405291D01* +X145180709Y-98317734D01* +X145077754Y-98248941D01* +X144963356Y-98201556D01* +X144841912Y-98177400D01* +X144718088Y-98177400D01* +X144596644Y-98201556D01* +X144482246Y-98248941D01* +X144379291Y-98317734D01* +X144291734Y-98405291D01* +X144222941Y-98508246D01* +X144187293Y-98594308D01* +X144077493Y-98627615D01* +X143960931Y-98689918D01* +X143858764Y-98773764D01* +X143774918Y-98875931D01* +X143712615Y-98992493D01* +X143674249Y-99118969D01* +X143661294Y-99250500D01* +X143358706Y-99250500D01* +X143345751Y-99118969D01* +X143307385Y-98992493D01* +X143245082Y-98875931D01* +X143161236Y-98773764D01* +X143059069Y-98689918D01* +X142942507Y-98627615D01* +X142832707Y-98594308D01* +X142797059Y-98508246D01* +X142728266Y-98405291D01* +X142640709Y-98317734D01* +X142537754Y-98248941D01* +X142423356Y-98201556D01* +X142301912Y-98177400D01* +X142178088Y-98177400D01* +X142056644Y-98201556D01* +X141942246Y-98248941D01* +X141839291Y-98317734D01* +X141751734Y-98405291D01* +X141682941Y-98508246D01* +X141647293Y-98594308D01* +X141537493Y-98627615D01* +X141420931Y-98689918D01* +X141318764Y-98773764D01* +X141234918Y-98875931D01* +X141172615Y-98992493D01* +X141134249Y-99118969D01* +X141121294Y-99250500D01* +X140818706Y-99250500D01* +X140805751Y-99118969D01* +X140767385Y-98992493D01* +X140705082Y-98875931D01* +X140621236Y-98773764D01* +X140519069Y-98689918D01* +X140402507Y-98627615D01* +X140292707Y-98594308D01* +X140257059Y-98508246D01* +X140188266Y-98405291D01* +X140100709Y-98317734D01* +X139997754Y-98248941D01* +X139883356Y-98201556D01* +X139761912Y-98177400D01* +X139638088Y-98177400D01* +X139516644Y-98201556D01* +X139402246Y-98248941D01* +X139299291Y-98317734D01* +X139211734Y-98405291D01* +X139142941Y-98508246D01* +X139107293Y-98594308D01* +X138997493Y-98627615D01* +X138880931Y-98689918D01* +X138778764Y-98773764D01* +X138694918Y-98875931D01* +X138632615Y-98992493D01* +X138594249Y-99118969D01* +X138581294Y-99250500D01* +X138278706Y-99250500D01* +X138265751Y-99118969D01* +X138227385Y-98992493D01* +X138165082Y-98875931D01* +X138081236Y-98773764D01* +X137979069Y-98689918D01* +X137862507Y-98627615D01* +X137752707Y-98594308D01* +X137717059Y-98508246D01* +X137648266Y-98405291D01* +X137560709Y-98317734D01* +X137457754Y-98248941D01* +X137343356Y-98201556D01* +X137221912Y-98177400D01* +X137098088Y-98177400D01* +X136976644Y-98201556D01* +X136862246Y-98248941D01* +X136759291Y-98317734D01* +X136671734Y-98405291D01* +X136602941Y-98508246D01* +X136567293Y-98594308D01* +X136457493Y-98627615D01* +X136340931Y-98689918D01* +X136238764Y-98773764D01* +X136154918Y-98875931D01* +X136092615Y-98992493D01* +X136054249Y-99118969D01* +X136041294Y-99250500D01* +X135738706Y-99250500D01* +X135725751Y-99118969D01* +X135687385Y-98992493D01* +X135625082Y-98875931D01* +X135541236Y-98773764D01* +X135439069Y-98689918D01* +X135322507Y-98627615D01* +X135212707Y-98594308D01* +X135177059Y-98508246D01* +X135108266Y-98405291D01* +X135020709Y-98317734D01* +X134917754Y-98248941D01* +X134803356Y-98201556D01* +X134681912Y-98177400D01* +X134558088Y-98177400D01* +X134436644Y-98201556D01* +X134322246Y-98248941D01* +X134219291Y-98317734D01* +X134131734Y-98405291D01* +X134062941Y-98508246D01* +X134027293Y-98594308D01* +X133917493Y-98627615D01* +X133800931Y-98689918D01* +X133698764Y-98773764D01* +X133614918Y-98875931D01* +X133552615Y-98992493D01* +X133514249Y-99118969D01* +X133501294Y-99250500D01* +X133198706Y-99250500D01* +X133185751Y-99118969D01* +X133147385Y-98992493D01* +X133085082Y-98875931D01* +X133001236Y-98773764D01* +X132899069Y-98689918D01* +X132782507Y-98627615D01* +X132672707Y-98594308D01* +X132637059Y-98508246D01* +X132568266Y-98405291D01* +X132480709Y-98317734D01* +X132377754Y-98248941D01* +X132263356Y-98201556D01* +X132141912Y-98177400D01* +X132018088Y-98177400D01* +X131896644Y-98201556D01* +X131782246Y-98248941D01* +X131679291Y-98317734D01* +X131591734Y-98405291D01* +X131522941Y-98508246D01* +X131487293Y-98594308D01* +X131377493Y-98627615D01* +X131260931Y-98689918D01* +X131158764Y-98773764D01* +X131074918Y-98875931D01* +X131012615Y-98992493D01* +X130974249Y-99118969D01* +X130961294Y-99250500D01* +X130658706Y-99250500D01* +X130645751Y-99118969D01* +X130607385Y-98992493D01* +X130545082Y-98875931D01* +X130461236Y-98773764D01* +X130359069Y-98689918D01* +X130242507Y-98627615D01* +X130132707Y-98594308D01* +X130097059Y-98508246D01* +X130028266Y-98405291D01* +X129940709Y-98317734D01* +X129837754Y-98248941D01* +X129723356Y-98201556D01* +X129601912Y-98177400D01* +X129478088Y-98177400D01* +X129356644Y-98201556D01* +X129242246Y-98248941D01* +X129139291Y-98317734D01* +X129051734Y-98405291D01* +X128982941Y-98508246D01* +X128947293Y-98594308D01* +X128837493Y-98627615D01* +X128720931Y-98689918D01* +X128618764Y-98773764D01* +X128534918Y-98875931D01* +X128472615Y-98992493D01* +X128434249Y-99118969D01* +X128421294Y-99250500D01* +X128118706Y-99250500D01* +X128105751Y-99118969D01* +X128067385Y-98992493D01* +X128005082Y-98875931D01* +X127921236Y-98773764D01* +X127819069Y-98689918D01* +X127702507Y-98627615D01* +X127592707Y-98594308D01* +X127557059Y-98508246D01* +X127488266Y-98405291D01* +X127400709Y-98317734D01* +X127300040Y-98250468D01* +X127787400Y-98250468D01* +X127787400Y-98345532D01* +X127805946Y-98438769D01* +X127842326Y-98526597D01* +X127895140Y-98605640D01* +X127962360Y-98672860D01* +X128041403Y-98725674D01* +X128129231Y-98762054D01* +X128222468Y-98780600D01* +X128317532Y-98780600D01* +X128410769Y-98762054D01* +X128498597Y-98725674D01* +X128577640Y-98672860D01* +X128644860Y-98605640D01* +X128697674Y-98526597D01* +X128734054Y-98438769D01* +X128752600Y-98345532D01* +X128752600Y-98250468D01* +X128734054Y-98157231D01* +X128697674Y-98069403D01* +X128644860Y-97990360D01* +X128577640Y-97923140D01* +X128498597Y-97870326D01* +X128410769Y-97833946D01* +X128317532Y-97815400D01* +X128222468Y-97815400D01* +X128129231Y-97833946D01* +X128041403Y-97870326D01* +X127962360Y-97923140D01* +X127895140Y-97990360D01* +X127842326Y-98069403D01* +X127805946Y-98157231D01* +X127787400Y-98250468D01* +X127300040Y-98250468D01* +X127297754Y-98248941D01* +X127183356Y-98201556D01* +X127061912Y-98177400D01* +X126938088Y-98177400D01* +X126816644Y-98201556D01* +X126702246Y-98248941D01* +X126599291Y-98317734D01* +X126511734Y-98405291D01* +X126442941Y-98508246D01* +X126407293Y-98594308D01* +X126297493Y-98627615D01* +X126180931Y-98689918D01* +X126078764Y-98773764D01* +X125994918Y-98875931D01* +X125932615Y-98992493D01* +X125894249Y-99118969D01* +X125881294Y-99250500D01* +X125578706Y-99250500D01* +X125565751Y-99118969D01* +X125527385Y-98992493D01* +X125465082Y-98875931D01* +X125381236Y-98773764D01* +X125279069Y-98689918D01* +X125162507Y-98627615D01* +X125052707Y-98594308D01* +X125017059Y-98508246D01* +X124948266Y-98405291D01* +X124860709Y-98317734D01* +X124757754Y-98248941D01* +X124643356Y-98201556D01* +X124521912Y-98177400D01* +X124398088Y-98177400D01* +X124276644Y-98201556D01* +X124162246Y-98248941D01* +X124059291Y-98317734D01* +X123971734Y-98405291D01* +X123902941Y-98508246D01* +X123867293Y-98594308D01* +X123757493Y-98627615D01* +X123640931Y-98689918D01* +X123538764Y-98773764D01* +X123454918Y-98875931D01* +X123392615Y-98992493D01* +X123354249Y-99118969D01* +X123341294Y-99250500D01* +X123038706Y-99250500D01* +X123025751Y-99118969D01* +X122987385Y-98992493D01* +X122925082Y-98875931D01* +X122841236Y-98773764D01* +X122739069Y-98689918D01* +X122622507Y-98627615D01* +X122512707Y-98594308D01* +X122477059Y-98508246D01* +X122408266Y-98405291D01* +X122320709Y-98317734D01* +X122217754Y-98248941D01* +X122103356Y-98201556D01* +X121981912Y-98177400D01* +X121858088Y-98177400D01* +X121736644Y-98201556D01* +X121622246Y-98248941D01* +X121519291Y-98317734D01* +X121431734Y-98405291D01* +X121362941Y-98508246D01* +X121327293Y-98594308D01* +X121217493Y-98627615D01* +X121100931Y-98689918D01* +X120998764Y-98773764D01* +X120914918Y-98875931D01* +X120852615Y-98992493D01* +X120814249Y-99118969D01* +X120801294Y-99250500D01* +X120498706Y-99250500D01* +X120485751Y-99118969D01* +X120447385Y-98992493D01* +X120385082Y-98875931D01* +X120301236Y-98773764D01* +X120199069Y-98689918D01* +X120082507Y-98627615D01* +X119972707Y-98594308D01* +X119937059Y-98508246D01* +X119868266Y-98405291D01* +X119780709Y-98317734D01* +X119677754Y-98248941D01* +X119563356Y-98201556D01* +X119441912Y-98177400D01* +X119318088Y-98177400D01* +X119196644Y-98201556D01* +X119082246Y-98248941D01* +X118979291Y-98317734D01* +X118891734Y-98405291D01* +X118822941Y-98508246D01* +X118787293Y-98594308D01* +X118677493Y-98627615D01* +X118560931Y-98689918D01* +X118458764Y-98773764D01* +X118374918Y-98875931D01* +X118312615Y-98992493D01* +X118274249Y-99118969D01* +X118261294Y-99250500D01* +X117958706Y-99250500D01* +X117945751Y-99118969D01* +X117907385Y-98992493D01* +X117845082Y-98875931D01* +X117761236Y-98773764D01* +X117659069Y-98689918D01* +X117542507Y-98627615D01* +X117432707Y-98594308D01* +X117397059Y-98508246D01* +X117328266Y-98405291D01* +X117240709Y-98317734D01* +X117137754Y-98248941D01* +X117023356Y-98201556D01* +X116901912Y-98177400D01* +X116778088Y-98177400D01* +X116656644Y-98201556D01* +X116542246Y-98248941D01* +X116439291Y-98317734D01* +X116351734Y-98405291D01* +X116282941Y-98508246D01* +X116247293Y-98594308D01* +X116137493Y-98627615D01* +X116020931Y-98689918D01* +X115918764Y-98773764D01* +X115834918Y-98875931D01* +X115772615Y-98992493D01* +X115734249Y-99118969D01* +X115721294Y-99250500D01* +X115418706Y-99250500D01* +X115405751Y-99118969D01* +X115367385Y-98992493D01* +X115305082Y-98875931D01* +X115221236Y-98773764D01* +X115119069Y-98689918D01* +X115002507Y-98627615D01* +X114892707Y-98594308D01* +X114857059Y-98508246D01* +X114788266Y-98405291D01* +X114700709Y-98317734D01* +X114597754Y-98248941D01* +X114483356Y-98201556D01* +X114361912Y-98177400D01* +X114238088Y-98177400D01* +X114116644Y-98201556D01* +X114002246Y-98248941D01* +X113899291Y-98317734D01* +X113811734Y-98405291D01* +X113742941Y-98508246D01* +X113707293Y-98594308D01* +X113597493Y-98627615D01* +X113480931Y-98689918D01* +X113378764Y-98773764D01* +X113294918Y-98875931D01* +X113232615Y-98992493D01* +X113194249Y-99118969D01* +X113181294Y-99250500D01* +X112878706Y-99250500D01* +X112865751Y-99118969D01* +X112827385Y-98992493D01* +X112765082Y-98875931D01* +X112681236Y-98773764D01* +X112579069Y-98689918D01* +X112462507Y-98627615D01* +X112352707Y-98594308D01* +X112317059Y-98508246D01* +X112248266Y-98405291D01* +X112160709Y-98317734D01* +X112057754Y-98248941D01* +X111943356Y-98201556D01* +X111821912Y-98177400D01* +X111698088Y-98177400D01* +X111576644Y-98201556D01* +X111462246Y-98248941D01* +X111359291Y-98317734D01* +X111271734Y-98405291D01* +X111202941Y-98508246D01* +X111167293Y-98594308D01* +X111057493Y-98627615D01* +X110940931Y-98689918D01* +X110838764Y-98773764D01* +X110754918Y-98875931D01* +X110692615Y-98992493D01* +X110654249Y-99118969D01* +X110641294Y-99250500D01* +X110338706Y-99250500D01* +X110325751Y-99118969D01* +X110287385Y-98992493D01* +X110225082Y-98875931D01* +X110141236Y-98773764D01* +X110039069Y-98689918D01* +X109922507Y-98627615D01* +X109812707Y-98594308D01* +X109777059Y-98508246D01* +X109708266Y-98405291D01* +X109620709Y-98317734D01* +X109517754Y-98248941D01* +X109403356Y-98201556D01* +X109281912Y-98177400D01* +X109158088Y-98177400D01* +X109036644Y-98201556D01* +X108922246Y-98248941D01* +X108819291Y-98317734D01* +X108731734Y-98405291D01* +X108662941Y-98508246D01* +X108627293Y-98594308D01* +X108517493Y-98627615D01* +X108400931Y-98689918D01* +X108298764Y-98773764D01* +X108214918Y-98875931D01* +X108152615Y-98992493D01* +X108114249Y-99118969D01* +X108101294Y-99250500D01* +X107798706Y-99250500D01* +X107785751Y-99118969D01* +X107747385Y-98992493D01* +X107685082Y-98875931D01* +X107601236Y-98773764D01* +X107499069Y-98689918D01* +X107382507Y-98627615D01* +X107272707Y-98594308D01* +X107237059Y-98508246D01* +X107168266Y-98405291D01* +X107080709Y-98317734D01* +X106977754Y-98248941D01* +X106863356Y-98201556D01* +X106741912Y-98177400D01* +X106618088Y-98177400D01* +X106496644Y-98201556D01* +X106382246Y-98248941D01* +X106279291Y-98317734D01* +X106191734Y-98405291D01* +X106122941Y-98508246D01* +X106087293Y-98594308D01* +X105977493Y-98627615D01* +X105860931Y-98689918D01* +X105758764Y-98773764D01* +X105674918Y-98875931D01* +X105612615Y-98992493D01* +X105574249Y-99118969D01* +X105561294Y-99250500D01* +X105258706Y-99250500D01* +X105245751Y-99118969D01* +X105207385Y-98992493D01* +X105145082Y-98875931D01* +X105061236Y-98773764D01* +X104959069Y-98689918D01* +X104842507Y-98627615D01* +X104732707Y-98594308D01* +X104697059Y-98508246D01* +X104628266Y-98405291D01* +X104540709Y-98317734D01* +X104437754Y-98248941D01* +X104323356Y-98201556D01* +X104201912Y-98177400D01* +X104078088Y-98177400D01* +X103956644Y-98201556D01* +X103842246Y-98248941D01* +X103739291Y-98317734D01* +X103651734Y-98405291D01* +X103582941Y-98508246D01* +X103547293Y-98594308D01* +X103437493Y-98627615D01* +X103320931Y-98689918D01* +X103218764Y-98773764D01* +X103134918Y-98875931D01* +X103072615Y-98992493D01* +X103034249Y-99118969D01* +X103021294Y-99250500D01* +X102718706Y-99250500D01* +X102705751Y-99118969D01* +X102667385Y-98992493D01* +X102605082Y-98875931D01* +X102521236Y-98773764D01* +X102419069Y-98689918D01* +X102302507Y-98627615D01* +X102192707Y-98594308D01* +X102157059Y-98508246D01* +X102088266Y-98405291D01* +X102000709Y-98317734D01* +X101897754Y-98248941D01* +X101783356Y-98201556D01* +X101661912Y-98177400D01* +X101538088Y-98177400D01* +X101416644Y-98201556D01* +X101302246Y-98248941D01* +X101199291Y-98317734D01* +X101111734Y-98405291D01* +X101042941Y-98508246D01* +X101007293Y-98594308D01* +X100897493Y-98627615D01* +X100780931Y-98689918D01* +X100678764Y-98773764D01* +X100594918Y-98875931D01* +X100532615Y-98992493D01* +X100494249Y-99118969D01* +X100481294Y-99250500D01* +X100178706Y-99250500D01* +X100165751Y-99118969D01* +X100127385Y-98992493D01* +X100065082Y-98875931D01* +X99981236Y-98773764D01* +X99879069Y-98689918D01* +X99762507Y-98627615D01* +X99652707Y-98594308D01* +X99617059Y-98508246D01* +X99548266Y-98405291D01* +X99460709Y-98317734D01* +X99357754Y-98248941D01* +X99243356Y-98201556D01* +X99121912Y-98177400D01* +X98998088Y-98177400D01* +X98876644Y-98201556D01* +X98762246Y-98248941D01* +X98659291Y-98317734D01* +X98571734Y-98405291D01* +X98502941Y-98508246D01* +X98467293Y-98594308D01* +X98357493Y-98627615D01* +X98240931Y-98689918D01* +X98138764Y-98773764D01* +X98054918Y-98875931D01* +X97992615Y-98992493D01* +X97954249Y-99118969D01* +X97941294Y-99250500D01* +X97638706Y-99250500D01* +X97625751Y-99118969D01* +X97587385Y-98992493D01* +X97525082Y-98875931D01* +X97441236Y-98773764D01* +X97339069Y-98689918D01* +X97222507Y-98627615D01* +X97112707Y-98594308D01* +X97077059Y-98508246D01* +X97008266Y-98405291D01* +X96920709Y-98317734D01* +X96817754Y-98248941D01* +X96703356Y-98201556D01* +X96581912Y-98177400D01* +X96458088Y-98177400D01* +X96336644Y-98201556D01* +X96222246Y-98248941D01* +X96119291Y-98317734D01* +X96031734Y-98405291D01* +X95962941Y-98508246D01* +X95927293Y-98594308D01* +X95817493Y-98627615D01* +X95700931Y-98689918D01* +X95598764Y-98773764D01* +X95514918Y-98875931D01* +X95452615Y-98992493D01* +X95414249Y-99118969D01* +X95401294Y-99250500D01* +X95098706Y-99250500D01* +X95085751Y-99118969D01* +X95047385Y-98992493D01* +X94985082Y-98875931D01* +X94901236Y-98773764D01* +X94799069Y-98689918D01* +X94682507Y-98627615D01* +X94572707Y-98594308D01* +X94537059Y-98508246D01* +X94468266Y-98405291D01* +X94380709Y-98317734D01* +X94277754Y-98248941D01* +X94163356Y-98201556D01* +X94041912Y-98177400D01* +X93918088Y-98177400D01* +X93796644Y-98201556D01* +X93682246Y-98248941D01* +X93579291Y-98317734D01* +X93491734Y-98405291D01* +X93422941Y-98508246D01* +X93387293Y-98594308D01* +X93277493Y-98627615D01* +X93160931Y-98689918D01* +X93058764Y-98773764D01* +X92974918Y-98875931D01* +X92912615Y-98992493D01* +X92874249Y-99118969D01* +X92861294Y-99250500D01* +X92558706Y-99250500D01* +X92545751Y-99118969D01* +X92507385Y-98992493D01* +X92445082Y-98875931D01* +X92361236Y-98773764D01* +X92259069Y-98689918D01* +X92142507Y-98627615D01* +X92032707Y-98594308D01* +X91997059Y-98508246D01* +X91928266Y-98405291D01* +X91840709Y-98317734D01* +X91737754Y-98248941D01* +X91623356Y-98201556D01* +X91501912Y-98177400D01* +X91378088Y-98177400D01* +X91256644Y-98201556D01* +X91142246Y-98248941D01* +X91039291Y-98317734D01* +X90951734Y-98405291D01* +X90882941Y-98508246D01* +X90847293Y-98594308D01* +X90737493Y-98627615D01* +X90620931Y-98689918D01* +X90518764Y-98773764D01* +X90434918Y-98875931D01* +X90372615Y-98992493D01* +X90334249Y-99118969D01* +X90321294Y-99250500D01* +X90018706Y-99250500D01* +X90005751Y-99118969D01* +X89967385Y-98992493D01* +X89905082Y-98875931D01* +X89821236Y-98773764D01* +X89719069Y-98689918D01* +X89602507Y-98627615D01* +X89492707Y-98594308D01* +X89457059Y-98508246D01* +X89388266Y-98405291D01* +X89300709Y-98317734D01* +X89197754Y-98248941D01* +X89083356Y-98201556D01* +X88961912Y-98177400D01* +X88838088Y-98177400D01* +X88716644Y-98201556D01* +X88602246Y-98248941D01* +X88499291Y-98317734D01* +X88411734Y-98405291D01* +X88342941Y-98508246D01* +X88307293Y-98594308D01* +X88197493Y-98627615D01* +X88080931Y-98689918D01* +X87978764Y-98773764D01* +X87894918Y-98875931D01* +X87832615Y-98992493D01* +X87794249Y-99118969D01* +X87781294Y-99250500D01* +X87478706Y-99250500D01* +X87465751Y-99118969D01* +X87427385Y-98992493D01* +X87365082Y-98875931D01* +X87281236Y-98773764D01* +X87179069Y-98689918D01* +X87062507Y-98627615D01* +X86952707Y-98594308D01* +X86917059Y-98508246D01* +X86848266Y-98405291D01* +X86760709Y-98317734D01* +X86657754Y-98248941D01* +X86543356Y-98201556D01* +X86421912Y-98177400D01* +X86298088Y-98177400D01* +X86176644Y-98201556D01* +X86062246Y-98248941D01* +X85959291Y-98317734D01* +X85871734Y-98405291D01* +X85802941Y-98508246D01* +X85767293Y-98594308D01* +X85657493Y-98627615D01* +X85540931Y-98689918D01* +X85438764Y-98773764D01* +X85354918Y-98875931D01* +X85292615Y-98992493D01* +X85254249Y-99118969D01* +X85241294Y-99250500D01* +X84938150Y-99250500D01* +X84938706Y-98806000D01* +X84934292Y-98761187D01* +X84921221Y-98718095D01* +X84899994Y-98678382D01* +X84871427Y-98643573D01* +X84836618Y-98615006D01* +X84796905Y-98593779D01* +X84753813Y-98580708D01* +X84709000Y-98576294D01* +X84405300Y-98576737D01* +X84382998Y-98519637D01* +X84370888Y-98496978D01* +X84275046Y-98455323D01* +X84153264Y-98577105D01* +X83950950Y-98577400D01* +X83893802Y-98634548D01* +X83893802Y-98627829D01* +X84170677Y-98350954D01* +X84129022Y-98255112D01* +X84015611Y-98205410D01* +X83894683Y-98178789D01* +X83770885Y-98176271D01* +X83648975Y-98197953D01* +X83533637Y-98243002D01* +X83510978Y-98255112D01* +X83469323Y-98350954D01* +X83746198Y-98627829D01* +X83746198Y-98634548D01* +X83689050Y-98577400D01* +X83486736Y-98577105D01* +X83364954Y-98455323D01* +X83269112Y-98496978D01* +X83234158Y-98576736D01* +X82931000Y-98576294D01* +X82886187Y-98580708D01* +X82843095Y-98593779D01* +X82803382Y-98615006D01* +X82768573Y-98643573D01* +X82740006Y-98678382D01* +X82718779Y-98718095D01* +X82705708Y-98761187D01* +X82701294Y-98806000D01* +X78560600Y-98806000D01* +X78560600Y-97742468D01* +X80416400Y-97742468D01* +X80416400Y-97837532D01* +X80434946Y-97930769D01* +X80471326Y-98018597D01* +X80524140Y-98097640D01* +X80591360Y-98164860D01* +X80670403Y-98217674D01* +X80758231Y-98254054D01* +X80851468Y-98272600D01* +X80946532Y-98272600D01* +X81039769Y-98254054D01* +X81127597Y-98217674D01* +X81206640Y-98164860D01* +X81273860Y-98097640D01* +X81326674Y-98018597D01* +X81363054Y-97930769D01* +X81375247Y-97869468D01* +X96926400Y-97869468D01* +X96926400Y-97964532D01* +X96944946Y-98057769D01* +X96981326Y-98145597D01* +X97034140Y-98224640D01* +X97101360Y-98291860D01* +X97180403Y-98344674D01* +X97268231Y-98381054D01* +X97361468Y-98399600D01* +X97456532Y-98399600D01* +X97549769Y-98381054D01* +X97637597Y-98344674D01* +X97716640Y-98291860D01* +X97783860Y-98224640D01* +X97836674Y-98145597D01* +X97873054Y-98057769D01* +X97891600Y-97964532D01* +X97891600Y-97869468D01* +X99466400Y-97869468D01* +X99466400Y-97964532D01* +X99484946Y-98057769D01* +X99521326Y-98145597D01* +X99574140Y-98224640D01* +X99641360Y-98291860D01* +X99720403Y-98344674D01* +X99808231Y-98381054D01* +X99901468Y-98399600D01* +X99996532Y-98399600D01* +X100089769Y-98381054D01* +X100177597Y-98344674D01* +X100256640Y-98291860D01* +X100323860Y-98224640D01* +X100376674Y-98145597D01* +X100413054Y-98057769D01* +X100431600Y-97964532D01* +X100431600Y-97869468D01* +X102260400Y-97869468D01* +X102260400Y-97964532D01* +X102278946Y-98057769D01* +X102315326Y-98145597D01* +X102368140Y-98224640D01* +X102435360Y-98291860D01* +X102514403Y-98344674D01* +X102602231Y-98381054D01* +X102695468Y-98399600D01* +X102790532Y-98399600D01* +X102883769Y-98381054D01* +X102971597Y-98344674D01* +X103050640Y-98291860D01* +X103117860Y-98224640D01* +X103170674Y-98145597D01* +X103207054Y-98057769D01* +X103225600Y-97964532D01* +X103225600Y-97869468D01* +X109626400Y-97869468D01* +X109626400Y-97964532D01* +X109644946Y-98057769D01* +X109681326Y-98145597D01* +X109734140Y-98224640D01* +X109801360Y-98291860D01* +X109880403Y-98344674D01* +X109968231Y-98381054D01* +X110061468Y-98399600D01* +X110156532Y-98399600D01* +X110249769Y-98381054D01* +X110337597Y-98344674D01* +X110416640Y-98291860D01* +X110483860Y-98224640D01* +X110536674Y-98145597D01* +X110573054Y-98057769D01* +X110591600Y-97964532D01* +X110591600Y-97869468D01* +X110573054Y-97776231D01* +X110536674Y-97688403D01* +X110483860Y-97609360D01* +X110416640Y-97542140D01* +X110337597Y-97489326D01* +X110335526Y-97488468D01* +X110769400Y-97488468D01* +X110769400Y-97583532D01* +X110787946Y-97676769D01* +X110824326Y-97764597D01* +X110877140Y-97843640D01* +X110944360Y-97910860D01* +X111023403Y-97963674D01* +X111111231Y-98000054D01* +X111204468Y-98018600D01* +X111299532Y-98018600D01* +X111392769Y-98000054D01* +X111480597Y-97963674D01* +X111559640Y-97910860D01* +X111601032Y-97869468D01* +X117246400Y-97869468D01* +X117246400Y-97964532D01* +X117264946Y-98057769D01* +X117301326Y-98145597D01* +X117354140Y-98224640D01* +X117421360Y-98291860D01* +X117500403Y-98344674D01* +X117588231Y-98381054D01* +X117681468Y-98399600D01* +X117776532Y-98399600D01* +X117869769Y-98381054D01* +X117957597Y-98344674D01* +X118036640Y-98291860D01* +X118103860Y-98224640D01* +X118156674Y-98145597D01* +X118193054Y-98057769D01* +X118211600Y-97964532D01* +X118211600Y-97869468D01* +X118193054Y-97776231D01* +X118156674Y-97688403D01* +X118103860Y-97609360D01* +X118036640Y-97542140D01* +X117957597Y-97489326D01* +X117955526Y-97488468D01* +X118389400Y-97488468D01* +X118389400Y-97583532D01* +X118407946Y-97676769D01* +X118444326Y-97764597D01* +X118497140Y-97843640D01* +X118564360Y-97910860D01* +X118643403Y-97963674D01* +X118731231Y-98000054D01* +X118824468Y-98018600D01* +X118919532Y-98018600D01* +X119012769Y-98000054D01* +X119100597Y-97963674D01* +X119179640Y-97910860D01* +X119246860Y-97843640D01* +X119299674Y-97764597D01* +X119336054Y-97676769D01* +X119354600Y-97583532D01* +X119354600Y-97488468D01* +X120929400Y-97488468D01* +X120929400Y-97583532D01* +X120947946Y-97676769D01* +X120984326Y-97764597D01* +X121037140Y-97843640D01* +X121104360Y-97910860D01* +X121183403Y-97963674D01* +X121271231Y-98000054D01* +X121364468Y-98018600D01* +X121459532Y-98018600D01* +X121552769Y-98000054D01* +X121640597Y-97963674D01* +X121719640Y-97910860D01* +X121786860Y-97843640D01* +X121839674Y-97764597D01* +X121876054Y-97676769D01* +X121894600Y-97583532D01* +X121894600Y-97488468D01* +X121945400Y-97488468D01* +X121945400Y-97583532D01* +X121963946Y-97676769D01* +X122000326Y-97764597D01* +X122053140Y-97843640D01* +X122120360Y-97910860D01* +X122199403Y-97963674D01* +X122287231Y-98000054D01* +X122380468Y-98018600D01* +X122475532Y-98018600D01* +X122568769Y-98000054D01* +X122656597Y-97963674D01* +X122735640Y-97910860D01* +X122802860Y-97843640D01* +X122855674Y-97764597D01* +X122892054Y-97676769D01* +X122904247Y-97615468D01* +X131216400Y-97615468D01* +X131216400Y-97710532D01* +X131234946Y-97803769D01* +X131271326Y-97891597D01* +X131324140Y-97970640D01* +X131391360Y-98037860D01* +X131470403Y-98090674D01* +X131558231Y-98127054D01* +X131651468Y-98145600D01* +X131746532Y-98145600D01* +X131839769Y-98127054D01* +X131927597Y-98090674D01* +X132006640Y-98037860D01* +X132073860Y-97970640D01* +X132126674Y-97891597D01* +X132163054Y-97803769D01* +X132181600Y-97710532D01* +X132181600Y-97615468D01* +X133756400Y-97615468D01* +X133756400Y-97710532D01* +X133774946Y-97803769D01* +X133811326Y-97891597D01* +X133864140Y-97970640D01* +X133931360Y-98037860D01* +X134010403Y-98090674D01* +X134098231Y-98127054D01* +X134191468Y-98145600D01* +X134286532Y-98145600D01* +X134379769Y-98127054D01* +X134467597Y-98090674D01* +X134546640Y-98037860D01* +X134613860Y-97970640D01* +X134666674Y-97891597D01* +X134703054Y-97803769D01* +X134721600Y-97710532D01* +X134721600Y-97615468D01* +X134703054Y-97522231D01* +X134666674Y-97434403D01* +X134617942Y-97361468D01* +X138582400Y-97361468D01* +X138582400Y-97456532D01* +X138600946Y-97549769D01* +X138637326Y-97637597D01* +X138690140Y-97716640D01* +X138757360Y-97783860D01* +X138836403Y-97836674D01* +X138924231Y-97873054D01* +X139017468Y-97891600D01* +X139112532Y-97891600D01* +X139205769Y-97873054D01* +X139293597Y-97836674D01* +X139372640Y-97783860D01* +X139439860Y-97716640D01* +X139492674Y-97637597D01* +X139529054Y-97549769D01* +X139547600Y-97456532D01* +X139547600Y-97361468D01* +X142138400Y-97361468D01* +X142138400Y-97456532D01* +X142156946Y-97549769D01* +X142193326Y-97637597D01* +X142246140Y-97716640D01* +X142313360Y-97783860D01* +X142392403Y-97836674D01* +X142480231Y-97873054D01* +X142573468Y-97891600D01* +X142668532Y-97891600D01* +X142761769Y-97873054D01* +X142849597Y-97836674D01* +X142928640Y-97783860D01* +X142995860Y-97716640D01* +X143048674Y-97637597D01* +X143085054Y-97549769D01* +X143103600Y-97456532D01* +X143103600Y-97361468D01* +X143662400Y-97361468D01* +X143662400Y-97456532D01* +X143680946Y-97549769D01* +X143717326Y-97637597D01* +X143770140Y-97716640D01* +X143837360Y-97783860D01* +X143916403Y-97836674D01* +X144004231Y-97873054D01* +X144097468Y-97891600D01* +X144192532Y-97891600D01* +X144285769Y-97873054D01* +X144373597Y-97836674D01* +X144452640Y-97783860D01* +X144519860Y-97716640D01* +X144572674Y-97637597D01* +X144609054Y-97549769D01* +X144627600Y-97456532D01* +X144627600Y-97361468D01* +X147218400Y-97361468D01* +X147218400Y-97456532D01* +X147236946Y-97549769D01* +X147273326Y-97637597D01* +X147326140Y-97716640D01* +X147393360Y-97783860D01* +X147472403Y-97836674D01* +X147560231Y-97873054D01* +X147653468Y-97891600D01* +X147748532Y-97891600D01* +X147841769Y-97873054D01* +X147929597Y-97836674D01* +X148008640Y-97783860D01* +X148075860Y-97716640D01* +X148128674Y-97637597D01* +X148165054Y-97549769D01* +X148183600Y-97456532D01* +X148183600Y-97361468D01* +X148165054Y-97268231D01* +X148128674Y-97180403D01* +X148075860Y-97101360D01* +X148008640Y-97034140D01* +X147929597Y-96981326D01* +X147841769Y-96944946D01* +X147748532Y-96926400D01* +X147653468Y-96926400D01* +X147560231Y-96944946D01* +X147472403Y-96981326D01* +X147393360Y-97034140D01* +X147326140Y-97101360D01* +X147273326Y-97180403D01* +X147236946Y-97268231D01* +X147218400Y-97361468D01* +X144627600Y-97361468D01* +X144609054Y-97268231D01* +X144572674Y-97180403D01* +X144519860Y-97101360D01* +X144452640Y-97034140D01* +X144373597Y-96981326D01* +X144285769Y-96944946D01* +X144192532Y-96926400D01* +X144097468Y-96926400D01* +X144004231Y-96944946D01* +X143916403Y-96981326D01* +X143837360Y-97034140D01* +X143770140Y-97101360D01* +X143717326Y-97180403D01* +X143680946Y-97268231D01* +X143662400Y-97361468D01* +X143103600Y-97361468D01* +X143085054Y-97268231D01* +X143048674Y-97180403D01* +X142995860Y-97101360D01* +X142928640Y-97034140D01* +X142849597Y-96981326D01* +X142761769Y-96944946D01* +X142668532Y-96926400D01* +X142573468Y-96926400D01* +X142480231Y-96944946D01* +X142392403Y-96981326D01* +X142313360Y-97034140D01* +X142246140Y-97101360D01* +X142193326Y-97180403D01* +X142156946Y-97268231D01* +X142138400Y-97361468D01* +X139547600Y-97361468D01* +X139529054Y-97268231D01* +X139492674Y-97180403D01* +X139439860Y-97101360D01* +X139372640Y-97034140D01* +X139293597Y-96981326D01* +X139205769Y-96944946D01* +X139112532Y-96926400D01* +X139017468Y-96926400D01* +X138924231Y-96944946D01* +X138836403Y-96981326D01* +X138757360Y-97034140D01* +X138690140Y-97101360D01* +X138637326Y-97180403D01* +X138600946Y-97268231D01* +X138582400Y-97361468D01* +X134617942Y-97361468D01* +X134613860Y-97355360D01* +X134546640Y-97288140D01* +X134467597Y-97235326D01* +X134379769Y-97198946D01* +X134286532Y-97180400D01* +X134191468Y-97180400D01* +X134098231Y-97198946D01* +X134010403Y-97235326D01* +X133931360Y-97288140D01* +X133864140Y-97355360D01* +X133811326Y-97434403D01* +X133774946Y-97522231D01* +X133756400Y-97615468D01* +X132181600Y-97615468D01* +X132163054Y-97522231D01* +X132126674Y-97434403D01* +X132073860Y-97355360D01* +X132006640Y-97288140D01* +X131927597Y-97235326D01* +X131839769Y-97198946D01* +X131746532Y-97180400D01* +X131651468Y-97180400D01* +X131558231Y-97198946D01* +X131470403Y-97235326D01* +X131391360Y-97288140D01* +X131324140Y-97355360D01* +X131271326Y-97434403D01* +X131234946Y-97522231D01* +X131216400Y-97615468D01* +X122904247Y-97615468D01* +X122910600Y-97583532D01* +X122910600Y-97488468D01* +X122892054Y-97395231D01* +X122855674Y-97307403D01* +X122802860Y-97228360D01* +X122735640Y-97161140D01* +X122656597Y-97108326D01* +X122568769Y-97071946D01* +X122475532Y-97053400D01* +X122380468Y-97053400D01* +X122287231Y-97071946D01* +X122199403Y-97108326D01* +X122120360Y-97161140D01* +X122053140Y-97228360D01* +X122000326Y-97307403D01* +X121963946Y-97395231D01* +X121945400Y-97488468D01* +X121894600Y-97488468D01* +X121876054Y-97395231D01* +X121839674Y-97307403D01* +X121786860Y-97228360D01* +X121719640Y-97161140D01* +X121640597Y-97108326D01* +X121552769Y-97071946D01* +X121459532Y-97053400D01* +X121364468Y-97053400D01* +X121271231Y-97071946D01* +X121183403Y-97108326D01* +X121104360Y-97161140D01* +X121037140Y-97228360D01* +X120984326Y-97307403D01* +X120947946Y-97395231D01* +X120929400Y-97488468D01* +X119354600Y-97488468D01* +X119336054Y-97395231D01* +X119299674Y-97307403D01* +X119246860Y-97228360D01* +X119179640Y-97161140D01* +X119100597Y-97108326D01* +X119012769Y-97071946D01* +X118919532Y-97053400D01* +X118824468Y-97053400D01* +X118731231Y-97071946D01* +X118643403Y-97108326D01* +X118564360Y-97161140D01* +X118497140Y-97228360D01* +X118444326Y-97307403D01* +X118407946Y-97395231D01* +X118389400Y-97488468D01* +X117955526Y-97488468D01* +X117869769Y-97452946D01* +X117776532Y-97434400D01* +X117681468Y-97434400D01* +X117588231Y-97452946D01* +X117500403Y-97489326D01* +X117421360Y-97542140D01* +X117354140Y-97609360D01* +X117301326Y-97688403D01* +X117264946Y-97776231D01* +X117246400Y-97869468D01* +X111601032Y-97869468D01* +X111626860Y-97843640D01* +X111679674Y-97764597D01* +X111716054Y-97676769D01* +X111734600Y-97583532D01* +X111734600Y-97488468D01* +X111716054Y-97395231D01* +X111679674Y-97307403D01* +X111626860Y-97228360D01* +X111559640Y-97161140D01* +X111480597Y-97108326D01* +X111392769Y-97071946D01* +X111299532Y-97053400D01* +X111204468Y-97053400D01* +X111111231Y-97071946D01* +X111023403Y-97108326D01* +X110944360Y-97161140D01* +X110877140Y-97228360D01* +X110824326Y-97307403D01* +X110787946Y-97395231D01* +X110769400Y-97488468D01* +X110335526Y-97488468D01* +X110249769Y-97452946D01* +X110156532Y-97434400D01* +X110061468Y-97434400D01* +X109968231Y-97452946D01* +X109880403Y-97489326D01* +X109801360Y-97542140D01* +X109734140Y-97609360D01* +X109681326Y-97688403D01* +X109644946Y-97776231D01* +X109626400Y-97869468D01* +X103225600Y-97869468D01* +X103207054Y-97776231D01* +X103170674Y-97688403D01* +X103117860Y-97609360D01* +X103050640Y-97542140D01* +X102971597Y-97489326D01* +X102883769Y-97452946D01* +X102790532Y-97434400D01* +X102695468Y-97434400D01* +X102602231Y-97452946D01* +X102514403Y-97489326D01* +X102435360Y-97542140D01* +X102368140Y-97609360D01* +X102315326Y-97688403D01* +X102278946Y-97776231D01* +X102260400Y-97869468D01* +X100431600Y-97869468D01* +X100413054Y-97776231D01* +X100376674Y-97688403D01* +X100323860Y-97609360D01* +X100256640Y-97542140D01* +X100177597Y-97489326D01* +X100089769Y-97452946D01* +X99996532Y-97434400D01* +X99901468Y-97434400D01* +X99808231Y-97452946D01* +X99720403Y-97489326D01* +X99641360Y-97542140D01* +X99574140Y-97609360D01* +X99521326Y-97688403D01* +X99484946Y-97776231D01* +X99466400Y-97869468D01* +X97891600Y-97869468D01* +X97873054Y-97776231D01* +X97836674Y-97688403D01* +X97783860Y-97609360D01* +X97716640Y-97542140D01* +X97637597Y-97489326D01* +X97549769Y-97452946D01* +X97456532Y-97434400D01* +X97361468Y-97434400D01* +X97268231Y-97452946D01* +X97180403Y-97489326D01* +X97101360Y-97542140D01* +X97034140Y-97609360D01* +X96981326Y-97688403D01* +X96944946Y-97776231D01* +X96926400Y-97869468D01* +X81375247Y-97869468D01* +X81381600Y-97837532D01* +X81381600Y-97742468D01* +X81363054Y-97649231D01* +X81326674Y-97561403D01* +X81273860Y-97482360D01* +X81206640Y-97415140D01* +X81127597Y-97362326D01* +X81039769Y-97325946D01* +X80946532Y-97307400D01* +X80851468Y-97307400D01* +X80758231Y-97325946D01* +X80670403Y-97362326D01* +X80591360Y-97415140D01* +X80524140Y-97482360D01* +X80471326Y-97561403D01* +X80434946Y-97649231D01* +X80416400Y-97742468D01* +X78560600Y-97742468D01* +X78560600Y-97265865D01* +X78559136Y-97251000D01* +X78559208Y-97240692D01* +X78558760Y-97236126D01* +X78531890Y-96980468D01* +X88925400Y-96980468D01* +X88925400Y-97075532D01* +X88943946Y-97168769D01* +X88980326Y-97256597D01* +X89033140Y-97335640D01* +X89100360Y-97402860D01* +X89179403Y-97455674D01* +X89267231Y-97492054D01* +X89360468Y-97510600D01* +X89455532Y-97510600D01* +X89548769Y-97492054D01* +X89636597Y-97455674D01* +X89715640Y-97402860D01* +X89782860Y-97335640D01* +X89835674Y-97256597D01* +X89872054Y-97168769D01* +X89890600Y-97075532D01* +X89890600Y-96980468D01* +X89872054Y-96887231D01* +X89835674Y-96799403D01* +X89782860Y-96720360D01* +X89715640Y-96653140D01* +X89636597Y-96600326D01* +X89548769Y-96563946D01* +X89455532Y-96545400D01* +X89360468Y-96545400D01* +X89267231Y-96563946D01* +X89179403Y-96600326D01* +X89100360Y-96653140D01* +X89033140Y-96720360D01* +X88980326Y-96799403D01* +X88943946Y-96887231D01* +X88925400Y-96980468D01* +X78531890Y-96980468D01* +X78525079Y-96915672D01* +X78519080Y-96886446D01* +X78513509Y-96857242D01* +X78512185Y-96852858D01* +X78512184Y-96852851D01* +X78512181Y-96852845D01* +X78416900Y-96545042D01* +X78405368Y-96517608D01* +X78394203Y-96489973D01* +X78392049Y-96485922D01* +X78247437Y-96218468D01* +X87655400Y-96218468D01* +X87655400Y-96313532D01* +X87673946Y-96406769D01* +X87710326Y-96494597D01* +X87763140Y-96573640D01* +X87830360Y-96640860D01* +X87909403Y-96693674D01* +X87997231Y-96730054D01* +X88090468Y-96748600D01* +X88185532Y-96748600D01* +X88278769Y-96730054D01* +X88366597Y-96693674D01* +X88445640Y-96640860D01* +X88512860Y-96573640D01* +X88565674Y-96494597D01* +X88574840Y-96472468D01* +X92227400Y-96472468D01* +X92227400Y-96567532D01* +X92245946Y-96660769D01* +X92282326Y-96748597D01* +X92335140Y-96827640D01* +X92402360Y-96894860D01* +X92481403Y-96947674D01* +X92569231Y-96984054D01* +X92662468Y-97002600D01* +X92757532Y-97002600D01* +X92850769Y-96984054D01* +X92938597Y-96947674D01* +X93017640Y-96894860D01* +X93084860Y-96827640D01* +X93137674Y-96748597D01* +X93174054Y-96660769D01* +X93192600Y-96567532D01* +X93192600Y-96472468D01* +X93174054Y-96379231D01* +X93160069Y-96345468D01* +X142646400Y-96345468D01* +X142646400Y-96440532D01* +X142664946Y-96533769D01* +X142701326Y-96621597D01* +X142754140Y-96700640D01* +X142821360Y-96767860D01* +X142900403Y-96820674D01* +X142988231Y-96857054D01* +X143081468Y-96875600D01* +X143176532Y-96875600D01* +X143269769Y-96857054D01* +X143357597Y-96820674D01* +X143436640Y-96767860D01* +X143503860Y-96700640D01* +X143556674Y-96621597D01* +X143593054Y-96533769D01* +X143611600Y-96440532D01* +X143611600Y-96345468D01* +X143593054Y-96252231D01* +X143556674Y-96164403D01* +X143503860Y-96085360D01* +X143436640Y-96018140D01* +X143357597Y-95965326D01* +X143269769Y-95928946D01* +X143176532Y-95910400D01* +X143081468Y-95910400D01* +X142988231Y-95928946D01* +X142900403Y-95965326D01* +X142821360Y-96018140D01* +X142754140Y-96085360D01* +X142701326Y-96164403D01* +X142664946Y-96252231D01* +X142646400Y-96345468D01* +X93160069Y-96345468D01* +X93137674Y-96291403D01* +X93084860Y-96212360D01* +X93017640Y-96145140D01* +X92938597Y-96092326D01* +X92850769Y-96055946D01* +X92757532Y-96037400D01* +X92662468Y-96037400D01* +X92569231Y-96055946D01* +X92481403Y-96092326D01* +X92402360Y-96145140D01* +X92335140Y-96212360D01* +X92282326Y-96291403D01* +X92245946Y-96379231D01* +X92227400Y-96472468D01* +X88574840Y-96472468D01* +X88602054Y-96406769D01* +X88620600Y-96313532D01* +X88620600Y-96218468D01* +X88602054Y-96125231D01* +X88565674Y-96037403D01* +X88512860Y-95958360D01* +X88445640Y-95891140D01* +X88366597Y-95838326D01* +X88278769Y-95801946D01* +X88185532Y-95783400D01* +X88090468Y-95783400D01* +X87997231Y-95801946D01* +X87909403Y-95838326D01* +X87830360Y-95891140D01* +X87763140Y-95958360D01* +X87710326Y-96037403D01* +X87673946Y-96125231D01* +X87655400Y-96218468D01* +X78247437Y-96218468D01* +X78238793Y-96202483D01* +X78222151Y-96177810D01* +X78205832Y-96152871D01* +X78202932Y-96149316D01* +X77997542Y-95901042D01* +X77976410Y-95880057D01* +X77955571Y-95858777D01* +X77952036Y-95855852D01* +X77702334Y-95652200D01* +X77677513Y-95635709D01* +X77652954Y-95618893D01* +X77648918Y-95616711D01* +X77364416Y-95465438D01* +X77336876Y-95454086D01* +X77309505Y-95442355D01* +X77305130Y-95441001D01* +X77305124Y-95440999D01* +X77305118Y-95440998D01* +X76996656Y-95347868D01* +X76967429Y-95342081D01* +X76938310Y-95335891D01* +X76933747Y-95335411D01* +X76613066Y-95303968D01* +X76613056Y-95303968D01* +X76597135Y-95302400D01* +X76528600Y-95302400D01* +X76528600Y-94694468D01* +X76606400Y-94694468D01* +X76606400Y-94789532D01* +X76624946Y-94882769D01* +X76661326Y-94970597D01* +X76714140Y-95049640D01* +X76781360Y-95116860D01* +X76860403Y-95169674D01* +X76948231Y-95206054D01* +X77041468Y-95224600D01* +X77136532Y-95224600D01* +X77229769Y-95206054D01* +X77317597Y-95169674D01* +X77396640Y-95116860D01* +X77463860Y-95049640D01* +X77516674Y-94970597D01* +X77553054Y-94882769D01* +X77571600Y-94789532D01* +X77571600Y-94694468D01* +X78511400Y-94694468D01* +X78511400Y-94789532D01* +X78529946Y-94882769D01* +X78566326Y-94970597D01* +X78619140Y-95049640D01* +X78686360Y-95116860D01* +X78765403Y-95169674D01* +X78853231Y-95206054D01* +X78946468Y-95224600D01* +X79041532Y-95224600D01* +X79134769Y-95206054D01* +X79143426Y-95202468D01* +X82448400Y-95202468D01* +X82448400Y-95297532D01* +X82466946Y-95390769D01* +X82503326Y-95478597D01* +X82556140Y-95557640D01* +X82623360Y-95624860D01* +X82702403Y-95677674D01* +X82790231Y-95714054D01* +X82883468Y-95732600D01* +X82978532Y-95732600D01* +X83071769Y-95714054D01* +X83159597Y-95677674D01* +X83238640Y-95624860D01* +X83305860Y-95557640D01* +X83358674Y-95478597D01* +X83395054Y-95390769D01* +X83407247Y-95329468D01* +X103784400Y-95329468D01* +X103784400Y-95424532D01* +X103802946Y-95517769D01* +X103839326Y-95605597D01* +X103892140Y-95684640D01* +X103959360Y-95751860D01* +X104038403Y-95804674D01* +X104126231Y-95841054D01* +X104219468Y-95859600D01* +X104314532Y-95859600D01* +X104407769Y-95841054D01* +X104495597Y-95804674D01* +X104574640Y-95751860D01* +X104641860Y-95684640D01* +X104694674Y-95605597D01* +X104731054Y-95517769D01* +X104749600Y-95424532D01* +X104749600Y-95329468D01* +X108356400Y-95329468D01* +X108356400Y-95424532D01* +X108374946Y-95517769D01* +X108411326Y-95605597D01* +X108464140Y-95684640D01* +X108531360Y-95751860D01* +X108610403Y-95804674D01* +X108698231Y-95841054D01* +X108791468Y-95859600D01* +X108886532Y-95859600D01* +X108979769Y-95841054D01* +X109067597Y-95804674D01* +X109146640Y-95751860D01* +X109213860Y-95684640D01* +X109266674Y-95605597D01* +X109303054Y-95517769D01* +X109321600Y-95424532D01* +X109321600Y-95329468D01* +X109880400Y-95329468D01* +X109880400Y-95424532D01* +X109898946Y-95517769D01* +X109935326Y-95605597D01* +X109988140Y-95684640D01* +X110055360Y-95751860D01* +X110134403Y-95804674D01* +X110222231Y-95841054D01* +X110315468Y-95859600D01* +X110410532Y-95859600D01* +X110503769Y-95841054D01* +X110591597Y-95804674D01* +X110670640Y-95751860D01* +X110737860Y-95684640D01* +X110790674Y-95605597D01* +X110827054Y-95517769D01* +X110845600Y-95424532D01* +X110845600Y-95329468D01* +X112293400Y-95329468D01* +X112293400Y-95424532D01* +X112311946Y-95517769D01* +X112348326Y-95605597D01* +X112401140Y-95684640D01* +X112468360Y-95751860D01* +X112547403Y-95804674D01* +X112635231Y-95841054D01* +X112728468Y-95859600D01* +X112823532Y-95859600D01* +X112916769Y-95841054D01* +X113004597Y-95804674D01* +X113083640Y-95751860D01* +X113125032Y-95710468D01* +X114198400Y-95710468D01* +X114198400Y-95805532D01* +X114216946Y-95898769D01* +X114253326Y-95986597D01* +X114306140Y-96065640D01* +X114373360Y-96132860D01* +X114452403Y-96185674D01* +X114540231Y-96222054D01* +X114633468Y-96240600D01* +X114728532Y-96240600D01* +X114821769Y-96222054D01* +X114909597Y-96185674D01* +X114988640Y-96132860D01* +X115055860Y-96065640D01* +X115108674Y-95986597D01* +X115145054Y-95898769D01* +X115157247Y-95837468D01* +X145567400Y-95837468D01* +X145567400Y-95932532D01* +X145585946Y-96025769D01* +X145622326Y-96113597D01* +X145675140Y-96192640D01* +X145742360Y-96259860D01* +X145821403Y-96312674D01* +X145909231Y-96349054D01* +X146002468Y-96367600D01* +X146097532Y-96367600D01* +X146190769Y-96349054D01* +X146278597Y-96312674D01* +X146357640Y-96259860D01* +X146424860Y-96192640D01* +X146477674Y-96113597D01* +X146514054Y-96025769D01* +X146532600Y-95932532D01* +X146532600Y-95837468D01* +X151663400Y-95837468D01* +X151663400Y-95932532D01* +X151681946Y-96025769D01* +X151718326Y-96113597D01* +X151771140Y-96192640D01* +X151838360Y-96259860D01* +X151917403Y-96312674D01* +X152005231Y-96349054D01* +X152098468Y-96367600D01* +X152193532Y-96367600D01* +X152286769Y-96349054D01* +X152374597Y-96312674D01* +X152453640Y-96259860D01* +X152520860Y-96192640D01* +X152573674Y-96113597D01* +X152582840Y-96091468D01* +X158140400Y-96091468D01* +X158140400Y-96186532D01* +X158158946Y-96279769D01* +X158195326Y-96367597D01* +X158248140Y-96446640D01* +X158315360Y-96513860D01* +X158394403Y-96566674D01* +X158482231Y-96603054D01* +X158575468Y-96621600D01* +X158670532Y-96621600D01* +X158763769Y-96603054D01* +X158851597Y-96566674D01* +X158930640Y-96513860D01* +X158997860Y-96446640D01* +X159050674Y-96367597D01* +X159087054Y-96279769D01* +X159105600Y-96186532D01* +X159105600Y-96091468D01* +X163728400Y-96091468D01* +X163728400Y-96186532D01* +X163746946Y-96279769D01* +X163783326Y-96367597D01* +X163836140Y-96446640D01* +X163903360Y-96513860D01* +X163982403Y-96566674D01* +X164070231Y-96603054D01* +X164163468Y-96621600D01* +X164258532Y-96621600D01* +X164351769Y-96603054D01* +X164439597Y-96566674D01* +X164518640Y-96513860D01* +X164585860Y-96446640D01* +X164638674Y-96367597D01* +X164675054Y-96279769D01* +X164693600Y-96186532D01* +X164693600Y-96091468D01* +X164675054Y-95998231D01* +X164638674Y-95910403D01* +X164585860Y-95831360D01* +X164518640Y-95764140D01* +X164439597Y-95711326D01* +X164351769Y-95674946D01* +X164258532Y-95656400D01* +X164163468Y-95656400D01* +X164070231Y-95674946D01* +X163982403Y-95711326D01* +X163903360Y-95764140D01* +X163836140Y-95831360D01* +X163783326Y-95910403D01* +X163746946Y-95998231D01* +X163728400Y-96091468D01* +X159105600Y-96091468D01* +X159087054Y-95998231D01* +X159050674Y-95910403D01* +X158997860Y-95831360D01* +X158930640Y-95764140D01* +X158851597Y-95711326D01* +X158763769Y-95674946D01* +X158670532Y-95656400D01* +X158575468Y-95656400D01* +X158482231Y-95674946D01* +X158394403Y-95711326D01* +X158315360Y-95764140D01* +X158248140Y-95831360D01* +X158195326Y-95910403D01* +X158158946Y-95998231D01* +X158140400Y-96091468D01* +X152582840Y-96091468D01* +X152610054Y-96025769D01* +X152628600Y-95932532D01* +X152628600Y-95837468D01* +X152610054Y-95744231D01* +X152573674Y-95656403D01* +X152520860Y-95577360D01* +X152453640Y-95510140D01* +X152374597Y-95457326D01* +X152286769Y-95420946D01* +X152193532Y-95402400D01* +X152098468Y-95402400D01* +X152005231Y-95420946D01* +X151917403Y-95457326D01* +X151838360Y-95510140D01* +X151771140Y-95577360D01* +X151718326Y-95656403D01* +X151681946Y-95744231D01* +X151663400Y-95837468D01* +X146532600Y-95837468D01* +X146514054Y-95744231D01* +X146477674Y-95656403D01* +X146424860Y-95577360D01* +X146357640Y-95510140D01* +X146278597Y-95457326D01* +X146190769Y-95420946D01* +X146097532Y-95402400D01* +X146002468Y-95402400D01* +X145909231Y-95420946D01* +X145821403Y-95457326D01* +X145742360Y-95510140D01* +X145675140Y-95577360D01* +X145622326Y-95656403D01* +X145585946Y-95744231D01* +X145567400Y-95837468D01* +X115157247Y-95837468D01* +X115163600Y-95805532D01* +X115163600Y-95710468D01* +X115145054Y-95617231D01* +X115108674Y-95529403D01* +X115055860Y-95450360D01* +X114988640Y-95383140D01* +X114909597Y-95330326D01* +X114821769Y-95293946D01* +X114728532Y-95275400D01* +X114633468Y-95275400D01* +X114540231Y-95293946D01* +X114452403Y-95330326D01* +X114373360Y-95383140D01* +X114306140Y-95450360D01* +X114253326Y-95529403D01* +X114216946Y-95617231D01* +X114198400Y-95710468D01* +X113125032Y-95710468D01* +X113150860Y-95684640D01* +X113203674Y-95605597D01* +X113240054Y-95517769D01* +X113258600Y-95424532D01* +X113258600Y-95329468D01* +X113240054Y-95236231D01* +X113203674Y-95148403D01* +X113150860Y-95069360D01* +X113083640Y-95002140D01* +X113004597Y-94949326D01* +X112916769Y-94912946D01* +X112823532Y-94894400D01* +X112728468Y-94894400D01* +X112635231Y-94912946D01* +X112547403Y-94949326D01* +X112468360Y-95002140D01* +X112401140Y-95069360D01* +X112348326Y-95148403D01* +X112311946Y-95236231D01* +X112293400Y-95329468D01* +X110845600Y-95329468D01* +X110827054Y-95236231D01* +X110790674Y-95148403D01* +X110737860Y-95069360D01* +X110670640Y-95002140D01* +X110591597Y-94949326D01* +X110503769Y-94912946D01* +X110410532Y-94894400D01* +X110315468Y-94894400D01* +X110222231Y-94912946D01* +X110134403Y-94949326D01* +X110055360Y-95002140D01* +X109988140Y-95069360D01* +X109935326Y-95148403D01* +X109898946Y-95236231D01* +X109880400Y-95329468D01* +X109321600Y-95329468D01* +X109303054Y-95236231D01* +X109266674Y-95148403D01* +X109213860Y-95069360D01* +X109146640Y-95002140D01* +X109067597Y-94949326D01* +X108979769Y-94912946D01* +X108886532Y-94894400D01* +X108791468Y-94894400D01* +X108698231Y-94912946D01* +X108610403Y-94949326D01* +X108531360Y-95002140D01* +X108464140Y-95069360D01* +X108411326Y-95148403D01* +X108374946Y-95236231D01* +X108356400Y-95329468D01* +X104749600Y-95329468D01* +X104731054Y-95236231D01* +X104694674Y-95148403D01* +X104641860Y-95069360D01* +X104574640Y-95002140D01* +X104495597Y-94949326D01* +X104407769Y-94912946D01* +X104314532Y-94894400D01* +X104219468Y-94894400D01* +X104126231Y-94912946D01* +X104038403Y-94949326D01* +X103959360Y-95002140D01* +X103892140Y-95069360D01* +X103839326Y-95148403D01* +X103802946Y-95236231D01* +X103784400Y-95329468D01* +X83407247Y-95329468D01* +X83413600Y-95297532D01* +X83413600Y-95202468D01* +X83395054Y-95109231D01* +X83358674Y-95021403D01* +X83305860Y-94942360D01* +X83238640Y-94875140D01* +X83159597Y-94822326D01* +X83071769Y-94785946D01* +X82978532Y-94767400D01* +X82883468Y-94767400D01* +X82790231Y-94785946D01* +X82702403Y-94822326D01* +X82623360Y-94875140D01* +X82556140Y-94942360D01* +X82503326Y-95021403D01* +X82466946Y-95109231D01* +X82448400Y-95202468D01* +X79143426Y-95202468D01* +X79222597Y-95169674D01* +X79301640Y-95116860D01* +X79368860Y-95049640D01* +X79421674Y-94970597D01* +X79458054Y-94882769D01* +X79476600Y-94789532D01* +X79476600Y-94694468D01* +X79458054Y-94601231D01* +X79421674Y-94513403D01* +X79368860Y-94434360D01* +X79301640Y-94367140D01* +X79222597Y-94314326D01* +X79134769Y-94277946D01* +X79041532Y-94259400D01* +X78946468Y-94259400D01* +X78853231Y-94277946D01* +X78765403Y-94314326D01* +X78686360Y-94367140D01* +X78619140Y-94434360D01* +X78566326Y-94513403D01* +X78529946Y-94601231D01* +X78511400Y-94694468D01* +X77571600Y-94694468D01* +X77553054Y-94601231D01* +X77516674Y-94513403D01* +X77463860Y-94434360D01* +X77396640Y-94367140D01* +X77317597Y-94314326D01* +X77229769Y-94277946D01* +X77136532Y-94259400D01* +X77041468Y-94259400D01* +X76948231Y-94277946D01* +X76860403Y-94314326D01* +X76781360Y-94367140D01* +X76714140Y-94434360D01* +X76661326Y-94513403D01* +X76624946Y-94601231D01* +X76606400Y-94694468D01* +X76528600Y-94694468D01* +X76528600Y-94186468D01* +X111086900Y-94186468D01* +X111086900Y-94281532D01* +X111105446Y-94374769D01* +X111141826Y-94462597D01* +X111194640Y-94541640D01* +X111261860Y-94608860D01* +X111340903Y-94661674D01* +X111428731Y-94698054D01* +X111521968Y-94716600D01* +X111617032Y-94716600D01* +X111710269Y-94698054D01* +X111798097Y-94661674D01* +X111877140Y-94608860D01* +X111944360Y-94541640D01* +X111997174Y-94462597D01* +X112033554Y-94374769D01* +X112052100Y-94281532D01* +X112052100Y-94186468D01* +X112033554Y-94093231D01* +X111997174Y-94005403D01* +X111944360Y-93926360D01* +X111877140Y-93859140D01* +X111798097Y-93806326D01* +X111710269Y-93769946D01* +X111617032Y-93751400D01* +X111521968Y-93751400D01* +X111428731Y-93769946D01* +X111340903Y-93806326D01* +X111261860Y-93859140D01* +X111194640Y-93926360D01* +X111141826Y-94005403D01* +X111105446Y-94093231D01* +X111086900Y-94186468D01* +X76528600Y-94186468D01* +X76528600Y-91392468D01* +X76606400Y-91392468D01* +X76606400Y-91487532D01* +X76624946Y-91580769D01* +X76661326Y-91668597D01* +X76714140Y-91747640D01* +X76781360Y-91814860D01* +X76860403Y-91867674D01* +X76948231Y-91904054D01* +X77041468Y-91922600D01* +X77136532Y-91922600D01* +X77229769Y-91904054D01* +X77317597Y-91867674D01* +X77396640Y-91814860D01* +X77463860Y-91747640D01* +X77516674Y-91668597D01* +X77553054Y-91580769D01* +X77571600Y-91487532D01* +X77571600Y-91466683D01* +X77654586Y-91466683D01* +X77696162Y-91837340D01* +X77808940Y-92192862D01* +X77988626Y-92519708D01* +X78228373Y-92805429D01* +X78519052Y-93039140D01* +X78849589Y-93211941D01* +X79207396Y-93317249D01* +X79578842Y-93351053D01* +X79949780Y-93312066D01* +X79996938Y-93297468D01* +X110134400Y-93297468D01* +X110134400Y-93392532D01* +X110152946Y-93485769D01* +X110189326Y-93573597D01* +X110242140Y-93652640D01* +X110309360Y-93719860D01* +X110388403Y-93772674D01* +X110476231Y-93809054D01* +X110569468Y-93827600D01* +X110664532Y-93827600D01* +X110757769Y-93809054D01* +X110845597Y-93772674D01* +X110924640Y-93719860D01* +X110991860Y-93652640D01* +X111044674Y-93573597D01* +X111081054Y-93485769D01* +X111093247Y-93424468D01* +X111912400Y-93424468D01* +X111912400Y-93519532D01* +X111930946Y-93612769D01* +X111967326Y-93700597D01* +X112020140Y-93779640D01* +X112087360Y-93846860D01* +X112166403Y-93899674D01* +X112254231Y-93936054D01* +X112347468Y-93954600D01* +X112442532Y-93954600D01* +X112535769Y-93936054D01* +X112623597Y-93899674D01* +X112702640Y-93846860D01* +X112744032Y-93805468D01* +X142519400Y-93805468D01* +X142519400Y-93900532D01* +X142537946Y-93993769D01* +X142574326Y-94081597D01* +X142627140Y-94160640D01* +X142694360Y-94227860D01* +X142773403Y-94280674D01* +X142861231Y-94317054D01* +X142954468Y-94335600D01* +X143049532Y-94335600D01* +X143142769Y-94317054D01* +X143230597Y-94280674D01* +X143309640Y-94227860D01* +X143376860Y-94160640D01* +X143429674Y-94081597D01* +X143466054Y-93993769D01* +X143484600Y-93900532D01* +X143484600Y-93805468D01* +X148615400Y-93805468D01* +X148615400Y-93900532D01* +X148633946Y-93993769D01* +X148670326Y-94081597D01* +X148723140Y-94160640D01* +X148790360Y-94227860D01* +X148869403Y-94280674D01* +X148957231Y-94317054D01* +X149050468Y-94335600D01* +X149145532Y-94335600D01* +X149238769Y-94317054D01* +X149326597Y-94280674D01* +X149405640Y-94227860D01* +X149472860Y-94160640D01* +X149525674Y-94081597D01* +X149562054Y-93993769D01* +X149580600Y-93900532D01* +X149580600Y-93805468D01* +X160807400Y-93805468D01* +X160807400Y-93900532D01* +X160825946Y-93993769D01* +X160862326Y-94081597D01* +X160915140Y-94160640D01* +X160982360Y-94227860D01* +X161061403Y-94280674D01* +X161149231Y-94317054D01* +X161242468Y-94335600D01* +X161337532Y-94335600D01* +X161430769Y-94317054D01* +X161518597Y-94280674D01* +X161597640Y-94227860D01* +X161664860Y-94160640D01* +X161717674Y-94081597D01* +X161754054Y-93993769D01* +X161772600Y-93900532D01* +X161772600Y-93805468D01* +X161754054Y-93712231D01* +X161717674Y-93624403D01* +X161664860Y-93545360D01* +X161597640Y-93478140D01* +X161518597Y-93425326D01* +X161430769Y-93388946D01* +X161337532Y-93370400D01* +X161242468Y-93370400D01* +X161149231Y-93388946D01* +X161061403Y-93425326D01* +X160982360Y-93478140D01* +X160915140Y-93545360D01* +X160862326Y-93624403D01* +X160825946Y-93712231D01* +X160807400Y-93805468D01* +X149580600Y-93805468D01* +X149562054Y-93712231D01* +X149525674Y-93624403D01* +X149472860Y-93545360D01* +X149405640Y-93478140D01* +X149326597Y-93425326D01* +X149238769Y-93388946D01* +X149145532Y-93370400D01* +X149050468Y-93370400D01* +X148957231Y-93388946D01* +X148869403Y-93425326D01* +X148790360Y-93478140D01* +X148723140Y-93545360D01* +X148670326Y-93624403D01* +X148633946Y-93712231D01* +X148615400Y-93805468D01* +X143484600Y-93805468D01* +X143466054Y-93712231D01* +X143429674Y-93624403D01* +X143376860Y-93545360D01* +X143309640Y-93478140D01* +X143230597Y-93425326D01* +X143142769Y-93388946D01* +X143049532Y-93370400D01* +X142954468Y-93370400D01* +X142861231Y-93388946D01* +X142773403Y-93425326D01* +X142694360Y-93478140D01* +X142627140Y-93545360D01* +X142574326Y-93624403D01* +X142537946Y-93712231D01* +X142519400Y-93805468D01* +X112744032Y-93805468D01* +X112769860Y-93779640D01* +X112822674Y-93700597D01* +X112859054Y-93612769D01* +X112877600Y-93519532D01* +X112877600Y-93424468D01* +X112859054Y-93331231D01* +X112822674Y-93243403D01* +X112769860Y-93164360D01* +X112702640Y-93097140D01* +X112623597Y-93044326D01* +X112535769Y-93007946D01* +X112442532Y-92989400D01* +X112347468Y-92989400D01* +X112254231Y-93007946D01* +X112166403Y-93044326D01* +X112087360Y-93097140D01* +X112020140Y-93164360D01* +X111967326Y-93243403D01* +X111930946Y-93331231D01* +X111912400Y-93424468D01* +X111093247Y-93424468D01* +X111099600Y-93392532D01* +X111099600Y-93297468D01* +X111081054Y-93204231D01* +X111044674Y-93116403D01* +X110991860Y-93037360D01* +X110924640Y-92970140D01* +X110845597Y-92917326D01* +X110757769Y-92880946D01* +X110664532Y-92862400D01* +X110569468Y-92862400D01* +X110476231Y-92880946D01* +X110388403Y-92917326D01* +X110309360Y-92970140D01* +X110242140Y-93037360D01* +X110189326Y-93116403D01* +X110152946Y-93204231D01* +X110134400Y-93297468D01* +X79996938Y-93297468D01* +X80306081Y-93201773D01* +X80634174Y-93024374D01* +X80921561Y-92786626D01* +X81157296Y-92497587D01* +X81212327Y-92394088D01* +X83191400Y-92394088D01* +X83191400Y-92517912D01* +X83215556Y-92639356D01* +X83262941Y-92753754D01* +X83331734Y-92856709D01* +X83419291Y-92944266D01* +X83522246Y-93013059D01* +X83636644Y-93060444D01* +X83758088Y-93084600D01* +X83881912Y-93084600D01* +X84003356Y-93060444D01* +X84117754Y-93013059D01* +X84220709Y-92944266D01* +X84308266Y-92856709D01* +X84377059Y-92753754D01* +X84424444Y-92639356D01* +X84448600Y-92517912D01* +X84448600Y-92394088D01* +X84424444Y-92272644D01* +X84377059Y-92158246D01* +X84308266Y-92055291D01* +X84266063Y-92013088D01* +X106178400Y-92013088D01* +X106178400Y-92136912D01* +X106202556Y-92258356D01* +X106249941Y-92372754D01* +X106318734Y-92475709D01* +X106406291Y-92563266D01* +X106509246Y-92632059D01* +X106623644Y-92679444D01* +X106745088Y-92703600D01* +X106868912Y-92703600D01* +X106990356Y-92679444D01* +X107104754Y-92632059D01* +X107207709Y-92563266D01* +X107235507Y-92535468D01* +X111023400Y-92535468D01* +X111023400Y-92630532D01* +X111041946Y-92723769D01* +X111078326Y-92811597D01* +X111131140Y-92890640D01* +X111198360Y-92957860D01* +X111277403Y-93010674D01* +X111365231Y-93047054D01* +X111458468Y-93065600D01* +X111553532Y-93065600D01* +X111646769Y-93047054D01* +X111734597Y-93010674D01* +X111813640Y-92957860D01* +X111880860Y-92890640D01* +X111933674Y-92811597D01* +X111970054Y-92723769D01* +X111988600Y-92630532D01* +X111988600Y-92535468D01* +X111970054Y-92442231D01* +X111956069Y-92408468D01* +X112039400Y-92408468D01* +X112039400Y-92503532D01* +X112057946Y-92596769D01* +X112094326Y-92684597D01* +X112147140Y-92763640D01* +X112214360Y-92830860D01* +X112293403Y-92883674D01* +X112381231Y-92920054D01* +X112474468Y-92938600D01* +X112569532Y-92938600D01* +X112662769Y-92920054D01* +X112671426Y-92916468D01* +X113690400Y-92916468D01* +X113690400Y-93011532D01* +X113708946Y-93104769D01* +X113745326Y-93192597D01* +X113798140Y-93271640D01* +X113865360Y-93338860D01* +X113944403Y-93391674D01* +X114032231Y-93428054D01* +X114125468Y-93446600D01* +X114220532Y-93446600D01* +X114313769Y-93428054D01* +X114401597Y-93391674D01* +X114480640Y-93338860D01* +X114547860Y-93271640D01* +X114573031Y-93233968D01* +X114706400Y-93233968D01* +X114706400Y-93329032D01* +X114724946Y-93422269D01* +X114761326Y-93510097D01* +X114814140Y-93589140D01* +X114881360Y-93656360D01* +X114960403Y-93709174D01* +X115048231Y-93745554D01* +X115141468Y-93764100D01* +X115236532Y-93764100D01* +X115329769Y-93745554D01* +X115417597Y-93709174D01* +X115496640Y-93656360D01* +X115563860Y-93589140D01* +X115616674Y-93510097D01* +X115653054Y-93422269D01* +X115671600Y-93329032D01* +X115671600Y-93233968D01* +X115653054Y-93140731D01* +X115616674Y-93052903D01* +X115563860Y-92973860D01* +X115506468Y-92916468D01* +X115722400Y-92916468D01* +X115722400Y-93011532D01* +X115740946Y-93104769D01* +X115777326Y-93192597D01* +X115830140Y-93271640D01* +X115897360Y-93338860D01* +X115976403Y-93391674D01* +X116064231Y-93428054D01* +X116157468Y-93446600D01* +X116252532Y-93446600D01* +X116345769Y-93428054D01* +X116433597Y-93391674D01* +X116512640Y-93338860D01* +X116579860Y-93271640D01* +X116632674Y-93192597D01* +X116669054Y-93104769D01* +X116687600Y-93011532D01* +X116687600Y-92916468D01* +X116669054Y-92823231D01* +X116632674Y-92735403D01* +X116579860Y-92656360D01* +X116512640Y-92589140D01* +X116433597Y-92536326D01* +X116345769Y-92499946D01* +X116252532Y-92481400D01* +X116157468Y-92481400D01* +X116064231Y-92499946D01* +X115976403Y-92536326D01* +X115897360Y-92589140D01* +X115830140Y-92656360D01* +X115777326Y-92735403D01* +X115740946Y-92823231D01* +X115722400Y-92916468D01* +X115506468Y-92916468D01* +X115496640Y-92906640D01* +X115417597Y-92853826D01* +X115329769Y-92817446D01* +X115236532Y-92798900D01* +X115141468Y-92798900D01* +X115048231Y-92817446D01* +X114960403Y-92853826D01* +X114881360Y-92906640D01* +X114814140Y-92973860D01* +X114761326Y-93052903D01* +X114724946Y-93140731D01* +X114706400Y-93233968D01* +X114573031Y-93233968D01* +X114600674Y-93192597D01* +X114637054Y-93104769D01* +X114655600Y-93011532D01* +X114655600Y-92916468D01* +X114637054Y-92823231D01* +X114600674Y-92735403D01* +X114547860Y-92656360D01* +X114480640Y-92589140D01* +X114401597Y-92536326D01* +X114313769Y-92499946D01* +X114220532Y-92481400D01* +X114125468Y-92481400D01* +X114032231Y-92499946D01* +X113944403Y-92536326D01* +X113865360Y-92589140D01* +X113798140Y-92656360D01* +X113745326Y-92735403D01* +X113708946Y-92823231D01* +X113690400Y-92916468D01* +X112671426Y-92916468D01* +X112750597Y-92883674D01* +X112829640Y-92830860D01* +X112896860Y-92763640D01* +X112949674Y-92684597D01* +X112986054Y-92596769D01* +X113004600Y-92503532D01* +X113004600Y-92408468D01* +X112986054Y-92315231D01* +X112949674Y-92227403D01* +X112896860Y-92148360D01* +X112829640Y-92081140D01* +X112750597Y-92028326D01* +X112662769Y-91991946D01* +X112569532Y-91973400D01* +X112474468Y-91973400D01* +X112381231Y-91991946D01* +X112293403Y-92028326D01* +X112214360Y-92081140D01* +X112147140Y-92148360D01* +X112094326Y-92227403D01* +X112057946Y-92315231D01* +X112039400Y-92408468D01* +X111956069Y-92408468D01* +X111933674Y-92354403D01* +X111880860Y-92275360D01* +X111813640Y-92208140D01* +X111734597Y-92155326D01* +X111646769Y-92118946D01* +X111553532Y-92100400D01* +X111458468Y-92100400D01* +X111365231Y-92118946D01* +X111277403Y-92155326D01* +X111198360Y-92208140D01* +X111131140Y-92275360D01* +X111078326Y-92354403D01* +X111041946Y-92442231D01* +X111023400Y-92535468D01* +X107235507Y-92535468D01* +X107295266Y-92475709D01* +X107364059Y-92372754D01* +X107411444Y-92258356D01* +X107435600Y-92136912D01* +X107435600Y-92013088D01* +X107413200Y-91900468D01* +X112928400Y-91900468D01* +X112928400Y-91995532D01* +X112946946Y-92088769D01* +X112983326Y-92176597D01* +X113036140Y-92255640D01* +X113103360Y-92322860D01* +X113182403Y-92375674D01* +X113270231Y-92412054D01* +X113363468Y-92430600D01* +X113458532Y-92430600D01* +X113551769Y-92412054D01* +X113639597Y-92375674D01* +X113718640Y-92322860D01* +X113785860Y-92255640D01* +X113838674Y-92176597D01* +X113875054Y-92088769D01* +X113893600Y-91995532D01* +X113893600Y-91900468D01* +X114452400Y-91900468D01* +X114452400Y-91995532D01* +X114470946Y-92088769D01* +X114507326Y-92176597D01* +X114560140Y-92255640D01* +X114627360Y-92322860D01* +X114706403Y-92375674D01* +X114794231Y-92412054D01* +X114887468Y-92430600D01* +X114982532Y-92430600D01* +X115075769Y-92412054D01* +X115163597Y-92375674D01* +X115242640Y-92322860D01* +X115309860Y-92255640D01* +X115362674Y-92176597D01* +X115399054Y-92088769D01* +X115417600Y-91995532D01* +X115417600Y-91900468D01* +X115399054Y-91807231D01* +X115379113Y-91759088D01* +X117100400Y-91759088D01* +X117100400Y-91882912D01* +X117124556Y-92004356D01* +X117171941Y-92118754D01* +X117240734Y-92221709D01* +X117328291Y-92309266D01* +X117431246Y-92378059D01* +X117545644Y-92425444D01* +X117667088Y-92449600D01* +X117790912Y-92449600D01* +X117912356Y-92425444D01* +X117988056Y-92394088D01* +X140341400Y-92394088D01* +X140341400Y-92517912D01* +X140365556Y-92639356D01* +X140412941Y-92753754D01* +X140481734Y-92856709D01* +X140569291Y-92944266D01* +X140672246Y-93013059D01* +X140786644Y-93060444D01* +X140908088Y-93084600D01* +X141031912Y-93084600D01* +X141153356Y-93060444D01* +X141267754Y-93013059D01* +X141370709Y-92944266D01* +X141458266Y-92856709D01* +X141527059Y-92753754D01* +X141574444Y-92639356D01* +X141598600Y-92517912D01* +X141598600Y-92394088D01* +X155581400Y-92394088D01* +X155581400Y-92517912D01* +X155605556Y-92639356D01* +X155652941Y-92753754D01* +X155721734Y-92856709D01* +X155809291Y-92944266D01* +X155912246Y-93013059D01* +X156026644Y-93060444D01* +X156148088Y-93084600D01* +X156271912Y-93084600D01* +X156393356Y-93060444D01* +X156507754Y-93013059D01* +X156610709Y-92944266D01* +X156698266Y-92856709D01* +X156767059Y-92753754D01* +X156814444Y-92639356D01* +X156838600Y-92517912D01* +X156838600Y-92394088D01* +X158121400Y-92394088D01* +X158121400Y-92517912D01* +X158145556Y-92639356D01* +X158192941Y-92753754D01* +X158261734Y-92856709D01* +X158349291Y-92944266D01* +X158452246Y-93013059D01* +X158566644Y-93060444D01* +X158688088Y-93084600D01* +X158811912Y-93084600D01* +X158933356Y-93060444D01* +X159047754Y-93013059D01* +X159150709Y-92944266D01* +X159238266Y-92856709D01* +X159307059Y-92753754D01* +X159354444Y-92639356D01* +X159378600Y-92517912D01* +X159378600Y-92394088D01* +X159354444Y-92272644D01* +X159307059Y-92158246D01* +X159238266Y-92055291D01* +X159150709Y-91967734D01* +X159047754Y-91898941D01* +X158933356Y-91851556D01* +X158811912Y-91827400D01* +X158688088Y-91827400D01* +X158566644Y-91851556D01* +X158452246Y-91898941D01* +X158349291Y-91967734D01* +X158261734Y-92055291D01* +X158192941Y-92158246D01* +X158145556Y-92272644D01* +X158121400Y-92394088D01* +X156838600Y-92394088D01* +X156814444Y-92272644D01* +X156767059Y-92158246D01* +X156698266Y-92055291D01* +X156610709Y-91967734D01* +X156507754Y-91898941D01* +X156393356Y-91851556D01* +X156271912Y-91827400D01* +X156148088Y-91827400D01* +X156026644Y-91851556D01* +X155912246Y-91898941D01* +X155809291Y-91967734D01* +X155721734Y-92055291D01* +X155652941Y-92158246D01* +X155605556Y-92272644D01* +X155581400Y-92394088D01* +X141598600Y-92394088D01* +X141574444Y-92272644D01* +X141527059Y-92158246D01* +X141458266Y-92055291D01* +X141370709Y-91967734D01* +X141267754Y-91898941D01* +X141153356Y-91851556D01* +X141031912Y-91827400D01* +X140908088Y-91827400D01* +X140786644Y-91851556D01* +X140672246Y-91898941D01* +X140569291Y-91967734D01* +X140481734Y-92055291D01* +X140412941Y-92158246D01* +X140365556Y-92272644D01* +X140341400Y-92394088D01* +X117988056Y-92394088D01* +X118026754Y-92378059D01* +X118129709Y-92309266D01* +X118217266Y-92221709D01* +X118286059Y-92118754D01* +X118333444Y-92004356D01* +X118357600Y-91882912D01* +X118357600Y-91759088D01* +X118333444Y-91637644D01* +X118286059Y-91523246D01* +X118217266Y-91420291D01* +X118129709Y-91332734D01* +X118026754Y-91263941D01* +X117995724Y-91251088D01* +X118751400Y-91251088D01* +X118751400Y-91374912D01* +X118775556Y-91496356D01* +X118822941Y-91610754D01* +X118891734Y-91713709D01* +X118979291Y-91801266D01* +X119082246Y-91870059D01* +X119196644Y-91917444D01* +X119318088Y-91941600D01* +X119441912Y-91941600D01* +X119563356Y-91917444D01* +X119677754Y-91870059D01* +X119780709Y-91801266D01* +X119868266Y-91713709D01* +X119937059Y-91610754D01* +X119984444Y-91496356D01* +X120008600Y-91374912D01* +X120008600Y-91251088D01* +X119984444Y-91129644D01* +X119937059Y-91015246D01* +X119868266Y-90912291D01* +X119840443Y-90884468D01* +X125247400Y-90884468D01* +X125247400Y-90979532D01* +X125265946Y-91072769D01* +X125302326Y-91160597D01* +X125355140Y-91239640D01* +X125422360Y-91306860D01* +X125501403Y-91359674D01* +X125589231Y-91396054D01* +X125682468Y-91414600D01* +X125777532Y-91414600D01* +X125870769Y-91396054D01* +X125958597Y-91359674D01* +X126037640Y-91306860D01* +X126104860Y-91239640D01* +X126157674Y-91160597D01* +X126194054Y-91072769D01* +X126212600Y-90979532D01* +X126212600Y-90884468D01* +X126517400Y-90884468D01* +X126517400Y-90979532D01* +X126535946Y-91072769D01* +X126572326Y-91160597D01* +X126625140Y-91239640D01* +X126692360Y-91306860D01* +X126771403Y-91359674D01* +X126859231Y-91396054D01* +X126952468Y-91414600D01* +X127047532Y-91414600D01* +X127140769Y-91396054D01* +X127228597Y-91359674D01* +X127307640Y-91306860D01* +X127374860Y-91239640D01* +X127427674Y-91160597D01* +X127464054Y-91072769D01* +X127482600Y-90979532D01* +X127482600Y-90884468D01* +X130327400Y-90884468D01* +X130327400Y-90979532D01* +X130345946Y-91072769D01* +X130382326Y-91160597D01* +X130435140Y-91239640D01* +X130502360Y-91306860D01* +X130581403Y-91359674D01* +X130669231Y-91396054D01* +X130762468Y-91414600D01* +X130857532Y-91414600D01* +X130950769Y-91396054D01* +X131038597Y-91359674D01* +X131117640Y-91306860D01* +X131184860Y-91239640D01* +X131237674Y-91160597D01* +X131274054Y-91072769D01* +X131292600Y-90979532D01* +X131292600Y-90884468D01* +X131597400Y-90884468D01* +X131597400Y-90979532D01* +X131615946Y-91072769D01* +X131652326Y-91160597D01* +X131705140Y-91239640D01* +X131772360Y-91306860D01* +X131851403Y-91359674D01* +X131939231Y-91396054D01* +X132032468Y-91414600D01* +X132127532Y-91414600D01* +X132220769Y-91396054D01* +X132308597Y-91359674D01* +X132387640Y-91306860D01* +X132454860Y-91239640D01* +X132507674Y-91160597D01* +X132544054Y-91072769D01* +X132562600Y-90979532D01* +X132562600Y-90884468D01* +X132867400Y-90884468D01* +X132867400Y-90979532D01* +X132885946Y-91072769D01* +X132922326Y-91160597D01* +X132975140Y-91239640D01* +X133042360Y-91306860D01* +X133121403Y-91359674D01* +X133209231Y-91396054D01* +X133302468Y-91414600D01* +X133397532Y-91414600D01* +X133490769Y-91396054D01* +X133578597Y-91359674D01* +X133657640Y-91306860D01* +X133724860Y-91239640D01* +X133777674Y-91160597D01* +X133814054Y-91072769D01* +X133832600Y-90979532D01* +X133832600Y-90884468D01* +X134137400Y-90884468D01* +X134137400Y-90979532D01* +X134155946Y-91072769D01* +X134192326Y-91160597D01* +X134245140Y-91239640D01* +X134312360Y-91306860D01* +X134391403Y-91359674D01* +X134479231Y-91396054D01* +X134572468Y-91414600D01* +X134667532Y-91414600D01* +X134760769Y-91396054D01* +X134848597Y-91359674D01* +X134927640Y-91306860D01* +X134994860Y-91239640D01* +X135047674Y-91160597D01* +X135084054Y-91072769D01* +X135102600Y-90979532D01* +X135102600Y-90884468D01* +X135407400Y-90884468D01* +X135407400Y-90979532D01* +X135425946Y-91072769D01* +X135462326Y-91160597D01* +X135515140Y-91239640D01* +X135582360Y-91306860D01* +X135661403Y-91359674D01* +X135749231Y-91396054D01* +X135842468Y-91414600D01* +X135937532Y-91414600D01* +X136030769Y-91396054D01* +X136118597Y-91359674D01* +X136197640Y-91306860D01* +X136264860Y-91239640D01* +X136317674Y-91160597D01* +X136332796Y-91124088D01* +X139071400Y-91124088D01* +X139071400Y-91247912D01* +X139095556Y-91369356D01* +X139142941Y-91483754D01* +X139211734Y-91586709D01* +X139299291Y-91674266D01* +X139402246Y-91743059D01* +X139516644Y-91790444D01* +X139638088Y-91814600D01* +X139761912Y-91814600D01* +X139883356Y-91790444D01* +X139997754Y-91743059D01* +X140100709Y-91674266D01* +X140188266Y-91586709D01* +X140257059Y-91483754D01* +X140294870Y-91392468D01* +X145567400Y-91392468D01* +X145567400Y-91487532D01* +X145585946Y-91580769D01* +X145622326Y-91668597D01* +X145675140Y-91747640D01* +X145742360Y-91814860D01* +X145821403Y-91867674D01* +X145909231Y-91904054D01* +X146002468Y-91922600D01* +X146097532Y-91922600D01* +X146190769Y-91904054D01* +X146278597Y-91867674D01* +X146357640Y-91814860D01* +X146424860Y-91747640D01* +X146477674Y-91668597D01* +X146514054Y-91580769D01* +X146532600Y-91487532D01* +X146532600Y-91392468D01* +X151663400Y-91392468D01* +X151663400Y-91487532D01* +X151681946Y-91580769D01* +X151718326Y-91668597D01* +X151771140Y-91747640D01* +X151838360Y-91814860D01* +X151917403Y-91867674D01* +X152005231Y-91904054D01* +X152098468Y-91922600D01* +X152193532Y-91922600D01* +X152286769Y-91904054D01* +X152374597Y-91867674D01* +X152453640Y-91814860D01* +X152520860Y-91747640D01* +X152573674Y-91668597D01* +X152610054Y-91580769D01* +X152628600Y-91487532D01* +X152628600Y-91392468D01* +X152610054Y-91299231D01* +X152590113Y-91251088D01* +X156851400Y-91251088D01* +X156851400Y-91374912D01* +X156875556Y-91496356D01* +X156922941Y-91610754D01* +X156991734Y-91713709D01* +X157079291Y-91801266D01* +X157182246Y-91870059D01* +X157296644Y-91917444D01* +X157418088Y-91941600D01* +X157541912Y-91941600D01* +X157663356Y-91917444D01* +X157777754Y-91870059D01* +X157880709Y-91801266D01* +X157968266Y-91713709D01* +X158037059Y-91610754D01* +X158084444Y-91496356D01* +X158090346Y-91466683D01* +X159823586Y-91466683D01* +X159865162Y-91837340D01* +X159977940Y-92192862D01* +X160157626Y-92519708D01* +X160397373Y-92805429D01* +X160688052Y-93039140D01* +X161018589Y-93211941D01* +X161376396Y-93317249D01* +X161747842Y-93351053D01* +X162118780Y-93312066D01* +X162475081Y-93201773D01* +X162803174Y-93024374D01* +X163090561Y-92786626D01* +X163326296Y-92497587D01* +X163501400Y-92168264D01* +X163609203Y-91811201D01* +X163645600Y-91440000D01* +X163644937Y-91392468D01* +X163728400Y-91392468D01* +X163728400Y-91487532D01* +X163746946Y-91580769D01* +X163783326Y-91668597D01* +X163836140Y-91747640D01* +X163903360Y-91814860D01* +X163982403Y-91867674D01* +X164070231Y-91904054D01* +X164163468Y-91922600D01* +X164258532Y-91922600D01* +X164351769Y-91904054D01* +X164439597Y-91867674D01* +X164518640Y-91814860D01* +X164585860Y-91747640D01* +X164638674Y-91668597D01* +X164675054Y-91580769D01* +X164693600Y-91487532D01* +X164693600Y-91392468D01* +X164675054Y-91299231D01* +X164638674Y-91211403D01* +X164585860Y-91132360D01* +X164518640Y-91065140D01* +X164439597Y-91012326D01* +X164351769Y-90975946D01* +X164258532Y-90957400D01* +X164163468Y-90957400D01* +X164070231Y-90975946D01* +X163982403Y-91012326D01* +X163903360Y-91065140D01* +X163836140Y-91132360D01* +X163783326Y-91211403D01* +X163746946Y-91299231D01* +X163728400Y-91392468D01* +X163644937Y-91392468D01* +X163644855Y-91386639D01* +X163598108Y-91016599D01* +X163480377Y-90662686D01* +X163296146Y-90338380D01* +X163052432Y-90056035D01* +X162758519Y-89826405D01* +X162425601Y-89658236D01* +X162066359Y-89557934D01* +X161756966Y-89534127D01* +X161772600Y-89455532D01* +X161772600Y-89360468D01* +X161754054Y-89267231D01* +X161717674Y-89179403D01* +X161664860Y-89100360D01* +X161597640Y-89033140D01* +X161518597Y-88980326D01* +X161430769Y-88943946D01* +X161337532Y-88925400D01* +X161242468Y-88925400D01* +X161149231Y-88943946D01* +X161061403Y-88980326D01* +X160982360Y-89033140D01* +X160915140Y-89100360D01* +X160862326Y-89179403D01* +X160825946Y-89267231D01* +X160807400Y-89360468D01* +X160807400Y-89455532D01* +X160825946Y-89548769D01* +X160862326Y-89636597D01* +X160915140Y-89715640D01* +X160917334Y-89717834D01* +X160643809Y-89870702D01* +X160359770Y-90112438D01* +X160128093Y-90404741D01* +X159957604Y-90736477D01* +X159854797Y-91095010D01* +X159823586Y-91466683D01* +X158090346Y-91466683D01* +X158108600Y-91374912D01* +X158108600Y-91251088D01* +X158084444Y-91129644D01* +X158037059Y-91015246D01* +X157968266Y-90912291D01* +X157880709Y-90824734D01* +X157777754Y-90755941D01* +X157663356Y-90708556D01* +X157541912Y-90684400D01* +X157418088Y-90684400D01* +X157296644Y-90708556D01* +X157182246Y-90755941D01* +X157079291Y-90824734D01* +X156991734Y-90912291D01* +X156922941Y-91015246D01* +X156875556Y-91129644D01* +X156851400Y-91251088D01* +X152590113Y-91251088D01* +X152573674Y-91211403D01* +X152520860Y-91132360D01* +X152453640Y-91065140D01* +X152374597Y-91012326D01* +X152286769Y-90975946D01* +X152193532Y-90957400D01* +X152098468Y-90957400D01* +X152005231Y-90975946D01* +X151917403Y-91012326D01* +X151838360Y-91065140D01* +X151771140Y-91132360D01* +X151718326Y-91211403D01* +X151681946Y-91299231D01* +X151663400Y-91392468D01* +X146532600Y-91392468D01* +X146514054Y-91299231D01* +X146477674Y-91211403D01* +X146424860Y-91132360D01* +X146357640Y-91065140D01* +X146278597Y-91012326D01* +X146190769Y-90975946D01* +X146097532Y-90957400D01* +X146002468Y-90957400D01* +X145909231Y-90975946D01* +X145821403Y-91012326D01* +X145742360Y-91065140D01* +X145675140Y-91132360D01* +X145622326Y-91211403D01* +X145585946Y-91299231D01* +X145567400Y-91392468D01* +X140294870Y-91392468D01* +X140304444Y-91369356D01* +X140328600Y-91247912D01* +X140328600Y-91124088D01* +X140304444Y-91002644D01* +X140257059Y-90888246D01* +X140188266Y-90785291D01* +X140100709Y-90697734D01* +X139997754Y-90628941D01* +X139883356Y-90581556D01* +X139761912Y-90557400D01* +X139638088Y-90557400D01* +X139516644Y-90581556D01* +X139402246Y-90628941D01* +X139299291Y-90697734D01* +X139211734Y-90785291D01* +X139142941Y-90888246D01* +X139095556Y-91002644D01* +X139071400Y-91124088D01* +X136332796Y-91124088D01* +X136354054Y-91072769D01* +X136372600Y-90979532D01* +X136372600Y-90884468D01* +X136354054Y-90791231D01* +X136317674Y-90703403D01* +X136264860Y-90624360D01* +X136197640Y-90557140D01* +X136118597Y-90504326D01* +X136030769Y-90467946D01* +X135937532Y-90449400D01* +X135842468Y-90449400D01* +X135749231Y-90467946D01* +X135661403Y-90504326D01* +X135582360Y-90557140D01* +X135515140Y-90624360D01* +X135462326Y-90703403D01* +X135425946Y-90791231D01* +X135407400Y-90884468D01* +X135102600Y-90884468D01* +X135084054Y-90791231D01* +X135047674Y-90703403D01* +X134994860Y-90624360D01* +X134927640Y-90557140D01* +X134848597Y-90504326D01* +X134760769Y-90467946D01* +X134667532Y-90449400D01* +X134572468Y-90449400D01* +X134479231Y-90467946D01* +X134391403Y-90504326D01* +X134312360Y-90557140D01* +X134245140Y-90624360D01* +X134192326Y-90703403D01* +X134155946Y-90791231D01* +X134137400Y-90884468D01* +X133832600Y-90884468D01* +X133814054Y-90791231D01* +X133777674Y-90703403D01* +X133724860Y-90624360D01* +X133657640Y-90557140D01* +X133578597Y-90504326D01* +X133490769Y-90467946D01* +X133397532Y-90449400D01* +X133302468Y-90449400D01* +X133209231Y-90467946D01* +X133121403Y-90504326D01* +X133042360Y-90557140D01* +X132975140Y-90624360D01* +X132922326Y-90703403D01* +X132885946Y-90791231D01* +X132867400Y-90884468D01* +X132562600Y-90884468D01* +X132544054Y-90791231D01* +X132507674Y-90703403D01* +X132454860Y-90624360D01* +X132387640Y-90557140D01* +X132308597Y-90504326D01* +X132220769Y-90467946D01* +X132127532Y-90449400D01* +X132032468Y-90449400D01* +X131939231Y-90467946D01* +X131851403Y-90504326D01* +X131772360Y-90557140D01* +X131705140Y-90624360D01* +X131652326Y-90703403D01* +X131615946Y-90791231D01* +X131597400Y-90884468D01* +X131292600Y-90884468D01* +X131274054Y-90791231D01* +X131237674Y-90703403D01* +X131184860Y-90624360D01* +X131117640Y-90557140D01* +X131038597Y-90504326D01* +X130950769Y-90467946D01* +X130857532Y-90449400D01* +X130762468Y-90449400D01* +X130669231Y-90467946D01* +X130581403Y-90504326D01* +X130502360Y-90557140D01* +X130435140Y-90624360D01* +X130382326Y-90703403D01* +X130345946Y-90791231D01* +X130327400Y-90884468D01* +X127482600Y-90884468D01* +X127464054Y-90791231D01* +X127427674Y-90703403D01* +X127374860Y-90624360D01* +X127307640Y-90557140D01* +X127228597Y-90504326D01* +X127140769Y-90467946D01* +X127047532Y-90449400D01* +X126952468Y-90449400D01* +X126859231Y-90467946D01* +X126771403Y-90504326D01* +X126692360Y-90557140D01* +X126625140Y-90624360D01* +X126572326Y-90703403D01* +X126535946Y-90791231D01* +X126517400Y-90884468D01* +X126212600Y-90884468D01* +X126194054Y-90791231D01* +X126157674Y-90703403D01* +X126104860Y-90624360D01* +X126037640Y-90557140D01* +X125958597Y-90504326D01* +X125870769Y-90467946D01* +X125777532Y-90449400D01* +X125682468Y-90449400D01* +X125589231Y-90467946D01* +X125501403Y-90504326D01* +X125422360Y-90557140D01* +X125355140Y-90624360D01* +X125302326Y-90703403D01* +X125265946Y-90791231D01* +X125247400Y-90884468D01* +X119840443Y-90884468D01* +X119780709Y-90824734D01* +X119677754Y-90755941D01* +X119563356Y-90708556D01* +X119441912Y-90684400D01* +X119318088Y-90684400D01* +X119196644Y-90708556D01* +X119082246Y-90755941D01* +X118979291Y-90824734D01* +X118891734Y-90912291D01* +X118822941Y-91015246D01* +X118775556Y-91129644D01* +X118751400Y-91251088D01* +X117995724Y-91251088D01* +X117912356Y-91216556D01* +X117790912Y-91192400D01* +X117667088Y-91192400D01* +X117545644Y-91216556D01* +X117431246Y-91263941D01* +X117328291Y-91332734D01* +X117240734Y-91420291D01* +X117171941Y-91523246D01* +X117124556Y-91637644D01* +X117100400Y-91759088D01* +X115379113Y-91759088D01* +X115362674Y-91719403D01* +X115309860Y-91640360D01* +X115242640Y-91573140D01* +X115163597Y-91520326D01* +X115075769Y-91483946D01* +X114982532Y-91465400D01* +X114887468Y-91465400D01* +X114794231Y-91483946D01* +X114706403Y-91520326D01* +X114627360Y-91573140D01* +X114560140Y-91640360D01* +X114507326Y-91719403D01* +X114470946Y-91807231D01* +X114452400Y-91900468D01* +X113893600Y-91900468D01* +X113875054Y-91807231D01* +X113838674Y-91719403D01* +X113785860Y-91640360D01* +X113718640Y-91573140D01* +X113639597Y-91520326D01* +X113551769Y-91483946D01* +X113458532Y-91465400D01* +X113363468Y-91465400D01* +X113270231Y-91483946D01* +X113182403Y-91520326D01* +X113103360Y-91573140D01* +X113036140Y-91640360D01* +X112983326Y-91719403D01* +X112946946Y-91807231D01* +X112928400Y-91900468D01* +X107413200Y-91900468D01* +X107411444Y-91891644D01* +X107364059Y-91777246D01* +X107295266Y-91674291D01* +X107207709Y-91586734D01* +X107104754Y-91517941D01* +X106990356Y-91470556D01* +X106868912Y-91446400D01* +X106745088Y-91446400D01* +X106623644Y-91470556D01* +X106509246Y-91517941D01* +X106406291Y-91586734D01* +X106318734Y-91674291D01* +X106249941Y-91777246D01* +X106202556Y-91891644D01* +X106178400Y-92013088D01* +X84266063Y-92013088D01* +X84220709Y-91967734D01* +X84117754Y-91898941D01* +X84003356Y-91851556D01* +X83881912Y-91827400D01* +X83758088Y-91827400D01* +X83636644Y-91851556D01* +X83522246Y-91898941D01* +X83419291Y-91967734D01* +X83331734Y-92055291D01* +X83262941Y-92158246D01* +X83215556Y-92272644D01* +X83191400Y-92394088D01* +X81212327Y-92394088D01* +X81332400Y-92168264D01* +X81440203Y-91811201D01* +X81476600Y-91440000D01* +X81475855Y-91386639D01* +X81458731Y-91251088D01* +X84461400Y-91251088D01* +X84461400Y-91374912D01* +X84485556Y-91496356D01* +X84532941Y-91610754D01* +X84601734Y-91713709D01* +X84689291Y-91801266D01* +X84792246Y-91870059D01* +X84906644Y-91917444D01* +X85028088Y-91941600D01* +X85151912Y-91941600D01* +X85273356Y-91917444D01* +X85387754Y-91870059D01* +X85490709Y-91801266D01* +X85578266Y-91713709D01* +X85647059Y-91610754D01* +X85694444Y-91496356D01* +X85718600Y-91374912D01* +X85718600Y-91251088D01* +X85694444Y-91129644D01* +X85647059Y-91015246D01* +X85578266Y-90912291D01* +X85550443Y-90884468D01* +X96037400Y-90884468D01* +X96037400Y-90979532D01* +X96055946Y-91072769D01* +X96092326Y-91160597D01* +X96145140Y-91239640D01* +X96212360Y-91306860D01* +X96291403Y-91359674D01* +X96379231Y-91396054D01* +X96472468Y-91414600D01* +X96567532Y-91414600D01* +X96660769Y-91396054D01* +X96748597Y-91359674D01* +X96827640Y-91306860D01* +X96894860Y-91239640D01* +X96947674Y-91160597D01* +X96984054Y-91072769D01* +X97002600Y-90979532D01* +X97002600Y-90884468D01* +X97307400Y-90884468D01* +X97307400Y-90979532D01* +X97325946Y-91072769D01* +X97362326Y-91160597D01* +X97415140Y-91239640D01* +X97482360Y-91306860D01* +X97561403Y-91359674D01* +X97649231Y-91396054D01* +X97742468Y-91414600D01* +X97837532Y-91414600D01* +X97930769Y-91396054D01* +X98018597Y-91359674D01* +X98097640Y-91306860D01* +X98164860Y-91239640D01* +X98217674Y-91160597D01* +X98254054Y-91072769D01* +X98272600Y-90979532D01* +X98272600Y-90884468D01* +X98577400Y-90884468D01* +X98577400Y-90979532D01* +X98595946Y-91072769D01* +X98632326Y-91160597D01* +X98685140Y-91239640D01* +X98752360Y-91306860D01* +X98831403Y-91359674D01* +X98919231Y-91396054D01* +X99012468Y-91414600D01* +X99107532Y-91414600D01* +X99200769Y-91396054D01* +X99288597Y-91359674D01* +X99367640Y-91306860D01* +X99434860Y-91239640D01* +X99487674Y-91160597D01* +X99524054Y-91072769D01* +X99542600Y-90979532D01* +X99542600Y-90884468D01* +X99847400Y-90884468D01* +X99847400Y-90979532D01* +X99865946Y-91072769D01* +X99902326Y-91160597D01* +X99955140Y-91239640D01* +X100022360Y-91306860D01* +X100101403Y-91359674D01* +X100189231Y-91396054D01* +X100282468Y-91414600D01* +X100377532Y-91414600D01* +X100470769Y-91396054D01* +X100558597Y-91359674D01* +X100637640Y-91306860D01* +X100704860Y-91239640D01* +X100757674Y-91160597D01* +X100794054Y-91072769D01* +X100812600Y-90979532D01* +X100812600Y-90884468D01* +X101117400Y-90884468D01* +X101117400Y-90979532D01* +X101135946Y-91072769D01* +X101172326Y-91160597D01* +X101225140Y-91239640D01* +X101292360Y-91306860D01* +X101371403Y-91359674D01* +X101459231Y-91396054D01* +X101552468Y-91414600D01* +X101647532Y-91414600D01* +X101740769Y-91396054D01* +X101828597Y-91359674D01* +X101907640Y-91306860D01* +X101963412Y-91251088D01* +X104781400Y-91251088D01* +X104781400Y-91374912D01* +X104805556Y-91496356D01* +X104852941Y-91610754D01* +X104921734Y-91713709D01* +X105009291Y-91801266D01* +X105112246Y-91870059D01* +X105226644Y-91917444D01* +X105348088Y-91941600D01* +X105471912Y-91941600D01* +X105593356Y-91917444D01* +X105707754Y-91870059D01* +X105810709Y-91801266D01* +X105898266Y-91713709D01* +X105967059Y-91610754D01* +X106014444Y-91496356D01* +X106038600Y-91374912D01* +X106038600Y-91251088D01* +X106014444Y-91129644D01* +X105967059Y-91015246D01* +X105898266Y-90912291D01* +X105810709Y-90824734D01* +X105707754Y-90755941D01* +X105593356Y-90708556D01* +X105471912Y-90684400D01* +X105348088Y-90684400D01* +X105226644Y-90708556D01* +X105112246Y-90755941D01* +X105009291Y-90824734D01* +X104921734Y-90912291D01* +X104852941Y-91015246D01* +X104805556Y-91129644D01* +X104781400Y-91251088D01* +X101963412Y-91251088D01* +X101974860Y-91239640D01* +X102027674Y-91160597D01* +X102064054Y-91072769D01* +X102082600Y-90979532D01* +X102082600Y-90884468D01* +X102064054Y-90791231D01* +X102027674Y-90703403D01* +X101974860Y-90624360D01* +X101907640Y-90557140D01* +X101828597Y-90504326D01* +X101740769Y-90467946D01* +X101647532Y-90449400D01* +X101552468Y-90449400D01* +X101459231Y-90467946D01* +X101371403Y-90504326D01* +X101292360Y-90557140D01* +X101225140Y-90624360D01* +X101172326Y-90703403D01* +X101135946Y-90791231D01* +X101117400Y-90884468D01* +X100812600Y-90884468D01* +X100794054Y-90791231D01* +X100757674Y-90703403D01* +X100704860Y-90624360D01* +X100637640Y-90557140D01* +X100558597Y-90504326D01* +X100470769Y-90467946D01* +X100377532Y-90449400D01* +X100282468Y-90449400D01* +X100189231Y-90467946D01* +X100101403Y-90504326D01* +X100022360Y-90557140D01* +X99955140Y-90624360D01* +X99902326Y-90703403D01* +X99865946Y-90791231D01* +X99847400Y-90884468D01* +X99542600Y-90884468D01* +X99524054Y-90791231D01* +X99487674Y-90703403D01* +X99434860Y-90624360D01* +X99367640Y-90557140D01* +X99288597Y-90504326D01* +X99200769Y-90467946D01* +X99107532Y-90449400D01* +X99012468Y-90449400D01* +X98919231Y-90467946D01* +X98831403Y-90504326D01* +X98752360Y-90557140D01* +X98685140Y-90624360D01* +X98632326Y-90703403D01* +X98595946Y-90791231D01* +X98577400Y-90884468D01* +X98272600Y-90884468D01* +X98254054Y-90791231D01* +X98217674Y-90703403D01* +X98164860Y-90624360D01* +X98097640Y-90557140D01* +X98018597Y-90504326D01* +X97930769Y-90467946D01* +X97837532Y-90449400D01* +X97742468Y-90449400D01* +X97649231Y-90467946D01* +X97561403Y-90504326D01* +X97482360Y-90557140D01* +X97415140Y-90624360D01* +X97362326Y-90703403D01* +X97325946Y-90791231D01* +X97307400Y-90884468D01* +X97002600Y-90884468D01* +X96984054Y-90791231D01* +X96947674Y-90703403D01* +X96894860Y-90624360D01* +X96827640Y-90557140D01* +X96748597Y-90504326D01* +X96660769Y-90467946D01* +X96567532Y-90449400D01* +X96472468Y-90449400D01* +X96379231Y-90467946D01* +X96291403Y-90504326D01* +X96212360Y-90557140D01* +X96145140Y-90624360D01* +X96092326Y-90703403D01* +X96055946Y-90791231D01* +X96037400Y-90884468D01* +X85550443Y-90884468D01* +X85490709Y-90824734D01* +X85387754Y-90755941D01* +X85273356Y-90708556D01* +X85151912Y-90684400D01* +X85028088Y-90684400D01* +X84906644Y-90708556D01* +X84792246Y-90755941D01* +X84689291Y-90824734D01* +X84601734Y-90912291D01* +X84532941Y-91015246D01* +X84485556Y-91129644D01* +X84461400Y-91251088D01* +X81458731Y-91251088D01* +X81429108Y-91016599D01* +X81311377Y-90662686D01* +X81127146Y-90338380D01* +X80883432Y-90056035D01* +X80805910Y-89995468D01* +X87147400Y-89995468D01* +X87147400Y-90090532D01* +X87165946Y-90183769D01* +X87202326Y-90271597D01* +X87255140Y-90350640D01* +X87322360Y-90417860D01* +X87401403Y-90470674D01* +X87489231Y-90507054D01* +X87582468Y-90525600D01* +X87677532Y-90525600D01* +X87770769Y-90507054D01* +X87858597Y-90470674D01* +X87937640Y-90417860D01* +X88004860Y-90350640D01* +X88057674Y-90271597D01* +X88094054Y-90183769D01* +X88112600Y-90090532D01* +X88112600Y-89995468D01* +X88417400Y-89995468D01* +X88417400Y-90090532D01* +X88435946Y-90183769D01* +X88472326Y-90271597D01* +X88525140Y-90350640D01* +X88592360Y-90417860D01* +X88671403Y-90470674D01* +X88759231Y-90507054D01* +X88852468Y-90525600D01* +X88947532Y-90525600D01* +X89040769Y-90507054D01* +X89128597Y-90470674D01* +X89207640Y-90417860D01* +X89274860Y-90350640D01* +X89327674Y-90271597D01* +X89364054Y-90183769D01* +X89382600Y-90090532D01* +X89382600Y-89995468D01* +X89687400Y-89995468D01* +X89687400Y-90090532D01* +X89705946Y-90183769D01* +X89742326Y-90271597D01* +X89795140Y-90350640D01* +X89862360Y-90417860D01* +X89941403Y-90470674D01* +X90029231Y-90507054D01* +X90122468Y-90525600D01* +X90217532Y-90525600D01* +X90310769Y-90507054D01* +X90398597Y-90470674D01* +X90477640Y-90417860D01* +X90544860Y-90350640D01* +X90597674Y-90271597D01* +X90634054Y-90183769D01* +X90652600Y-90090532D01* +X90652600Y-89995468D01* +X90634054Y-89902231D01* +X90597674Y-89814403D01* +X90544860Y-89735360D01* +X90477640Y-89668140D01* +X90398597Y-89615326D01* +X90396526Y-89614468D01* +X91465400Y-89614468D01* +X91465400Y-89709532D01* +X91483946Y-89802769D01* +X91520326Y-89890597D01* +X91573140Y-89969640D01* +X91640360Y-90036860D01* +X91719403Y-90089674D01* +X91807231Y-90126054D01* +X91900468Y-90144600D01* +X91995532Y-90144600D01* +X92088769Y-90126054D01* +X92176597Y-90089674D01* +X92255640Y-90036860D01* +X92322860Y-89969640D01* +X92375674Y-89890597D01* +X92412054Y-89802769D01* +X92430600Y-89709532D01* +X92430600Y-89614468D01* +X94767400Y-89614468D01* +X94767400Y-89709532D01* +X94785946Y-89802769D01* +X94822326Y-89890597D01* +X94875140Y-89969640D01* +X94942360Y-90036860D01* +X95021403Y-90089674D01* +X95109231Y-90126054D01* +X95202468Y-90144600D01* +X95297532Y-90144600D01* +X95390769Y-90126054D01* +X95478597Y-90089674D01* +X95557640Y-90036860D01* +X95624860Y-89969640D01* +X95677674Y-89890597D01* +X95714054Y-89802769D01* +X95732600Y-89709532D01* +X95732600Y-89614468D01* +X95714054Y-89521231D01* +X95677674Y-89433403D01* +X95628942Y-89360468D01* +X103530400Y-89360468D01* +X103530400Y-89455532D01* +X103548946Y-89548769D01* +X103585326Y-89636597D01* +X103638140Y-89715640D01* +X103705360Y-89782860D01* +X103784403Y-89835674D01* +X103872231Y-89872054D01* +X103965468Y-89890600D01* +X104060532Y-89890600D01* +X104153769Y-89872054D01* +X104162426Y-89868468D01* +X110896400Y-89868468D01* +X110896400Y-89963532D01* +X110914946Y-90056769D01* +X110951326Y-90144597D01* +X111004140Y-90223640D01* +X111071360Y-90290860D01* +X111150403Y-90343674D01* +X111238231Y-90380054D01* +X111331468Y-90398600D01* +X111426532Y-90398600D01* +X111519769Y-90380054D01* +X111607597Y-90343674D01* +X111686640Y-90290860D01* +X111753860Y-90223640D01* +X111806674Y-90144597D01* +X111815840Y-90122468D01* +X120675400Y-90122468D01* +X120675400Y-90217532D01* +X120693946Y-90310769D01* +X120730326Y-90398597D01* +X120783140Y-90477640D01* +X120850360Y-90544860D01* +X120929403Y-90597674D01* +X121017231Y-90634054D01* +X121110468Y-90652600D01* +X121205532Y-90652600D01* +X121298769Y-90634054D01* +X121386597Y-90597674D01* +X121465640Y-90544860D01* +X121532860Y-90477640D01* +X121585674Y-90398597D01* +X121622054Y-90310769D01* +X121640600Y-90217532D01* +X121640600Y-90122468D01* +X121622054Y-90029231D01* +X121585674Y-89941403D01* +X121532860Y-89862360D01* +X121465640Y-89795140D01* +X121386597Y-89742326D01* +X121298769Y-89705946D01* +X121205532Y-89687400D01* +X121110468Y-89687400D01* +X121017231Y-89705946D01* +X120929403Y-89742326D01* +X120850360Y-89795140D01* +X120783140Y-89862360D01* +X120730326Y-89941403D01* +X120693946Y-90029231D01* +X120675400Y-90122468D01* +X111815840Y-90122468D01* +X111843054Y-90056769D01* +X111861600Y-89963532D01* +X111861600Y-89868468D01* +X111843054Y-89775231D01* +X111806674Y-89687403D01* +X111753860Y-89608360D01* +X111686640Y-89541140D01* +X111607597Y-89488326D01* +X111519769Y-89451946D01* +X111426532Y-89433400D01* +X111331468Y-89433400D01* +X111238231Y-89451946D01* +X111150403Y-89488326D01* +X111071360Y-89541140D01* +X111004140Y-89608360D01* +X110951326Y-89687403D01* +X110914946Y-89775231D01* +X110896400Y-89868468D01* +X104162426Y-89868468D01* +X104241597Y-89835674D01* +X104320640Y-89782860D01* +X104387860Y-89715640D01* +X104440674Y-89636597D01* +X104477054Y-89548769D01* +X104495600Y-89455532D01* +X104495600Y-89360468D01* +X111912400Y-89360468D01* +X111912400Y-89455532D01* +X111930946Y-89548769D01* +X111967326Y-89636597D01* +X112020140Y-89715640D01* +X112087360Y-89782860D01* +X112166403Y-89835674D01* +X112254231Y-89872054D01* +X112347468Y-89890600D01* +X112442532Y-89890600D01* +X112535769Y-89872054D01* +X112623597Y-89835674D01* +X112702640Y-89782860D01* +X112769860Y-89715640D01* +X112822674Y-89636597D01* +X112859054Y-89548769D01* +X112877600Y-89455532D01* +X112877600Y-89360468D01* +X119151400Y-89360468D01* +X119151400Y-89455532D01* +X119169946Y-89548769D01* +X119206326Y-89636597D01* +X119259140Y-89715640D01* +X119326360Y-89782860D01* +X119405403Y-89835674D01* +X119493231Y-89872054D01* +X119586468Y-89890600D01* +X119681532Y-89890600D01* +X119774769Y-89872054D01* +X119862597Y-89835674D01* +X119941640Y-89782860D01* +X120008860Y-89715640D01* +X120061674Y-89636597D01* +X120070840Y-89614468D01* +X126009400Y-89614468D01* +X126009400Y-89709532D01* +X126027946Y-89802769D01* +X126064326Y-89890597D01* +X126117140Y-89969640D01* +X126184360Y-90036860D01* +X126263403Y-90089674D01* +X126351231Y-90126054D01* +X126444468Y-90144600D01* +X126539532Y-90144600D01* +X126632769Y-90126054D01* +X126720597Y-90089674D01* +X126799640Y-90036860D01* +X126866860Y-89969640D01* +X126919674Y-89890597D01* +X126956054Y-89802769D01* +X126974600Y-89709532D01* +X126974600Y-89614468D01* +X129057400Y-89614468D01* +X129057400Y-89709532D01* +X129075946Y-89802769D01* +X129112326Y-89890597D01* +X129165140Y-89969640D01* +X129232360Y-90036860D01* +X129311403Y-90089674D01* +X129399231Y-90126054D01* +X129492468Y-90144600D01* +X129587532Y-90144600D01* +X129680769Y-90126054D01* +X129768597Y-90089674D01* +X129847640Y-90036860D01* +X129914860Y-89969640D01* +X129967674Y-89890597D01* +X130004054Y-89802769D01* +X130022600Y-89709532D01* +X130022600Y-89614468D01* +X130004054Y-89521231D01* +X129967674Y-89433403D01* +X129918942Y-89360468D01* +X130327400Y-89360468D01* +X130327400Y-89455532D01* +X130345946Y-89548769D01* +X130382326Y-89636597D01* +X130435140Y-89715640D01* +X130502360Y-89782860D01* +X130581403Y-89835674D01* +X130669231Y-89872054D01* +X130762468Y-89890600D01* +X130857532Y-89890600D01* +X130950769Y-89872054D01* +X131038597Y-89835674D01* +X131117640Y-89782860D01* +X131184860Y-89715640D01* +X131237674Y-89636597D01* +X131274054Y-89548769D01* +X131292600Y-89455532D01* +X131292600Y-89360468D01* +X131597400Y-89360468D01* +X131597400Y-89455532D01* +X131615946Y-89548769D01* +X131652326Y-89636597D01* +X131705140Y-89715640D01* +X131772360Y-89782860D01* +X131851403Y-89835674D01* +X131939231Y-89872054D01* +X132032468Y-89890600D01* +X132127532Y-89890600D01* +X132220769Y-89872054D01* +X132308597Y-89835674D01* +X132387640Y-89782860D01* +X132454860Y-89715640D01* +X132507674Y-89636597D01* +X132544054Y-89548769D01* +X132562600Y-89455532D01* +X132562600Y-89360468D01* +X132867400Y-89360468D01* +X132867400Y-89455532D01* +X132885946Y-89548769D01* +X132922326Y-89636597D01* +X132975140Y-89715640D01* +X133042360Y-89782860D01* +X133121403Y-89835674D01* +X133209231Y-89872054D01* +X133302468Y-89890600D01* +X133397532Y-89890600D01* +X133490769Y-89872054D01* +X133578597Y-89835674D01* +X133657640Y-89782860D01* +X133724860Y-89715640D01* +X133777674Y-89636597D01* +X133814054Y-89548769D01* +X133832600Y-89455532D01* +X133832600Y-89360468D01* +X134137400Y-89360468D01* +X134137400Y-89455532D01* +X134155946Y-89548769D01* +X134192326Y-89636597D01* +X134245140Y-89715640D01* +X134312360Y-89782860D01* +X134391403Y-89835674D01* +X134479231Y-89872054D01* +X134572468Y-89890600D01* +X134667532Y-89890600D01* +X134760769Y-89872054D01* +X134848597Y-89835674D01* +X134927640Y-89782860D01* +X134994860Y-89715640D01* +X135047674Y-89636597D01* +X135084054Y-89548769D01* +X135102600Y-89455532D01* +X135102600Y-89360468D01* +X135407400Y-89360468D01* +X135407400Y-89455532D01* +X135425946Y-89548769D01* +X135462326Y-89636597D01* +X135515140Y-89715640D01* +X135582360Y-89782860D01* +X135661403Y-89835674D01* +X135749231Y-89872054D01* +X135842468Y-89890600D01* +X135937532Y-89890600D01* +X136030769Y-89872054D01* +X136118597Y-89835674D01* +X136197640Y-89782860D01* +X136264860Y-89715640D01* +X136317674Y-89636597D01* +X136354054Y-89548769D01* +X136372600Y-89455532D01* +X136372600Y-89360468D01* +X142519400Y-89360468D01* +X142519400Y-89455532D01* +X142537946Y-89548769D01* +X142574326Y-89636597D01* +X142627140Y-89715640D01* +X142694360Y-89782860D01* +X142773403Y-89835674D01* +X142861231Y-89872054D01* +X142954468Y-89890600D01* +X143049532Y-89890600D01* +X143142769Y-89872054D01* +X143230597Y-89835674D01* +X143309640Y-89782860D01* +X143376860Y-89715640D01* +X143429674Y-89636597D01* +X143466054Y-89548769D01* +X143484600Y-89455532D01* +X143484600Y-89360468D01* +X148615400Y-89360468D01* +X148615400Y-89455532D01* +X148633946Y-89548769D01* +X148670326Y-89636597D01* +X148723140Y-89715640D01* +X148790360Y-89782860D01* +X148869403Y-89835674D01* +X148957231Y-89872054D01* +X149050468Y-89890600D01* +X149145532Y-89890600D01* +X149238769Y-89872054D01* +X149326597Y-89835674D01* +X149405640Y-89782860D01* +X149472860Y-89715640D01* +X149525674Y-89636597D01* +X149562054Y-89548769D01* +X149580600Y-89455532D01* +X149580600Y-89360468D01* +X149562054Y-89267231D01* +X149525674Y-89179403D01* +X149472860Y-89100360D01* +X149405640Y-89033140D01* +X149326597Y-88980326D01* +X149238769Y-88943946D01* +X149145532Y-88925400D01* +X149050468Y-88925400D01* +X148957231Y-88943946D01* +X148869403Y-88980326D01* +X148790360Y-89033140D01* +X148723140Y-89100360D01* +X148670326Y-89179403D01* +X148633946Y-89267231D01* +X148615400Y-89360468D01* +X143484600Y-89360468D01* +X143466054Y-89267231D01* +X143429674Y-89179403D01* +X143376860Y-89100360D01* +X143309640Y-89033140D01* +X143230597Y-88980326D01* +X143142769Y-88943946D01* +X143049532Y-88925400D01* +X142954468Y-88925400D01* +X142861231Y-88943946D01* +X142773403Y-88980326D01* +X142694360Y-89033140D01* +X142627140Y-89100360D01* +X142574326Y-89179403D01* +X142537946Y-89267231D01* +X142519400Y-89360468D01* +X136372600Y-89360468D01* +X136354054Y-89267231D01* +X136317674Y-89179403D01* +X136264860Y-89100360D01* +X136197640Y-89033140D01* +X136118597Y-88980326D01* +X136030769Y-88943946D01* +X135937532Y-88925400D01* +X135842468Y-88925400D01* +X135749231Y-88943946D01* +X135661403Y-88980326D01* +X135582360Y-89033140D01* +X135515140Y-89100360D01* +X135462326Y-89179403D01* +X135425946Y-89267231D01* +X135407400Y-89360468D01* +X135102600Y-89360468D01* +X135084054Y-89267231D01* +X135047674Y-89179403D01* +X134994860Y-89100360D01* +X134927640Y-89033140D01* +X134848597Y-88980326D01* +X134760769Y-88943946D01* +X134667532Y-88925400D01* +X134572468Y-88925400D01* +X134479231Y-88943946D01* +X134391403Y-88980326D01* +X134312360Y-89033140D01* +X134245140Y-89100360D01* +X134192326Y-89179403D01* +X134155946Y-89267231D01* +X134137400Y-89360468D01* +X133832600Y-89360468D01* +X133814054Y-89267231D01* +X133777674Y-89179403D01* +X133724860Y-89100360D01* +X133657640Y-89033140D01* +X133578597Y-88980326D01* +X133490769Y-88943946D01* +X133397532Y-88925400D01* +X133302468Y-88925400D01* +X133209231Y-88943946D01* +X133121403Y-88980326D01* +X133042360Y-89033140D01* +X132975140Y-89100360D01* +X132922326Y-89179403D01* +X132885946Y-89267231D01* +X132867400Y-89360468D01* +X132562600Y-89360468D01* +X132544054Y-89267231D01* +X132507674Y-89179403D01* +X132454860Y-89100360D01* +X132387640Y-89033140D01* +X132308597Y-88980326D01* +X132220769Y-88943946D01* +X132127532Y-88925400D01* +X132032468Y-88925400D01* +X131939231Y-88943946D01* +X131851403Y-88980326D01* +X131772360Y-89033140D01* +X131705140Y-89100360D01* +X131652326Y-89179403D01* +X131615946Y-89267231D01* +X131597400Y-89360468D01* +X131292600Y-89360468D01* +X131274054Y-89267231D01* +X131237674Y-89179403D01* +X131184860Y-89100360D01* +X131117640Y-89033140D01* +X131038597Y-88980326D01* +X130950769Y-88943946D01* +X130857532Y-88925400D01* +X130762468Y-88925400D01* +X130669231Y-88943946D01* +X130581403Y-88980326D01* +X130502360Y-89033140D01* +X130435140Y-89100360D01* +X130382326Y-89179403D01* +X130345946Y-89267231D01* +X130327400Y-89360468D01* +X129918942Y-89360468D01* +X129914860Y-89354360D01* +X129847640Y-89287140D01* +X129768597Y-89234326D01* +X129680769Y-89197946D01* +X129587532Y-89179400D01* +X129492468Y-89179400D01* +X129399231Y-89197946D01* +X129311403Y-89234326D01* +X129232360Y-89287140D01* +X129165140Y-89354360D01* +X129112326Y-89433403D01* +X129075946Y-89521231D01* +X129057400Y-89614468D01* +X126974600Y-89614468D01* +X126956054Y-89521231D01* +X126919674Y-89433403D01* +X126866860Y-89354360D01* +X126799640Y-89287140D01* +X126720597Y-89234326D01* +X126632769Y-89197946D01* +X126539532Y-89179400D01* +X126444468Y-89179400D01* +X126351231Y-89197946D01* +X126263403Y-89234326D01* +X126184360Y-89287140D01* +X126117140Y-89354360D01* +X126064326Y-89433403D01* +X126027946Y-89521231D01* +X126009400Y-89614468D01* +X120070840Y-89614468D01* +X120098054Y-89548769D01* +X120116600Y-89455532D01* +X120116600Y-89360468D01* +X120098054Y-89267231D01* +X120061674Y-89179403D01* +X120008860Y-89100360D01* +X119941640Y-89033140D01* +X119862597Y-88980326D01* +X119860526Y-88979468D01* +X125247400Y-88979468D01* +X125247400Y-89074532D01* +X125265946Y-89167769D01* +X125302326Y-89255597D01* +X125355140Y-89334640D01* +X125422360Y-89401860D01* +X125501403Y-89454674D01* +X125589231Y-89491054D01* +X125682468Y-89509600D01* +X125777532Y-89509600D01* +X125870769Y-89491054D01* +X125958597Y-89454674D01* +X126037640Y-89401860D01* +X126104860Y-89334640D01* +X126157674Y-89255597D01* +X126194054Y-89167769D01* +X126212600Y-89074532D01* +X126212600Y-88979468D01* +X126194054Y-88886231D01* +X126157674Y-88798403D01* +X126104860Y-88719360D01* +X126037640Y-88652140D01* +X125958597Y-88599326D01* +X125870769Y-88562946D01* +X125777532Y-88544400D01* +X125682468Y-88544400D01* +X125589231Y-88562946D01* +X125501403Y-88599326D01* +X125422360Y-88652140D01* +X125355140Y-88719360D01* +X125302326Y-88798403D01* +X125265946Y-88886231D01* +X125247400Y-88979468D01* +X119860526Y-88979468D01* +X119774769Y-88943946D01* +X119681532Y-88925400D01* +X119586468Y-88925400D01* +X119493231Y-88943946D01* +X119405403Y-88980326D01* +X119326360Y-89033140D01* +X119259140Y-89100360D01* +X119206326Y-89179403D01* +X119169946Y-89267231D01* +X119151400Y-89360468D01* +X112877600Y-89360468D01* +X112859054Y-89267231D01* +X112822674Y-89179403D01* +X112769860Y-89100360D01* +X112702640Y-89033140D01* +X112623597Y-88980326D01* +X112535769Y-88943946D01* +X112442532Y-88925400D01* +X112347468Y-88925400D01* +X112254231Y-88943946D01* +X112166403Y-88980326D01* +X112087360Y-89033140D01* +X112020140Y-89100360D01* +X111967326Y-89179403D01* +X111930946Y-89267231D01* +X111912400Y-89360468D01* +X104495600Y-89360468D01* +X104477054Y-89267231D01* +X104440674Y-89179403D01* +X104387860Y-89100360D01* +X104320640Y-89033140D01* +X104241597Y-88980326D01* +X104153769Y-88943946D01* +X104060532Y-88925400D01* +X103965468Y-88925400D01* +X103872231Y-88943946D01* +X103784403Y-88980326D01* +X103705360Y-89033140D01* +X103638140Y-89100360D01* +X103585326Y-89179403D01* +X103548946Y-89267231D01* +X103530400Y-89360468D01* +X95628942Y-89360468D01* +X95624860Y-89354360D01* +X95557640Y-89287140D01* +X95478597Y-89234326D01* +X95390769Y-89197946D01* +X95297532Y-89179400D01* +X95202468Y-89179400D01* +X95109231Y-89197946D01* +X95021403Y-89234326D01* +X94942360Y-89287140D01* +X94875140Y-89354360D01* +X94822326Y-89433403D01* +X94785946Y-89521231D01* +X94767400Y-89614468D01* +X92430600Y-89614468D01* +X92412054Y-89521231D01* +X92375674Y-89433403D01* +X92322860Y-89354360D01* +X92255640Y-89287140D01* +X92176597Y-89234326D01* +X92088769Y-89197946D01* +X91995532Y-89179400D01* +X91900468Y-89179400D01* +X91807231Y-89197946D01* +X91719403Y-89234326D01* +X91640360Y-89287140D01* +X91573140Y-89354360D01* +X91520326Y-89433403D01* +X91483946Y-89521231D01* +X91465400Y-89614468D01* +X90396526Y-89614468D01* +X90310769Y-89578946D01* +X90217532Y-89560400D01* +X90122468Y-89560400D01* +X90029231Y-89578946D01* +X89941403Y-89615326D01* +X89862360Y-89668140D01* +X89795140Y-89735360D01* +X89742326Y-89814403D01* +X89705946Y-89902231D01* +X89687400Y-89995468D01* +X89382600Y-89995468D01* +X89364054Y-89902231D01* +X89327674Y-89814403D01* +X89274860Y-89735360D01* +X89207640Y-89668140D01* +X89128597Y-89615326D01* +X89040769Y-89578946D01* +X88947532Y-89560400D01* +X88852468Y-89560400D01* +X88759231Y-89578946D01* +X88671403Y-89615326D01* +X88592360Y-89668140D01* +X88525140Y-89735360D01* +X88472326Y-89814403D01* +X88435946Y-89902231D01* +X88417400Y-89995468D01* +X88112600Y-89995468D01* +X88094054Y-89902231D01* +X88057674Y-89814403D01* +X88004860Y-89735360D01* +X87937640Y-89668140D01* +X87858597Y-89615326D01* +X87770769Y-89578946D01* +X87677532Y-89560400D01* +X87582468Y-89560400D01* +X87489231Y-89578946D01* +X87401403Y-89615326D01* +X87322360Y-89668140D01* +X87255140Y-89735360D01* +X87202326Y-89814403D01* +X87165946Y-89902231D01* +X87147400Y-89995468D01* +X80805910Y-89995468D01* +X80589519Y-89826405D01* +X80256601Y-89658236D01* +X80203180Y-89643320D01* +X80257860Y-89588640D01* +X80310674Y-89509597D01* +X80347054Y-89421769D01* +X80365600Y-89328532D01* +X80365600Y-89233468D01* +X80347054Y-89140231D01* +X80310674Y-89052403D01* +X80257860Y-88973360D01* +X80190640Y-88906140D01* +X80111597Y-88853326D01* +X80023769Y-88816946D01* +X79930532Y-88798400D01* +X79835468Y-88798400D01* +X79742231Y-88816946D01* +X79654403Y-88853326D01* +X79575360Y-88906140D01* +X79508140Y-88973360D01* +X79455326Y-89052403D01* +X79418946Y-89140231D01* +X79400400Y-89233468D01* +X79400400Y-89328532D01* +X79418946Y-89421769D01* +X79455326Y-89509597D01* +X79472708Y-89535611D01* +X79155119Y-89573482D01* +X78800393Y-89688739D01* +X78474809Y-89870702D01* +X78190770Y-90112438D01* +X77959093Y-90404741D01* +X77788604Y-90736477D01* +X77685797Y-91095010D01* +X77654586Y-91466683D01* +X77571600Y-91466683D01* +X77571600Y-91392468D01* +X77553054Y-91299231D01* +X77516674Y-91211403D01* +X77463860Y-91132360D01* +X77396640Y-91065140D01* +X77317597Y-91012326D01* +X77229769Y-90975946D01* +X77136532Y-90957400D01* +X77041468Y-90957400D01* +X76948231Y-90975946D01* +X76860403Y-91012326D01* +X76781360Y-91065140D01* +X76714140Y-91132360D01* +X76661326Y-91211403D01* +X76624946Y-91299231D01* +X76606400Y-91392468D01* +X76528600Y-91392468D01* +X76528600Y-88344468D01* +X90957400Y-88344468D01* +X90957400Y-88439532D01* +X90975946Y-88532769D01* +X91012326Y-88620597D01* +X91065140Y-88699640D01* +X91132360Y-88766860D01* +X91211403Y-88819674D01* +X91299231Y-88856054D01* +X91392468Y-88874600D01* +X91487532Y-88874600D01* +X91580769Y-88856054D01* +X91668597Y-88819674D01* +X91747640Y-88766860D01* +X91814860Y-88699640D01* +X91867674Y-88620597D01* +X91904054Y-88532769D01* +X91922600Y-88439532D01* +X91922600Y-88344468D01* +X92227400Y-88344468D01* +X92227400Y-88439532D01* +X92245946Y-88532769D01* +X92282326Y-88620597D01* +X92335140Y-88699640D01* +X92402360Y-88766860D01* +X92481403Y-88819674D01* +X92569231Y-88856054D01* +X92662468Y-88874600D01* +X92757532Y-88874600D01* +X92850769Y-88856054D01* +X92938597Y-88819674D01* +X93017640Y-88766860D01* +X93084860Y-88699640D01* +X93137674Y-88620597D01* +X93174054Y-88532769D01* +X93192600Y-88439532D01* +X93192600Y-88344468D01* +X93497400Y-88344468D01* +X93497400Y-88439532D01* +X93515946Y-88532769D01* +X93552326Y-88620597D01* +X93605140Y-88699640D01* +X93672360Y-88766860D01* +X93751403Y-88819674D01* +X93839231Y-88856054D01* +X93932468Y-88874600D01* +X94027532Y-88874600D01* +X94120769Y-88856054D01* +X94208597Y-88819674D01* +X94287640Y-88766860D01* +X94354860Y-88699640D01* +X94407674Y-88620597D01* +X94444054Y-88532769D01* +X94462600Y-88439532D01* +X94462600Y-88344468D01* +X96037400Y-88344468D01* +X96037400Y-88439532D01* +X96055946Y-88532769D01* +X96092326Y-88620597D01* +X96145140Y-88699640D01* +X96212360Y-88766860D01* +X96291403Y-88819674D01* +X96379231Y-88856054D01* +X96472468Y-88874600D01* +X96567532Y-88874600D01* +X96660769Y-88856054D01* +X96748597Y-88819674D01* +X96827640Y-88766860D01* +X96894860Y-88699640D01* +X96947674Y-88620597D01* +X96984054Y-88532769D01* +X97002600Y-88439532D01* +X97002600Y-88344468D01* +X97307400Y-88344468D01* +X97307400Y-88439532D01* +X97325946Y-88532769D01* +X97362326Y-88620597D01* +X97415140Y-88699640D01* +X97482360Y-88766860D01* +X97561403Y-88819674D01* +X97649231Y-88856054D01* +X97742468Y-88874600D01* +X97837532Y-88874600D01* +X97930769Y-88856054D01* +X98018597Y-88819674D01* +X98097640Y-88766860D01* +X98164860Y-88699640D01* +X98217674Y-88620597D01* +X98254054Y-88532769D01* +X98272600Y-88439532D01* +X98272600Y-88344468D01* +X98577400Y-88344468D01* +X98577400Y-88439532D01* +X98595946Y-88532769D01* +X98632326Y-88620597D01* +X98685140Y-88699640D01* +X98752360Y-88766860D01* +X98831403Y-88819674D01* +X98919231Y-88856054D01* +X99012468Y-88874600D01* +X99107532Y-88874600D01* +X99200769Y-88856054D01* +X99288597Y-88819674D01* +X99367640Y-88766860D01* +X99434860Y-88699640D01* +X99487674Y-88620597D01* +X99524054Y-88532769D01* +X99542600Y-88439532D01* +X99542600Y-88344468D01* +X99847400Y-88344468D01* +X99847400Y-88439532D01* +X99865946Y-88532769D01* +X99902326Y-88620597D01* +X99955140Y-88699640D01* +X100022360Y-88766860D01* +X100101403Y-88819674D01* +X100189231Y-88856054D01* +X100282468Y-88874600D01* +X100377532Y-88874600D01* +X100470769Y-88856054D01* +X100558597Y-88819674D01* +X100637640Y-88766860D01* +X100704860Y-88699640D01* +X100757674Y-88620597D01* +X100794054Y-88532769D01* +X100812600Y-88439532D01* +X100812600Y-88344468D01* +X101117400Y-88344468D01* +X101117400Y-88439532D01* +X101135946Y-88532769D01* +X101172326Y-88620597D01* +X101225140Y-88699640D01* +X101292360Y-88766860D01* +X101371403Y-88819674D01* +X101459231Y-88856054D01* +X101552468Y-88874600D01* +X101647532Y-88874600D01* +X101740769Y-88856054D01* +X101828597Y-88819674D01* +X101907640Y-88766860D01* +X101974860Y-88699640D01* +X102027674Y-88620597D01* +X102064054Y-88532769D01* +X102082600Y-88439532D01* +X102082600Y-88344468D01* +X127787400Y-88344468D01* +X127787400Y-88439532D01* +X127805946Y-88532769D01* +X127842326Y-88620597D01* +X127895140Y-88699640D01* +X127962360Y-88766860D01* +X128041403Y-88819674D01* +X128129231Y-88856054D01* +X128222468Y-88874600D01* +X128317532Y-88874600D01* +X128410769Y-88856054D01* +X128498597Y-88819674D01* +X128577640Y-88766860D01* +X128644860Y-88699640D01* +X128697674Y-88620597D01* +X128734054Y-88532769D01* +X128752600Y-88439532D01* +X128752600Y-88344468D01* +X130327400Y-88344468D01* +X130327400Y-88439532D01* +X130345946Y-88532769D01* +X130382326Y-88620597D01* +X130435140Y-88699640D01* +X130502360Y-88766860D01* +X130581403Y-88819674D01* +X130669231Y-88856054D01* +X130762468Y-88874600D01* +X130857532Y-88874600D01* +X130950769Y-88856054D01* +X131038597Y-88819674D01* +X131117640Y-88766860D01* +X131184860Y-88699640D01* +X131237674Y-88620597D01* +X131274054Y-88532769D01* +X131292600Y-88439532D01* +X131292600Y-88344468D01* +X131597400Y-88344468D01* +X131597400Y-88439532D01* +X131615946Y-88532769D01* +X131652326Y-88620597D01* +X131705140Y-88699640D01* +X131772360Y-88766860D01* +X131851403Y-88819674D01* +X131939231Y-88856054D01* +X132032468Y-88874600D01* +X132127532Y-88874600D01* +X132220769Y-88856054D01* +X132308597Y-88819674D01* +X132387640Y-88766860D01* +X132454860Y-88699640D01* +X132507674Y-88620597D01* +X132544054Y-88532769D01* +X132562600Y-88439532D01* +X132562600Y-88344468D01* +X132867400Y-88344468D01* +X132867400Y-88439532D01* +X132885946Y-88532769D01* +X132922326Y-88620597D01* +X132975140Y-88699640D01* +X133042360Y-88766860D01* +X133121403Y-88819674D01* +X133209231Y-88856054D01* +X133302468Y-88874600D01* +X133397532Y-88874600D01* +X133490769Y-88856054D01* +X133578597Y-88819674D01* +X133657640Y-88766860D01* +X133724860Y-88699640D01* +X133777674Y-88620597D01* +X133814054Y-88532769D01* +X133832600Y-88439532D01* +X133832600Y-88344468D01* +X134137400Y-88344468D01* +X134137400Y-88439532D01* +X134155946Y-88532769D01* +X134192326Y-88620597D01* +X134245140Y-88699640D01* +X134312360Y-88766860D01* +X134391403Y-88819674D01* +X134479231Y-88856054D01* +X134572468Y-88874600D01* +X134667532Y-88874600D01* +X134760769Y-88856054D01* +X134848597Y-88819674D01* +X134927640Y-88766860D01* +X134994860Y-88699640D01* +X135047674Y-88620597D01* +X135084054Y-88532769D01* +X135102600Y-88439532D01* +X135102600Y-88344468D01* +X135407400Y-88344468D01* +X135407400Y-88439532D01* +X135425946Y-88532769D01* +X135462326Y-88620597D01* +X135515140Y-88699640D01* +X135582360Y-88766860D01* +X135661403Y-88819674D01* +X135749231Y-88856054D01* +X135842468Y-88874600D01* +X135937532Y-88874600D01* +X136030769Y-88856054D01* +X136118597Y-88819674D01* +X136197640Y-88766860D01* +X136264860Y-88699640D01* +X136317674Y-88620597D01* +X136354054Y-88532769D01* +X136372600Y-88439532D01* +X136372600Y-88344468D01* +X136354054Y-88251231D01* +X136317674Y-88163403D01* +X136264860Y-88084360D01* +X136197640Y-88017140D01* +X136118597Y-87964326D01* +X136030769Y-87927946D01* +X135937532Y-87909400D01* +X135842468Y-87909400D01* +X135749231Y-87927946D01* +X135661403Y-87964326D01* +X135582360Y-88017140D01* +X135515140Y-88084360D01* +X135462326Y-88163403D01* +X135425946Y-88251231D01* +X135407400Y-88344468D01* +X135102600Y-88344468D01* +X135084054Y-88251231D01* +X135047674Y-88163403D01* +X134994860Y-88084360D01* +X134927640Y-88017140D01* +X134848597Y-87964326D01* +X134760769Y-87927946D01* +X134667532Y-87909400D01* +X134572468Y-87909400D01* +X134479231Y-87927946D01* +X134391403Y-87964326D01* +X134312360Y-88017140D01* +X134245140Y-88084360D01* +X134192326Y-88163403D01* +X134155946Y-88251231D01* +X134137400Y-88344468D01* +X133832600Y-88344468D01* +X133814054Y-88251231D01* +X133777674Y-88163403D01* +X133724860Y-88084360D01* +X133657640Y-88017140D01* +X133578597Y-87964326D01* +X133490769Y-87927946D01* +X133397532Y-87909400D01* +X133302468Y-87909400D01* +X133209231Y-87927946D01* +X133121403Y-87964326D01* +X133042360Y-88017140D01* +X132975140Y-88084360D01* +X132922326Y-88163403D01* +X132885946Y-88251231D01* +X132867400Y-88344468D01* +X132562600Y-88344468D01* +X132544054Y-88251231D01* +X132507674Y-88163403D01* +X132454860Y-88084360D01* +X132387640Y-88017140D01* +X132308597Y-87964326D01* +X132220769Y-87927946D01* +X132127532Y-87909400D01* +X132032468Y-87909400D01* +X131939231Y-87927946D01* +X131851403Y-87964326D01* +X131772360Y-88017140D01* +X131705140Y-88084360D01* +X131652326Y-88163403D01* +X131615946Y-88251231D01* +X131597400Y-88344468D01* +X131292600Y-88344468D01* +X131274054Y-88251231D01* +X131237674Y-88163403D01* +X131184860Y-88084360D01* +X131117640Y-88017140D01* +X131038597Y-87964326D01* +X130950769Y-87927946D01* +X130857532Y-87909400D01* +X130762468Y-87909400D01* +X130669231Y-87927946D01* +X130581403Y-87964326D01* +X130502360Y-88017140D01* +X130435140Y-88084360D01* +X130382326Y-88163403D01* +X130345946Y-88251231D01* +X130327400Y-88344468D01* +X128752600Y-88344468D01* +X128734054Y-88251231D01* +X128697674Y-88163403D01* +X128644860Y-88084360D01* +X128577640Y-88017140D01* +X128498597Y-87964326D01* +X128410769Y-87927946D01* +X128317532Y-87909400D01* +X128222468Y-87909400D01* +X128129231Y-87927946D01* +X128041403Y-87964326D01* +X127962360Y-88017140D01* +X127895140Y-88084360D01* +X127842326Y-88163403D01* +X127805946Y-88251231D01* +X127787400Y-88344468D01* +X102082600Y-88344468D01* +X102064054Y-88251231D01* +X102027674Y-88163403D01* +X101974860Y-88084360D01* +X101907640Y-88017140D01* +X101828597Y-87964326D01* +X101740769Y-87927946D01* +X101647532Y-87909400D01* +X101552468Y-87909400D01* +X101459231Y-87927946D01* +X101371403Y-87964326D01* +X101292360Y-88017140D01* +X101225140Y-88084360D01* +X101172326Y-88163403D01* +X101135946Y-88251231D01* +X101117400Y-88344468D01* +X100812600Y-88344468D01* +X100794054Y-88251231D01* +X100757674Y-88163403D01* +X100704860Y-88084360D01* +X100637640Y-88017140D01* +X100558597Y-87964326D01* +X100470769Y-87927946D01* +X100377532Y-87909400D01* +X100282468Y-87909400D01* +X100189231Y-87927946D01* +X100101403Y-87964326D01* +X100022360Y-88017140D01* +X99955140Y-88084360D01* +X99902326Y-88163403D01* +X99865946Y-88251231D01* +X99847400Y-88344468D01* +X99542600Y-88344468D01* +X99524054Y-88251231D01* +X99487674Y-88163403D01* +X99434860Y-88084360D01* +X99367640Y-88017140D01* +X99288597Y-87964326D01* +X99200769Y-87927946D01* +X99107532Y-87909400D01* +X99012468Y-87909400D01* +X98919231Y-87927946D01* +X98831403Y-87964326D01* +X98752360Y-88017140D01* +X98685140Y-88084360D01* +X98632326Y-88163403D01* +X98595946Y-88251231D01* +X98577400Y-88344468D01* +X98272600Y-88344468D01* +X98254054Y-88251231D01* +X98217674Y-88163403D01* +X98164860Y-88084360D01* +X98097640Y-88017140D01* +X98018597Y-87964326D01* +X97930769Y-87927946D01* +X97837532Y-87909400D01* +X97742468Y-87909400D01* +X97649231Y-87927946D01* +X97561403Y-87964326D01* +X97482360Y-88017140D01* +X97415140Y-88084360D01* +X97362326Y-88163403D01* +X97325946Y-88251231D01* +X97307400Y-88344468D01* +X97002600Y-88344468D01* +X96984054Y-88251231D01* +X96947674Y-88163403D01* +X96894860Y-88084360D01* +X96827640Y-88017140D01* +X96748597Y-87964326D01* +X96660769Y-87927946D01* +X96567532Y-87909400D01* +X96472468Y-87909400D01* +X96379231Y-87927946D01* +X96291403Y-87964326D01* +X96212360Y-88017140D01* +X96145140Y-88084360D01* +X96092326Y-88163403D01* +X96055946Y-88251231D01* +X96037400Y-88344468D01* +X94462600Y-88344468D01* +X94444054Y-88251231D01* +X94407674Y-88163403D01* +X94354860Y-88084360D01* +X94287640Y-88017140D01* +X94208597Y-87964326D01* +X94120769Y-87927946D01* +X94027532Y-87909400D01* +X93932468Y-87909400D01* +X93839231Y-87927946D01* +X93751403Y-87964326D01* +X93672360Y-88017140D01* +X93605140Y-88084360D01* +X93552326Y-88163403D01* +X93515946Y-88251231D01* +X93497400Y-88344468D01* +X93192600Y-88344468D01* +X93174054Y-88251231D01* +X93137674Y-88163403D01* +X93084860Y-88084360D01* +X93017640Y-88017140D01* +X92938597Y-87964326D01* +X92850769Y-87927946D01* +X92757532Y-87909400D01* +X92662468Y-87909400D01* +X92569231Y-87927946D01* +X92481403Y-87964326D01* +X92402360Y-88017140D01* +X92335140Y-88084360D01* +X92282326Y-88163403D01* +X92245946Y-88251231D01* +X92227400Y-88344468D01* +X91922600Y-88344468D01* +X91904054Y-88251231D01* +X91867674Y-88163403D01* +X91814860Y-88084360D01* +X91747640Y-88017140D01* +X91668597Y-87964326D01* +X91580769Y-87927946D01* +X91487532Y-87909400D01* +X91392468Y-87909400D01* +X91299231Y-87927946D01* +X91211403Y-87964326D01* +X91132360Y-88017140D01* +X91065140Y-88084360D01* +X91012326Y-88163403D01* +X90975946Y-88251231D01* +X90957400Y-88344468D01* +X76528600Y-88344468D01* +X76528600Y-87328468D01* +X76606400Y-87328468D01* +X76606400Y-87423532D01* +X76624946Y-87516769D01* +X76661326Y-87604597D01* +X76714140Y-87683640D01* +X76781360Y-87750860D01* +X76860403Y-87803674D01* +X76948231Y-87840054D01* +X77041468Y-87858600D01* +X77136532Y-87858600D01* +X77229769Y-87840054D01* +X77317597Y-87803674D01* +X77396640Y-87750860D01* +X77463860Y-87683640D01* +X77516674Y-87604597D01* +X77553054Y-87516769D01* +X77571600Y-87423532D01* +X77571600Y-87328468D01* +X82321400Y-87328468D01* +X82321400Y-87423532D01* +X82339946Y-87516769D01* +X82376326Y-87604597D01* +X82429140Y-87683640D01* +X82496360Y-87750860D01* +X82575403Y-87803674D01* +X82663231Y-87840054D01* +X82756468Y-87858600D01* +X82851532Y-87858600D01* +X82944769Y-87840054D01* +X83032597Y-87803674D01* +X83111640Y-87750860D01* +X83178860Y-87683640D01* +X83231674Y-87604597D01* +X83268054Y-87516769D01* +X83286600Y-87423532D01* +X83286600Y-87328468D01* +X139471400Y-87328468D01* +X139471400Y-87423532D01* +X139489946Y-87516769D01* +X139526326Y-87604597D01* +X139579140Y-87683640D01* +X139646360Y-87750860D01* +X139725403Y-87803674D01* +X139813231Y-87840054D01* +X139906468Y-87858600D01* +X140001532Y-87858600D01* +X140094769Y-87840054D01* +X140182597Y-87803674D01* +X140261640Y-87750860D01* +X140328860Y-87683640D01* +X140381674Y-87604597D01* +X140418054Y-87516769D01* +X140436600Y-87423532D01* +X140436600Y-87328468D01* +X145567400Y-87328468D01* +X145567400Y-87423532D01* +X145585946Y-87516769D01* +X145622326Y-87604597D01* +X145675140Y-87683640D01* +X145742360Y-87750860D01* +X145821403Y-87803674D01* +X145909231Y-87840054D01* +X146002468Y-87858600D01* +X146097532Y-87858600D01* +X146190769Y-87840054D01* +X146278597Y-87803674D01* +X146357640Y-87750860D01* +X146424860Y-87683640D01* +X146477674Y-87604597D01* +X146514054Y-87516769D01* +X146532600Y-87423532D01* +X146532600Y-87328468D01* +X151663400Y-87328468D01* +X151663400Y-87423532D01* +X151681946Y-87516769D01* +X151718326Y-87604597D01* +X151771140Y-87683640D01* +X151838360Y-87750860D01* +X151917403Y-87803674D01* +X152005231Y-87840054D01* +X152098468Y-87858600D01* +X152193532Y-87858600D01* +X152286769Y-87840054D01* +X152374597Y-87803674D01* +X152453640Y-87750860D01* +X152520860Y-87683640D01* +X152573674Y-87604597D01* +X152610054Y-87516769D01* +X152628600Y-87423532D01* +X152628600Y-87328468D01* +X157759400Y-87328468D01* +X157759400Y-87423532D01* +X157777946Y-87516769D01* +X157814326Y-87604597D01* +X157867140Y-87683640D01* +X157934360Y-87750860D01* +X158013403Y-87803674D01* +X158101231Y-87840054D01* +X158194468Y-87858600D01* +X158289532Y-87858600D01* +X158382769Y-87840054D01* +X158470597Y-87803674D01* +X158549640Y-87750860D01* +X158616860Y-87683640D01* +X158669674Y-87604597D01* +X158706054Y-87516769D01* +X158724600Y-87423532D01* +X158724600Y-87328468D01* +X163728400Y-87328468D01* +X163728400Y-87423532D01* +X163746946Y-87516769D01* +X163783326Y-87604597D01* +X163836140Y-87683640D01* +X163903360Y-87750860D01* +X163982403Y-87803674D01* +X164070231Y-87840054D01* +X164163468Y-87858600D01* +X164258532Y-87858600D01* +X164351769Y-87840054D01* +X164439597Y-87803674D01* +X164518640Y-87750860D01* +X164585860Y-87683640D01* +X164638674Y-87604597D01* +X164675054Y-87516769D01* +X164693600Y-87423532D01* +X164693600Y-87328468D01* +X164675054Y-87235231D01* +X164638674Y-87147403D01* +X164585860Y-87068360D01* +X164518640Y-87001140D01* +X164439597Y-86948326D01* +X164351769Y-86911946D01* +X164258532Y-86893400D01* +X164163468Y-86893400D01* +X164070231Y-86911946D01* +X163982403Y-86948326D01* +X163903360Y-87001140D01* +X163836140Y-87068360D01* +X163783326Y-87147403D01* +X163746946Y-87235231D01* +X163728400Y-87328468D01* +X158724600Y-87328468D01* +X158706054Y-87235231D01* +X158669674Y-87147403D01* +X158616860Y-87068360D01* +X158549640Y-87001140D01* +X158470597Y-86948326D01* +X158382769Y-86911946D01* +X158289532Y-86893400D01* +X158194468Y-86893400D01* +X158101231Y-86911946D01* +X158013403Y-86948326D01* +X157934360Y-87001140D01* +X157867140Y-87068360D01* +X157814326Y-87147403D01* +X157777946Y-87235231D01* +X157759400Y-87328468D01* +X152628600Y-87328468D01* +X152610054Y-87235231D01* +X152573674Y-87147403D01* +X152520860Y-87068360D01* +X152453640Y-87001140D01* +X152374597Y-86948326D01* +X152286769Y-86911946D01* +X152193532Y-86893400D01* +X152098468Y-86893400D01* +X152005231Y-86911946D01* +X151917403Y-86948326D01* +X151838360Y-87001140D01* +X151771140Y-87068360D01* +X151718326Y-87147403D01* +X151681946Y-87235231D01* +X151663400Y-87328468D01* +X146532600Y-87328468D01* +X146514054Y-87235231D01* +X146477674Y-87147403D01* +X146424860Y-87068360D01* +X146357640Y-87001140D01* +X146278597Y-86948326D01* +X146190769Y-86911946D01* +X146097532Y-86893400D01* +X146002468Y-86893400D01* +X145909231Y-86911946D01* +X145821403Y-86948326D01* +X145742360Y-87001140D01* +X145675140Y-87068360D01* +X145622326Y-87147403D01* +X145585946Y-87235231D01* +X145567400Y-87328468D01* +X140436600Y-87328468D01* +X140418054Y-87235231D01* +X140381674Y-87147403D01* +X140328860Y-87068360D01* +X140261640Y-87001140D01* +X140182597Y-86948326D01* +X140094769Y-86911946D01* +X140001532Y-86893400D01* +X139906468Y-86893400D01* +X139813231Y-86911946D01* +X139725403Y-86948326D01* +X139646360Y-87001140D01* +X139579140Y-87068360D01* +X139526326Y-87147403D01* +X139489946Y-87235231D01* +X139471400Y-87328468D01* +X83286600Y-87328468D01* +X83268054Y-87235231D01* +X83231674Y-87147403D01* +X83178860Y-87068360D01* +X83111640Y-87001140D01* +X83032597Y-86948326D01* +X82944769Y-86911946D01* +X82851532Y-86893400D01* +X82756468Y-86893400D01* +X82663231Y-86911946D01* +X82575403Y-86948326D01* +X82496360Y-87001140D01* +X82429140Y-87068360D01* +X82376326Y-87147403D01* +X82339946Y-87235231D01* +X82321400Y-87328468D01* +X77571600Y-87328468D01* +X77553054Y-87235231D01* +X77516674Y-87147403D01* +X77463860Y-87068360D01* +X77396640Y-87001140D01* +X77317597Y-86948326D01* +X77229769Y-86911946D01* +X77136532Y-86893400D01* +X77041468Y-86893400D01* +X76948231Y-86911946D01* +X76860403Y-86948326D01* +X76781360Y-87001140D01* +X76714140Y-87068360D01* +X76661326Y-87147403D01* +X76624946Y-87235231D01* +X76606400Y-87328468D01* +X76528600Y-87328468D01* +X76528600Y-85296468D01* +X79400400Y-85296468D01* +X79400400Y-85391532D01* +X79418946Y-85484769D01* +X79455326Y-85572597D01* +X79508140Y-85651640D01* +X79575360Y-85718860D01* +X79654403Y-85771674D01* +X79742231Y-85808054D01* +X79835468Y-85826600D01* +X79930532Y-85826600D01* +X80023769Y-85808054D01* +X80111597Y-85771674D01* +X80190640Y-85718860D01* +X80257860Y-85651640D01* +X80310674Y-85572597D01* +X80347054Y-85484769D01* +X80365600Y-85391532D01* +X80365600Y-85296468D01* +X80347054Y-85203231D01* +X80327113Y-85155088D01* +X86112400Y-85155088D01* +X86112400Y-85278912D01* +X86136556Y-85400356D01* +X86183941Y-85514754D01* +X86252734Y-85617709D01* +X86340291Y-85705266D01* +X86443246Y-85774059D01* +X86557644Y-85821444D01* +X86679088Y-85845600D01* +X86802912Y-85845600D01* +X86924356Y-85821444D01* +X87038754Y-85774059D01* +X87141709Y-85705266D01* +X87229266Y-85617709D01* +X87298059Y-85514754D01* +X87345444Y-85400356D01* +X87369600Y-85278912D01* +X87369600Y-85155088D01* +X87345444Y-85033644D01* +X87298059Y-84919246D01* +X87229266Y-84816291D01* +X87187063Y-84774088D01* +X103130400Y-84774088D01* +X103130400Y-84897912D01* +X103154556Y-85019356D01* +X103201941Y-85133754D01* +X103270734Y-85236709D01* +X103358291Y-85324266D01* +X103461246Y-85393059D01* +X103575644Y-85440444D01* +X103697088Y-85464600D01* +X103820912Y-85464600D01* +X103942356Y-85440444D01* +X104056754Y-85393059D01* +X104159709Y-85324266D01* +X104247266Y-85236709D01* +X104316059Y-85133754D01* +X104363444Y-85019356D01* +X104387600Y-84897912D01* +X104387600Y-84774088D01* +X104365200Y-84661468D01* +X107594400Y-84661468D01* +X107594400Y-84756532D01* +X107612946Y-84849769D01* +X107649326Y-84937597D01* +X107702140Y-85016640D01* +X107769360Y-85083860D01* +X107848403Y-85136674D01* +X107936231Y-85173054D01* +X108029468Y-85191600D01* +X108124532Y-85191600D01* +X108217769Y-85173054D01* +X108305597Y-85136674D01* +X108384640Y-85083860D01* +X108451860Y-85016640D01* +X108504674Y-84937597D01* +X108541054Y-84849769D01* +X108559600Y-84756532D01* +X108559600Y-84661468D01* +X111912400Y-84661468D01* +X111912400Y-84756532D01* +X111930946Y-84849769D01* +X111967326Y-84937597D01* +X112020140Y-85016640D01* +X112087360Y-85083860D01* +X112166403Y-85136674D01* +X112254231Y-85173054D01* +X112347468Y-85191600D01* +X112442532Y-85191600D01* +X112535769Y-85173054D01* +X112623597Y-85136674D01* +X112702640Y-85083860D01* +X112769860Y-85016640D01* +X112822674Y-84937597D01* +X112859054Y-84849769D01* +X112877600Y-84756532D01* +X112877600Y-84661468D01* +X116230400Y-84661468D01* +X116230400Y-84756532D01* +X116248946Y-84849769D01* +X116285326Y-84937597D01* +X116338140Y-85016640D01* +X116405360Y-85083860D01* +X116484403Y-85136674D01* +X116572231Y-85173054D01* +X116665468Y-85191600D01* +X116760532Y-85191600D01* +X116853769Y-85173054D01* +X116941597Y-85136674D01* +X117020640Y-85083860D01* +X117087860Y-85016640D01* +X117140674Y-84937597D01* +X117177054Y-84849769D01* +X117192107Y-84774088D01* +X120402400Y-84774088D01* +X120402400Y-84897912D01* +X120426556Y-85019356D01* +X120473941Y-85133754D01* +X120542734Y-85236709D01* +X120630291Y-85324266D01* +X120733246Y-85393059D01* +X120847644Y-85440444D01* +X120969088Y-85464600D01* +X121092912Y-85464600D01* +X121214356Y-85440444D01* +X121328754Y-85393059D01* +X121431709Y-85324266D01* +X121519266Y-85236709D01* +X121573803Y-85155088D01* +X137420400Y-85155088D01* +X137420400Y-85278912D01* +X137444556Y-85400356D01* +X137491941Y-85514754D01* +X137560734Y-85617709D01* +X137648291Y-85705266D01* +X137751246Y-85774059D01* +X137865644Y-85821444D01* +X137987088Y-85845600D01* +X138110912Y-85845600D01* +X138232356Y-85821444D01* +X138346754Y-85774059D01* +X138449709Y-85705266D01* +X138537266Y-85617709D01* +X138606059Y-85514754D01* +X138653444Y-85400356D01* +X138674107Y-85296468D01* +X142519400Y-85296468D01* +X142519400Y-85391532D01* +X142537946Y-85484769D01* +X142574326Y-85572597D01* +X142627140Y-85651640D01* +X142694360Y-85718860D01* +X142773403Y-85771674D01* +X142861231Y-85808054D01* +X142954468Y-85826600D01* +X143049532Y-85826600D01* +X143142769Y-85808054D01* +X143230597Y-85771674D01* +X143309640Y-85718860D01* +X143376860Y-85651640D01* +X143429674Y-85572597D01* +X143466054Y-85484769D01* +X143484600Y-85391532D01* +X143484600Y-85296468D01* +X148615400Y-85296468D01* +X148615400Y-85391532D01* +X148633946Y-85484769D01* +X148670326Y-85572597D01* +X148723140Y-85651640D01* +X148790360Y-85718860D01* +X148869403Y-85771674D01* +X148957231Y-85808054D01* +X149050468Y-85826600D01* +X149145532Y-85826600D01* +X149238769Y-85808054D01* +X149326597Y-85771674D01* +X149405640Y-85718860D01* +X149472860Y-85651640D01* +X149525674Y-85572597D01* +X149562054Y-85484769D01* +X149580600Y-85391532D01* +X149580600Y-85296468D01* +X154711400Y-85296468D01* +X154711400Y-85391532D01* +X154729946Y-85484769D01* +X154766326Y-85572597D01* +X154819140Y-85651640D01* +X154886360Y-85718860D01* +X154965403Y-85771674D01* +X155053231Y-85808054D01* +X155146468Y-85826600D01* +X155241532Y-85826600D01* +X155334769Y-85808054D01* +X155422597Y-85771674D01* +X155501640Y-85718860D01* +X155568860Y-85651640D01* +X155621674Y-85572597D01* +X155658054Y-85484769D01* +X155676600Y-85391532D01* +X155676600Y-85296468D01* +X160807400Y-85296468D01* +X160807400Y-85391532D01* +X160825946Y-85484769D01* +X160862326Y-85572597D01* +X160915140Y-85651640D01* +X160982360Y-85718860D01* +X161061403Y-85771674D01* +X161149231Y-85808054D01* +X161242468Y-85826600D01* +X161337532Y-85826600D01* +X161430769Y-85808054D01* +X161518597Y-85771674D01* +X161597640Y-85718860D01* +X161664860Y-85651640D01* +X161717674Y-85572597D01* +X161754054Y-85484769D01* +X161772600Y-85391532D01* +X161772600Y-85296468D01* +X161754054Y-85203231D01* +X161717674Y-85115403D01* +X161664860Y-85036360D01* +X161597640Y-84969140D01* +X161518597Y-84916326D01* +X161430769Y-84879946D01* +X161337532Y-84861400D01* +X161242468Y-84861400D01* +X161149231Y-84879946D01* +X161061403Y-84916326D01* +X160982360Y-84969140D01* +X160915140Y-85036360D01* +X160862326Y-85115403D01* +X160825946Y-85203231D01* +X160807400Y-85296468D01* +X155676600Y-85296468D01* +X155658054Y-85203231D01* +X155621674Y-85115403D01* +X155568860Y-85036360D01* +X155501640Y-84969140D01* +X155422597Y-84916326D01* +X155334769Y-84879946D01* +X155241532Y-84861400D01* +X155146468Y-84861400D01* +X155053231Y-84879946D01* +X154965403Y-84916326D01* +X154886360Y-84969140D01* +X154819140Y-85036360D01* +X154766326Y-85115403D01* +X154729946Y-85203231D01* +X154711400Y-85296468D01* +X149580600Y-85296468D01* +X149562054Y-85203231D01* +X149525674Y-85115403D01* +X149472860Y-85036360D01* +X149405640Y-84969140D01* +X149326597Y-84916326D01* +X149238769Y-84879946D01* +X149145532Y-84861400D01* +X149050468Y-84861400D01* +X148957231Y-84879946D01* +X148869403Y-84916326D01* +X148790360Y-84969140D01* +X148723140Y-85036360D01* +X148670326Y-85115403D01* +X148633946Y-85203231D01* +X148615400Y-85296468D01* +X143484600Y-85296468D01* +X143466054Y-85203231D01* +X143429674Y-85115403D01* +X143376860Y-85036360D01* +X143309640Y-84969140D01* +X143230597Y-84916326D01* +X143142769Y-84879946D01* +X143049532Y-84861400D01* +X142954468Y-84861400D01* +X142861231Y-84879946D01* +X142773403Y-84916326D01* +X142694360Y-84969140D01* +X142627140Y-85036360D01* +X142574326Y-85115403D01* +X142537946Y-85203231D01* +X142519400Y-85296468D01* +X138674107Y-85296468D01* +X138677600Y-85278912D01* +X138677600Y-85155088D01* +X138653444Y-85033644D01* +X138606059Y-84919246D01* +X138537266Y-84816291D01* +X138449709Y-84728734D01* +X138346754Y-84659941D01* +X138232356Y-84612556D01* +X138110912Y-84588400D01* +X137987088Y-84588400D01* +X137865644Y-84612556D01* +X137751246Y-84659941D01* +X137648291Y-84728734D01* +X137560734Y-84816291D01* +X137491941Y-84919246D01* +X137444556Y-85033644D01* +X137420400Y-85155088D01* +X121573803Y-85155088D01* +X121588059Y-85133754D01* +X121635444Y-85019356D01* +X121659600Y-84897912D01* +X121659600Y-84774088D01* +X121635444Y-84652644D01* +X121588059Y-84538246D01* +X121519266Y-84435291D01* +X121431709Y-84347734D01* +X121328754Y-84278941D01* +X121214356Y-84231556D01* +X121092912Y-84207400D01* +X120969088Y-84207400D01* +X120847644Y-84231556D01* +X120733246Y-84278941D01* +X120630291Y-84347734D01* +X120542734Y-84435291D01* +X120473941Y-84538246D01* +X120426556Y-84652644D01* +X120402400Y-84774088D01* +X117192107Y-84774088D01* +X117195600Y-84756532D01* +X117195600Y-84661468D01* +X117177054Y-84568231D01* +X117140674Y-84480403D01* +X117087860Y-84401360D01* +X117020640Y-84334140D01* +X116941597Y-84281326D01* +X116853769Y-84244946D01* +X116760532Y-84226400D01* +X116665468Y-84226400D01* +X116572231Y-84244946D01* +X116484403Y-84281326D01* +X116405360Y-84334140D01* +X116338140Y-84401360D01* +X116285326Y-84480403D01* +X116248946Y-84568231D01* +X116230400Y-84661468D01* +X112877600Y-84661468D01* +X112859054Y-84568231D01* +X112822674Y-84480403D01* +X112769860Y-84401360D01* +X112702640Y-84334140D01* +X112623597Y-84281326D01* +X112535769Y-84244946D01* +X112442532Y-84226400D01* +X112347468Y-84226400D01* +X112254231Y-84244946D01* +X112166403Y-84281326D01* +X112087360Y-84334140D01* +X112020140Y-84401360D01* +X111967326Y-84480403D01* +X111930946Y-84568231D01* +X111912400Y-84661468D01* +X108559600Y-84661468D01* +X108541054Y-84568231D01* +X108504674Y-84480403D01* +X108451860Y-84401360D01* +X108384640Y-84334140D01* +X108305597Y-84281326D01* +X108217769Y-84244946D01* +X108124532Y-84226400D01* +X108029468Y-84226400D01* +X107936231Y-84244946D01* +X107848403Y-84281326D01* +X107769360Y-84334140D01* +X107702140Y-84401360D01* +X107649326Y-84480403D01* +X107612946Y-84568231D01* +X107594400Y-84661468D01* +X104365200Y-84661468D01* +X104363444Y-84652644D01* +X104316059Y-84538246D01* +X104247266Y-84435291D01* +X104159709Y-84347734D01* +X104056754Y-84278941D01* +X103942356Y-84231556D01* +X103820912Y-84207400D01* +X103697088Y-84207400D01* +X103575644Y-84231556D01* +X103461246Y-84278941D01* +X103358291Y-84347734D01* +X103270734Y-84435291D01* +X103201941Y-84538246D01* +X103154556Y-84652644D01* +X103130400Y-84774088D01* +X87187063Y-84774088D01* +X87141709Y-84728734D01* +X87038754Y-84659941D01* +X86924356Y-84612556D01* +X86802912Y-84588400D01* +X86679088Y-84588400D01* +X86557644Y-84612556D01* +X86443246Y-84659941D01* +X86340291Y-84728734D01* +X86252734Y-84816291D01* +X86183941Y-84919246D01* +X86136556Y-85033644D01* +X86112400Y-85155088D01* +X80327113Y-85155088D01* +X80310674Y-85115403D01* +X80257860Y-85036360D01* +X80190640Y-84969140D01* +X80111597Y-84916326D01* +X80023769Y-84879946D01* +X79930532Y-84861400D01* +X79835468Y-84861400D01* +X79742231Y-84879946D01* +X79654403Y-84916326D01* +X79575360Y-84969140D01* +X79508140Y-85036360D01* +X79455326Y-85115403D01* +X79418946Y-85203231D01* +X79400400Y-85296468D01* +X76528600Y-85296468D01* +X76528600Y-83391468D01* +X76606400Y-83391468D01* +X76606400Y-83486532D01* +X76624946Y-83579769D01* +X76661326Y-83667597D01* +X76714140Y-83746640D01* +X76781360Y-83813860D01* +X76860403Y-83866674D01* +X76948231Y-83903054D01* +X77041468Y-83921600D01* +X77136532Y-83921600D01* +X77229769Y-83903054D01* +X77317597Y-83866674D01* +X77396640Y-83813860D01* +X77463860Y-83746640D01* +X77516674Y-83667597D01* +X77553054Y-83579769D01* +X77571600Y-83486532D01* +X77571600Y-83391468D01* +X82321400Y-83391468D01* +X82321400Y-83486532D01* +X82339946Y-83579769D01* +X82376326Y-83667597D01* +X82429140Y-83746640D01* +X82496360Y-83813860D01* +X82575403Y-83866674D01* +X82663231Y-83903054D01* +X82756468Y-83921600D01* +X82851532Y-83921600D01* +X82944769Y-83903054D01* +X83032597Y-83866674D01* +X83111640Y-83813860D01* +X83178860Y-83746640D01* +X83231674Y-83667597D01* +X83268054Y-83579769D01* +X83286600Y-83486532D01* +X83286600Y-83391468D01* +X88290400Y-83391468D01* +X88290400Y-83486532D01* +X88308946Y-83579769D01* +X88345326Y-83667597D01* +X88398140Y-83746640D01* +X88465360Y-83813860D01* +X88544403Y-83866674D01* +X88632231Y-83903054D01* +X88725468Y-83921600D01* +X88820532Y-83921600D01* +X88913769Y-83903054D01* +X89001597Y-83866674D01* +X89080640Y-83813860D01* +X89147860Y-83746640D01* +X89200674Y-83667597D01* +X89237054Y-83579769D01* +X89255600Y-83486532D01* +X89255600Y-83391468D01* +X92354400Y-83391468D01* +X92354400Y-83486532D01* +X92372946Y-83579769D01* +X92409326Y-83667597D01* +X92462140Y-83746640D01* +X92529360Y-83813860D01* +X92608403Y-83866674D01* +X92696231Y-83903054D01* +X92789468Y-83921600D01* +X92884532Y-83921600D01* +X92977769Y-83903054D01* +X93065597Y-83866674D01* +X93144640Y-83813860D01* +X93211860Y-83746640D01* +X93264674Y-83667597D01* +X93301054Y-83579769D01* +X93319600Y-83486532D01* +X93319600Y-83391468D01* +X96799400Y-83391468D01* +X96799400Y-83486532D01* +X96817946Y-83579769D01* +X96854326Y-83667597D01* +X96907140Y-83746640D01* +X96974360Y-83813860D01* +X97053403Y-83866674D01* +X97141231Y-83903054D01* +X97234468Y-83921600D01* +X97329532Y-83921600D01* +X97422769Y-83903054D01* +X97510597Y-83866674D01* +X97589640Y-83813860D01* +X97656860Y-83746640D01* +X97709674Y-83667597D01* +X97746054Y-83579769D01* +X97764600Y-83486532D01* +X97764600Y-83391468D01* +X101244400Y-83391468D01* +X101244400Y-83486532D01* +X101262946Y-83579769D01* +X101299326Y-83667597D01* +X101352140Y-83746640D01* +X101419360Y-83813860D01* +X101498403Y-83866674D01* +X101586231Y-83903054D01* +X101679468Y-83921600D01* +X101774532Y-83921600D01* +X101867769Y-83903054D01* +X101955597Y-83866674D01* +X102034640Y-83813860D01* +X102101860Y-83746640D01* +X102154674Y-83667597D01* +X102191054Y-83579769D01* +X102209600Y-83486532D01* +X102209600Y-83391468D01* +X105308400Y-83391468D01* +X105308400Y-83486532D01* +X105326946Y-83579769D01* +X105363326Y-83667597D01* +X105416140Y-83746640D01* +X105483360Y-83813860D01* +X105562403Y-83866674D01* +X105650231Y-83903054D01* +X105743468Y-83921600D01* +X105838532Y-83921600D01* +X105931769Y-83903054D01* +X106019597Y-83866674D01* +X106098640Y-83813860D01* +X106165860Y-83746640D01* +X106218674Y-83667597D01* +X106255054Y-83579769D01* +X106273600Y-83486532D01* +X106273600Y-83391468D01* +X109626400Y-83391468D01* +X109626400Y-83486532D01* +X109644946Y-83579769D01* +X109681326Y-83667597D01* +X109734140Y-83746640D01* +X109801360Y-83813860D01* +X109880403Y-83866674D01* +X109968231Y-83903054D01* +X110061468Y-83921600D01* +X110156532Y-83921600D01* +X110249769Y-83903054D01* +X110337597Y-83866674D01* +X110416640Y-83813860D01* +X110483860Y-83746640D01* +X110536674Y-83667597D01* +X110573054Y-83579769D01* +X110591600Y-83486532D01* +X110591600Y-83391468D01* +X113944400Y-83391468D01* +X113944400Y-83486532D01* +X113962946Y-83579769D01* +X113999326Y-83667597D01* +X114052140Y-83746640D01* +X114119360Y-83813860D01* +X114198403Y-83866674D01* +X114286231Y-83903054D01* +X114379468Y-83921600D01* +X114474532Y-83921600D01* +X114567769Y-83903054D01* +X114655597Y-83866674D01* +X114734640Y-83813860D01* +X114801860Y-83746640D01* +X114854674Y-83667597D01* +X114891054Y-83579769D01* +X114909600Y-83486532D01* +X114909600Y-83391468D01* +X118262400Y-83391468D01* +X118262400Y-83486532D01* +X118280946Y-83579769D01* +X118317326Y-83667597D01* +X118370140Y-83746640D01* +X118437360Y-83813860D01* +X118516403Y-83866674D01* +X118604231Y-83903054D01* +X118697468Y-83921600D01* +X118792532Y-83921600D01* +X118885769Y-83903054D01* +X118973597Y-83866674D01* +X119052640Y-83813860D01* +X119119860Y-83746640D01* +X119172674Y-83667597D01* +X119209054Y-83579769D01* +X119227600Y-83486532D01* +X119227600Y-83391468D01* +X122580400Y-83391468D01* +X122580400Y-83486532D01* +X122598946Y-83579769D01* +X122635326Y-83667597D01* +X122688140Y-83746640D01* +X122755360Y-83813860D01* +X122834403Y-83866674D01* +X122922231Y-83903054D01* +X123015468Y-83921600D01* +X123110532Y-83921600D01* +X123203769Y-83903054D01* +X123291597Y-83866674D01* +X123370640Y-83813860D01* +X123437860Y-83746640D01* +X123490674Y-83667597D01* +X123527054Y-83579769D01* +X123545600Y-83486532D01* +X123545600Y-83391468D01* +X126644400Y-83391468D01* +X126644400Y-83486532D01* +X126662946Y-83579769D01* +X126699326Y-83667597D01* +X126752140Y-83746640D01* +X126819360Y-83813860D01* +X126898403Y-83866674D01* +X126986231Y-83903054D01* +X127079468Y-83921600D01* +X127174532Y-83921600D01* +X127267769Y-83903054D01* +X127355597Y-83866674D01* +X127434640Y-83813860D01* +X127501860Y-83746640D01* +X127554674Y-83667597D01* +X127591054Y-83579769D01* +X127609600Y-83486532D01* +X127609600Y-83391468D01* +X131089400Y-83391468D01* +X131089400Y-83486532D01* +X131107946Y-83579769D01* +X131144326Y-83667597D01* +X131197140Y-83746640D01* +X131264360Y-83813860D01* +X131343403Y-83866674D01* +X131431231Y-83903054D01* +X131524468Y-83921600D01* +X131619532Y-83921600D01* +X131712769Y-83903054D01* +X131800597Y-83866674D01* +X131879640Y-83813860D01* +X131946860Y-83746640D01* +X131999674Y-83667597D01* +X132036054Y-83579769D01* +X132054600Y-83486532D01* +X132054600Y-83391468D01* +X135534400Y-83391468D01* +X135534400Y-83486532D01* +X135552946Y-83579769D01* +X135589326Y-83667597D01* +X135642140Y-83746640D01* +X135709360Y-83813860D01* +X135788403Y-83866674D01* +X135876231Y-83903054D01* +X135969468Y-83921600D01* +X136064532Y-83921600D01* +X136157769Y-83903054D01* +X136245597Y-83866674D01* +X136324640Y-83813860D01* +X136391860Y-83746640D01* +X136444674Y-83667597D01* +X136481054Y-83579769D01* +X136499600Y-83486532D01* +X136499600Y-83391468D01* +X139598400Y-83391468D01* +X139598400Y-83486532D01* +X139616946Y-83579769D01* +X139653326Y-83667597D01* +X139706140Y-83746640D01* +X139773360Y-83813860D01* +X139852403Y-83866674D01* +X139940231Y-83903054D01* +X140033468Y-83921600D01* +X140128532Y-83921600D01* +X140221769Y-83903054D01* +X140309597Y-83866674D01* +X140388640Y-83813860D01* +X140455860Y-83746640D01* +X140508674Y-83667597D01* +X140545054Y-83579769D01* +X140563600Y-83486532D01* +X140563600Y-83391468D01* +X145567400Y-83391468D01* +X145567400Y-83486532D01* +X145585946Y-83579769D01* +X145622326Y-83667597D01* +X145675140Y-83746640D01* +X145742360Y-83813860D01* +X145821403Y-83866674D01* +X145909231Y-83903054D01* +X146002468Y-83921600D01* +X146097532Y-83921600D01* +X146190769Y-83903054D01* +X146278597Y-83866674D01* +X146357640Y-83813860D01* +X146424860Y-83746640D01* +X146477674Y-83667597D01* +X146514054Y-83579769D01* +X146532600Y-83486532D01* +X146532600Y-83391468D01* +X151663400Y-83391468D01* +X151663400Y-83486532D01* +X151681946Y-83579769D01* +X151718326Y-83667597D01* +X151771140Y-83746640D01* +X151838360Y-83813860D01* +X151917403Y-83866674D01* +X152005231Y-83903054D01* +X152098468Y-83921600D01* +X152193532Y-83921600D01* +X152286769Y-83903054D01* +X152374597Y-83866674D01* +X152453640Y-83813860D01* +X152520860Y-83746640D01* +X152573674Y-83667597D01* +X152610054Y-83579769D01* +X152628600Y-83486532D01* +X152628600Y-83391468D01* +X157759400Y-83391468D01* +X157759400Y-83486532D01* +X157777946Y-83579769D01* +X157814326Y-83667597D01* +X157867140Y-83746640D01* +X157934360Y-83813860D01* +X158013403Y-83866674D01* +X158101231Y-83903054D01* +X158194468Y-83921600D01* +X158289532Y-83921600D01* +X158382769Y-83903054D01* +X158470597Y-83866674D01* +X158549640Y-83813860D01* +X158616860Y-83746640D01* +X158669674Y-83667597D01* +X158706054Y-83579769D01* +X158724600Y-83486532D01* +X158724600Y-83391468D01* +X163728400Y-83391468D01* +X163728400Y-83486532D01* +X163746946Y-83579769D01* +X163783326Y-83667597D01* +X163836140Y-83746640D01* +X163903360Y-83813860D01* +X163982403Y-83866674D01* +X164070231Y-83903054D01* +X164163468Y-83921600D01* +X164258532Y-83921600D01* +X164351769Y-83903054D01* +X164439597Y-83866674D01* +X164518640Y-83813860D01* +X164585860Y-83746640D01* +X164638674Y-83667597D01* +X164675054Y-83579769D01* +X164693600Y-83486532D01* +X164693600Y-83391468D01* +X164675054Y-83298231D01* +X164638674Y-83210403D01* +X164585860Y-83131360D01* +X164518640Y-83064140D01* +X164439597Y-83011326D01* +X164351769Y-82974946D01* +X164258532Y-82956400D01* +X164163468Y-82956400D01* +X164070231Y-82974946D01* +X163982403Y-83011326D01* +X163903360Y-83064140D01* +X163836140Y-83131360D01* +X163783326Y-83210403D01* +X163746946Y-83298231D01* +X163728400Y-83391468D01* +X158724600Y-83391468D01* +X158706054Y-83298231D01* +X158669674Y-83210403D01* +X158616860Y-83131360D01* +X158549640Y-83064140D01* +X158470597Y-83011326D01* +X158382769Y-82974946D01* +X158289532Y-82956400D01* +X158194468Y-82956400D01* +X158101231Y-82974946D01* +X158013403Y-83011326D01* +X157934360Y-83064140D01* +X157867140Y-83131360D01* +X157814326Y-83210403D01* +X157777946Y-83298231D01* +X157759400Y-83391468D01* +X152628600Y-83391468D01* +X152610054Y-83298231D01* +X152573674Y-83210403D01* +X152520860Y-83131360D01* +X152453640Y-83064140D01* +X152374597Y-83011326D01* +X152286769Y-82974946D01* +X152193532Y-82956400D01* +X152098468Y-82956400D01* +X152005231Y-82974946D01* +X151917403Y-83011326D01* +X151838360Y-83064140D01* +X151771140Y-83131360D01* +X151718326Y-83210403D01* +X151681946Y-83298231D01* +X151663400Y-83391468D01* +X146532600Y-83391468D01* +X146514054Y-83298231D01* +X146477674Y-83210403D01* +X146424860Y-83131360D01* +X146357640Y-83064140D01* +X146278597Y-83011326D01* +X146190769Y-82974946D01* +X146097532Y-82956400D01* +X146002468Y-82956400D01* +X145909231Y-82974946D01* +X145821403Y-83011326D01* +X145742360Y-83064140D01* +X145675140Y-83131360D01* +X145622326Y-83210403D01* +X145585946Y-83298231D01* +X145567400Y-83391468D01* +X140563600Y-83391468D01* +X140545054Y-83298231D01* +X140508674Y-83210403D01* +X140455860Y-83131360D01* +X140388640Y-83064140D01* +X140309597Y-83011326D01* +X140221769Y-82974946D01* +X140128532Y-82956400D01* +X140033468Y-82956400D01* +X139940231Y-82974946D01* +X139852403Y-83011326D01* +X139773360Y-83064140D01* +X139706140Y-83131360D01* +X139653326Y-83210403D01* +X139616946Y-83298231D01* +X139598400Y-83391468D01* +X136499600Y-83391468D01* +X136481054Y-83298231D01* +X136444674Y-83210403D01* +X136391860Y-83131360D01* +X136324640Y-83064140D01* +X136245597Y-83011326D01* +X136157769Y-82974946D01* +X136064532Y-82956400D01* +X135969468Y-82956400D01* +X135876231Y-82974946D01* +X135788403Y-83011326D01* +X135709360Y-83064140D01* +X135642140Y-83131360D01* +X135589326Y-83210403D01* +X135552946Y-83298231D01* +X135534400Y-83391468D01* +X132054600Y-83391468D01* +X132036054Y-83298231D01* +X131999674Y-83210403D01* +X131946860Y-83131360D01* +X131879640Y-83064140D01* +X131800597Y-83011326D01* +X131712769Y-82974946D01* +X131619532Y-82956400D01* +X131524468Y-82956400D01* +X131431231Y-82974946D01* +X131343403Y-83011326D01* +X131264360Y-83064140D01* +X131197140Y-83131360D01* +X131144326Y-83210403D01* +X131107946Y-83298231D01* +X131089400Y-83391468D01* +X127609600Y-83391468D01* +X127591054Y-83298231D01* +X127554674Y-83210403D01* +X127501860Y-83131360D01* +X127434640Y-83064140D01* +X127355597Y-83011326D01* +X127267769Y-82974946D01* +X127174532Y-82956400D01* +X127079468Y-82956400D01* +X126986231Y-82974946D01* +X126898403Y-83011326D01* +X126819360Y-83064140D01* +X126752140Y-83131360D01* +X126699326Y-83210403D01* +X126662946Y-83298231D01* +X126644400Y-83391468D01* +X123545600Y-83391468D01* +X123527054Y-83298231D01* +X123490674Y-83210403D01* +X123437860Y-83131360D01* +X123370640Y-83064140D01* +X123291597Y-83011326D01* +X123203769Y-82974946D01* +X123110532Y-82956400D01* +X123015468Y-82956400D01* +X122922231Y-82974946D01* +X122834403Y-83011326D01* +X122755360Y-83064140D01* +X122688140Y-83131360D01* +X122635326Y-83210403D01* +X122598946Y-83298231D01* +X122580400Y-83391468D01* +X119227600Y-83391468D01* +X119209054Y-83298231D01* +X119172674Y-83210403D01* +X119119860Y-83131360D01* +X119052640Y-83064140D01* +X118973597Y-83011326D01* +X118885769Y-82974946D01* +X118792532Y-82956400D01* +X118697468Y-82956400D01* +X118604231Y-82974946D01* +X118516403Y-83011326D01* +X118437360Y-83064140D01* +X118370140Y-83131360D01* +X118317326Y-83210403D01* +X118280946Y-83298231D01* +X118262400Y-83391468D01* +X114909600Y-83391468D01* +X114891054Y-83298231D01* +X114854674Y-83210403D01* +X114801860Y-83131360D01* +X114734640Y-83064140D01* +X114655597Y-83011326D01* +X114567769Y-82974946D01* +X114474532Y-82956400D01* +X114379468Y-82956400D01* +X114286231Y-82974946D01* +X114198403Y-83011326D01* +X114119360Y-83064140D01* +X114052140Y-83131360D01* +X113999326Y-83210403D01* +X113962946Y-83298231D01* +X113944400Y-83391468D01* +X110591600Y-83391468D01* +X110573054Y-83298231D01* +X110536674Y-83210403D01* +X110483860Y-83131360D01* +X110416640Y-83064140D01* +X110337597Y-83011326D01* +X110249769Y-82974946D01* +X110156532Y-82956400D01* +X110061468Y-82956400D01* +X109968231Y-82974946D01* +X109880403Y-83011326D01* +X109801360Y-83064140D01* +X109734140Y-83131360D01* +X109681326Y-83210403D01* +X109644946Y-83298231D01* +X109626400Y-83391468D01* +X106273600Y-83391468D01* +X106255054Y-83298231D01* +X106218674Y-83210403D01* +X106165860Y-83131360D01* +X106098640Y-83064140D01* +X106019597Y-83011326D01* +X105931769Y-82974946D01* +X105838532Y-82956400D01* +X105743468Y-82956400D01* +X105650231Y-82974946D01* +X105562403Y-83011326D01* +X105483360Y-83064140D01* +X105416140Y-83131360D01* +X105363326Y-83210403D01* +X105326946Y-83298231D01* +X105308400Y-83391468D01* +X102209600Y-83391468D01* +X102191054Y-83298231D01* +X102154674Y-83210403D01* +X102101860Y-83131360D01* +X102034640Y-83064140D01* +X101955597Y-83011326D01* +X101867769Y-82974946D01* +X101774532Y-82956400D01* +X101679468Y-82956400D01* +X101586231Y-82974946D01* +X101498403Y-83011326D01* +X101419360Y-83064140D01* +X101352140Y-83131360D01* +X101299326Y-83210403D01* +X101262946Y-83298231D01* +X101244400Y-83391468D01* +X97764600Y-83391468D01* +X97746054Y-83298231D01* +X97709674Y-83210403D01* +X97656860Y-83131360D01* +X97589640Y-83064140D01* +X97510597Y-83011326D01* +X97422769Y-82974946D01* +X97329532Y-82956400D01* +X97234468Y-82956400D01* +X97141231Y-82974946D01* +X97053403Y-83011326D01* +X96974360Y-83064140D01* +X96907140Y-83131360D01* +X96854326Y-83210403D01* +X96817946Y-83298231D01* +X96799400Y-83391468D01* +X93319600Y-83391468D01* +X93301054Y-83298231D01* +X93264674Y-83210403D01* +X93211860Y-83131360D01* +X93144640Y-83064140D01* +X93065597Y-83011326D01* +X92977769Y-82974946D01* +X92884532Y-82956400D01* +X92789468Y-82956400D01* +X92696231Y-82974946D01* +X92608403Y-83011326D01* +X92529360Y-83064140D01* +X92462140Y-83131360D01* +X92409326Y-83210403D01* +X92372946Y-83298231D01* +X92354400Y-83391468D01* +X89255600Y-83391468D01* +X89237054Y-83298231D01* +X89200674Y-83210403D01* +X89147860Y-83131360D01* +X89080640Y-83064140D01* +X89001597Y-83011326D01* +X88913769Y-82974946D01* +X88820532Y-82956400D01* +X88725468Y-82956400D01* +X88632231Y-82974946D01* +X88544403Y-83011326D01* +X88465360Y-83064140D01* +X88398140Y-83131360D01* +X88345326Y-83210403D01* +X88308946Y-83298231D01* +X88290400Y-83391468D01* +X83286600Y-83391468D01* +X83268054Y-83298231D01* +X83231674Y-83210403D01* +X83178860Y-83131360D01* +X83111640Y-83064140D01* +X83032597Y-83011326D01* +X82944769Y-82974946D01* +X82851532Y-82956400D01* +X82756468Y-82956400D01* +X82663231Y-82974946D01* +X82575403Y-83011326D01* +X82496360Y-83064140D01* +X82429140Y-83131360D01* +X82376326Y-83210403D01* +X82339946Y-83298231D01* +X82321400Y-83391468D01* +X77571600Y-83391468D01* +X77553054Y-83298231D01* +X77516674Y-83210403D01* +X77463860Y-83131360D01* +X77396640Y-83064140D01* +X77317597Y-83011326D01* +X77229769Y-82974946D01* +X77136532Y-82956400D01* +X77041468Y-82956400D01* +X76948231Y-82974946D01* +X76860403Y-83011326D01* +X76781360Y-83064140D01* +X76714140Y-83131360D01* +X76661326Y-83210403D01* +X76624946Y-83298231D01* +X76606400Y-83391468D01* +X76528600Y-83391468D01* +X76528600Y-82878600D01* +X164771400Y-82878600D01* +X164771401Y-101271400D01* +M02* diff --git a/gerber/simm-30-4mb-B_Mask.gbs b/gerber/simm-30-4mb-B_Mask.gbs new file mode 100644 index 0000000..5d6270f --- /dev/null +++ b/gerber/simm-30-4mb-B_Mask.gbs @@ -0,0 +1,2266 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Soldermask,Bot* +G04 #@! TF.FilePolarity,Negative* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +G04 APERTURE END LIST* +D10* +G36* +X157618902Y-98348100D02* +G01* +X157705565Y-98383997D01* +X157705569Y-98383999D01* +X157705570Y-98384000D01* +X157744563Y-98410054D01* +X157783560Y-98436111D01* +X157849889Y-98502440D01* +X157902003Y-98580435D01* +X157937900Y-98667098D01* +X157940370Y-98679514D01* +X157943980Y-98691417D01* +X157949848Y-98702396D01* +X157957745Y-98712018D01* +X157967367Y-98719915D01* +X157978345Y-98725783D01* +X157990257Y-98729397D01* +X157996422Y-98730311D01* +X158082244Y-98738764D01* +X158166768Y-98764403D01* +X158244660Y-98806038D01* +X158312933Y-98862067D01* +X158368962Y-98930340D01* +X158410597Y-99008232D01* +X158436236Y-99092756D01* +X158445200Y-99183767D01* +X158445200Y-100460233D01* +X158436236Y-100551244D01* +X158410597Y-100635768D01* +X158368962Y-100713660D01* +X158312933Y-100781933D01* +X158244660Y-100837962D01* +X158166768Y-100879597D01* +X158082244Y-100905236D01* +X157991233Y-100914200D01* +X156968767Y-100914200D01* +X156877756Y-100905236D01* +X156793232Y-100879597D01* +X156715340Y-100837962D01* +X156647067Y-100781933D01* +X156591038Y-100713660D01* +X156549403Y-100635768D01* +X156523764Y-100551244D01* +X156514800Y-100460233D01* +X156514800Y-99183767D01* +X156523764Y-99092756D01* +X156549403Y-99008232D01* +X156591038Y-98930340D01* +X156647067Y-98862067D01* +X156715340Y-98806038D01* +X156793232Y-98764403D01* +X156877756Y-98738764D01* +X156963578Y-98730311D01* +X156975787Y-98727883D01* +X156987287Y-98723119D01* +X156997637Y-98716203D01* +X157006439Y-98707401D01* +X157013355Y-98697051D01* +X157018119Y-98685551D01* +X157019629Y-98679523D01* +X157022100Y-98667098D01* +X157057997Y-98580435D01* +X157110111Y-98502440D01* +X157176440Y-98436111D01* +X157215437Y-98410054D01* +X157254430Y-98384000D01* +X157254431Y-98383999D01* +X157254435Y-98383997D01* +X157341098Y-98348100D01* +X157433098Y-98329800D01* +X157526902Y-98329800D01* +X157618902Y-98348100D01* +X157618902Y-98348100D01* +G37* +G36* +X155078902Y-98348100D02* +G01* +X155165565Y-98383997D01* +X155165569Y-98383999D01* +X155165570Y-98384000D01* +X155204563Y-98410054D01* +X155243560Y-98436111D01* +X155309889Y-98502440D01* +X155362003Y-98580435D01* +X155397900Y-98667098D01* +X155400370Y-98679514D01* +X155403980Y-98691417D01* +X155409848Y-98702396D01* +X155417745Y-98712018D01* +X155427367Y-98719915D01* +X155438345Y-98725783D01* +X155450257Y-98729397D01* +X155456422Y-98730311D01* +X155542244Y-98738764D01* +X155626768Y-98764403D01* +X155704660Y-98806038D01* +X155772933Y-98862067D01* +X155828962Y-98930340D01* +X155870597Y-99008232D01* +X155896236Y-99092756D01* +X155905200Y-99183767D01* +X155905200Y-100460233D01* +X155896236Y-100551244D01* +X155870597Y-100635768D01* +X155828962Y-100713660D01* +X155772933Y-100781933D01* +X155704660Y-100837962D01* +X155626768Y-100879597D01* +X155542244Y-100905236D01* +X155451233Y-100914200D01* +X154428767Y-100914200D01* +X154337756Y-100905236D01* +X154253232Y-100879597D01* +X154175340Y-100837962D01* +X154107067Y-100781933D01* +X154051038Y-100713660D01* +X154009403Y-100635768D01* +X153983764Y-100551244D01* +X153974800Y-100460233D01* +X153974800Y-99183767D01* +X153983764Y-99092756D01* +X154009403Y-99008232D01* +X154051038Y-98930340D01* +X154107067Y-98862067D01* +X154175340Y-98806038D01* +X154253232Y-98764403D01* +X154337756Y-98738764D01* +X154423578Y-98730311D01* +X154435787Y-98727883D01* +X154447287Y-98723119D01* +X154457637Y-98716203D01* +X154466439Y-98707401D01* +X154473355Y-98697051D01* +X154478119Y-98685551D01* +X154479629Y-98679523D01* +X154482100Y-98667098D01* +X154517997Y-98580435D01* +X154570111Y-98502440D01* +X154636440Y-98436111D01* +X154675437Y-98410054D01* +X154714430Y-98384000D01* +X154714431Y-98383999D01* +X154714435Y-98383997D01* +X154801098Y-98348100D01* +X154893098Y-98329800D01* +X154986902Y-98329800D01* +X155078902Y-98348100D01* +X155078902Y-98348100D01* +G37* +G36* +X152538902Y-98348100D02* +G01* +X152625565Y-98383997D01* +X152625569Y-98383999D01* +X152625570Y-98384000D01* +X152664563Y-98410054D01* +X152703560Y-98436111D01* +X152769889Y-98502440D01* +X152822003Y-98580435D01* +X152857900Y-98667098D01* +X152860370Y-98679514D01* +X152863980Y-98691417D01* +X152869848Y-98702396D01* +X152877745Y-98712018D01* +X152887367Y-98719915D01* +X152898345Y-98725783D01* +X152910257Y-98729397D01* +X152916422Y-98730311D01* +X153002244Y-98738764D01* +X153086768Y-98764403D01* +X153164660Y-98806038D01* +X153232933Y-98862067D01* +X153288962Y-98930340D01* +X153330597Y-99008232D01* +X153356236Y-99092756D01* +X153365200Y-99183767D01* +X153365200Y-100460233D01* +X153356236Y-100551244D01* +X153330597Y-100635768D01* +X153288962Y-100713660D01* +X153232933Y-100781933D01* +X153164660Y-100837962D01* +X153086768Y-100879597D01* +X153002244Y-100905236D01* +X152911233Y-100914200D01* +X151888767Y-100914200D01* +X151797756Y-100905236D01* +X151713232Y-100879597D01* +X151635340Y-100837962D01* +X151567067Y-100781933D01* +X151511038Y-100713660D01* +X151469403Y-100635768D01* +X151443764Y-100551244D01* +X151434800Y-100460233D01* +X151434800Y-99183767D01* +X151443764Y-99092756D01* +X151469403Y-99008232D01* +X151511038Y-98930340D01* +X151567067Y-98862067D01* +X151635340Y-98806038D01* +X151713232Y-98764403D01* +X151797756Y-98738764D01* +X151883578Y-98730311D01* +X151895787Y-98727883D01* +X151907287Y-98723119D01* +X151917637Y-98716203D01* +X151926439Y-98707401D01* +X151933355Y-98697051D01* +X151938119Y-98685551D01* +X151939629Y-98679523D01* +X151942100Y-98667098D01* +X151977997Y-98580435D01* +X152030111Y-98502440D01* +X152096440Y-98436111D01* +X152135437Y-98410054D01* +X152174430Y-98384000D01* +X152174431Y-98383999D01* +X152174435Y-98383997D01* +X152261098Y-98348100D01* +X152353098Y-98329800D01* +X152446902Y-98329800D01* +X152538902Y-98348100D01* +X152538902Y-98348100D01* +G37* +G36* +X149998902Y-98348100D02* +G01* +X150085565Y-98383997D01* +X150085569Y-98383999D01* +X150085570Y-98384000D01* +X150124563Y-98410054D01* +X150163560Y-98436111D01* +X150229889Y-98502440D01* +X150282003Y-98580435D01* +X150317900Y-98667098D01* +X150320370Y-98679514D01* +X150323980Y-98691417D01* +X150329848Y-98702396D01* +X150337745Y-98712018D01* +X150347367Y-98719915D01* +X150358345Y-98725783D01* +X150370257Y-98729397D01* +X150376422Y-98730311D01* +X150462244Y-98738764D01* +X150546768Y-98764403D01* +X150624660Y-98806038D01* +X150692933Y-98862067D01* +X150748962Y-98930340D01* +X150790597Y-99008232D01* +X150816236Y-99092756D01* +X150825200Y-99183767D01* +X150825200Y-100460233D01* +X150816236Y-100551244D01* +X150790597Y-100635768D01* +X150748962Y-100713660D01* +X150692933Y-100781933D01* +X150624660Y-100837962D01* +X150546768Y-100879597D01* +X150462244Y-100905236D01* +X150371233Y-100914200D01* +X149348767Y-100914200D01* +X149257756Y-100905236D01* +X149173232Y-100879597D01* +X149095340Y-100837962D01* +X149027067Y-100781933D01* +X148971038Y-100713660D01* +X148929403Y-100635768D01* +X148903764Y-100551244D01* +X148894800Y-100460233D01* +X148894800Y-99183767D01* +X148903764Y-99092756D01* +X148929403Y-99008232D01* +X148971038Y-98930340D01* +X149027067Y-98862067D01* +X149095340Y-98806038D01* +X149173232Y-98764403D01* +X149257756Y-98738764D01* +X149343578Y-98730311D01* +X149355787Y-98727883D01* +X149367287Y-98723119D01* +X149377637Y-98716203D01* +X149386439Y-98707401D01* +X149393355Y-98697051D01* +X149398119Y-98685551D01* +X149399629Y-98679523D01* +X149402100Y-98667098D01* +X149437997Y-98580435D01* +X149490111Y-98502440D01* +X149556440Y-98436111D01* +X149595437Y-98410054D01* +X149634430Y-98384000D01* +X149634431Y-98383999D01* +X149634435Y-98383997D01* +X149721098Y-98348100D01* +X149813098Y-98329800D01* +X149906902Y-98329800D01* +X149998902Y-98348100D01* +X149998902Y-98348100D01* +G37* +G36* +X147458902Y-98348100D02* +G01* +X147545565Y-98383997D01* +X147545569Y-98383999D01* +X147545570Y-98384000D01* +X147584563Y-98410054D01* +X147623560Y-98436111D01* +X147689889Y-98502440D01* +X147742003Y-98580435D01* +X147777900Y-98667098D01* +X147780370Y-98679514D01* +X147783980Y-98691417D01* +X147789848Y-98702396D01* +X147797745Y-98712018D01* +X147807367Y-98719915D01* +X147818345Y-98725783D01* +X147830257Y-98729397D01* +X147836422Y-98730311D01* +X147922244Y-98738764D01* +X148006768Y-98764403D01* +X148084660Y-98806038D01* +X148152933Y-98862067D01* +X148208962Y-98930340D01* +X148250597Y-99008232D01* +X148276236Y-99092756D01* +X148285200Y-99183767D01* +X148285200Y-100460233D01* +X148276236Y-100551244D01* +X148250597Y-100635768D01* +X148208962Y-100713660D01* +X148152933Y-100781933D01* +X148084660Y-100837962D01* +X148006768Y-100879597D01* +X147922244Y-100905236D01* +X147831233Y-100914200D01* +X146808767Y-100914200D01* +X146717756Y-100905236D01* +X146633232Y-100879597D01* +X146555340Y-100837962D01* +X146487067Y-100781933D01* +X146431038Y-100713660D01* +X146389403Y-100635768D01* +X146363764Y-100551244D01* +X146354800Y-100460233D01* +X146354800Y-99183767D01* +X146363764Y-99092756D01* +X146389403Y-99008232D01* +X146431038Y-98930340D01* +X146487067Y-98862067D01* +X146555340Y-98806038D01* +X146633232Y-98764403D01* +X146717756Y-98738764D01* +X146803578Y-98730311D01* +X146815787Y-98727883D01* +X146827287Y-98723119D01* +X146837637Y-98716203D01* +X146846439Y-98707401D01* +X146853355Y-98697051D01* +X146858119Y-98685551D01* +X146859629Y-98679523D01* +X146862100Y-98667098D01* +X146897997Y-98580435D01* +X146950111Y-98502440D01* +X147016440Y-98436111D01* +X147055437Y-98410054D01* +X147094430Y-98384000D01* +X147094431Y-98383999D01* +X147094435Y-98383997D01* +X147181098Y-98348100D01* +X147273098Y-98329800D01* +X147366902Y-98329800D01* +X147458902Y-98348100D01* +X147458902Y-98348100D01* +G37* +G36* +X144918902Y-98348100D02* +G01* +X145005565Y-98383997D01* +X145005569Y-98383999D01* +X145005570Y-98384000D01* +X145044563Y-98410054D01* +X145083560Y-98436111D01* +X145149889Y-98502440D01* +X145202003Y-98580435D01* +X145237900Y-98667098D01* +X145240370Y-98679514D01* +X145243980Y-98691417D01* +X145249848Y-98702396D01* +X145257745Y-98712018D01* +X145267367Y-98719915D01* +X145278345Y-98725783D01* +X145290257Y-98729397D01* +X145296422Y-98730311D01* +X145382244Y-98738764D01* +X145466768Y-98764403D01* +X145544660Y-98806038D01* +X145612933Y-98862067D01* +X145668962Y-98930340D01* +X145710597Y-99008232D01* +X145736236Y-99092756D01* +X145745200Y-99183767D01* +X145745200Y-100460233D01* +X145736236Y-100551244D01* +X145710597Y-100635768D01* +X145668962Y-100713660D01* +X145612933Y-100781933D01* +X145544660Y-100837962D01* +X145466768Y-100879597D01* +X145382244Y-100905236D01* +X145291233Y-100914200D01* +X144268767Y-100914200D01* +X144177756Y-100905236D01* +X144093232Y-100879597D01* +X144015340Y-100837962D01* +X143947067Y-100781933D01* +X143891038Y-100713660D01* +X143849403Y-100635768D01* +X143823764Y-100551244D01* +X143814800Y-100460233D01* +X143814800Y-99183767D01* +X143823764Y-99092756D01* +X143849403Y-99008232D01* +X143891038Y-98930340D01* +X143947067Y-98862067D01* +X144015340Y-98806038D01* +X144093232Y-98764403D01* +X144177756Y-98738764D01* +X144263578Y-98730311D01* +X144275787Y-98727883D01* +X144287287Y-98723119D01* +X144297637Y-98716203D01* +X144306439Y-98707401D01* +X144313355Y-98697051D01* +X144318119Y-98685551D01* +X144319629Y-98679523D01* +X144322100Y-98667098D01* +X144357997Y-98580435D01* +X144410111Y-98502440D01* +X144476440Y-98436111D01* +X144515437Y-98410054D01* +X144554430Y-98384000D01* +X144554431Y-98383999D01* +X144554435Y-98383997D01* +X144641098Y-98348100D01* +X144733098Y-98329800D01* +X144826902Y-98329800D01* +X144918902Y-98348100D01* +X144918902Y-98348100D01* +G37* +G36* +X142378902Y-98348100D02* +G01* +X142465565Y-98383997D01* +X142465569Y-98383999D01* +X142465570Y-98384000D01* +X142504563Y-98410054D01* +X142543560Y-98436111D01* +X142609889Y-98502440D01* +X142662003Y-98580435D01* +X142697900Y-98667098D01* +X142700370Y-98679514D01* +X142703980Y-98691417D01* +X142709848Y-98702396D01* +X142717745Y-98712018D01* +X142727367Y-98719915D01* +X142738345Y-98725783D01* +X142750257Y-98729397D01* +X142756422Y-98730311D01* +X142842244Y-98738764D01* +X142926768Y-98764403D01* +X143004660Y-98806038D01* +X143072933Y-98862067D01* +X143128962Y-98930340D01* +X143170597Y-99008232D01* +X143196236Y-99092756D01* +X143205200Y-99183767D01* +X143205200Y-100460233D01* +X143196236Y-100551244D01* +X143170597Y-100635768D01* +X143128962Y-100713660D01* +X143072933Y-100781933D01* +X143004660Y-100837962D01* +X142926768Y-100879597D01* +X142842244Y-100905236D01* +X142751233Y-100914200D01* +X141728767Y-100914200D01* +X141637756Y-100905236D01* +X141553232Y-100879597D01* +X141475340Y-100837962D01* +X141407067Y-100781933D01* +X141351038Y-100713660D01* +X141309403Y-100635768D01* +X141283764Y-100551244D01* +X141274800Y-100460233D01* +X141274800Y-99183767D01* +X141283764Y-99092756D01* +X141309403Y-99008232D01* +X141351038Y-98930340D01* +X141407067Y-98862067D01* +X141475340Y-98806038D01* +X141553232Y-98764403D01* +X141637756Y-98738764D01* +X141723578Y-98730311D01* +X141735787Y-98727883D01* +X141747287Y-98723119D01* +X141757637Y-98716203D01* +X141766439Y-98707401D01* +X141773355Y-98697051D01* +X141778119Y-98685551D01* +X141779629Y-98679523D01* +X141782100Y-98667098D01* +X141817997Y-98580435D01* +X141870111Y-98502440D01* +X141936440Y-98436111D01* +X141975437Y-98410054D01* +X142014430Y-98384000D01* +X142014431Y-98383999D01* +X142014435Y-98383997D01* +X142101098Y-98348100D01* +X142193098Y-98329800D01* +X142286902Y-98329800D01* +X142378902Y-98348100D01* +X142378902Y-98348100D01* +G37* +G36* +X139838902Y-98348100D02* +G01* +X139925565Y-98383997D01* +X139925569Y-98383999D01* +X139925570Y-98384000D01* +X139964563Y-98410054D01* +X140003560Y-98436111D01* +X140069889Y-98502440D01* +X140122003Y-98580435D01* +X140157900Y-98667098D01* +X140160370Y-98679514D01* +X140163980Y-98691417D01* +X140169848Y-98702396D01* +X140177745Y-98712018D01* +X140187367Y-98719915D01* +X140198345Y-98725783D01* +X140210257Y-98729397D01* +X140216422Y-98730311D01* +X140302244Y-98738764D01* +X140386768Y-98764403D01* +X140464660Y-98806038D01* +X140532933Y-98862067D01* +X140588962Y-98930340D01* +X140630597Y-99008232D01* +X140656236Y-99092756D01* +X140665200Y-99183767D01* +X140665200Y-100460233D01* +X140656236Y-100551244D01* +X140630597Y-100635768D01* +X140588962Y-100713660D01* +X140532933Y-100781933D01* +X140464660Y-100837962D01* +X140386768Y-100879597D01* +X140302244Y-100905236D01* +X140211233Y-100914200D01* +X139188767Y-100914200D01* +X139097756Y-100905236D01* +X139013232Y-100879597D01* +X138935340Y-100837962D01* +X138867067Y-100781933D01* +X138811038Y-100713660D01* +X138769403Y-100635768D01* +X138743764Y-100551244D01* +X138734800Y-100460233D01* +X138734800Y-99183767D01* +X138743764Y-99092756D01* +X138769403Y-99008232D01* +X138811038Y-98930340D01* +X138867067Y-98862067D01* +X138935340Y-98806038D01* +X139013232Y-98764403D01* +X139097756Y-98738764D01* +X139183578Y-98730311D01* +X139195787Y-98727883D01* +X139207287Y-98723119D01* +X139217637Y-98716203D01* +X139226439Y-98707401D01* +X139233355Y-98697051D01* +X139238119Y-98685551D01* +X139239629Y-98679523D01* +X139242100Y-98667098D01* +X139277997Y-98580435D01* +X139330111Y-98502440D01* +X139396440Y-98436111D01* +X139435437Y-98410054D01* +X139474430Y-98384000D01* +X139474431Y-98383999D01* +X139474435Y-98383997D01* +X139561098Y-98348100D01* +X139653098Y-98329800D01* +X139746902Y-98329800D01* +X139838902Y-98348100D01* +X139838902Y-98348100D01* +G37* +G36* +X137298902Y-98348100D02* +G01* +X137385565Y-98383997D01* +X137385569Y-98383999D01* +X137385570Y-98384000D01* +X137424563Y-98410054D01* +X137463560Y-98436111D01* +X137529889Y-98502440D01* +X137582003Y-98580435D01* +X137617900Y-98667098D01* +X137620370Y-98679514D01* +X137623980Y-98691417D01* +X137629848Y-98702396D01* +X137637745Y-98712018D01* +X137647367Y-98719915D01* +X137658345Y-98725783D01* +X137670257Y-98729397D01* +X137676422Y-98730311D01* +X137762244Y-98738764D01* +X137846768Y-98764403D01* +X137924660Y-98806038D01* +X137992933Y-98862067D01* +X138048962Y-98930340D01* +X138090597Y-99008232D01* +X138116236Y-99092756D01* +X138125200Y-99183767D01* +X138125200Y-100460233D01* +X138116236Y-100551244D01* +X138090597Y-100635768D01* +X138048962Y-100713660D01* +X137992933Y-100781933D01* +X137924660Y-100837962D01* +X137846768Y-100879597D01* +X137762244Y-100905236D01* +X137671233Y-100914200D01* +X136648767Y-100914200D01* +X136557756Y-100905236D01* +X136473232Y-100879597D01* +X136395340Y-100837962D01* +X136327067Y-100781933D01* +X136271038Y-100713660D01* +X136229403Y-100635768D01* +X136203764Y-100551244D01* +X136194800Y-100460233D01* +X136194800Y-99183767D01* +X136203764Y-99092756D01* +X136229403Y-99008232D01* +X136271038Y-98930340D01* +X136327067Y-98862067D01* +X136395340Y-98806038D01* +X136473232Y-98764403D01* +X136557756Y-98738764D01* +X136643578Y-98730311D01* +X136655787Y-98727883D01* +X136667287Y-98723119D01* +X136677637Y-98716203D01* +X136686439Y-98707401D01* +X136693355Y-98697051D01* +X136698119Y-98685551D01* +X136699629Y-98679523D01* +X136702100Y-98667098D01* +X136737997Y-98580435D01* +X136790111Y-98502440D01* +X136856440Y-98436111D01* +X136895437Y-98410054D01* +X136934430Y-98384000D01* +X136934431Y-98383999D01* +X136934435Y-98383997D01* +X137021098Y-98348100D01* +X137113098Y-98329800D01* +X137206902Y-98329800D01* +X137298902Y-98348100D01* +X137298902Y-98348100D01* +G37* +G36* +X134758902Y-98348100D02* +G01* +X134845565Y-98383997D01* +X134845569Y-98383999D01* +X134845570Y-98384000D01* +X134884563Y-98410054D01* +X134923560Y-98436111D01* +X134989889Y-98502440D01* +X135042003Y-98580435D01* +X135077900Y-98667098D01* +X135080370Y-98679514D01* +X135083980Y-98691417D01* +X135089848Y-98702396D01* +X135097745Y-98712018D01* +X135107367Y-98719915D01* +X135118345Y-98725783D01* +X135130257Y-98729397D01* +X135136422Y-98730311D01* +X135222244Y-98738764D01* +X135306768Y-98764403D01* +X135384660Y-98806038D01* +X135452933Y-98862067D01* +X135508962Y-98930340D01* +X135550597Y-99008232D01* +X135576236Y-99092756D01* +X135585200Y-99183767D01* +X135585200Y-100460233D01* +X135576236Y-100551244D01* +X135550597Y-100635768D01* +X135508962Y-100713660D01* +X135452933Y-100781933D01* +X135384660Y-100837962D01* +X135306768Y-100879597D01* +X135222244Y-100905236D01* +X135131233Y-100914200D01* +X134108767Y-100914200D01* +X134017756Y-100905236D01* +X133933232Y-100879597D01* +X133855340Y-100837962D01* +X133787067Y-100781933D01* +X133731038Y-100713660D01* +X133689403Y-100635768D01* +X133663764Y-100551244D01* +X133654800Y-100460233D01* +X133654800Y-99183767D01* +X133663764Y-99092756D01* +X133689403Y-99008232D01* +X133731038Y-98930340D01* +X133787067Y-98862067D01* +X133855340Y-98806038D01* +X133933232Y-98764403D01* +X134017756Y-98738764D01* +X134103578Y-98730311D01* +X134115787Y-98727883D01* +X134127287Y-98723119D01* +X134137637Y-98716203D01* +X134146439Y-98707401D01* +X134153355Y-98697051D01* +X134158119Y-98685551D01* +X134159629Y-98679523D01* +X134162100Y-98667098D01* +X134197997Y-98580435D01* +X134250111Y-98502440D01* +X134316440Y-98436111D01* +X134355437Y-98410054D01* +X134394430Y-98384000D01* +X134394431Y-98383999D01* +X134394435Y-98383997D01* +X134481098Y-98348100D01* +X134573098Y-98329800D01* +X134666902Y-98329800D01* +X134758902Y-98348100D01* +X134758902Y-98348100D01* +G37* +G36* +X132218902Y-98348100D02* +G01* +X132305565Y-98383997D01* +X132305569Y-98383999D01* +X132305570Y-98384000D01* +X132344563Y-98410054D01* +X132383560Y-98436111D01* +X132449889Y-98502440D01* +X132502003Y-98580435D01* +X132537900Y-98667098D01* +X132540370Y-98679514D01* +X132543980Y-98691417D01* +X132549848Y-98702396D01* +X132557745Y-98712018D01* +X132567367Y-98719915D01* +X132578345Y-98725783D01* +X132590257Y-98729397D01* +X132596422Y-98730311D01* +X132682244Y-98738764D01* +X132766768Y-98764403D01* +X132844660Y-98806038D01* +X132912933Y-98862067D01* +X132968962Y-98930340D01* +X133010597Y-99008232D01* +X133036236Y-99092756D01* +X133045200Y-99183767D01* +X133045200Y-100460233D01* +X133036236Y-100551244D01* +X133010597Y-100635768D01* +X132968962Y-100713660D01* +X132912933Y-100781933D01* +X132844660Y-100837962D01* +X132766768Y-100879597D01* +X132682244Y-100905236D01* +X132591233Y-100914200D01* +X131568767Y-100914200D01* +X131477756Y-100905236D01* +X131393232Y-100879597D01* +X131315340Y-100837962D01* +X131247067Y-100781933D01* +X131191038Y-100713660D01* +X131149403Y-100635768D01* +X131123764Y-100551244D01* +X131114800Y-100460233D01* +X131114800Y-99183767D01* +X131123764Y-99092756D01* +X131149403Y-99008232D01* +X131191038Y-98930340D01* +X131247067Y-98862067D01* +X131315340Y-98806038D01* +X131393232Y-98764403D01* +X131477756Y-98738764D01* +X131563578Y-98730311D01* +X131575787Y-98727883D01* +X131587287Y-98723119D01* +X131597637Y-98716203D01* +X131606439Y-98707401D01* +X131613355Y-98697051D01* +X131618119Y-98685551D01* +X131619629Y-98679523D01* +X131622100Y-98667098D01* +X131657997Y-98580435D01* +X131710111Y-98502440D01* +X131776440Y-98436111D01* +X131815437Y-98410054D01* +X131854430Y-98384000D01* +X131854431Y-98383999D01* +X131854435Y-98383997D01* +X131941098Y-98348100D01* +X132033098Y-98329800D01* +X132126902Y-98329800D01* +X132218902Y-98348100D01* +X132218902Y-98348100D01* +G37* +G36* +X129678902Y-98348100D02* +G01* +X129765565Y-98383997D01* +X129765569Y-98383999D01* +X129765570Y-98384000D01* +X129804563Y-98410054D01* +X129843560Y-98436111D01* +X129909889Y-98502440D01* +X129962003Y-98580435D01* +X129997900Y-98667098D01* +X130000370Y-98679514D01* +X130003980Y-98691417D01* +X130009848Y-98702396D01* +X130017745Y-98712018D01* +X130027367Y-98719915D01* +X130038345Y-98725783D01* +X130050257Y-98729397D01* +X130056422Y-98730311D01* +X130142244Y-98738764D01* +X130226768Y-98764403D01* +X130304660Y-98806038D01* +X130372933Y-98862067D01* +X130428962Y-98930340D01* +X130470597Y-99008232D01* +X130496236Y-99092756D01* +X130505200Y-99183767D01* +X130505200Y-100460233D01* +X130496236Y-100551244D01* +X130470597Y-100635768D01* +X130428962Y-100713660D01* +X130372933Y-100781933D01* +X130304660Y-100837962D01* +X130226768Y-100879597D01* +X130142244Y-100905236D01* +X130051233Y-100914200D01* +X129028767Y-100914200D01* +X128937756Y-100905236D01* +X128853232Y-100879597D01* +X128775340Y-100837962D01* +X128707067Y-100781933D01* +X128651038Y-100713660D01* +X128609403Y-100635768D01* +X128583764Y-100551244D01* +X128574800Y-100460233D01* +X128574800Y-99183767D01* +X128583764Y-99092756D01* +X128609403Y-99008232D01* +X128651038Y-98930340D01* +X128707067Y-98862067D01* +X128775340Y-98806038D01* +X128853232Y-98764403D01* +X128937756Y-98738764D01* +X129023578Y-98730311D01* +X129035787Y-98727883D01* +X129047287Y-98723119D01* +X129057637Y-98716203D01* +X129066439Y-98707401D01* +X129073355Y-98697051D01* +X129078119Y-98685551D01* +X129079629Y-98679523D01* +X129082100Y-98667098D01* +X129117997Y-98580435D01* +X129170111Y-98502440D01* +X129236440Y-98436111D01* +X129275437Y-98410054D01* +X129314430Y-98384000D01* +X129314431Y-98383999D01* +X129314435Y-98383997D01* +X129401098Y-98348100D01* +X129493098Y-98329800D01* +X129586902Y-98329800D01* +X129678902Y-98348100D01* +X129678902Y-98348100D01* +G37* +G36* +X127138902Y-98348100D02* +G01* +X127225565Y-98383997D01* +X127225569Y-98383999D01* +X127225570Y-98384000D01* +X127264563Y-98410054D01* +X127303560Y-98436111D01* +X127369889Y-98502440D01* +X127422003Y-98580435D01* +X127457900Y-98667098D01* +X127460370Y-98679514D01* +X127463980Y-98691417D01* +X127469848Y-98702396D01* +X127477745Y-98712018D01* +X127487367Y-98719915D01* +X127498345Y-98725783D01* +X127510257Y-98729397D01* +X127516422Y-98730311D01* +X127602244Y-98738764D01* +X127686768Y-98764403D01* +X127764660Y-98806038D01* +X127832933Y-98862067D01* +X127888962Y-98930340D01* +X127930597Y-99008232D01* +X127956236Y-99092756D01* +X127965200Y-99183767D01* +X127965200Y-100460233D01* +X127956236Y-100551244D01* +X127930597Y-100635768D01* +X127888962Y-100713660D01* +X127832933Y-100781933D01* +X127764660Y-100837962D01* +X127686768Y-100879597D01* +X127602244Y-100905236D01* +X127511233Y-100914200D01* +X126488767Y-100914200D01* +X126397756Y-100905236D01* +X126313232Y-100879597D01* +X126235340Y-100837962D01* +X126167067Y-100781933D01* +X126111038Y-100713660D01* +X126069403Y-100635768D01* +X126043764Y-100551244D01* +X126034800Y-100460233D01* +X126034800Y-99183767D01* +X126043764Y-99092756D01* +X126069403Y-99008232D01* +X126111038Y-98930340D01* +X126167067Y-98862067D01* +X126235340Y-98806038D01* +X126313232Y-98764403D01* +X126397756Y-98738764D01* +X126483578Y-98730311D01* +X126495787Y-98727883D01* +X126507287Y-98723119D01* +X126517637Y-98716203D01* +X126526439Y-98707401D01* +X126533355Y-98697051D01* +X126538119Y-98685551D01* +X126539629Y-98679523D01* +X126542100Y-98667098D01* +X126577997Y-98580435D01* +X126630111Y-98502440D01* +X126696440Y-98436111D01* +X126735437Y-98410054D01* +X126774430Y-98384000D01* +X126774431Y-98383999D01* +X126774435Y-98383997D01* +X126861098Y-98348100D01* +X126953098Y-98329800D01* +X127046902Y-98329800D01* +X127138902Y-98348100D01* +X127138902Y-98348100D01* +G37* +G36* +X124598902Y-98348100D02* +G01* +X124685565Y-98383997D01* +X124685569Y-98383999D01* +X124685570Y-98384000D01* +X124724563Y-98410054D01* +X124763560Y-98436111D01* +X124829889Y-98502440D01* +X124882003Y-98580435D01* +X124917900Y-98667098D01* +X124920370Y-98679514D01* +X124923980Y-98691417D01* +X124929848Y-98702396D01* +X124937745Y-98712018D01* +X124947367Y-98719915D01* +X124958345Y-98725783D01* +X124970257Y-98729397D01* +X124976422Y-98730311D01* +X125062244Y-98738764D01* +X125146768Y-98764403D01* +X125224660Y-98806038D01* +X125292933Y-98862067D01* +X125348962Y-98930340D01* +X125390597Y-99008232D01* +X125416236Y-99092756D01* +X125425200Y-99183767D01* +X125425200Y-100460233D01* +X125416236Y-100551244D01* +X125390597Y-100635768D01* +X125348962Y-100713660D01* +X125292933Y-100781933D01* +X125224660Y-100837962D01* +X125146768Y-100879597D01* +X125062244Y-100905236D01* +X124971233Y-100914200D01* +X123948767Y-100914200D01* +X123857756Y-100905236D01* +X123773232Y-100879597D01* +X123695340Y-100837962D01* +X123627067Y-100781933D01* +X123571038Y-100713660D01* +X123529403Y-100635768D01* +X123503764Y-100551244D01* +X123494800Y-100460233D01* +X123494800Y-99183767D01* +X123503764Y-99092756D01* +X123529403Y-99008232D01* +X123571038Y-98930340D01* +X123627067Y-98862067D01* +X123695340Y-98806038D01* +X123773232Y-98764403D01* +X123857756Y-98738764D01* +X123943578Y-98730311D01* +X123955787Y-98727883D01* +X123967287Y-98723119D01* +X123977637Y-98716203D01* +X123986439Y-98707401D01* +X123993355Y-98697051D01* +X123998119Y-98685551D01* +X123999629Y-98679523D01* +X124002100Y-98667098D01* +X124037997Y-98580435D01* +X124090111Y-98502440D01* +X124156440Y-98436111D01* +X124195437Y-98410054D01* +X124234430Y-98384000D01* +X124234431Y-98383999D01* +X124234435Y-98383997D01* +X124321098Y-98348100D01* +X124413098Y-98329800D01* +X124506902Y-98329800D01* +X124598902Y-98348100D01* +X124598902Y-98348100D01* +G37* +G36* +X122058902Y-98348100D02* +G01* +X122145565Y-98383997D01* +X122145569Y-98383999D01* +X122145570Y-98384000D01* +X122184563Y-98410054D01* +X122223560Y-98436111D01* +X122289889Y-98502440D01* +X122342003Y-98580435D01* +X122377900Y-98667098D01* +X122380370Y-98679514D01* +X122383980Y-98691417D01* +X122389848Y-98702396D01* +X122397745Y-98712018D01* +X122407367Y-98719915D01* +X122418345Y-98725783D01* +X122430257Y-98729397D01* +X122436422Y-98730311D01* +X122522244Y-98738764D01* +X122606768Y-98764403D01* +X122684660Y-98806038D01* +X122752933Y-98862067D01* +X122808962Y-98930340D01* +X122850597Y-99008232D01* +X122876236Y-99092756D01* +X122885200Y-99183767D01* +X122885200Y-100460233D01* +X122876236Y-100551244D01* +X122850597Y-100635768D01* +X122808962Y-100713660D01* +X122752933Y-100781933D01* +X122684660Y-100837962D01* +X122606768Y-100879597D01* +X122522244Y-100905236D01* +X122431233Y-100914200D01* +X121408767Y-100914200D01* +X121317756Y-100905236D01* +X121233232Y-100879597D01* +X121155340Y-100837962D01* +X121087067Y-100781933D01* +X121031038Y-100713660D01* +X120989403Y-100635768D01* +X120963764Y-100551244D01* +X120954800Y-100460233D01* +X120954800Y-99183767D01* +X120963764Y-99092756D01* +X120989403Y-99008232D01* +X121031038Y-98930340D01* +X121087067Y-98862067D01* +X121155340Y-98806038D01* +X121233232Y-98764403D01* +X121317756Y-98738764D01* +X121403578Y-98730311D01* +X121415787Y-98727883D01* +X121427287Y-98723119D01* +X121437637Y-98716203D01* +X121446439Y-98707401D01* +X121453355Y-98697051D01* +X121458119Y-98685551D01* +X121459629Y-98679523D01* +X121462100Y-98667098D01* +X121497997Y-98580435D01* +X121550111Y-98502440D01* +X121616440Y-98436111D01* +X121655437Y-98410054D01* +X121694430Y-98384000D01* +X121694431Y-98383999D01* +X121694435Y-98383997D01* +X121781098Y-98348100D01* +X121873098Y-98329800D01* +X121966902Y-98329800D01* +X122058902Y-98348100D01* +X122058902Y-98348100D01* +G37* +G36* +X119518902Y-98348100D02* +G01* +X119605565Y-98383997D01* +X119605569Y-98383999D01* +X119605570Y-98384000D01* +X119644563Y-98410054D01* +X119683560Y-98436111D01* +X119749889Y-98502440D01* +X119802003Y-98580435D01* +X119837900Y-98667098D01* +X119840370Y-98679514D01* +X119843980Y-98691417D01* +X119849848Y-98702396D01* +X119857745Y-98712018D01* +X119867367Y-98719915D01* +X119878345Y-98725783D01* +X119890257Y-98729397D01* +X119896422Y-98730311D01* +X119982244Y-98738764D01* +X120066768Y-98764403D01* +X120144660Y-98806038D01* +X120212933Y-98862067D01* +X120268962Y-98930340D01* +X120310597Y-99008232D01* +X120336236Y-99092756D01* +X120345200Y-99183767D01* +X120345200Y-100460233D01* +X120336236Y-100551244D01* +X120310597Y-100635768D01* +X120268962Y-100713660D01* +X120212933Y-100781933D01* +X120144660Y-100837962D01* +X120066768Y-100879597D01* +X119982244Y-100905236D01* +X119891233Y-100914200D01* +X118868767Y-100914200D01* +X118777756Y-100905236D01* +X118693232Y-100879597D01* +X118615340Y-100837962D01* +X118547067Y-100781933D01* +X118491038Y-100713660D01* +X118449403Y-100635768D01* +X118423764Y-100551244D01* +X118414800Y-100460233D01* +X118414800Y-99183767D01* +X118423764Y-99092756D01* +X118449403Y-99008232D01* +X118491038Y-98930340D01* +X118547067Y-98862067D01* +X118615340Y-98806038D01* +X118693232Y-98764403D01* +X118777756Y-98738764D01* +X118863578Y-98730311D01* +X118875787Y-98727883D01* +X118887287Y-98723119D01* +X118897637Y-98716203D01* +X118906439Y-98707401D01* +X118913355Y-98697051D01* +X118918119Y-98685551D01* +X118919629Y-98679523D01* +X118922100Y-98667098D01* +X118957997Y-98580435D01* +X119010111Y-98502440D01* +X119076440Y-98436111D01* +X119115437Y-98410054D01* +X119154430Y-98384000D01* +X119154431Y-98383999D01* +X119154435Y-98383997D01* +X119241098Y-98348100D01* +X119333098Y-98329800D01* +X119426902Y-98329800D01* +X119518902Y-98348100D01* +X119518902Y-98348100D01* +G37* +G36* +X116978902Y-98348100D02* +G01* +X117065565Y-98383997D01* +X117065569Y-98383999D01* +X117065570Y-98384000D01* +X117104563Y-98410054D01* +X117143560Y-98436111D01* +X117209889Y-98502440D01* +X117262003Y-98580435D01* +X117297900Y-98667098D01* +X117300370Y-98679514D01* +X117303980Y-98691417D01* +X117309848Y-98702396D01* +X117317745Y-98712018D01* +X117327367Y-98719915D01* +X117338345Y-98725783D01* +X117350257Y-98729397D01* +X117356422Y-98730311D01* +X117442244Y-98738764D01* +X117526768Y-98764403D01* +X117604660Y-98806038D01* +X117672933Y-98862067D01* +X117728962Y-98930340D01* +X117770597Y-99008232D01* +X117796236Y-99092756D01* +X117805200Y-99183767D01* +X117805200Y-100460233D01* +X117796236Y-100551244D01* +X117770597Y-100635768D01* +X117728962Y-100713660D01* +X117672933Y-100781933D01* +X117604660Y-100837962D01* +X117526768Y-100879597D01* +X117442244Y-100905236D01* +X117351233Y-100914200D01* +X116328767Y-100914200D01* +X116237756Y-100905236D01* +X116153232Y-100879597D01* +X116075340Y-100837962D01* +X116007067Y-100781933D01* +X115951038Y-100713660D01* +X115909403Y-100635768D01* +X115883764Y-100551244D01* +X115874800Y-100460233D01* +X115874800Y-99183767D01* +X115883764Y-99092756D01* +X115909403Y-99008232D01* +X115951038Y-98930340D01* +X116007067Y-98862067D01* +X116075340Y-98806038D01* +X116153232Y-98764403D01* +X116237756Y-98738764D01* +X116323578Y-98730311D01* +X116335787Y-98727883D01* +X116347287Y-98723119D01* +X116357637Y-98716203D01* +X116366439Y-98707401D01* +X116373355Y-98697051D01* +X116378119Y-98685551D01* +X116379629Y-98679523D01* +X116382100Y-98667098D01* +X116417997Y-98580435D01* +X116470111Y-98502440D01* +X116536440Y-98436111D01* +X116575437Y-98410054D01* +X116614430Y-98384000D01* +X116614431Y-98383999D01* +X116614435Y-98383997D01* +X116701098Y-98348100D01* +X116793098Y-98329800D01* +X116886902Y-98329800D01* +X116978902Y-98348100D01* +X116978902Y-98348100D01* +G37* +G36* +X114438902Y-98348100D02* +G01* +X114525565Y-98383997D01* +X114525569Y-98383999D01* +X114525570Y-98384000D01* +X114564563Y-98410054D01* +X114603560Y-98436111D01* +X114669889Y-98502440D01* +X114722003Y-98580435D01* +X114757900Y-98667098D01* +X114760370Y-98679514D01* +X114763980Y-98691417D01* +X114769848Y-98702396D01* +X114777745Y-98712018D01* +X114787367Y-98719915D01* +X114798345Y-98725783D01* +X114810257Y-98729397D01* +X114816422Y-98730311D01* +X114902244Y-98738764D01* +X114986768Y-98764403D01* +X115064660Y-98806038D01* +X115132933Y-98862067D01* +X115188962Y-98930340D01* +X115230597Y-99008232D01* +X115256236Y-99092756D01* +X115265200Y-99183767D01* +X115265200Y-100460233D01* +X115256236Y-100551244D01* +X115230597Y-100635768D01* +X115188962Y-100713660D01* +X115132933Y-100781933D01* +X115064660Y-100837962D01* +X114986768Y-100879597D01* +X114902244Y-100905236D01* +X114811233Y-100914200D01* +X113788767Y-100914200D01* +X113697756Y-100905236D01* +X113613232Y-100879597D01* +X113535340Y-100837962D01* +X113467067Y-100781933D01* +X113411038Y-100713660D01* +X113369403Y-100635768D01* +X113343764Y-100551244D01* +X113334800Y-100460233D01* +X113334800Y-99183767D01* +X113343764Y-99092756D01* +X113369403Y-99008232D01* +X113411038Y-98930340D01* +X113467067Y-98862067D01* +X113535340Y-98806038D01* +X113613232Y-98764403D01* +X113697756Y-98738764D01* +X113783578Y-98730311D01* +X113795787Y-98727883D01* +X113807287Y-98723119D01* +X113817637Y-98716203D01* +X113826439Y-98707401D01* +X113833355Y-98697051D01* +X113838119Y-98685551D01* +X113839629Y-98679523D01* +X113842100Y-98667098D01* +X113877997Y-98580435D01* +X113930111Y-98502440D01* +X113996440Y-98436111D01* +X114035437Y-98410054D01* +X114074430Y-98384000D01* +X114074431Y-98383999D01* +X114074435Y-98383997D01* +X114161098Y-98348100D01* +X114253098Y-98329800D01* +X114346902Y-98329800D01* +X114438902Y-98348100D01* +X114438902Y-98348100D01* +G37* +G36* +X111898902Y-98348100D02* +G01* +X111985565Y-98383997D01* +X111985569Y-98383999D01* +X111985570Y-98384000D01* +X112024563Y-98410054D01* +X112063560Y-98436111D01* +X112129889Y-98502440D01* +X112182003Y-98580435D01* +X112217900Y-98667098D01* +X112220370Y-98679514D01* +X112223980Y-98691417D01* +X112229848Y-98702396D01* +X112237745Y-98712018D01* +X112247367Y-98719915D01* +X112258345Y-98725783D01* +X112270257Y-98729397D01* +X112276422Y-98730311D01* +X112362244Y-98738764D01* +X112446768Y-98764403D01* +X112524660Y-98806038D01* +X112592933Y-98862067D01* +X112648962Y-98930340D01* +X112690597Y-99008232D01* +X112716236Y-99092756D01* +X112725200Y-99183767D01* +X112725200Y-100460233D01* +X112716236Y-100551244D01* +X112690597Y-100635768D01* +X112648962Y-100713660D01* +X112592933Y-100781933D01* +X112524660Y-100837962D01* +X112446768Y-100879597D01* +X112362244Y-100905236D01* +X112271233Y-100914200D01* +X111248767Y-100914200D01* +X111157756Y-100905236D01* +X111073232Y-100879597D01* +X110995340Y-100837962D01* +X110927067Y-100781933D01* +X110871038Y-100713660D01* +X110829403Y-100635768D01* +X110803764Y-100551244D01* +X110794800Y-100460233D01* +X110794800Y-99183767D01* +X110803764Y-99092756D01* +X110829403Y-99008232D01* +X110871038Y-98930340D01* +X110927067Y-98862067D01* +X110995340Y-98806038D01* +X111073232Y-98764403D01* +X111157756Y-98738764D01* +X111243578Y-98730311D01* +X111255787Y-98727883D01* +X111267287Y-98723119D01* +X111277637Y-98716203D01* +X111286439Y-98707401D01* +X111293355Y-98697051D01* +X111298119Y-98685551D01* +X111299629Y-98679523D01* +X111302100Y-98667098D01* +X111337997Y-98580435D01* +X111390111Y-98502440D01* +X111456440Y-98436111D01* +X111495437Y-98410054D01* +X111534430Y-98384000D01* +X111534431Y-98383999D01* +X111534435Y-98383997D01* +X111621098Y-98348100D01* +X111713098Y-98329800D01* +X111806902Y-98329800D01* +X111898902Y-98348100D01* +X111898902Y-98348100D01* +G37* +G36* +X109358902Y-98348100D02* +G01* +X109445565Y-98383997D01* +X109445569Y-98383999D01* +X109445570Y-98384000D01* +X109484563Y-98410054D01* +X109523560Y-98436111D01* +X109589889Y-98502440D01* +X109642003Y-98580435D01* +X109677900Y-98667098D01* +X109680370Y-98679514D01* +X109683980Y-98691417D01* +X109689848Y-98702396D01* +X109697745Y-98712018D01* +X109707367Y-98719915D01* +X109718345Y-98725783D01* +X109730257Y-98729397D01* +X109736422Y-98730311D01* +X109822244Y-98738764D01* +X109906768Y-98764403D01* +X109984660Y-98806038D01* +X110052933Y-98862067D01* +X110108962Y-98930340D01* +X110150597Y-99008232D01* +X110176236Y-99092756D01* +X110185200Y-99183767D01* +X110185200Y-100460233D01* +X110176236Y-100551244D01* +X110150597Y-100635768D01* +X110108962Y-100713660D01* +X110052933Y-100781933D01* +X109984660Y-100837962D01* +X109906768Y-100879597D01* +X109822244Y-100905236D01* +X109731233Y-100914200D01* +X108708767Y-100914200D01* +X108617756Y-100905236D01* +X108533232Y-100879597D01* +X108455340Y-100837962D01* +X108387067Y-100781933D01* +X108331038Y-100713660D01* +X108289403Y-100635768D01* +X108263764Y-100551244D01* +X108254800Y-100460233D01* +X108254800Y-99183767D01* +X108263764Y-99092756D01* +X108289403Y-99008232D01* +X108331038Y-98930340D01* +X108387067Y-98862067D01* +X108455340Y-98806038D01* +X108533232Y-98764403D01* +X108617756Y-98738764D01* +X108703578Y-98730311D01* +X108715787Y-98727883D01* +X108727287Y-98723119D01* +X108737637Y-98716203D01* +X108746439Y-98707401D01* +X108753355Y-98697051D01* +X108758119Y-98685551D01* +X108759629Y-98679523D01* +X108762100Y-98667098D01* +X108797997Y-98580435D01* +X108850111Y-98502440D01* +X108916440Y-98436111D01* +X108955437Y-98410054D01* +X108994430Y-98384000D01* +X108994431Y-98383999D01* +X108994435Y-98383997D01* +X109081098Y-98348100D01* +X109173098Y-98329800D01* +X109266902Y-98329800D01* +X109358902Y-98348100D01* +X109358902Y-98348100D01* +G37* +G36* +X106818902Y-98348100D02* +G01* +X106905565Y-98383997D01* +X106905569Y-98383999D01* +X106905570Y-98384000D01* +X106944563Y-98410054D01* +X106983560Y-98436111D01* +X107049889Y-98502440D01* +X107102003Y-98580435D01* +X107137900Y-98667098D01* +X107140370Y-98679514D01* +X107143980Y-98691417D01* +X107149848Y-98702396D01* +X107157745Y-98712018D01* +X107167367Y-98719915D01* +X107178345Y-98725783D01* +X107190257Y-98729397D01* +X107196422Y-98730311D01* +X107282244Y-98738764D01* +X107366768Y-98764403D01* +X107444660Y-98806038D01* +X107512933Y-98862067D01* +X107568962Y-98930340D01* +X107610597Y-99008232D01* +X107636236Y-99092756D01* +X107645200Y-99183767D01* +X107645200Y-100460233D01* +X107636236Y-100551244D01* +X107610597Y-100635768D01* +X107568962Y-100713660D01* +X107512933Y-100781933D01* +X107444660Y-100837962D01* +X107366768Y-100879597D01* +X107282244Y-100905236D01* +X107191233Y-100914200D01* +X106168767Y-100914200D01* +X106077756Y-100905236D01* +X105993232Y-100879597D01* +X105915340Y-100837962D01* +X105847067Y-100781933D01* +X105791038Y-100713660D01* +X105749403Y-100635768D01* +X105723764Y-100551244D01* +X105714800Y-100460233D01* +X105714800Y-99183767D01* +X105723764Y-99092756D01* +X105749403Y-99008232D01* +X105791038Y-98930340D01* +X105847067Y-98862067D01* +X105915340Y-98806038D01* +X105993232Y-98764403D01* +X106077756Y-98738764D01* +X106163578Y-98730311D01* +X106175787Y-98727883D01* +X106187287Y-98723119D01* +X106197637Y-98716203D01* +X106206439Y-98707401D01* +X106213355Y-98697051D01* +X106218119Y-98685551D01* +X106219629Y-98679523D01* +X106222100Y-98667098D01* +X106257997Y-98580435D01* +X106310111Y-98502440D01* +X106376440Y-98436111D01* +X106415437Y-98410054D01* +X106454430Y-98384000D01* +X106454431Y-98383999D01* +X106454435Y-98383997D01* +X106541098Y-98348100D01* +X106633098Y-98329800D01* +X106726902Y-98329800D01* +X106818902Y-98348100D01* +X106818902Y-98348100D01* +G37* +G36* +X104278902Y-98348100D02* +G01* +X104365565Y-98383997D01* +X104365569Y-98383999D01* +X104365570Y-98384000D01* +X104404563Y-98410054D01* +X104443560Y-98436111D01* +X104509889Y-98502440D01* +X104562003Y-98580435D01* +X104597900Y-98667098D01* +X104600370Y-98679514D01* +X104603980Y-98691417D01* +X104609848Y-98702396D01* +X104617745Y-98712018D01* +X104627367Y-98719915D01* +X104638345Y-98725783D01* +X104650257Y-98729397D01* +X104656422Y-98730311D01* +X104742244Y-98738764D01* +X104826768Y-98764403D01* +X104904660Y-98806038D01* +X104972933Y-98862067D01* +X105028962Y-98930340D01* +X105070597Y-99008232D01* +X105096236Y-99092756D01* +X105105200Y-99183767D01* +X105105200Y-100460233D01* +X105096236Y-100551244D01* +X105070597Y-100635768D01* +X105028962Y-100713660D01* +X104972933Y-100781933D01* +X104904660Y-100837962D01* +X104826768Y-100879597D01* +X104742244Y-100905236D01* +X104651233Y-100914200D01* +X103628767Y-100914200D01* +X103537756Y-100905236D01* +X103453232Y-100879597D01* +X103375340Y-100837962D01* +X103307067Y-100781933D01* +X103251038Y-100713660D01* +X103209403Y-100635768D01* +X103183764Y-100551244D01* +X103174800Y-100460233D01* +X103174800Y-99183767D01* +X103183764Y-99092756D01* +X103209403Y-99008232D01* +X103251038Y-98930340D01* +X103307067Y-98862067D01* +X103375340Y-98806038D01* +X103453232Y-98764403D01* +X103537756Y-98738764D01* +X103623578Y-98730311D01* +X103635787Y-98727883D01* +X103647287Y-98723119D01* +X103657637Y-98716203D01* +X103666439Y-98707401D01* +X103673355Y-98697051D01* +X103678119Y-98685551D01* +X103679629Y-98679523D01* +X103682100Y-98667098D01* +X103717997Y-98580435D01* +X103770111Y-98502440D01* +X103836440Y-98436111D01* +X103875437Y-98410054D01* +X103914430Y-98384000D01* +X103914431Y-98383999D01* +X103914435Y-98383997D01* +X104001098Y-98348100D01* +X104093098Y-98329800D01* +X104186902Y-98329800D01* +X104278902Y-98348100D01* +X104278902Y-98348100D01* +G37* +G36* +X101738902Y-98348100D02* +G01* +X101825565Y-98383997D01* +X101825569Y-98383999D01* +X101825570Y-98384000D01* +X101864563Y-98410054D01* +X101903560Y-98436111D01* +X101969889Y-98502440D01* +X102022003Y-98580435D01* +X102057900Y-98667098D01* +X102060370Y-98679514D01* +X102063980Y-98691417D01* +X102069848Y-98702396D01* +X102077745Y-98712018D01* +X102087367Y-98719915D01* +X102098345Y-98725783D01* +X102110257Y-98729397D01* +X102116422Y-98730311D01* +X102202244Y-98738764D01* +X102286768Y-98764403D01* +X102364660Y-98806038D01* +X102432933Y-98862067D01* +X102488962Y-98930340D01* +X102530597Y-99008232D01* +X102556236Y-99092756D01* +X102565200Y-99183767D01* +X102565200Y-100460233D01* +X102556236Y-100551244D01* +X102530597Y-100635768D01* +X102488962Y-100713660D01* +X102432933Y-100781933D01* +X102364660Y-100837962D01* +X102286768Y-100879597D01* +X102202244Y-100905236D01* +X102111233Y-100914200D01* +X101088767Y-100914200D01* +X100997756Y-100905236D01* +X100913232Y-100879597D01* +X100835340Y-100837962D01* +X100767067Y-100781933D01* +X100711038Y-100713660D01* +X100669403Y-100635768D01* +X100643764Y-100551244D01* +X100634800Y-100460233D01* +X100634800Y-99183767D01* +X100643764Y-99092756D01* +X100669403Y-99008232D01* +X100711038Y-98930340D01* +X100767067Y-98862067D01* +X100835340Y-98806038D01* +X100913232Y-98764403D01* +X100997756Y-98738764D01* +X101083578Y-98730311D01* +X101095787Y-98727883D01* +X101107287Y-98723119D01* +X101117637Y-98716203D01* +X101126439Y-98707401D01* +X101133355Y-98697051D01* +X101138119Y-98685551D01* +X101139629Y-98679523D01* +X101142100Y-98667098D01* +X101177997Y-98580435D01* +X101230111Y-98502440D01* +X101296440Y-98436111D01* +X101335437Y-98410054D01* +X101374430Y-98384000D01* +X101374431Y-98383999D01* +X101374435Y-98383997D01* +X101461098Y-98348100D01* +X101553098Y-98329800D01* +X101646902Y-98329800D01* +X101738902Y-98348100D01* +X101738902Y-98348100D01* +G37* +G36* +X99198902Y-98348100D02* +G01* +X99285565Y-98383997D01* +X99285569Y-98383999D01* +X99285570Y-98384000D01* +X99324563Y-98410054D01* +X99363560Y-98436111D01* +X99429889Y-98502440D01* +X99482003Y-98580435D01* +X99517900Y-98667098D01* +X99520370Y-98679514D01* +X99523980Y-98691417D01* +X99529848Y-98702396D01* +X99537745Y-98712018D01* +X99547367Y-98719915D01* +X99558345Y-98725783D01* +X99570257Y-98729397D01* +X99576422Y-98730311D01* +X99662244Y-98738764D01* +X99746768Y-98764403D01* +X99824660Y-98806038D01* +X99892933Y-98862067D01* +X99948962Y-98930340D01* +X99990597Y-99008232D01* +X100016236Y-99092756D01* +X100025200Y-99183767D01* +X100025200Y-100460233D01* +X100016236Y-100551244D01* +X99990597Y-100635768D01* +X99948962Y-100713660D01* +X99892933Y-100781933D01* +X99824660Y-100837962D01* +X99746768Y-100879597D01* +X99662244Y-100905236D01* +X99571233Y-100914200D01* +X98548767Y-100914200D01* +X98457756Y-100905236D01* +X98373232Y-100879597D01* +X98295340Y-100837962D01* +X98227067Y-100781933D01* +X98171038Y-100713660D01* +X98129403Y-100635768D01* +X98103764Y-100551244D01* +X98094800Y-100460233D01* +X98094800Y-99183767D01* +X98103764Y-99092756D01* +X98129403Y-99008232D01* +X98171038Y-98930340D01* +X98227067Y-98862067D01* +X98295340Y-98806038D01* +X98373232Y-98764403D01* +X98457756Y-98738764D01* +X98543578Y-98730311D01* +X98555787Y-98727883D01* +X98567287Y-98723119D01* +X98577637Y-98716203D01* +X98586439Y-98707401D01* +X98593355Y-98697051D01* +X98598119Y-98685551D01* +X98599629Y-98679523D01* +X98602100Y-98667098D01* +X98637997Y-98580435D01* +X98690111Y-98502440D01* +X98756440Y-98436111D01* +X98795437Y-98410054D01* +X98834430Y-98384000D01* +X98834431Y-98383999D01* +X98834435Y-98383997D01* +X98921098Y-98348100D01* +X99013098Y-98329800D01* +X99106902Y-98329800D01* +X99198902Y-98348100D01* +X99198902Y-98348100D01* +G37* +G36* +X96658902Y-98348100D02* +G01* +X96745565Y-98383997D01* +X96745569Y-98383999D01* +X96745570Y-98384000D01* +X96784563Y-98410054D01* +X96823560Y-98436111D01* +X96889889Y-98502440D01* +X96942003Y-98580435D01* +X96977900Y-98667098D01* +X96980370Y-98679514D01* +X96983980Y-98691417D01* +X96989848Y-98702396D01* +X96997745Y-98712018D01* +X97007367Y-98719915D01* +X97018345Y-98725783D01* +X97030257Y-98729397D01* +X97036422Y-98730311D01* +X97122244Y-98738764D01* +X97206768Y-98764403D01* +X97284660Y-98806038D01* +X97352933Y-98862067D01* +X97408962Y-98930340D01* +X97450597Y-99008232D01* +X97476236Y-99092756D01* +X97485200Y-99183767D01* +X97485200Y-100460233D01* +X97476236Y-100551244D01* +X97450597Y-100635768D01* +X97408962Y-100713660D01* +X97352933Y-100781933D01* +X97284660Y-100837962D01* +X97206768Y-100879597D01* +X97122244Y-100905236D01* +X97031233Y-100914200D01* +X96008767Y-100914200D01* +X95917756Y-100905236D01* +X95833232Y-100879597D01* +X95755340Y-100837962D01* +X95687067Y-100781933D01* +X95631038Y-100713660D01* +X95589403Y-100635768D01* +X95563764Y-100551244D01* +X95554800Y-100460233D01* +X95554800Y-99183767D01* +X95563764Y-99092756D01* +X95589403Y-99008232D01* +X95631038Y-98930340D01* +X95687067Y-98862067D01* +X95755340Y-98806038D01* +X95833232Y-98764403D01* +X95917756Y-98738764D01* +X96003578Y-98730311D01* +X96015787Y-98727883D01* +X96027287Y-98723119D01* +X96037637Y-98716203D01* +X96046439Y-98707401D01* +X96053355Y-98697051D01* +X96058119Y-98685551D01* +X96059629Y-98679523D01* +X96062100Y-98667098D01* +X96097997Y-98580435D01* +X96150111Y-98502440D01* +X96216440Y-98436111D01* +X96255437Y-98410054D01* +X96294430Y-98384000D01* +X96294431Y-98383999D01* +X96294435Y-98383997D01* +X96381098Y-98348100D01* +X96473098Y-98329800D01* +X96566902Y-98329800D01* +X96658902Y-98348100D01* +X96658902Y-98348100D01* +G37* +G36* +X94118902Y-98348100D02* +G01* +X94205565Y-98383997D01* +X94205569Y-98383999D01* +X94205570Y-98384000D01* +X94244563Y-98410054D01* +X94283560Y-98436111D01* +X94349889Y-98502440D01* +X94402003Y-98580435D01* +X94437900Y-98667098D01* +X94440370Y-98679514D01* +X94443980Y-98691417D01* +X94449848Y-98702396D01* +X94457745Y-98712018D01* +X94467367Y-98719915D01* +X94478345Y-98725783D01* +X94490257Y-98729397D01* +X94496422Y-98730311D01* +X94582244Y-98738764D01* +X94666768Y-98764403D01* +X94744660Y-98806038D01* +X94812933Y-98862067D01* +X94868962Y-98930340D01* +X94910597Y-99008232D01* +X94936236Y-99092756D01* +X94945200Y-99183767D01* +X94945200Y-100460233D01* +X94936236Y-100551244D01* +X94910597Y-100635768D01* +X94868962Y-100713660D01* +X94812933Y-100781933D01* +X94744660Y-100837962D01* +X94666768Y-100879597D01* +X94582244Y-100905236D01* +X94491233Y-100914200D01* +X93468767Y-100914200D01* +X93377756Y-100905236D01* +X93293232Y-100879597D01* +X93215340Y-100837962D01* +X93147067Y-100781933D01* +X93091038Y-100713660D01* +X93049403Y-100635768D01* +X93023764Y-100551244D01* +X93014800Y-100460233D01* +X93014800Y-99183767D01* +X93023764Y-99092756D01* +X93049403Y-99008232D01* +X93091038Y-98930340D01* +X93147067Y-98862067D01* +X93215340Y-98806038D01* +X93293232Y-98764403D01* +X93377756Y-98738764D01* +X93463578Y-98730311D01* +X93475787Y-98727883D01* +X93487287Y-98723119D01* +X93497637Y-98716203D01* +X93506439Y-98707401D01* +X93513355Y-98697051D01* +X93518119Y-98685551D01* +X93519629Y-98679523D01* +X93522100Y-98667098D01* +X93557997Y-98580435D01* +X93610111Y-98502440D01* +X93676440Y-98436111D01* +X93715437Y-98410054D01* +X93754430Y-98384000D01* +X93754431Y-98383999D01* +X93754435Y-98383997D01* +X93841098Y-98348100D01* +X93933098Y-98329800D01* +X94026902Y-98329800D01* +X94118902Y-98348100D01* +X94118902Y-98348100D01* +G37* +G36* +X91578902Y-98348100D02* +G01* +X91665565Y-98383997D01* +X91665569Y-98383999D01* +X91665570Y-98384000D01* +X91704563Y-98410054D01* +X91743560Y-98436111D01* +X91809889Y-98502440D01* +X91862003Y-98580435D01* +X91897900Y-98667098D01* +X91900370Y-98679514D01* +X91903980Y-98691417D01* +X91909848Y-98702396D01* +X91917745Y-98712018D01* +X91927367Y-98719915D01* +X91938345Y-98725783D01* +X91950257Y-98729397D01* +X91956422Y-98730311D01* +X92042244Y-98738764D01* +X92126768Y-98764403D01* +X92204660Y-98806038D01* +X92272933Y-98862067D01* +X92328962Y-98930340D01* +X92370597Y-99008232D01* +X92396236Y-99092756D01* +X92405200Y-99183767D01* +X92405200Y-100460233D01* +X92396236Y-100551244D01* +X92370597Y-100635768D01* +X92328962Y-100713660D01* +X92272933Y-100781933D01* +X92204660Y-100837962D01* +X92126768Y-100879597D01* +X92042244Y-100905236D01* +X91951233Y-100914200D01* +X90928767Y-100914200D01* +X90837756Y-100905236D01* +X90753232Y-100879597D01* +X90675340Y-100837962D01* +X90607067Y-100781933D01* +X90551038Y-100713660D01* +X90509403Y-100635768D01* +X90483764Y-100551244D01* +X90474800Y-100460233D01* +X90474800Y-99183767D01* +X90483764Y-99092756D01* +X90509403Y-99008232D01* +X90551038Y-98930340D01* +X90607067Y-98862067D01* +X90675340Y-98806038D01* +X90753232Y-98764403D01* +X90837756Y-98738764D01* +X90923578Y-98730311D01* +X90935787Y-98727883D01* +X90947287Y-98723119D01* +X90957637Y-98716203D01* +X90966439Y-98707401D01* +X90973355Y-98697051D01* +X90978119Y-98685551D01* +X90979629Y-98679523D01* +X90982100Y-98667098D01* +X91017997Y-98580435D01* +X91070111Y-98502440D01* +X91136440Y-98436111D01* +X91175437Y-98410054D01* +X91214430Y-98384000D01* +X91214431Y-98383999D01* +X91214435Y-98383997D01* +X91301098Y-98348100D01* +X91393098Y-98329800D01* +X91486902Y-98329800D01* +X91578902Y-98348100D01* +X91578902Y-98348100D01* +G37* +G36* +X89038902Y-98348100D02* +G01* +X89125565Y-98383997D01* +X89125569Y-98383999D01* +X89125570Y-98384000D01* +X89164563Y-98410054D01* +X89203560Y-98436111D01* +X89269889Y-98502440D01* +X89322003Y-98580435D01* +X89357900Y-98667098D01* +X89360370Y-98679514D01* +X89363980Y-98691417D01* +X89369848Y-98702396D01* +X89377745Y-98712018D01* +X89387367Y-98719915D01* +X89398345Y-98725783D01* +X89410257Y-98729397D01* +X89416422Y-98730311D01* +X89502244Y-98738764D01* +X89586768Y-98764403D01* +X89664660Y-98806038D01* +X89732933Y-98862067D01* +X89788962Y-98930340D01* +X89830597Y-99008232D01* +X89856236Y-99092756D01* +X89865200Y-99183767D01* +X89865200Y-100460233D01* +X89856236Y-100551244D01* +X89830597Y-100635768D01* +X89788962Y-100713660D01* +X89732933Y-100781933D01* +X89664660Y-100837962D01* +X89586768Y-100879597D01* +X89502244Y-100905236D01* +X89411233Y-100914200D01* +X88388767Y-100914200D01* +X88297756Y-100905236D01* +X88213232Y-100879597D01* +X88135340Y-100837962D01* +X88067067Y-100781933D01* +X88011038Y-100713660D01* +X87969403Y-100635768D01* +X87943764Y-100551244D01* +X87934800Y-100460233D01* +X87934800Y-99183767D01* +X87943764Y-99092756D01* +X87969403Y-99008232D01* +X88011038Y-98930340D01* +X88067067Y-98862067D01* +X88135340Y-98806038D01* +X88213232Y-98764403D01* +X88297756Y-98738764D01* +X88383578Y-98730311D01* +X88395787Y-98727883D01* +X88407287Y-98723119D01* +X88417637Y-98716203D01* +X88426439Y-98707401D01* +X88433355Y-98697051D01* +X88438119Y-98685551D01* +X88439629Y-98679523D01* +X88442100Y-98667098D01* +X88477997Y-98580435D01* +X88530111Y-98502440D01* +X88596440Y-98436111D01* +X88635437Y-98410054D01* +X88674430Y-98384000D01* +X88674431Y-98383999D01* +X88674435Y-98383997D01* +X88761098Y-98348100D01* +X88853098Y-98329800D01* +X88946902Y-98329800D01* +X89038902Y-98348100D01* +X89038902Y-98348100D01* +G37* +G36* +X86498902Y-98348100D02* +G01* +X86585565Y-98383997D01* +X86585569Y-98383999D01* +X86585570Y-98384000D01* +X86624563Y-98410054D01* +X86663560Y-98436111D01* +X86729889Y-98502440D01* +X86782003Y-98580435D01* +X86817900Y-98667098D01* +X86820370Y-98679514D01* +X86823980Y-98691417D01* +X86829848Y-98702396D01* +X86837745Y-98712018D01* +X86847367Y-98719915D01* +X86858345Y-98725783D01* +X86870257Y-98729397D01* +X86876422Y-98730311D01* +X86962244Y-98738764D01* +X87046768Y-98764403D01* +X87124660Y-98806038D01* +X87192933Y-98862067D01* +X87248962Y-98930340D01* +X87290597Y-99008232D01* +X87316236Y-99092756D01* +X87325200Y-99183767D01* +X87325200Y-100460233D01* +X87316236Y-100551244D01* +X87290597Y-100635768D01* +X87248962Y-100713660D01* +X87192933Y-100781933D01* +X87124660Y-100837962D01* +X87046768Y-100879597D01* +X86962244Y-100905236D01* +X86871233Y-100914200D01* +X85848767Y-100914200D01* +X85757756Y-100905236D01* +X85673232Y-100879597D01* +X85595340Y-100837962D01* +X85527067Y-100781933D01* +X85471038Y-100713660D01* +X85429403Y-100635768D01* +X85403764Y-100551244D01* +X85394800Y-100460233D01* +X85394800Y-99183767D01* +X85403764Y-99092756D01* +X85429403Y-99008232D01* +X85471038Y-98930340D01* +X85527067Y-98862067D01* +X85595340Y-98806038D01* +X85673232Y-98764403D01* +X85757756Y-98738764D01* +X85843578Y-98730311D01* +X85855787Y-98727883D01* +X85867287Y-98723119D01* +X85877637Y-98716203D01* +X85886439Y-98707401D01* +X85893355Y-98697051D01* +X85898119Y-98685551D01* +X85899629Y-98679523D01* +X85902100Y-98667098D01* +X85937997Y-98580435D01* +X85990111Y-98502440D01* +X86056440Y-98436111D01* +X86095437Y-98410054D01* +X86134430Y-98384000D01* +X86134431Y-98383999D01* +X86134435Y-98383997D01* +X86221098Y-98348100D01* +X86313098Y-98329800D01* +X86406902Y-98329800D01* +X86498902Y-98348100D01* +X86498902Y-98348100D01* +G37* +G36* +X83958902Y-98348100D02* +G01* +X84045565Y-98383997D01* +X84045569Y-98383999D01* +X84045570Y-98384000D01* +X84084563Y-98410054D01* +X84123560Y-98436111D01* +X84189889Y-98502440D01* +X84242003Y-98580435D01* +X84277900Y-98667098D01* +X84280370Y-98679514D01* +X84283980Y-98691417D01* +X84289848Y-98702396D01* +X84297745Y-98712018D01* +X84307367Y-98719915D01* +X84318345Y-98725783D01* +X84330257Y-98729397D01* +X84336422Y-98730311D01* +X84422244Y-98738764D01* +X84506768Y-98764403D01* +X84584660Y-98806038D01* +X84652933Y-98862067D01* +X84708962Y-98930340D01* +X84750597Y-99008232D01* +X84776236Y-99092756D01* +X84785200Y-99183767D01* +X84785200Y-100460233D01* +X84776236Y-100551244D01* +X84750597Y-100635768D01* +X84708962Y-100713660D01* +X84652933Y-100781933D01* +X84584660Y-100837962D01* +X84506768Y-100879597D01* +X84422244Y-100905236D01* +X84331233Y-100914200D01* +X83308767Y-100914200D01* +X83217756Y-100905236D01* +X83133232Y-100879597D01* +X83055340Y-100837962D01* +X82987067Y-100781933D01* +X82931038Y-100713660D01* +X82889403Y-100635768D01* +X82863764Y-100551244D01* +X82854800Y-100460233D01* +X82854800Y-99183767D01* +X82863764Y-99092756D01* +X82889403Y-99008232D01* +X82931038Y-98930340D01* +X82987067Y-98862067D01* +X83055340Y-98806038D01* +X83133232Y-98764403D01* +X83217756Y-98738764D01* +X83303578Y-98730311D01* +X83315787Y-98727883D01* +X83327287Y-98723119D01* +X83337637Y-98716203D01* +X83346439Y-98707401D01* +X83353355Y-98697051D01* +X83358119Y-98685551D01* +X83359629Y-98679523D01* +X83362100Y-98667098D01* +X83397997Y-98580435D01* +X83450111Y-98502440D01* +X83516440Y-98436111D01* +X83555437Y-98410054D01* +X83594430Y-98384000D01* +X83594431Y-98383999D01* +X83594435Y-98383997D01* +X83681098Y-98348100D01* +X83773098Y-98329800D01* +X83866902Y-98329800D01* +X83958902Y-98348100D01* +X83958902Y-98348100D01* +G37* +M02* diff --git a/gerber/simm-30-4mb-B_SilkS.gbo b/gerber/simm-30-4mb-B_SilkS.gbo new file mode 100644 index 0000000..8cd5bff --- /dev/null +++ b/gerber/simm-30-4mb-B_SilkS.gbo @@ -0,0 +1,2730 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.300000*% +%ADD11C,0.225000*% +%ADD12C,0.203200*% +%ADD13C,0.952400*% +%ADD14C,0.100000*% +%ADD15C,1.930400*% +G04 APERTURE END LIST* +D10* +X130389000Y-95055571D02* +X130389000Y-96055571D01* +X130746142Y-94484142D02* +X131103285Y-95555571D01* +X130174714Y-95555571D01* +X128817571Y-96055571D02* +X129674714Y-96055571D01* +X129246142Y-96055571D02* +X129246142Y-94555571D01* +X129389000Y-94769857D01* +X129531857Y-94912714D01* +X129674714Y-94984142D01* +X128103285Y-96055571D02* +X127817571Y-96055571D01* +X127674714Y-95984142D01* +X127603285Y-95912714D01* +X127460428Y-95698428D01* +X127389000Y-95412714D01* +X127389000Y-94841285D01* +X127460428Y-94698428D01* +X127531857Y-94627000D01* +X127674714Y-94555571D01* +X127960428Y-94555571D01* +X128103285Y-94627000D01* +X128174714Y-94698428D01* +X128246142Y-94841285D01* +X128246142Y-95198428D01* +X128174714Y-95341285D01* +X128103285Y-95412714D01* +X127960428Y-95484142D01* +X127674714Y-95484142D01* +X127531857Y-95412714D01* +X127460428Y-95341285D01* +X127389000Y-95198428D01* +X126460428Y-94555571D02* +X126317571Y-94555571D01* +X126174714Y-94627000D01* +X126103285Y-94698428D01* +X126031857Y-94841285D01* +X125960428Y-95127000D01* +X125960428Y-95484142D01* +X126031857Y-95769857D01* +X126103285Y-95912714D01* +X126174714Y-95984142D01* +X126317571Y-96055571D01* +X126460428Y-96055571D01* +X126603285Y-95984142D01* +X126674714Y-95912714D01* +X126746142Y-95769857D01* +X126817571Y-95484142D01* +X126817571Y-95127000D01* +X126746142Y-94841285D01* +X126674714Y-94698428D01* +X126603285Y-94627000D01* +X126460428Y-94555571D01* +X125389000Y-95627000D02* +X124674714Y-95627000D01* +X125531857Y-96055571D02* +X125031857Y-94555571D01* +X124531857Y-96055571D01* +X133941285Y-94627000D02* +X134084142Y-94555571D01* +X134298428Y-94555571D01* +X134512714Y-94627000D01* +X134655571Y-94769857D01* +X134727000Y-94912714D01* +X134798428Y-95198428D01* +X134798428Y-95412714D01* +X134727000Y-95698428D01* +X134655571Y-95841285D01* +X134512714Y-95984142D01* +X134298428Y-96055571D01* +X134155571Y-96055571D01* +X133941285Y-95984142D01* +X133869857Y-95912714D01* +X133869857Y-95412714D01* +X134155571Y-95412714D01* +X133369857Y-94555571D02* +X133012714Y-96055571D01* +X132727000Y-94984142D01* +X132441285Y-96055571D01* +X132084142Y-94555571D01* +D11* +X139446000Y-91821000D02* +X139591142Y-91748428D01* +X139808857Y-91748428D01* +X140026571Y-91821000D01* +X140171714Y-91966142D01* +X140244285Y-92111285D01* +X140316857Y-92401571D01* +X140316857Y-92619285D01* +X140244285Y-92909571D01* +X140171714Y-93054714D01* +X140026571Y-93199857D01* +X139808857Y-93272428D01* +X139663714Y-93272428D01* +X139446000Y-93199857D01* +X139373428Y-93127285D01* +X139373428Y-92619285D01* +X139663714Y-92619285D01* +X138067142Y-93272428D02* +X138067142Y-92474142D01* +X138139714Y-92329000D01* +X138284857Y-92256428D01* +X138575142Y-92256428D01* +X138720285Y-92329000D01* +X138067142Y-93199857D02* +X138212285Y-93272428D01* +X138575142Y-93272428D01* +X138720285Y-93199857D01* +X138792857Y-93054714D01* +X138792857Y-92909571D01* +X138720285Y-92764428D01* +X138575142Y-92691857D01* +X138212285Y-92691857D01* +X138067142Y-92619285D01* +X137341428Y-93272428D02* +X137341428Y-92256428D01* +X137341428Y-92546714D02* +X137268857Y-92401571D01* +X137196285Y-92329000D01* +X137051142Y-92256428D01* +X136906000Y-92256428D01* +X136398000Y-93272428D02* +X136398000Y-92256428D01* +X136398000Y-92546714D02* +X136325428Y-92401571D01* +X136252857Y-92329000D01* +X136107714Y-92256428D01* +X135962571Y-92256428D01* +X134874000Y-93199857D02* +X135019142Y-93272428D01* +X135309428Y-93272428D01* +X135454571Y-93199857D01* +X135527142Y-93054714D01* +X135527142Y-92474142D01* +X135454571Y-92329000D01* +X135309428Y-92256428D01* +X135019142Y-92256428D01* +X134874000Y-92329000D01* +X134801428Y-92474142D01* +X134801428Y-92619285D01* +X135527142Y-92764428D01* +X134366000Y-92256428D02* +X133785428Y-92256428D01* +X134148285Y-91748428D02* +X134148285Y-93054714D01* +X134075714Y-93199857D01* +X133930571Y-93272428D01* +X133785428Y-93272428D01* +X133495142Y-92256428D02* +X132914571Y-92256428D01* +X133277428Y-91748428D02* +X133277428Y-93054714D01* +X133204857Y-93199857D01* +X133059714Y-93272428D01* +X132914571Y-93272428D01* +X132334000Y-91748428D02* +X132334000Y-91821000D01* +X132406571Y-91966142D01* +X132479142Y-92038714D01* +X131753428Y-93199857D02* +X131608285Y-93272428D01* +X131318000Y-93272428D01* +X131172857Y-93199857D01* +X131100285Y-93054714D01* +X131100285Y-92982142D01* +X131172857Y-92837000D01* +X131318000Y-92764428D01* +X131535714Y-92764428D01* +X131680857Y-92691857D01* +X131753428Y-92546714D01* +X131753428Y-92474142D01* +X131680857Y-92329000D01* +X131535714Y-92256428D01* +X131318000Y-92256428D01* +X131172857Y-92329000D01* +X129431142Y-91748428D02* +X129068285Y-93272428D01* +X128778000Y-92183857D01* +X128487714Y-93272428D01* +X128124857Y-91748428D01* +X127326571Y-93272428D02* +X127471714Y-93199857D01* +X127544285Y-93127285D01* +X127616857Y-92982142D01* +X127616857Y-92546714D01* +X127544285Y-92401571D01* +X127471714Y-92329000D01* +X127326571Y-92256428D01* +X127108857Y-92256428D01* +X126963714Y-92329000D01* +X126891142Y-92401571D01* +X126818571Y-92546714D01* +X126818571Y-92982142D01* +X126891142Y-93127285D01* +X126963714Y-93199857D01* +X127108857Y-93272428D01* +X127326571Y-93272428D01* +X126165428Y-93272428D02* +X126165428Y-92256428D01* +X126165428Y-92546714D02* +X126092857Y-92401571D01* +X126020285Y-92329000D01* +X125875142Y-92256428D01* +X125730000Y-92256428D01* +X125222000Y-93272428D02* +X125222000Y-91748428D01* +X125076857Y-92691857D02* +X124641428Y-93272428D01* +X124641428Y-92256428D02* +X125222000Y-92837000D01* +X124060857Y-93199857D02* +X123915714Y-93272428D01* +X123625428Y-93272428D01* +X123480285Y-93199857D01* +X123407714Y-93054714D01* +X123407714Y-92982142D01* +X123480285Y-92837000D01* +X123625428Y-92764428D01* +X123843142Y-92764428D01* +X123988285Y-92691857D01* +X124060857Y-92546714D01* +X124060857Y-92474142D01* +X123988285Y-92329000D01* +X123843142Y-92256428D01* +X123625428Y-92256428D01* +X123480285Y-92329000D01* +X122754571Y-93272428D02* +X122754571Y-91748428D01* +X122101428Y-93272428D02* +X122101428Y-92474142D01* +X122174000Y-92329000D01* +X122319142Y-92256428D01* +X122536857Y-92256428D01* +X122682000Y-92329000D01* +X122754571Y-92401571D01* +X121158000Y-93272428D02* +X121303142Y-93199857D01* +X121375714Y-93127285D01* +X121448285Y-92982142D01* +X121448285Y-92546714D01* +X121375714Y-92401571D01* +X121303142Y-92329000D01* +X121158000Y-92256428D01* +X120940285Y-92256428D01* +X120795142Y-92329000D01* +X120722571Y-92401571D01* +X120650000Y-92546714D01* +X120650000Y-92982142D01* +X120722571Y-93127285D01* +X120795142Y-93199857D01* +X120940285Y-93272428D01* +X121158000Y-93272428D01* +X119996857Y-92256428D02* +X119996857Y-93780428D01* +X119996857Y-92329000D02* +X119851714Y-92256428D01* +X119561428Y-92256428D01* +X119416285Y-92329000D01* +X119343714Y-92401571D01* +X119271142Y-92546714D01* +X119271142Y-92982142D01* +X119343714Y-93127285D01* +X119416285Y-93199857D01* +X119561428Y-93272428D01* +X119851714Y-93272428D01* +X119996857Y-93199857D01* +D10* +X99531714Y-95050428D02* +X99531714Y-96066428D01* +X99894571Y-94469857D02* +X100257428Y-95558428D01* +X99314000Y-95558428D01* +X97572285Y-96066428D02* +X97572285Y-94542428D01* +X97064285Y-95631000D01* +X96556285Y-94542428D01* +X96556285Y-96066428D01* +X95322571Y-95268142D02* +X95104857Y-95340714D01* +X95032285Y-95413285D01* +X94959714Y-95558428D01* +X94959714Y-95776142D01* +X95032285Y-95921285D01* +X95104857Y-95993857D01* +X95250000Y-96066428D01* +X95830571Y-96066428D01* +X95830571Y-94542428D01* +X95322571Y-94542428D01* +X95177428Y-94615000D01* +X95104857Y-94687571D01* +X95032285Y-94832714D01* +X95032285Y-94977857D01* +X95104857Y-95123000D01* +X95177428Y-95195571D01* +X95322571Y-95268142D01* +X95830571Y-95268142D01* +X92274571Y-96066428D02* +X92782571Y-95340714D01* +X93145428Y-96066428D02* +X93145428Y-94542428D01* +X92564857Y-94542428D01* +X92419714Y-94615000D01* +X92347142Y-94687571D01* +X92274571Y-94832714D01* +X92274571Y-95050428D01* +X92347142Y-95195571D01* +X92419714Y-95268142D01* +X92564857Y-95340714D01* +X93145428Y-95340714D01* +X91694000Y-95631000D02* +X90968285Y-95631000D01* +X91839142Y-96066428D02* +X91331142Y-94542428D01* +X90823142Y-96066428D01* +X90315142Y-96066428D02* +X90315142Y-94542428D01* +X89807142Y-95631000D01* +X89299142Y-94542428D01* +X89299142Y-96066428D01* +D12* +X115104333Y-86168895D02* +X115104333Y-86749466D01* +X115143038Y-86865580D01* +X115220447Y-86942990D01* +X115336561Y-86981695D01* +X115413971Y-86981695D01* +X114330238Y-86981695D02* +X114717285Y-86981695D01* +X114717285Y-86168895D01* +X113594847Y-86904285D02* +X113633552Y-86942990D01* +X113749666Y-86981695D01* +X113827076Y-86981695D01* +X113943190Y-86942990D01* +X114020600Y-86865580D01* +X114059304Y-86788171D01* +X114098009Y-86633352D01* +X114098009Y-86517238D01* +X114059304Y-86362419D01* +X114020600Y-86285009D01* +X113943190Y-86207600D01* +X113827076Y-86168895D01* +X113749666Y-86168895D01* +X113633552Y-86207600D01* +X113594847Y-86246304D01* +X113014276Y-86168895D02* +X113014276Y-86749466D01* +X113052980Y-86865580D01* +X113130390Y-86942990D01* +X113246504Y-86981695D01* +X113323914Y-86981695D01* +X112240180Y-86981695D02* +X112627228Y-86981695D01* +X112627228Y-86168895D01* +X111504790Y-86904285D02* +X111543495Y-86942990D01* +X111659609Y-86981695D01* +X111737019Y-86981695D01* +X111853133Y-86942990D01* +X111930542Y-86865580D01* +X111969247Y-86788171D01* +X112007952Y-86633352D01* +X112007952Y-86517238D01* +X111969247Y-86362419D01* +X111930542Y-86285009D01* +X111853133Y-86207600D01* +X111737019Y-86168895D01* +X111659609Y-86168895D01* +X111543495Y-86207600D01* +X111504790Y-86246304D01* +X110924219Y-86168895D02* +X110924219Y-86749466D01* +X110962923Y-86865580D01* +X111040333Y-86942990D01* +X111156447Y-86981695D01* +X111233857Y-86981695D01* +X110150123Y-86981695D02* +X110537171Y-86981695D01* +X110537171Y-86168895D01* +X109414733Y-86904285D02* +X109453438Y-86942990D01* +X109569552Y-86981695D01* +X109646961Y-86981695D01* +X109763076Y-86942990D01* +X109840485Y-86865580D01* +X109879190Y-86788171D01* +X109917895Y-86633352D01* +X109917895Y-86517238D01* +X109879190Y-86362419D01* +X109840485Y-86285009D01* +X109763076Y-86207600D01* +X109646961Y-86168895D01* +X109569552Y-86168895D01* +X109453438Y-86207600D01* +X109414733Y-86246304D01* +%LPC*% +D13* +X157480000Y-98806000D03* +X154940000Y-98806000D03* +X129540000Y-98806000D03* +X144780000Y-98806000D03* +X139700000Y-98806000D03* +X142240000Y-98806000D03* +X137160000Y-98806000D03* +X132080000Y-98806000D03* +X134620000Y-98806000D03* +X152400000Y-98806000D03* +X147320000Y-98806000D03* +X149860000Y-98806000D03* +X127000000Y-98806000D03* +X121920000Y-98806000D03* +X124460000Y-98806000D03* +X119380000Y-98806000D03* +X114300000Y-98806000D03* +X116840000Y-98806000D03* +X111760000Y-98806000D03* +X106680000Y-98806000D03* +X109220000Y-98806000D03* +X101600000Y-98806000D03* +X104140000Y-98806000D03* +X99060000Y-98806000D03* +X96520000Y-98806000D03* +X91440000Y-98806000D03* +X93980000Y-98806000D03* +X83820000Y-98806000D03* +X86360000Y-98806000D03* +X88900000Y-98806000D03* +D14* +G36* +X158009903Y-98732124D02* +G01* +X158056751Y-98739073D01* +X158102691Y-98750581D01* +X158147283Y-98766536D01* +X158190096Y-98786785D01* +X158230718Y-98811133D01* +X158268758Y-98839345D01* +X158303850Y-98871150D01* +X158335655Y-98906242D01* +X158363867Y-98944282D01* +X158388215Y-98984904D01* +X158408464Y-99027717D01* +X158424419Y-99072309D01* +X158435927Y-99118249D01* +X158442876Y-99165097D01* +X158445200Y-99212400D01* +X158445200Y-100431600D01* +X158442876Y-100478903D01* +X158435927Y-100525751D01* +X158424419Y-100571691D01* +X158408464Y-100616283D01* +X158388215Y-100659096D01* +X158363867Y-100699718D01* +X158335655Y-100737758D01* +X158303850Y-100772850D01* +X158268758Y-100804655D01* +X158230718Y-100832867D01* +X158190096Y-100857215D01* +X158147283Y-100877464D01* +X158102691Y-100893419D01* +X158056751Y-100904927D01* +X158009903Y-100911876D01* +X157962600Y-100914200D01* +X156997400Y-100914200D01* +X156950097Y-100911876D01* +X156903249Y-100904927D01* +X156857309Y-100893419D01* +X156812717Y-100877464D01* +X156769904Y-100857215D01* +X156729282Y-100832867D01* +X156691242Y-100804655D01* +X156656150Y-100772850D01* +X156624345Y-100737758D01* +X156596133Y-100699718D01* +X156571785Y-100659096D01* +X156551536Y-100616283D01* +X156535581Y-100571691D01* +X156524073Y-100525751D01* +X156517124Y-100478903D01* +X156514800Y-100431600D01* +X156514800Y-99212400D01* +X156517124Y-99165097D01* +X156524073Y-99118249D01* +X156535581Y-99072309D01* +X156551536Y-99027717D01* +X156571785Y-98984904D01* +X156596133Y-98944282D01* +X156624345Y-98906242D01* +X156656150Y-98871150D01* +X156691242Y-98839345D01* +X156729282Y-98811133D01* +X156769904Y-98786785D01* +X156812717Y-98766536D01* +X156857309Y-98750581D01* +X156903249Y-98739073D01* +X156950097Y-98732124D01* +X156997400Y-98729800D01* +X157962600Y-98729800D01* +X158009903Y-98732124D01* +X158009903Y-98732124D01* +G37* +D15* +X157480000Y-99822000D03* +D14* +G36* +X155469903Y-98732124D02* +G01* +X155516751Y-98739073D01* +X155562691Y-98750581D01* +X155607283Y-98766536D01* +X155650096Y-98786785D01* +X155690718Y-98811133D01* +X155728758Y-98839345D01* +X155763850Y-98871150D01* +X155795655Y-98906242D01* +X155823867Y-98944282D01* +X155848215Y-98984904D01* +X155868464Y-99027717D01* +X155884419Y-99072309D01* +X155895927Y-99118249D01* +X155902876Y-99165097D01* +X155905200Y-99212400D01* +X155905200Y-100431600D01* +X155902876Y-100478903D01* +X155895927Y-100525751D01* +X155884419Y-100571691D01* +X155868464Y-100616283D01* +X155848215Y-100659096D01* +X155823867Y-100699718D01* +X155795655Y-100737758D01* +X155763850Y-100772850D01* +X155728758Y-100804655D01* +X155690718Y-100832867D01* +X155650096Y-100857215D01* +X155607283Y-100877464D01* +X155562691Y-100893419D01* +X155516751Y-100904927D01* +X155469903Y-100911876D01* +X155422600Y-100914200D01* +X154457400Y-100914200D01* +X154410097Y-100911876D01* +X154363249Y-100904927D01* +X154317309Y-100893419D01* +X154272717Y-100877464D01* +X154229904Y-100857215D01* +X154189282Y-100832867D01* +X154151242Y-100804655D01* +X154116150Y-100772850D01* +X154084345Y-100737758D01* +X154056133Y-100699718D01* +X154031785Y-100659096D01* +X154011536Y-100616283D01* +X153995581Y-100571691D01* +X153984073Y-100525751D01* +X153977124Y-100478903D01* +X153974800Y-100431600D01* +X153974800Y-99212400D01* +X153977124Y-99165097D01* +X153984073Y-99118249D01* +X153995581Y-99072309D01* +X154011536Y-99027717D01* +X154031785Y-98984904D01* +X154056133Y-98944282D01* +X154084345Y-98906242D01* +X154116150Y-98871150D01* +X154151242Y-98839345D01* +X154189282Y-98811133D01* +X154229904Y-98786785D01* +X154272717Y-98766536D01* +X154317309Y-98750581D01* +X154363249Y-98739073D01* +X154410097Y-98732124D01* +X154457400Y-98729800D01* +X155422600Y-98729800D01* +X155469903Y-98732124D01* +X155469903Y-98732124D01* +G37* +D15* +X154940000Y-99822000D03* +D14* +G36* +X152929903Y-98732124D02* +G01* +X152976751Y-98739073D01* +X153022691Y-98750581D01* +X153067283Y-98766536D01* +X153110096Y-98786785D01* +X153150718Y-98811133D01* +X153188758Y-98839345D01* +X153223850Y-98871150D01* +X153255655Y-98906242D01* +X153283867Y-98944282D01* +X153308215Y-98984904D01* +X153328464Y-99027717D01* +X153344419Y-99072309D01* +X153355927Y-99118249D01* +X153362876Y-99165097D01* +X153365200Y-99212400D01* +X153365200Y-100431600D01* +X153362876Y-100478903D01* +X153355927Y-100525751D01* +X153344419Y-100571691D01* +X153328464Y-100616283D01* +X153308215Y-100659096D01* +X153283867Y-100699718D01* +X153255655Y-100737758D01* +X153223850Y-100772850D01* +X153188758Y-100804655D01* +X153150718Y-100832867D01* +X153110096Y-100857215D01* +X153067283Y-100877464D01* +X153022691Y-100893419D01* +X152976751Y-100904927D01* +X152929903Y-100911876D01* +X152882600Y-100914200D01* +X151917400Y-100914200D01* +X151870097Y-100911876D01* +X151823249Y-100904927D01* +X151777309Y-100893419D01* +X151732717Y-100877464D01* +X151689904Y-100857215D01* +X151649282Y-100832867D01* +X151611242Y-100804655D01* +X151576150Y-100772850D01* +X151544345Y-100737758D01* +X151516133Y-100699718D01* +X151491785Y-100659096D01* +X151471536Y-100616283D01* +X151455581Y-100571691D01* +X151444073Y-100525751D01* +X151437124Y-100478903D01* +X151434800Y-100431600D01* +X151434800Y-99212400D01* +X151437124Y-99165097D01* +X151444073Y-99118249D01* +X151455581Y-99072309D01* +X151471536Y-99027717D01* +X151491785Y-98984904D01* +X151516133Y-98944282D01* +X151544345Y-98906242D01* +X151576150Y-98871150D01* +X151611242Y-98839345D01* +X151649282Y-98811133D01* +X151689904Y-98786785D01* +X151732717Y-98766536D01* +X151777309Y-98750581D01* +X151823249Y-98739073D01* +X151870097Y-98732124D01* +X151917400Y-98729800D01* +X152882600Y-98729800D01* +X152929903Y-98732124D01* +X152929903Y-98732124D01* +G37* +D15* +X152400000Y-99822000D03* +D14* +G36* +X150389903Y-98732124D02* +G01* +X150436751Y-98739073D01* +X150482691Y-98750581D01* +X150527283Y-98766536D01* +X150570096Y-98786785D01* +X150610718Y-98811133D01* +X150648758Y-98839345D01* +X150683850Y-98871150D01* +X150715655Y-98906242D01* +X150743867Y-98944282D01* +X150768215Y-98984904D01* +X150788464Y-99027717D01* +X150804419Y-99072309D01* +X150815927Y-99118249D01* +X150822876Y-99165097D01* +X150825200Y-99212400D01* +X150825200Y-100431600D01* +X150822876Y-100478903D01* +X150815927Y-100525751D01* +X150804419Y-100571691D01* +X150788464Y-100616283D01* +X150768215Y-100659096D01* +X150743867Y-100699718D01* +X150715655Y-100737758D01* +X150683850Y-100772850D01* +X150648758Y-100804655D01* +X150610718Y-100832867D01* +X150570096Y-100857215D01* +X150527283Y-100877464D01* +X150482691Y-100893419D01* +X150436751Y-100904927D01* +X150389903Y-100911876D01* +X150342600Y-100914200D01* +X149377400Y-100914200D01* +X149330097Y-100911876D01* +X149283249Y-100904927D01* +X149237309Y-100893419D01* +X149192717Y-100877464D01* +X149149904Y-100857215D01* +X149109282Y-100832867D01* +X149071242Y-100804655D01* +X149036150Y-100772850D01* +X149004345Y-100737758D01* +X148976133Y-100699718D01* +X148951785Y-100659096D01* +X148931536Y-100616283D01* +X148915581Y-100571691D01* +X148904073Y-100525751D01* +X148897124Y-100478903D01* +X148894800Y-100431600D01* +X148894800Y-99212400D01* +X148897124Y-99165097D01* +X148904073Y-99118249D01* +X148915581Y-99072309D01* +X148931536Y-99027717D01* +X148951785Y-98984904D01* +X148976133Y-98944282D01* +X149004345Y-98906242D01* +X149036150Y-98871150D01* +X149071242Y-98839345D01* +X149109282Y-98811133D01* +X149149904Y-98786785D01* +X149192717Y-98766536D01* +X149237309Y-98750581D01* +X149283249Y-98739073D01* +X149330097Y-98732124D01* +X149377400Y-98729800D01* +X150342600Y-98729800D01* +X150389903Y-98732124D01* +X150389903Y-98732124D01* +G37* +D15* +X149860000Y-99822000D03* +D14* +G36* +X147849903Y-98732124D02* +G01* +X147896751Y-98739073D01* +X147942691Y-98750581D01* +X147987283Y-98766536D01* +X148030096Y-98786785D01* +X148070718Y-98811133D01* +X148108758Y-98839345D01* +X148143850Y-98871150D01* +X148175655Y-98906242D01* +X148203867Y-98944282D01* +X148228215Y-98984904D01* +X148248464Y-99027717D01* +X148264419Y-99072309D01* +X148275927Y-99118249D01* +X148282876Y-99165097D01* +X148285200Y-99212400D01* +X148285200Y-100431600D01* +X148282876Y-100478903D01* +X148275927Y-100525751D01* +X148264419Y-100571691D01* +X148248464Y-100616283D01* +X148228215Y-100659096D01* +X148203867Y-100699718D01* +X148175655Y-100737758D01* +X148143850Y-100772850D01* +X148108758Y-100804655D01* +X148070718Y-100832867D01* +X148030096Y-100857215D01* +X147987283Y-100877464D01* +X147942691Y-100893419D01* +X147896751Y-100904927D01* +X147849903Y-100911876D01* +X147802600Y-100914200D01* +X146837400Y-100914200D01* +X146790097Y-100911876D01* +X146743249Y-100904927D01* +X146697309Y-100893419D01* +X146652717Y-100877464D01* +X146609904Y-100857215D01* +X146569282Y-100832867D01* +X146531242Y-100804655D01* +X146496150Y-100772850D01* +X146464345Y-100737758D01* +X146436133Y-100699718D01* +X146411785Y-100659096D01* +X146391536Y-100616283D01* +X146375581Y-100571691D01* +X146364073Y-100525751D01* +X146357124Y-100478903D01* +X146354800Y-100431600D01* +X146354800Y-99212400D01* +X146357124Y-99165097D01* +X146364073Y-99118249D01* +X146375581Y-99072309D01* +X146391536Y-99027717D01* +X146411785Y-98984904D01* +X146436133Y-98944282D01* +X146464345Y-98906242D01* +X146496150Y-98871150D01* +X146531242Y-98839345D01* +X146569282Y-98811133D01* +X146609904Y-98786785D01* +X146652717Y-98766536D01* +X146697309Y-98750581D01* +X146743249Y-98739073D01* +X146790097Y-98732124D01* +X146837400Y-98729800D01* +X147802600Y-98729800D01* +X147849903Y-98732124D01* +X147849903Y-98732124D01* +G37* +D15* +X147320000Y-99822000D03* +D14* +G36* +X145309903Y-98732124D02* +G01* +X145356751Y-98739073D01* +X145402691Y-98750581D01* +X145447283Y-98766536D01* +X145490096Y-98786785D01* +X145530718Y-98811133D01* +X145568758Y-98839345D01* +X145603850Y-98871150D01* +X145635655Y-98906242D01* +X145663867Y-98944282D01* +X145688215Y-98984904D01* +X145708464Y-99027717D01* +X145724419Y-99072309D01* +X145735927Y-99118249D01* +X145742876Y-99165097D01* +X145745200Y-99212400D01* +X145745200Y-100431600D01* +X145742876Y-100478903D01* +X145735927Y-100525751D01* +X145724419Y-100571691D01* +X145708464Y-100616283D01* +X145688215Y-100659096D01* +X145663867Y-100699718D01* +X145635655Y-100737758D01* +X145603850Y-100772850D01* +X145568758Y-100804655D01* +X145530718Y-100832867D01* +X145490096Y-100857215D01* +X145447283Y-100877464D01* +X145402691Y-100893419D01* +X145356751Y-100904927D01* +X145309903Y-100911876D01* +X145262600Y-100914200D01* +X144297400Y-100914200D01* +X144250097Y-100911876D01* +X144203249Y-100904927D01* +X144157309Y-100893419D01* +X144112717Y-100877464D01* +X144069904Y-100857215D01* +X144029282Y-100832867D01* +X143991242Y-100804655D01* +X143956150Y-100772850D01* +X143924345Y-100737758D01* +X143896133Y-100699718D01* +X143871785Y-100659096D01* +X143851536Y-100616283D01* +X143835581Y-100571691D01* +X143824073Y-100525751D01* +X143817124Y-100478903D01* +X143814800Y-100431600D01* +X143814800Y-99212400D01* +X143817124Y-99165097D01* +X143824073Y-99118249D01* +X143835581Y-99072309D01* +X143851536Y-99027717D01* +X143871785Y-98984904D01* +X143896133Y-98944282D01* +X143924345Y-98906242D01* +X143956150Y-98871150D01* +X143991242Y-98839345D01* +X144029282Y-98811133D01* +X144069904Y-98786785D01* +X144112717Y-98766536D01* +X144157309Y-98750581D01* +X144203249Y-98739073D01* +X144250097Y-98732124D01* +X144297400Y-98729800D01* +X145262600Y-98729800D01* +X145309903Y-98732124D01* +X145309903Y-98732124D01* +G37* +D15* +X144780000Y-99822000D03* +D14* +G36* +X142769903Y-98732124D02* +G01* +X142816751Y-98739073D01* +X142862691Y-98750581D01* +X142907283Y-98766536D01* +X142950096Y-98786785D01* +X142990718Y-98811133D01* +X143028758Y-98839345D01* +X143063850Y-98871150D01* +X143095655Y-98906242D01* +X143123867Y-98944282D01* +X143148215Y-98984904D01* +X143168464Y-99027717D01* +X143184419Y-99072309D01* +X143195927Y-99118249D01* +X143202876Y-99165097D01* +X143205200Y-99212400D01* +X143205200Y-100431600D01* +X143202876Y-100478903D01* +X143195927Y-100525751D01* +X143184419Y-100571691D01* +X143168464Y-100616283D01* +X143148215Y-100659096D01* +X143123867Y-100699718D01* +X143095655Y-100737758D01* +X143063850Y-100772850D01* +X143028758Y-100804655D01* +X142990718Y-100832867D01* +X142950096Y-100857215D01* +X142907283Y-100877464D01* +X142862691Y-100893419D01* +X142816751Y-100904927D01* +X142769903Y-100911876D01* +X142722600Y-100914200D01* +X141757400Y-100914200D01* +X141710097Y-100911876D01* +X141663249Y-100904927D01* +X141617309Y-100893419D01* +X141572717Y-100877464D01* +X141529904Y-100857215D01* +X141489282Y-100832867D01* +X141451242Y-100804655D01* +X141416150Y-100772850D01* +X141384345Y-100737758D01* +X141356133Y-100699718D01* +X141331785Y-100659096D01* +X141311536Y-100616283D01* +X141295581Y-100571691D01* +X141284073Y-100525751D01* +X141277124Y-100478903D01* +X141274800Y-100431600D01* +X141274800Y-99212400D01* +X141277124Y-99165097D01* +X141284073Y-99118249D01* +X141295581Y-99072309D01* +X141311536Y-99027717D01* +X141331785Y-98984904D01* +X141356133Y-98944282D01* +X141384345Y-98906242D01* +X141416150Y-98871150D01* +X141451242Y-98839345D01* +X141489282Y-98811133D01* +X141529904Y-98786785D01* +X141572717Y-98766536D01* +X141617309Y-98750581D01* +X141663249Y-98739073D01* +X141710097Y-98732124D01* +X141757400Y-98729800D01* +X142722600Y-98729800D01* +X142769903Y-98732124D01* +X142769903Y-98732124D01* +G37* +D15* +X142240000Y-99822000D03* +D14* +G36* +X140229903Y-98732124D02* +G01* +X140276751Y-98739073D01* +X140322691Y-98750581D01* +X140367283Y-98766536D01* +X140410096Y-98786785D01* +X140450718Y-98811133D01* +X140488758Y-98839345D01* +X140523850Y-98871150D01* +X140555655Y-98906242D01* +X140583867Y-98944282D01* +X140608215Y-98984904D01* +X140628464Y-99027717D01* +X140644419Y-99072309D01* +X140655927Y-99118249D01* +X140662876Y-99165097D01* +X140665200Y-99212400D01* +X140665200Y-100431600D01* +X140662876Y-100478903D01* +X140655927Y-100525751D01* +X140644419Y-100571691D01* +X140628464Y-100616283D01* +X140608215Y-100659096D01* +X140583867Y-100699718D01* +X140555655Y-100737758D01* +X140523850Y-100772850D01* +X140488758Y-100804655D01* +X140450718Y-100832867D01* +X140410096Y-100857215D01* +X140367283Y-100877464D01* +X140322691Y-100893419D01* +X140276751Y-100904927D01* +X140229903Y-100911876D01* +X140182600Y-100914200D01* +X139217400Y-100914200D01* +X139170097Y-100911876D01* +X139123249Y-100904927D01* +X139077309Y-100893419D01* +X139032717Y-100877464D01* +X138989904Y-100857215D01* +X138949282Y-100832867D01* +X138911242Y-100804655D01* +X138876150Y-100772850D01* +X138844345Y-100737758D01* +X138816133Y-100699718D01* +X138791785Y-100659096D01* +X138771536Y-100616283D01* +X138755581Y-100571691D01* +X138744073Y-100525751D01* +X138737124Y-100478903D01* +X138734800Y-100431600D01* +X138734800Y-99212400D01* +X138737124Y-99165097D01* +X138744073Y-99118249D01* +X138755581Y-99072309D01* +X138771536Y-99027717D01* +X138791785Y-98984904D01* +X138816133Y-98944282D01* +X138844345Y-98906242D01* +X138876150Y-98871150D01* +X138911242Y-98839345D01* +X138949282Y-98811133D01* +X138989904Y-98786785D01* +X139032717Y-98766536D01* +X139077309Y-98750581D01* +X139123249Y-98739073D01* +X139170097Y-98732124D01* +X139217400Y-98729800D01* +X140182600Y-98729800D01* +X140229903Y-98732124D01* +X140229903Y-98732124D01* +G37* +D15* +X139700000Y-99822000D03* +D14* +G36* +X137689903Y-98732124D02* +G01* +X137736751Y-98739073D01* +X137782691Y-98750581D01* +X137827283Y-98766536D01* +X137870096Y-98786785D01* +X137910718Y-98811133D01* +X137948758Y-98839345D01* +X137983850Y-98871150D01* +X138015655Y-98906242D01* +X138043867Y-98944282D01* +X138068215Y-98984904D01* +X138088464Y-99027717D01* +X138104419Y-99072309D01* +X138115927Y-99118249D01* +X138122876Y-99165097D01* +X138125200Y-99212400D01* +X138125200Y-100431600D01* +X138122876Y-100478903D01* +X138115927Y-100525751D01* +X138104419Y-100571691D01* +X138088464Y-100616283D01* +X138068215Y-100659096D01* +X138043867Y-100699718D01* +X138015655Y-100737758D01* +X137983850Y-100772850D01* +X137948758Y-100804655D01* +X137910718Y-100832867D01* +X137870096Y-100857215D01* +X137827283Y-100877464D01* +X137782691Y-100893419D01* +X137736751Y-100904927D01* +X137689903Y-100911876D01* +X137642600Y-100914200D01* +X136677400Y-100914200D01* +X136630097Y-100911876D01* +X136583249Y-100904927D01* +X136537309Y-100893419D01* +X136492717Y-100877464D01* +X136449904Y-100857215D01* +X136409282Y-100832867D01* +X136371242Y-100804655D01* +X136336150Y-100772850D01* +X136304345Y-100737758D01* +X136276133Y-100699718D01* +X136251785Y-100659096D01* +X136231536Y-100616283D01* +X136215581Y-100571691D01* +X136204073Y-100525751D01* +X136197124Y-100478903D01* +X136194800Y-100431600D01* +X136194800Y-99212400D01* +X136197124Y-99165097D01* +X136204073Y-99118249D01* +X136215581Y-99072309D01* +X136231536Y-99027717D01* +X136251785Y-98984904D01* +X136276133Y-98944282D01* +X136304345Y-98906242D01* +X136336150Y-98871150D01* +X136371242Y-98839345D01* +X136409282Y-98811133D01* +X136449904Y-98786785D01* +X136492717Y-98766536D01* +X136537309Y-98750581D01* +X136583249Y-98739073D01* +X136630097Y-98732124D01* +X136677400Y-98729800D01* +X137642600Y-98729800D01* +X137689903Y-98732124D01* +X137689903Y-98732124D01* +G37* +D15* +X137160000Y-99822000D03* +D14* +G36* +X135149903Y-98732124D02* +G01* +X135196751Y-98739073D01* +X135242691Y-98750581D01* +X135287283Y-98766536D01* +X135330096Y-98786785D01* +X135370718Y-98811133D01* +X135408758Y-98839345D01* +X135443850Y-98871150D01* +X135475655Y-98906242D01* +X135503867Y-98944282D01* +X135528215Y-98984904D01* +X135548464Y-99027717D01* +X135564419Y-99072309D01* +X135575927Y-99118249D01* +X135582876Y-99165097D01* +X135585200Y-99212400D01* +X135585200Y-100431600D01* +X135582876Y-100478903D01* +X135575927Y-100525751D01* +X135564419Y-100571691D01* +X135548464Y-100616283D01* +X135528215Y-100659096D01* +X135503867Y-100699718D01* +X135475655Y-100737758D01* +X135443850Y-100772850D01* +X135408758Y-100804655D01* +X135370718Y-100832867D01* +X135330096Y-100857215D01* +X135287283Y-100877464D01* +X135242691Y-100893419D01* +X135196751Y-100904927D01* +X135149903Y-100911876D01* +X135102600Y-100914200D01* +X134137400Y-100914200D01* +X134090097Y-100911876D01* +X134043249Y-100904927D01* +X133997309Y-100893419D01* +X133952717Y-100877464D01* +X133909904Y-100857215D01* +X133869282Y-100832867D01* +X133831242Y-100804655D01* +X133796150Y-100772850D01* +X133764345Y-100737758D01* +X133736133Y-100699718D01* +X133711785Y-100659096D01* +X133691536Y-100616283D01* +X133675581Y-100571691D01* +X133664073Y-100525751D01* +X133657124Y-100478903D01* +X133654800Y-100431600D01* +X133654800Y-99212400D01* +X133657124Y-99165097D01* +X133664073Y-99118249D01* +X133675581Y-99072309D01* +X133691536Y-99027717D01* +X133711785Y-98984904D01* +X133736133Y-98944282D01* +X133764345Y-98906242D01* +X133796150Y-98871150D01* +X133831242Y-98839345D01* +X133869282Y-98811133D01* +X133909904Y-98786785D01* +X133952717Y-98766536D01* +X133997309Y-98750581D01* +X134043249Y-98739073D01* +X134090097Y-98732124D01* +X134137400Y-98729800D01* +X135102600Y-98729800D01* +X135149903Y-98732124D01* +X135149903Y-98732124D01* +G37* +D15* +X134620000Y-99822000D03* +D14* +G36* +X132609903Y-98732124D02* +G01* +X132656751Y-98739073D01* +X132702691Y-98750581D01* +X132747283Y-98766536D01* +X132790096Y-98786785D01* +X132830718Y-98811133D01* +X132868758Y-98839345D01* +X132903850Y-98871150D01* +X132935655Y-98906242D01* +X132963867Y-98944282D01* +X132988215Y-98984904D01* +X133008464Y-99027717D01* +X133024419Y-99072309D01* +X133035927Y-99118249D01* +X133042876Y-99165097D01* +X133045200Y-99212400D01* +X133045200Y-100431600D01* +X133042876Y-100478903D01* +X133035927Y-100525751D01* +X133024419Y-100571691D01* +X133008464Y-100616283D01* +X132988215Y-100659096D01* +X132963867Y-100699718D01* +X132935655Y-100737758D01* +X132903850Y-100772850D01* +X132868758Y-100804655D01* +X132830718Y-100832867D01* +X132790096Y-100857215D01* +X132747283Y-100877464D01* +X132702691Y-100893419D01* +X132656751Y-100904927D01* +X132609903Y-100911876D01* +X132562600Y-100914200D01* +X131597400Y-100914200D01* +X131550097Y-100911876D01* +X131503249Y-100904927D01* +X131457309Y-100893419D01* +X131412717Y-100877464D01* +X131369904Y-100857215D01* +X131329282Y-100832867D01* +X131291242Y-100804655D01* +X131256150Y-100772850D01* +X131224345Y-100737758D01* +X131196133Y-100699718D01* +X131171785Y-100659096D01* +X131151536Y-100616283D01* +X131135581Y-100571691D01* +X131124073Y-100525751D01* +X131117124Y-100478903D01* +X131114800Y-100431600D01* +X131114800Y-99212400D01* +X131117124Y-99165097D01* +X131124073Y-99118249D01* +X131135581Y-99072309D01* +X131151536Y-99027717D01* +X131171785Y-98984904D01* +X131196133Y-98944282D01* +X131224345Y-98906242D01* +X131256150Y-98871150D01* +X131291242Y-98839345D01* +X131329282Y-98811133D01* +X131369904Y-98786785D01* +X131412717Y-98766536D01* +X131457309Y-98750581D01* +X131503249Y-98739073D01* +X131550097Y-98732124D01* +X131597400Y-98729800D01* +X132562600Y-98729800D01* +X132609903Y-98732124D01* +X132609903Y-98732124D01* +G37* +D15* +X132080000Y-99822000D03* +D14* +G36* +X130069903Y-98732124D02* +G01* +X130116751Y-98739073D01* +X130162691Y-98750581D01* +X130207283Y-98766536D01* +X130250096Y-98786785D01* +X130290718Y-98811133D01* +X130328758Y-98839345D01* +X130363850Y-98871150D01* +X130395655Y-98906242D01* +X130423867Y-98944282D01* +X130448215Y-98984904D01* +X130468464Y-99027717D01* +X130484419Y-99072309D01* +X130495927Y-99118249D01* +X130502876Y-99165097D01* +X130505200Y-99212400D01* +X130505200Y-100431600D01* +X130502876Y-100478903D01* +X130495927Y-100525751D01* +X130484419Y-100571691D01* +X130468464Y-100616283D01* +X130448215Y-100659096D01* +X130423867Y-100699718D01* +X130395655Y-100737758D01* +X130363850Y-100772850D01* +X130328758Y-100804655D01* +X130290718Y-100832867D01* +X130250096Y-100857215D01* +X130207283Y-100877464D01* +X130162691Y-100893419D01* +X130116751Y-100904927D01* +X130069903Y-100911876D01* +X130022600Y-100914200D01* +X129057400Y-100914200D01* +X129010097Y-100911876D01* +X128963249Y-100904927D01* +X128917309Y-100893419D01* +X128872717Y-100877464D01* +X128829904Y-100857215D01* +X128789282Y-100832867D01* +X128751242Y-100804655D01* +X128716150Y-100772850D01* +X128684345Y-100737758D01* +X128656133Y-100699718D01* +X128631785Y-100659096D01* +X128611536Y-100616283D01* +X128595581Y-100571691D01* +X128584073Y-100525751D01* +X128577124Y-100478903D01* +X128574800Y-100431600D01* +X128574800Y-99212400D01* +X128577124Y-99165097D01* +X128584073Y-99118249D01* +X128595581Y-99072309D01* +X128611536Y-99027717D01* +X128631785Y-98984904D01* +X128656133Y-98944282D01* +X128684345Y-98906242D01* +X128716150Y-98871150D01* +X128751242Y-98839345D01* +X128789282Y-98811133D01* +X128829904Y-98786785D01* +X128872717Y-98766536D01* +X128917309Y-98750581D01* +X128963249Y-98739073D01* +X129010097Y-98732124D01* +X129057400Y-98729800D01* +X130022600Y-98729800D01* +X130069903Y-98732124D01* +X130069903Y-98732124D01* +G37* +D15* +X129540000Y-99822000D03* +D14* +G36* +X127529903Y-98732124D02* +G01* +X127576751Y-98739073D01* +X127622691Y-98750581D01* +X127667283Y-98766536D01* +X127710096Y-98786785D01* +X127750718Y-98811133D01* +X127788758Y-98839345D01* +X127823850Y-98871150D01* +X127855655Y-98906242D01* +X127883867Y-98944282D01* +X127908215Y-98984904D01* +X127928464Y-99027717D01* +X127944419Y-99072309D01* +X127955927Y-99118249D01* +X127962876Y-99165097D01* +X127965200Y-99212400D01* +X127965200Y-100431600D01* +X127962876Y-100478903D01* +X127955927Y-100525751D01* +X127944419Y-100571691D01* +X127928464Y-100616283D01* +X127908215Y-100659096D01* +X127883867Y-100699718D01* +X127855655Y-100737758D01* +X127823850Y-100772850D01* +X127788758Y-100804655D01* +X127750718Y-100832867D01* +X127710096Y-100857215D01* +X127667283Y-100877464D01* +X127622691Y-100893419D01* +X127576751Y-100904927D01* +X127529903Y-100911876D01* +X127482600Y-100914200D01* +X126517400Y-100914200D01* +X126470097Y-100911876D01* +X126423249Y-100904927D01* +X126377309Y-100893419D01* +X126332717Y-100877464D01* +X126289904Y-100857215D01* +X126249282Y-100832867D01* +X126211242Y-100804655D01* +X126176150Y-100772850D01* +X126144345Y-100737758D01* +X126116133Y-100699718D01* +X126091785Y-100659096D01* +X126071536Y-100616283D01* +X126055581Y-100571691D01* +X126044073Y-100525751D01* +X126037124Y-100478903D01* +X126034800Y-100431600D01* +X126034800Y-99212400D01* +X126037124Y-99165097D01* +X126044073Y-99118249D01* +X126055581Y-99072309D01* +X126071536Y-99027717D01* +X126091785Y-98984904D01* +X126116133Y-98944282D01* +X126144345Y-98906242D01* +X126176150Y-98871150D01* +X126211242Y-98839345D01* +X126249282Y-98811133D01* +X126289904Y-98786785D01* +X126332717Y-98766536D01* +X126377309Y-98750581D01* +X126423249Y-98739073D01* +X126470097Y-98732124D01* +X126517400Y-98729800D01* +X127482600Y-98729800D01* +X127529903Y-98732124D01* +X127529903Y-98732124D01* +G37* +D15* +X127000000Y-99822000D03* +D14* +G36* +X124989903Y-98732124D02* +G01* +X125036751Y-98739073D01* +X125082691Y-98750581D01* +X125127283Y-98766536D01* +X125170096Y-98786785D01* +X125210718Y-98811133D01* +X125248758Y-98839345D01* +X125283850Y-98871150D01* +X125315655Y-98906242D01* +X125343867Y-98944282D01* +X125368215Y-98984904D01* +X125388464Y-99027717D01* +X125404419Y-99072309D01* +X125415927Y-99118249D01* +X125422876Y-99165097D01* +X125425200Y-99212400D01* +X125425200Y-100431600D01* +X125422876Y-100478903D01* +X125415927Y-100525751D01* +X125404419Y-100571691D01* +X125388464Y-100616283D01* +X125368215Y-100659096D01* +X125343867Y-100699718D01* +X125315655Y-100737758D01* +X125283850Y-100772850D01* +X125248758Y-100804655D01* +X125210718Y-100832867D01* +X125170096Y-100857215D01* +X125127283Y-100877464D01* +X125082691Y-100893419D01* +X125036751Y-100904927D01* +X124989903Y-100911876D01* +X124942600Y-100914200D01* +X123977400Y-100914200D01* +X123930097Y-100911876D01* +X123883249Y-100904927D01* +X123837309Y-100893419D01* +X123792717Y-100877464D01* +X123749904Y-100857215D01* +X123709282Y-100832867D01* +X123671242Y-100804655D01* +X123636150Y-100772850D01* +X123604345Y-100737758D01* +X123576133Y-100699718D01* +X123551785Y-100659096D01* +X123531536Y-100616283D01* +X123515581Y-100571691D01* +X123504073Y-100525751D01* +X123497124Y-100478903D01* +X123494800Y-100431600D01* +X123494800Y-99212400D01* +X123497124Y-99165097D01* +X123504073Y-99118249D01* +X123515581Y-99072309D01* +X123531536Y-99027717D01* +X123551785Y-98984904D01* +X123576133Y-98944282D01* +X123604345Y-98906242D01* +X123636150Y-98871150D01* +X123671242Y-98839345D01* +X123709282Y-98811133D01* +X123749904Y-98786785D01* +X123792717Y-98766536D01* +X123837309Y-98750581D01* +X123883249Y-98739073D01* +X123930097Y-98732124D01* +X123977400Y-98729800D01* +X124942600Y-98729800D01* +X124989903Y-98732124D01* +X124989903Y-98732124D01* +G37* +D15* +X124460000Y-99822000D03* +D14* +G36* +X122449903Y-98732124D02* +G01* +X122496751Y-98739073D01* +X122542691Y-98750581D01* +X122587283Y-98766536D01* +X122630096Y-98786785D01* +X122670718Y-98811133D01* +X122708758Y-98839345D01* +X122743850Y-98871150D01* +X122775655Y-98906242D01* +X122803867Y-98944282D01* +X122828215Y-98984904D01* +X122848464Y-99027717D01* +X122864419Y-99072309D01* +X122875927Y-99118249D01* +X122882876Y-99165097D01* +X122885200Y-99212400D01* +X122885200Y-100431600D01* +X122882876Y-100478903D01* +X122875927Y-100525751D01* +X122864419Y-100571691D01* +X122848464Y-100616283D01* +X122828215Y-100659096D01* +X122803867Y-100699718D01* +X122775655Y-100737758D01* +X122743850Y-100772850D01* +X122708758Y-100804655D01* +X122670718Y-100832867D01* +X122630096Y-100857215D01* +X122587283Y-100877464D01* +X122542691Y-100893419D01* +X122496751Y-100904927D01* +X122449903Y-100911876D01* +X122402600Y-100914200D01* +X121437400Y-100914200D01* +X121390097Y-100911876D01* +X121343249Y-100904927D01* +X121297309Y-100893419D01* +X121252717Y-100877464D01* +X121209904Y-100857215D01* +X121169282Y-100832867D01* +X121131242Y-100804655D01* +X121096150Y-100772850D01* +X121064345Y-100737758D01* +X121036133Y-100699718D01* +X121011785Y-100659096D01* +X120991536Y-100616283D01* +X120975581Y-100571691D01* +X120964073Y-100525751D01* +X120957124Y-100478903D01* +X120954800Y-100431600D01* +X120954800Y-99212400D01* +X120957124Y-99165097D01* +X120964073Y-99118249D01* +X120975581Y-99072309D01* +X120991536Y-99027717D01* +X121011785Y-98984904D01* +X121036133Y-98944282D01* +X121064345Y-98906242D01* +X121096150Y-98871150D01* +X121131242Y-98839345D01* +X121169282Y-98811133D01* +X121209904Y-98786785D01* +X121252717Y-98766536D01* +X121297309Y-98750581D01* +X121343249Y-98739073D01* +X121390097Y-98732124D01* +X121437400Y-98729800D01* +X122402600Y-98729800D01* +X122449903Y-98732124D01* +X122449903Y-98732124D01* +G37* +D15* +X121920000Y-99822000D03* +D14* +G36* +X119909903Y-98732124D02* +G01* +X119956751Y-98739073D01* +X120002691Y-98750581D01* +X120047283Y-98766536D01* +X120090096Y-98786785D01* +X120130718Y-98811133D01* +X120168758Y-98839345D01* +X120203850Y-98871150D01* +X120235655Y-98906242D01* +X120263867Y-98944282D01* +X120288215Y-98984904D01* +X120308464Y-99027717D01* +X120324419Y-99072309D01* +X120335927Y-99118249D01* +X120342876Y-99165097D01* +X120345200Y-99212400D01* +X120345200Y-100431600D01* +X120342876Y-100478903D01* +X120335927Y-100525751D01* +X120324419Y-100571691D01* +X120308464Y-100616283D01* +X120288215Y-100659096D01* +X120263867Y-100699718D01* +X120235655Y-100737758D01* +X120203850Y-100772850D01* +X120168758Y-100804655D01* +X120130718Y-100832867D01* +X120090096Y-100857215D01* +X120047283Y-100877464D01* +X120002691Y-100893419D01* +X119956751Y-100904927D01* +X119909903Y-100911876D01* +X119862600Y-100914200D01* +X118897400Y-100914200D01* +X118850097Y-100911876D01* +X118803249Y-100904927D01* +X118757309Y-100893419D01* +X118712717Y-100877464D01* +X118669904Y-100857215D01* +X118629282Y-100832867D01* +X118591242Y-100804655D01* +X118556150Y-100772850D01* +X118524345Y-100737758D01* +X118496133Y-100699718D01* +X118471785Y-100659096D01* +X118451536Y-100616283D01* +X118435581Y-100571691D01* +X118424073Y-100525751D01* +X118417124Y-100478903D01* +X118414800Y-100431600D01* +X118414800Y-99212400D01* +X118417124Y-99165097D01* +X118424073Y-99118249D01* +X118435581Y-99072309D01* +X118451536Y-99027717D01* +X118471785Y-98984904D01* +X118496133Y-98944282D01* +X118524345Y-98906242D01* +X118556150Y-98871150D01* +X118591242Y-98839345D01* +X118629282Y-98811133D01* +X118669904Y-98786785D01* +X118712717Y-98766536D01* +X118757309Y-98750581D01* +X118803249Y-98739073D01* +X118850097Y-98732124D01* +X118897400Y-98729800D01* +X119862600Y-98729800D01* +X119909903Y-98732124D01* +X119909903Y-98732124D01* +G37* +D15* +X119380000Y-99822000D03* +D14* +G36* +X117369903Y-98732124D02* +G01* +X117416751Y-98739073D01* +X117462691Y-98750581D01* +X117507283Y-98766536D01* +X117550096Y-98786785D01* +X117590718Y-98811133D01* +X117628758Y-98839345D01* +X117663850Y-98871150D01* +X117695655Y-98906242D01* +X117723867Y-98944282D01* +X117748215Y-98984904D01* +X117768464Y-99027717D01* +X117784419Y-99072309D01* +X117795927Y-99118249D01* +X117802876Y-99165097D01* +X117805200Y-99212400D01* +X117805200Y-100431600D01* +X117802876Y-100478903D01* +X117795927Y-100525751D01* +X117784419Y-100571691D01* +X117768464Y-100616283D01* +X117748215Y-100659096D01* +X117723867Y-100699718D01* +X117695655Y-100737758D01* +X117663850Y-100772850D01* +X117628758Y-100804655D01* +X117590718Y-100832867D01* +X117550096Y-100857215D01* +X117507283Y-100877464D01* +X117462691Y-100893419D01* +X117416751Y-100904927D01* +X117369903Y-100911876D01* +X117322600Y-100914200D01* +X116357400Y-100914200D01* +X116310097Y-100911876D01* +X116263249Y-100904927D01* +X116217309Y-100893419D01* +X116172717Y-100877464D01* +X116129904Y-100857215D01* +X116089282Y-100832867D01* +X116051242Y-100804655D01* +X116016150Y-100772850D01* +X115984345Y-100737758D01* +X115956133Y-100699718D01* +X115931785Y-100659096D01* +X115911536Y-100616283D01* +X115895581Y-100571691D01* +X115884073Y-100525751D01* +X115877124Y-100478903D01* +X115874800Y-100431600D01* +X115874800Y-99212400D01* +X115877124Y-99165097D01* +X115884073Y-99118249D01* +X115895581Y-99072309D01* +X115911536Y-99027717D01* +X115931785Y-98984904D01* +X115956133Y-98944282D01* +X115984345Y-98906242D01* +X116016150Y-98871150D01* +X116051242Y-98839345D01* +X116089282Y-98811133D01* +X116129904Y-98786785D01* +X116172717Y-98766536D01* +X116217309Y-98750581D01* +X116263249Y-98739073D01* +X116310097Y-98732124D01* +X116357400Y-98729800D01* +X117322600Y-98729800D01* +X117369903Y-98732124D01* +X117369903Y-98732124D01* +G37* +D15* +X116840000Y-99822000D03* +D14* +G36* +X114829903Y-98732124D02* +G01* +X114876751Y-98739073D01* +X114922691Y-98750581D01* +X114967283Y-98766536D01* +X115010096Y-98786785D01* +X115050718Y-98811133D01* +X115088758Y-98839345D01* +X115123850Y-98871150D01* +X115155655Y-98906242D01* +X115183867Y-98944282D01* +X115208215Y-98984904D01* +X115228464Y-99027717D01* +X115244419Y-99072309D01* +X115255927Y-99118249D01* +X115262876Y-99165097D01* +X115265200Y-99212400D01* +X115265200Y-100431600D01* +X115262876Y-100478903D01* +X115255927Y-100525751D01* +X115244419Y-100571691D01* +X115228464Y-100616283D01* +X115208215Y-100659096D01* +X115183867Y-100699718D01* +X115155655Y-100737758D01* +X115123850Y-100772850D01* +X115088758Y-100804655D01* +X115050718Y-100832867D01* +X115010096Y-100857215D01* +X114967283Y-100877464D01* +X114922691Y-100893419D01* +X114876751Y-100904927D01* +X114829903Y-100911876D01* +X114782600Y-100914200D01* +X113817400Y-100914200D01* +X113770097Y-100911876D01* +X113723249Y-100904927D01* +X113677309Y-100893419D01* +X113632717Y-100877464D01* +X113589904Y-100857215D01* +X113549282Y-100832867D01* +X113511242Y-100804655D01* +X113476150Y-100772850D01* +X113444345Y-100737758D01* +X113416133Y-100699718D01* +X113391785Y-100659096D01* +X113371536Y-100616283D01* +X113355581Y-100571691D01* +X113344073Y-100525751D01* +X113337124Y-100478903D01* +X113334800Y-100431600D01* +X113334800Y-99212400D01* +X113337124Y-99165097D01* +X113344073Y-99118249D01* +X113355581Y-99072309D01* +X113371536Y-99027717D01* +X113391785Y-98984904D01* +X113416133Y-98944282D01* +X113444345Y-98906242D01* +X113476150Y-98871150D01* +X113511242Y-98839345D01* +X113549282Y-98811133D01* +X113589904Y-98786785D01* +X113632717Y-98766536D01* +X113677309Y-98750581D01* +X113723249Y-98739073D01* +X113770097Y-98732124D01* +X113817400Y-98729800D01* +X114782600Y-98729800D01* +X114829903Y-98732124D01* +X114829903Y-98732124D01* +G37* +D15* +X114300000Y-99822000D03* +D14* +G36* +X112289903Y-98732124D02* +G01* +X112336751Y-98739073D01* +X112382691Y-98750581D01* +X112427283Y-98766536D01* +X112470096Y-98786785D01* +X112510718Y-98811133D01* +X112548758Y-98839345D01* +X112583850Y-98871150D01* +X112615655Y-98906242D01* +X112643867Y-98944282D01* +X112668215Y-98984904D01* +X112688464Y-99027717D01* +X112704419Y-99072309D01* +X112715927Y-99118249D01* +X112722876Y-99165097D01* +X112725200Y-99212400D01* +X112725200Y-100431600D01* +X112722876Y-100478903D01* +X112715927Y-100525751D01* +X112704419Y-100571691D01* +X112688464Y-100616283D01* +X112668215Y-100659096D01* +X112643867Y-100699718D01* +X112615655Y-100737758D01* +X112583850Y-100772850D01* +X112548758Y-100804655D01* +X112510718Y-100832867D01* +X112470096Y-100857215D01* +X112427283Y-100877464D01* +X112382691Y-100893419D01* +X112336751Y-100904927D01* +X112289903Y-100911876D01* +X112242600Y-100914200D01* +X111277400Y-100914200D01* +X111230097Y-100911876D01* +X111183249Y-100904927D01* +X111137309Y-100893419D01* +X111092717Y-100877464D01* +X111049904Y-100857215D01* +X111009282Y-100832867D01* +X110971242Y-100804655D01* +X110936150Y-100772850D01* +X110904345Y-100737758D01* +X110876133Y-100699718D01* +X110851785Y-100659096D01* +X110831536Y-100616283D01* +X110815581Y-100571691D01* +X110804073Y-100525751D01* +X110797124Y-100478903D01* +X110794800Y-100431600D01* +X110794800Y-99212400D01* +X110797124Y-99165097D01* +X110804073Y-99118249D01* +X110815581Y-99072309D01* +X110831536Y-99027717D01* +X110851785Y-98984904D01* +X110876133Y-98944282D01* +X110904345Y-98906242D01* +X110936150Y-98871150D01* +X110971242Y-98839345D01* +X111009282Y-98811133D01* +X111049904Y-98786785D01* +X111092717Y-98766536D01* +X111137309Y-98750581D01* +X111183249Y-98739073D01* +X111230097Y-98732124D01* +X111277400Y-98729800D01* +X112242600Y-98729800D01* +X112289903Y-98732124D01* +X112289903Y-98732124D01* +G37* +D15* +X111760000Y-99822000D03* +D14* +G36* +X109749903Y-98732124D02* +G01* +X109796751Y-98739073D01* +X109842691Y-98750581D01* +X109887283Y-98766536D01* +X109930096Y-98786785D01* +X109970718Y-98811133D01* +X110008758Y-98839345D01* +X110043850Y-98871150D01* +X110075655Y-98906242D01* +X110103867Y-98944282D01* +X110128215Y-98984904D01* +X110148464Y-99027717D01* +X110164419Y-99072309D01* +X110175927Y-99118249D01* +X110182876Y-99165097D01* +X110185200Y-99212400D01* +X110185200Y-100431600D01* +X110182876Y-100478903D01* +X110175927Y-100525751D01* +X110164419Y-100571691D01* +X110148464Y-100616283D01* +X110128215Y-100659096D01* +X110103867Y-100699718D01* +X110075655Y-100737758D01* +X110043850Y-100772850D01* +X110008758Y-100804655D01* +X109970718Y-100832867D01* +X109930096Y-100857215D01* +X109887283Y-100877464D01* +X109842691Y-100893419D01* +X109796751Y-100904927D01* +X109749903Y-100911876D01* +X109702600Y-100914200D01* +X108737400Y-100914200D01* +X108690097Y-100911876D01* +X108643249Y-100904927D01* +X108597309Y-100893419D01* +X108552717Y-100877464D01* +X108509904Y-100857215D01* +X108469282Y-100832867D01* +X108431242Y-100804655D01* +X108396150Y-100772850D01* +X108364345Y-100737758D01* +X108336133Y-100699718D01* +X108311785Y-100659096D01* +X108291536Y-100616283D01* +X108275581Y-100571691D01* +X108264073Y-100525751D01* +X108257124Y-100478903D01* +X108254800Y-100431600D01* +X108254800Y-99212400D01* +X108257124Y-99165097D01* +X108264073Y-99118249D01* +X108275581Y-99072309D01* +X108291536Y-99027717D01* +X108311785Y-98984904D01* +X108336133Y-98944282D01* +X108364345Y-98906242D01* +X108396150Y-98871150D01* +X108431242Y-98839345D01* +X108469282Y-98811133D01* +X108509904Y-98786785D01* +X108552717Y-98766536D01* +X108597309Y-98750581D01* +X108643249Y-98739073D01* +X108690097Y-98732124D01* +X108737400Y-98729800D01* +X109702600Y-98729800D01* +X109749903Y-98732124D01* +X109749903Y-98732124D01* +G37* +D15* +X109220000Y-99822000D03* +D14* +G36* +X107209903Y-98732124D02* +G01* +X107256751Y-98739073D01* +X107302691Y-98750581D01* +X107347283Y-98766536D01* +X107390096Y-98786785D01* +X107430718Y-98811133D01* +X107468758Y-98839345D01* +X107503850Y-98871150D01* +X107535655Y-98906242D01* +X107563867Y-98944282D01* +X107588215Y-98984904D01* +X107608464Y-99027717D01* +X107624419Y-99072309D01* +X107635927Y-99118249D01* +X107642876Y-99165097D01* +X107645200Y-99212400D01* +X107645200Y-100431600D01* +X107642876Y-100478903D01* +X107635927Y-100525751D01* +X107624419Y-100571691D01* +X107608464Y-100616283D01* +X107588215Y-100659096D01* +X107563867Y-100699718D01* +X107535655Y-100737758D01* +X107503850Y-100772850D01* +X107468758Y-100804655D01* +X107430718Y-100832867D01* +X107390096Y-100857215D01* +X107347283Y-100877464D01* +X107302691Y-100893419D01* +X107256751Y-100904927D01* +X107209903Y-100911876D01* +X107162600Y-100914200D01* +X106197400Y-100914200D01* +X106150097Y-100911876D01* +X106103249Y-100904927D01* +X106057309Y-100893419D01* +X106012717Y-100877464D01* +X105969904Y-100857215D01* +X105929282Y-100832867D01* +X105891242Y-100804655D01* +X105856150Y-100772850D01* +X105824345Y-100737758D01* +X105796133Y-100699718D01* +X105771785Y-100659096D01* +X105751536Y-100616283D01* +X105735581Y-100571691D01* +X105724073Y-100525751D01* +X105717124Y-100478903D01* +X105714800Y-100431600D01* +X105714800Y-99212400D01* +X105717124Y-99165097D01* +X105724073Y-99118249D01* +X105735581Y-99072309D01* +X105751536Y-99027717D01* +X105771785Y-98984904D01* +X105796133Y-98944282D01* +X105824345Y-98906242D01* +X105856150Y-98871150D01* +X105891242Y-98839345D01* +X105929282Y-98811133D01* +X105969904Y-98786785D01* +X106012717Y-98766536D01* +X106057309Y-98750581D01* +X106103249Y-98739073D01* +X106150097Y-98732124D01* +X106197400Y-98729800D01* +X107162600Y-98729800D01* +X107209903Y-98732124D01* +X107209903Y-98732124D01* +G37* +D15* +X106680000Y-99822000D03* +D14* +G36* +X104669903Y-98732124D02* +G01* +X104716751Y-98739073D01* +X104762691Y-98750581D01* +X104807283Y-98766536D01* +X104850096Y-98786785D01* +X104890718Y-98811133D01* +X104928758Y-98839345D01* +X104963850Y-98871150D01* +X104995655Y-98906242D01* +X105023867Y-98944282D01* +X105048215Y-98984904D01* +X105068464Y-99027717D01* +X105084419Y-99072309D01* +X105095927Y-99118249D01* +X105102876Y-99165097D01* +X105105200Y-99212400D01* +X105105200Y-100431600D01* +X105102876Y-100478903D01* +X105095927Y-100525751D01* +X105084419Y-100571691D01* +X105068464Y-100616283D01* +X105048215Y-100659096D01* +X105023867Y-100699718D01* +X104995655Y-100737758D01* +X104963850Y-100772850D01* +X104928758Y-100804655D01* +X104890718Y-100832867D01* +X104850096Y-100857215D01* +X104807283Y-100877464D01* +X104762691Y-100893419D01* +X104716751Y-100904927D01* +X104669903Y-100911876D01* +X104622600Y-100914200D01* +X103657400Y-100914200D01* +X103610097Y-100911876D01* +X103563249Y-100904927D01* +X103517309Y-100893419D01* +X103472717Y-100877464D01* +X103429904Y-100857215D01* +X103389282Y-100832867D01* +X103351242Y-100804655D01* +X103316150Y-100772850D01* +X103284345Y-100737758D01* +X103256133Y-100699718D01* +X103231785Y-100659096D01* +X103211536Y-100616283D01* +X103195581Y-100571691D01* +X103184073Y-100525751D01* +X103177124Y-100478903D01* +X103174800Y-100431600D01* +X103174800Y-99212400D01* +X103177124Y-99165097D01* +X103184073Y-99118249D01* +X103195581Y-99072309D01* +X103211536Y-99027717D01* +X103231785Y-98984904D01* +X103256133Y-98944282D01* +X103284345Y-98906242D01* +X103316150Y-98871150D01* +X103351242Y-98839345D01* +X103389282Y-98811133D01* +X103429904Y-98786785D01* +X103472717Y-98766536D01* +X103517309Y-98750581D01* +X103563249Y-98739073D01* +X103610097Y-98732124D01* +X103657400Y-98729800D01* +X104622600Y-98729800D01* +X104669903Y-98732124D01* +X104669903Y-98732124D01* +G37* +D15* +X104140000Y-99822000D03* +D14* +G36* +X102129903Y-98732124D02* +G01* +X102176751Y-98739073D01* +X102222691Y-98750581D01* +X102267283Y-98766536D01* +X102310096Y-98786785D01* +X102350718Y-98811133D01* +X102388758Y-98839345D01* +X102423850Y-98871150D01* +X102455655Y-98906242D01* +X102483867Y-98944282D01* +X102508215Y-98984904D01* +X102528464Y-99027717D01* +X102544419Y-99072309D01* +X102555927Y-99118249D01* +X102562876Y-99165097D01* +X102565200Y-99212400D01* +X102565200Y-100431600D01* +X102562876Y-100478903D01* +X102555927Y-100525751D01* +X102544419Y-100571691D01* +X102528464Y-100616283D01* +X102508215Y-100659096D01* +X102483867Y-100699718D01* +X102455655Y-100737758D01* +X102423850Y-100772850D01* +X102388758Y-100804655D01* +X102350718Y-100832867D01* +X102310096Y-100857215D01* +X102267283Y-100877464D01* +X102222691Y-100893419D01* +X102176751Y-100904927D01* +X102129903Y-100911876D01* +X102082600Y-100914200D01* +X101117400Y-100914200D01* +X101070097Y-100911876D01* +X101023249Y-100904927D01* +X100977309Y-100893419D01* +X100932717Y-100877464D01* +X100889904Y-100857215D01* +X100849282Y-100832867D01* +X100811242Y-100804655D01* +X100776150Y-100772850D01* +X100744345Y-100737758D01* +X100716133Y-100699718D01* +X100691785Y-100659096D01* +X100671536Y-100616283D01* +X100655581Y-100571691D01* +X100644073Y-100525751D01* +X100637124Y-100478903D01* +X100634800Y-100431600D01* +X100634800Y-99212400D01* +X100637124Y-99165097D01* +X100644073Y-99118249D01* +X100655581Y-99072309D01* +X100671536Y-99027717D01* +X100691785Y-98984904D01* +X100716133Y-98944282D01* +X100744345Y-98906242D01* +X100776150Y-98871150D01* +X100811242Y-98839345D01* +X100849282Y-98811133D01* +X100889904Y-98786785D01* +X100932717Y-98766536D01* +X100977309Y-98750581D01* +X101023249Y-98739073D01* +X101070097Y-98732124D01* +X101117400Y-98729800D01* +X102082600Y-98729800D01* +X102129903Y-98732124D01* +X102129903Y-98732124D01* +G37* +D15* +X101600000Y-99822000D03* +D14* +G36* +X99589903Y-98732124D02* +G01* +X99636751Y-98739073D01* +X99682691Y-98750581D01* +X99727283Y-98766536D01* +X99770096Y-98786785D01* +X99810718Y-98811133D01* +X99848758Y-98839345D01* +X99883850Y-98871150D01* +X99915655Y-98906242D01* +X99943867Y-98944282D01* +X99968215Y-98984904D01* +X99988464Y-99027717D01* +X100004419Y-99072309D01* +X100015927Y-99118249D01* +X100022876Y-99165097D01* +X100025200Y-99212400D01* +X100025200Y-100431600D01* +X100022876Y-100478903D01* +X100015927Y-100525751D01* +X100004419Y-100571691D01* +X99988464Y-100616283D01* +X99968215Y-100659096D01* +X99943867Y-100699718D01* +X99915655Y-100737758D01* +X99883850Y-100772850D01* +X99848758Y-100804655D01* +X99810718Y-100832867D01* +X99770096Y-100857215D01* +X99727283Y-100877464D01* +X99682691Y-100893419D01* +X99636751Y-100904927D01* +X99589903Y-100911876D01* +X99542600Y-100914200D01* +X98577400Y-100914200D01* +X98530097Y-100911876D01* +X98483249Y-100904927D01* +X98437309Y-100893419D01* +X98392717Y-100877464D01* +X98349904Y-100857215D01* +X98309282Y-100832867D01* +X98271242Y-100804655D01* +X98236150Y-100772850D01* +X98204345Y-100737758D01* +X98176133Y-100699718D01* +X98151785Y-100659096D01* +X98131536Y-100616283D01* +X98115581Y-100571691D01* +X98104073Y-100525751D01* +X98097124Y-100478903D01* +X98094800Y-100431600D01* +X98094800Y-99212400D01* +X98097124Y-99165097D01* +X98104073Y-99118249D01* +X98115581Y-99072309D01* +X98131536Y-99027717D01* +X98151785Y-98984904D01* +X98176133Y-98944282D01* +X98204345Y-98906242D01* +X98236150Y-98871150D01* +X98271242Y-98839345D01* +X98309282Y-98811133D01* +X98349904Y-98786785D01* +X98392717Y-98766536D01* +X98437309Y-98750581D01* +X98483249Y-98739073D01* +X98530097Y-98732124D01* +X98577400Y-98729800D01* +X99542600Y-98729800D01* +X99589903Y-98732124D01* +X99589903Y-98732124D01* +G37* +D15* +X99060000Y-99822000D03* +D14* +G36* +X97049903Y-98732124D02* +G01* +X97096751Y-98739073D01* +X97142691Y-98750581D01* +X97187283Y-98766536D01* +X97230096Y-98786785D01* +X97270718Y-98811133D01* +X97308758Y-98839345D01* +X97343850Y-98871150D01* +X97375655Y-98906242D01* +X97403867Y-98944282D01* +X97428215Y-98984904D01* +X97448464Y-99027717D01* +X97464419Y-99072309D01* +X97475927Y-99118249D01* +X97482876Y-99165097D01* +X97485200Y-99212400D01* +X97485200Y-100431600D01* +X97482876Y-100478903D01* +X97475927Y-100525751D01* +X97464419Y-100571691D01* +X97448464Y-100616283D01* +X97428215Y-100659096D01* +X97403867Y-100699718D01* +X97375655Y-100737758D01* +X97343850Y-100772850D01* +X97308758Y-100804655D01* +X97270718Y-100832867D01* +X97230096Y-100857215D01* +X97187283Y-100877464D01* +X97142691Y-100893419D01* +X97096751Y-100904927D01* +X97049903Y-100911876D01* +X97002600Y-100914200D01* +X96037400Y-100914200D01* +X95990097Y-100911876D01* +X95943249Y-100904927D01* +X95897309Y-100893419D01* +X95852717Y-100877464D01* +X95809904Y-100857215D01* +X95769282Y-100832867D01* +X95731242Y-100804655D01* +X95696150Y-100772850D01* +X95664345Y-100737758D01* +X95636133Y-100699718D01* +X95611785Y-100659096D01* +X95591536Y-100616283D01* +X95575581Y-100571691D01* +X95564073Y-100525751D01* +X95557124Y-100478903D01* +X95554800Y-100431600D01* +X95554800Y-99212400D01* +X95557124Y-99165097D01* +X95564073Y-99118249D01* +X95575581Y-99072309D01* +X95591536Y-99027717D01* +X95611785Y-98984904D01* +X95636133Y-98944282D01* +X95664345Y-98906242D01* +X95696150Y-98871150D01* +X95731242Y-98839345D01* +X95769282Y-98811133D01* +X95809904Y-98786785D01* +X95852717Y-98766536D01* +X95897309Y-98750581D01* +X95943249Y-98739073D01* +X95990097Y-98732124D01* +X96037400Y-98729800D01* +X97002600Y-98729800D01* +X97049903Y-98732124D01* +X97049903Y-98732124D01* +G37* +D15* +X96520000Y-99822000D03* +D14* +G36* +X94509903Y-98732124D02* +G01* +X94556751Y-98739073D01* +X94602691Y-98750581D01* +X94647283Y-98766536D01* +X94690096Y-98786785D01* +X94730718Y-98811133D01* +X94768758Y-98839345D01* +X94803850Y-98871150D01* +X94835655Y-98906242D01* +X94863867Y-98944282D01* +X94888215Y-98984904D01* +X94908464Y-99027717D01* +X94924419Y-99072309D01* +X94935927Y-99118249D01* +X94942876Y-99165097D01* +X94945200Y-99212400D01* +X94945200Y-100431600D01* +X94942876Y-100478903D01* +X94935927Y-100525751D01* +X94924419Y-100571691D01* +X94908464Y-100616283D01* +X94888215Y-100659096D01* +X94863867Y-100699718D01* +X94835655Y-100737758D01* +X94803850Y-100772850D01* +X94768758Y-100804655D01* +X94730718Y-100832867D01* +X94690096Y-100857215D01* +X94647283Y-100877464D01* +X94602691Y-100893419D01* +X94556751Y-100904927D01* +X94509903Y-100911876D01* +X94462600Y-100914200D01* +X93497400Y-100914200D01* +X93450097Y-100911876D01* +X93403249Y-100904927D01* +X93357309Y-100893419D01* +X93312717Y-100877464D01* +X93269904Y-100857215D01* +X93229282Y-100832867D01* +X93191242Y-100804655D01* +X93156150Y-100772850D01* +X93124345Y-100737758D01* +X93096133Y-100699718D01* +X93071785Y-100659096D01* +X93051536Y-100616283D01* +X93035581Y-100571691D01* +X93024073Y-100525751D01* +X93017124Y-100478903D01* +X93014800Y-100431600D01* +X93014800Y-99212400D01* +X93017124Y-99165097D01* +X93024073Y-99118249D01* +X93035581Y-99072309D01* +X93051536Y-99027717D01* +X93071785Y-98984904D01* +X93096133Y-98944282D01* +X93124345Y-98906242D01* +X93156150Y-98871150D01* +X93191242Y-98839345D01* +X93229282Y-98811133D01* +X93269904Y-98786785D01* +X93312717Y-98766536D01* +X93357309Y-98750581D01* +X93403249Y-98739073D01* +X93450097Y-98732124D01* +X93497400Y-98729800D01* +X94462600Y-98729800D01* +X94509903Y-98732124D01* +X94509903Y-98732124D01* +G37* +D15* +X93980000Y-99822000D03* +D14* +G36* +X91969903Y-98732124D02* +G01* +X92016751Y-98739073D01* +X92062691Y-98750581D01* +X92107283Y-98766536D01* +X92150096Y-98786785D01* +X92190718Y-98811133D01* +X92228758Y-98839345D01* +X92263850Y-98871150D01* +X92295655Y-98906242D01* +X92323867Y-98944282D01* +X92348215Y-98984904D01* +X92368464Y-99027717D01* +X92384419Y-99072309D01* +X92395927Y-99118249D01* +X92402876Y-99165097D01* +X92405200Y-99212400D01* +X92405200Y-100431600D01* +X92402876Y-100478903D01* +X92395927Y-100525751D01* +X92384419Y-100571691D01* +X92368464Y-100616283D01* +X92348215Y-100659096D01* +X92323867Y-100699718D01* +X92295655Y-100737758D01* +X92263850Y-100772850D01* +X92228758Y-100804655D01* +X92190718Y-100832867D01* +X92150096Y-100857215D01* +X92107283Y-100877464D01* +X92062691Y-100893419D01* +X92016751Y-100904927D01* +X91969903Y-100911876D01* +X91922600Y-100914200D01* +X90957400Y-100914200D01* +X90910097Y-100911876D01* +X90863249Y-100904927D01* +X90817309Y-100893419D01* +X90772717Y-100877464D01* +X90729904Y-100857215D01* +X90689282Y-100832867D01* +X90651242Y-100804655D01* +X90616150Y-100772850D01* +X90584345Y-100737758D01* +X90556133Y-100699718D01* +X90531785Y-100659096D01* +X90511536Y-100616283D01* +X90495581Y-100571691D01* +X90484073Y-100525751D01* +X90477124Y-100478903D01* +X90474800Y-100431600D01* +X90474800Y-99212400D01* +X90477124Y-99165097D01* +X90484073Y-99118249D01* +X90495581Y-99072309D01* +X90511536Y-99027717D01* +X90531785Y-98984904D01* +X90556133Y-98944282D01* +X90584345Y-98906242D01* +X90616150Y-98871150D01* +X90651242Y-98839345D01* +X90689282Y-98811133D01* +X90729904Y-98786785D01* +X90772717Y-98766536D01* +X90817309Y-98750581D01* +X90863249Y-98739073D01* +X90910097Y-98732124D01* +X90957400Y-98729800D01* +X91922600Y-98729800D01* +X91969903Y-98732124D01* +X91969903Y-98732124D01* +G37* +D15* +X91440000Y-99822000D03* +D14* +G36* +X89429903Y-98732124D02* +G01* +X89476751Y-98739073D01* +X89522691Y-98750581D01* +X89567283Y-98766536D01* +X89610096Y-98786785D01* +X89650718Y-98811133D01* +X89688758Y-98839345D01* +X89723850Y-98871150D01* +X89755655Y-98906242D01* +X89783867Y-98944282D01* +X89808215Y-98984904D01* +X89828464Y-99027717D01* +X89844419Y-99072309D01* +X89855927Y-99118249D01* +X89862876Y-99165097D01* +X89865200Y-99212400D01* +X89865200Y-100431600D01* +X89862876Y-100478903D01* +X89855927Y-100525751D01* +X89844419Y-100571691D01* +X89828464Y-100616283D01* +X89808215Y-100659096D01* +X89783867Y-100699718D01* +X89755655Y-100737758D01* +X89723850Y-100772850D01* +X89688758Y-100804655D01* +X89650718Y-100832867D01* +X89610096Y-100857215D01* +X89567283Y-100877464D01* +X89522691Y-100893419D01* +X89476751Y-100904927D01* +X89429903Y-100911876D01* +X89382600Y-100914200D01* +X88417400Y-100914200D01* +X88370097Y-100911876D01* +X88323249Y-100904927D01* +X88277309Y-100893419D01* +X88232717Y-100877464D01* +X88189904Y-100857215D01* +X88149282Y-100832867D01* +X88111242Y-100804655D01* +X88076150Y-100772850D01* +X88044345Y-100737758D01* +X88016133Y-100699718D01* +X87991785Y-100659096D01* +X87971536Y-100616283D01* +X87955581Y-100571691D01* +X87944073Y-100525751D01* +X87937124Y-100478903D01* +X87934800Y-100431600D01* +X87934800Y-99212400D01* +X87937124Y-99165097D01* +X87944073Y-99118249D01* +X87955581Y-99072309D01* +X87971536Y-99027717D01* +X87991785Y-98984904D01* +X88016133Y-98944282D01* +X88044345Y-98906242D01* +X88076150Y-98871150D01* +X88111242Y-98839345D01* +X88149282Y-98811133D01* +X88189904Y-98786785D01* +X88232717Y-98766536D01* +X88277309Y-98750581D01* +X88323249Y-98739073D01* +X88370097Y-98732124D01* +X88417400Y-98729800D01* +X89382600Y-98729800D01* +X89429903Y-98732124D01* +X89429903Y-98732124D01* +G37* +D15* +X88900000Y-99822000D03* +D14* +G36* +X86889903Y-98732124D02* +G01* +X86936751Y-98739073D01* +X86982691Y-98750581D01* +X87027283Y-98766536D01* +X87070096Y-98786785D01* +X87110718Y-98811133D01* +X87148758Y-98839345D01* +X87183850Y-98871150D01* +X87215655Y-98906242D01* +X87243867Y-98944282D01* +X87268215Y-98984904D01* +X87288464Y-99027717D01* +X87304419Y-99072309D01* +X87315927Y-99118249D01* +X87322876Y-99165097D01* +X87325200Y-99212400D01* +X87325200Y-100431600D01* +X87322876Y-100478903D01* +X87315927Y-100525751D01* +X87304419Y-100571691D01* +X87288464Y-100616283D01* +X87268215Y-100659096D01* +X87243867Y-100699718D01* +X87215655Y-100737758D01* +X87183850Y-100772850D01* +X87148758Y-100804655D01* +X87110718Y-100832867D01* +X87070096Y-100857215D01* +X87027283Y-100877464D01* +X86982691Y-100893419D01* +X86936751Y-100904927D01* +X86889903Y-100911876D01* +X86842600Y-100914200D01* +X85877400Y-100914200D01* +X85830097Y-100911876D01* +X85783249Y-100904927D01* +X85737309Y-100893419D01* +X85692717Y-100877464D01* +X85649904Y-100857215D01* +X85609282Y-100832867D01* +X85571242Y-100804655D01* +X85536150Y-100772850D01* +X85504345Y-100737758D01* +X85476133Y-100699718D01* +X85451785Y-100659096D01* +X85431536Y-100616283D01* +X85415581Y-100571691D01* +X85404073Y-100525751D01* +X85397124Y-100478903D01* +X85394800Y-100431600D01* +X85394800Y-99212400D01* +X85397124Y-99165097D01* +X85404073Y-99118249D01* +X85415581Y-99072309D01* +X85431536Y-99027717D01* +X85451785Y-98984904D01* +X85476133Y-98944282D01* +X85504345Y-98906242D01* +X85536150Y-98871150D01* +X85571242Y-98839345D01* +X85609282Y-98811133D01* +X85649904Y-98786785D01* +X85692717Y-98766536D01* +X85737309Y-98750581D01* +X85783249Y-98739073D01* +X85830097Y-98732124D01* +X85877400Y-98729800D01* +X86842600Y-98729800D01* +X86889903Y-98732124D01* +X86889903Y-98732124D01* +G37* +D15* +X86360000Y-99822000D03* +D14* +G36* +X84349903Y-98732124D02* +G01* +X84396751Y-98739073D01* +X84442691Y-98750581D01* +X84487283Y-98766536D01* +X84530096Y-98786785D01* +X84570718Y-98811133D01* +X84608758Y-98839345D01* +X84643850Y-98871150D01* +X84675655Y-98906242D01* +X84703867Y-98944282D01* +X84728215Y-98984904D01* +X84748464Y-99027717D01* +X84764419Y-99072309D01* +X84775927Y-99118249D01* +X84782876Y-99165097D01* +X84785200Y-99212400D01* +X84785200Y-100431600D01* +X84782876Y-100478903D01* +X84775927Y-100525751D01* +X84764419Y-100571691D01* +X84748464Y-100616283D01* +X84728215Y-100659096D01* +X84703867Y-100699718D01* +X84675655Y-100737758D01* +X84643850Y-100772850D01* +X84608758Y-100804655D01* +X84570718Y-100832867D01* +X84530096Y-100857215D01* +X84487283Y-100877464D01* +X84442691Y-100893419D01* +X84396751Y-100904927D01* +X84349903Y-100911876D01* +X84302600Y-100914200D01* +X83337400Y-100914200D01* +X83290097Y-100911876D01* +X83243249Y-100904927D01* +X83197309Y-100893419D01* +X83152717Y-100877464D01* +X83109904Y-100857215D01* +X83069282Y-100832867D01* +X83031242Y-100804655D01* +X82996150Y-100772850D01* +X82964345Y-100737758D01* +X82936133Y-100699718D01* +X82911785Y-100659096D01* +X82891536Y-100616283D01* +X82875581Y-100571691D01* +X82864073Y-100525751D01* +X82857124Y-100478903D01* +X82854800Y-100431600D01* +X82854800Y-99212400D01* +X82857124Y-99165097D01* +X82864073Y-99118249D01* +X82875581Y-99072309D01* +X82891536Y-99027717D01* +X82911785Y-98984904D01* +X82936133Y-98944282D01* +X82964345Y-98906242D01* +X82996150Y-98871150D01* +X83031242Y-98839345D01* +X83069282Y-98811133D01* +X83109904Y-98786785D01* +X83152717Y-98766536D01* +X83197309Y-98750581D01* +X83243249Y-98739073D01* +X83290097Y-98732124D01* +X83337400Y-98729800D01* +X84302600Y-98729800D01* +X84349903Y-98732124D01* +X84349903Y-98732124D01* +G37* +D15* +X83820000Y-99822000D03* +M02* diff --git a/gerber/simm-30-4mb-Edge_Cuts.gm1 b/gerber/simm-30-4mb-Edge_Cuts.gm1 new file mode 100644 index 0000000..e9aa237 --- /dev/null +++ b/gerber/simm-30-4mb-Edge_Cuts.gm1 @@ -0,0 +1,42 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Profile,NP* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.200000*% +%ADD11C,0.150000*% +G04 APERTURE END LIST* +D10* +X76581000Y-95631000D02* +X76200000Y-95631000D01* +X78232000Y-101600000D02* +X78232000Y-97282000D01* +D11* +X81153000Y-91440000D02* +G75* +G03X81153000Y-91440000I-1587500J0D01* +G01* +X163322000Y-91440000D02* +G75* +G03X163322000Y-91440000I-1587500J0D01* +G01* +D10* +X76200000Y-95631000D02* +X76200000Y-82550000D01* +X76581000Y-95631000D02* +G75* +G02X78232000Y-97282000I0J-1651000D01* +G01* +X165100000Y-82550000D02* +X165100000Y-101600000D01* +X76200000Y-82550000D02* +X165100000Y-82550000D01* +X165100000Y-101600000D02* +X78232000Y-101600000D01* +M02* diff --git a/gerber/simm-30-4mb-F_Cu.gtl b/gerber/simm-30-4mb-F_Cu.gtl new file mode 100644 index 0000000..ca3e9ab --- /dev/null +++ b/gerber/simm-30-4mb-F_Cu.gtl @@ -0,0 +1,21137 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L1,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,1.050000*% +%ADD12C,0.800000*% +%ADD13C,1.778000*% +%ADD14C,0.600000*% +%ADD15C,0.508000*% +%ADD16C,1.000000*% +%ADD17C,0.508000*% +%ADD18C,0.762000*% +%ADD19C,1.270000*% +%ADD20C,0.800000*% +%ADD21C,0.152400*% +G04 APERTURE END LIST* +D10* +G36* +X85553229Y-93583264D02* +G01* +X85578711Y-93587044D01* +X85603700Y-93593303D01* +X85627954Y-93601982D01* +X85651242Y-93612996D01* +X85673337Y-93626239D01* +X85694028Y-93641585D01* +X85713116Y-93658884D01* +X85730415Y-93677972D01* +X85745761Y-93698663D01* +X85759004Y-93720758D01* +X85770018Y-93744046D01* +X85778697Y-93768300D01* +X85784956Y-93793289D01* +X85788736Y-93818771D01* +X85790000Y-93844500D01* +X85790000Y-94369500D01* +X85788736Y-94395229D01* +X85784956Y-94420711D01* +X85778697Y-94445700D01* +X85770018Y-94469954D01* +X85759004Y-94493242D01* +X85745761Y-94515337D01* +X85730415Y-94536028D01* +X85713116Y-94555116D01* +X85694028Y-94572415D01* +X85673337Y-94587761D01* +X85651242Y-94601004D01* +X85627954Y-94612018D01* +X85603700Y-94620697D01* +X85578711Y-94626956D01* +X85553229Y-94630736D01* +X85527500Y-94632000D01* +X84652500Y-94632000D01* +X84626771Y-94630736D01* +X84601289Y-94626956D01* +X84576300Y-94620697D01* +X84552046Y-94612018D01* +X84528758Y-94601004D01* +X84506663Y-94587761D01* +X84485972Y-94572415D01* +X84466884Y-94555116D01* +X84449585Y-94536028D01* +X84434239Y-94515337D01* +X84420996Y-94493242D01* +X84409982Y-94469954D01* +X84401303Y-94445700D01* +X84395044Y-94420711D01* +X84391264Y-94395229D01* +X84390000Y-94369500D01* +X84390000Y-93844500D01* +X84391264Y-93818771D01* +X84395044Y-93793289D01* +X84401303Y-93768300D01* +X84409982Y-93744046D01* +X84420996Y-93720758D01* +X84434239Y-93698663D01* +X84449585Y-93677972D01* +X84466884Y-93658884D01* +X84485972Y-93641585D01* +X84506663Y-93626239D01* +X84528758Y-93612996D01* +X84552046Y-93601982D01* +X84576300Y-93593303D01* +X84601289Y-93587044D01* +X84626771Y-93583264D01* +X84652500Y-93582000D01* +X85527500Y-93582000D01* +X85553229Y-93583264D01* +X85553229Y-93583264D01* +G37* +D11* +X85090000Y-94107000D03* +D10* +G36* +X85553229Y-91883264D02* +G01* +X85578711Y-91887044D01* +X85603700Y-91893303D01* +X85627954Y-91901982D01* +X85651242Y-91912996D01* +X85673337Y-91926239D01* +X85694028Y-91941585D01* +X85713116Y-91958884D01* +X85730415Y-91977972D01* +X85745761Y-91998663D01* +X85759004Y-92020758D01* +X85770018Y-92044046D01* +X85778697Y-92068300D01* +X85784956Y-92093289D01* +X85788736Y-92118771D01* +X85790000Y-92144500D01* +X85790000Y-92669500D01* +X85788736Y-92695229D01* +X85784956Y-92720711D01* +X85778697Y-92745700D01* +X85770018Y-92769954D01* +X85759004Y-92793242D01* +X85745761Y-92815337D01* +X85730415Y-92836028D01* +X85713116Y-92855116D01* +X85694028Y-92872415D01* +X85673337Y-92887761D01* +X85651242Y-92901004D01* +X85627954Y-92912018D01* +X85603700Y-92920697D01* +X85578711Y-92926956D01* +X85553229Y-92930736D01* +X85527500Y-92932000D01* +X84652500Y-92932000D01* +X84626771Y-92930736D01* +X84601289Y-92926956D01* +X84576300Y-92920697D01* +X84552046Y-92912018D01* +X84528758Y-92901004D01* +X84506663Y-92887761D01* +X84485972Y-92872415D01* +X84466884Y-92855116D01* +X84449585Y-92836028D01* +X84434239Y-92815337D01* +X84420996Y-92793242D01* +X84409982Y-92769954D01* +X84401303Y-92745700D01* +X84395044Y-92720711D01* +X84391264Y-92695229D01* +X84390000Y-92669500D01* +X84390000Y-92144500D01* +X84391264Y-92118771D01* +X84395044Y-92093289D01* +X84401303Y-92068300D01* +X84409982Y-92044046D01* +X84420996Y-92020758D01* +X84434239Y-91998663D01* +X84449585Y-91977972D01* +X84466884Y-91958884D01* +X84485972Y-91941585D01* +X84506663Y-91926239D01* +X84528758Y-91912996D01* +X84552046Y-91901982D01* +X84576300Y-91893303D01* +X84601289Y-91887044D01* +X84626771Y-91883264D01* +X84652500Y-91882000D01* +X85527500Y-91882000D01* +X85553229Y-91883264D01* +X85553229Y-91883264D01* +G37* +D11* +X85090000Y-92407000D03* +D12* +X157480000Y-98806000D03* +X154940000Y-98806000D03* +X129540000Y-98806000D03* +X144780000Y-98806000D03* +X139700000Y-98806000D03* +X142240000Y-98806000D03* +X137160000Y-98806000D03* +X132080000Y-98806000D03* +X134620000Y-98806000D03* +X152400000Y-98806000D03* +X147320000Y-98806000D03* +X149860000Y-98806000D03* +X127000000Y-98806000D03* +X121920000Y-98806000D03* +X124460000Y-98806000D03* +X119380000Y-98806000D03* +X114300000Y-98806000D03* +X116840000Y-98806000D03* +X111760000Y-98806000D03* +X106680000Y-98806000D03* +X109220000Y-98806000D03* +X101600000Y-98806000D03* +X104140000Y-98806000D03* +X99060000Y-98806000D03* +X96520000Y-98806000D03* +X91440000Y-98806000D03* +X93980000Y-98806000D03* +X83820000Y-98806000D03* +X86360000Y-98806000D03* +D10* +G36* +X145268069Y-98808140D02* +G01* +X145311218Y-98814541D01* +X145353532Y-98825140D01* +X145394603Y-98839836D01* +X145434036Y-98858486D01* +X145471451Y-98880912D01* +X145506488Y-98906897D01* +X145538809Y-98936191D01* +X145568103Y-98968512D01* +X145594088Y-99003549D01* +X145616514Y-99040964D01* +X145635164Y-99080397D01* +X145649860Y-99121468D01* +X145660459Y-99163782D01* +X145666860Y-99206931D01* +X145669000Y-99250500D01* +X145669000Y-100393500D01* +X145666860Y-100437069D01* +X145660459Y-100480218D01* +X145649860Y-100522532D01* +X145635164Y-100563603D01* +X145616514Y-100603036D01* +X145594088Y-100640451D01* +X145568103Y-100675488D01* +X145538809Y-100707809D01* +X145506488Y-100737103D01* +X145471451Y-100763088D01* +X145434036Y-100785514D01* +X145394603Y-100804164D01* +X145353532Y-100818860D01* +X145311218Y-100829459D01* +X145268069Y-100835860D01* +X145224500Y-100838000D01* +X144335500Y-100838000D01* +X144291931Y-100835860D01* +X144248782Y-100829459D01* +X144206468Y-100818860D01* +X144165397Y-100804164D01* +X144125964Y-100785514D01* +X144088549Y-100763088D01* +X144053512Y-100737103D01* +X144021191Y-100707809D01* +X143991897Y-100675488D01* +X143965912Y-100640451D01* +X143943486Y-100603036D01* +X143924836Y-100563603D01* +X143910140Y-100522532D01* +X143899541Y-100480218D01* +X143893140Y-100437069D01* +X143891000Y-100393500D01* +X143891000Y-99250500D01* +X143893140Y-99206931D01* +X143899541Y-99163782D01* +X143910140Y-99121468D01* +X143924836Y-99080397D01* +X143943486Y-99040964D01* +X143965912Y-99003549D01* +X143991897Y-98968512D01* +X144021191Y-98936191D01* +X144053512Y-98906897D01* +X144088549Y-98880912D01* +X144125964Y-98858486D01* +X144165397Y-98839836D01* +X144206468Y-98825140D01* +X144248782Y-98814541D01* +X144291931Y-98808140D01* +X144335500Y-98806000D01* +X145224500Y-98806000D01* +X145268069Y-98808140D01* +X145268069Y-98808140D01* +G37* +D13* +X144780000Y-99822000D03* +D10* +G36* +X119868069Y-98808140D02* +G01* +X119911218Y-98814541D01* +X119953532Y-98825140D01* +X119994603Y-98839836D01* +X120034036Y-98858486D01* +X120071451Y-98880912D01* +X120106488Y-98906897D01* +X120138809Y-98936191D01* +X120168103Y-98968512D01* +X120194088Y-99003549D01* +X120216514Y-99040964D01* +X120235164Y-99080397D01* +X120249860Y-99121468D01* +X120260459Y-99163782D01* +X120266860Y-99206931D01* +X120269000Y-99250500D01* +X120269000Y-100393500D01* +X120266860Y-100437069D01* +X120260459Y-100480218D01* +X120249860Y-100522532D01* +X120235164Y-100563603D01* +X120216514Y-100603036D01* +X120194088Y-100640451D01* +X120168103Y-100675488D01* +X120138809Y-100707809D01* +X120106488Y-100737103D01* +X120071451Y-100763088D01* +X120034036Y-100785514D01* +X119994603Y-100804164D01* +X119953532Y-100818860D01* +X119911218Y-100829459D01* +X119868069Y-100835860D01* +X119824500Y-100838000D01* +X118935500Y-100838000D01* +X118891931Y-100835860D01* +X118848782Y-100829459D01* +X118806468Y-100818860D01* +X118765397Y-100804164D01* +X118725964Y-100785514D01* +X118688549Y-100763088D01* +X118653512Y-100737103D01* +X118621191Y-100707809D01* +X118591897Y-100675488D01* +X118565912Y-100640451D01* +X118543486Y-100603036D01* +X118524836Y-100563603D01* +X118510140Y-100522532D01* +X118499541Y-100480218D01* +X118493140Y-100437069D01* +X118491000Y-100393500D01* +X118491000Y-99250500D01* +X118493140Y-99206931D01* +X118499541Y-99163782D01* +X118510140Y-99121468D01* +X118524836Y-99080397D01* +X118543486Y-99040964D01* +X118565912Y-99003549D01* +X118591897Y-98968512D01* +X118621191Y-98936191D01* +X118653512Y-98906897D01* +X118688549Y-98880912D01* +X118725964Y-98858486D01* +X118765397Y-98839836D01* +X118806468Y-98825140D01* +X118848782Y-98814541D01* +X118891931Y-98808140D01* +X118935500Y-98806000D01* +X119824500Y-98806000D01* +X119868069Y-98808140D01* +X119868069Y-98808140D01* +G37* +D13* +X119380000Y-99822000D03* +D10* +G36* +X157968069Y-98808140D02* +G01* +X158011218Y-98814541D01* +X158053532Y-98825140D01* +X158094603Y-98839836D01* +X158134036Y-98858486D01* +X158171451Y-98880912D01* +X158206488Y-98906897D01* +X158238809Y-98936191D01* +X158268103Y-98968512D01* +X158294088Y-99003549D01* +X158316514Y-99040964D01* +X158335164Y-99080397D01* +X158349860Y-99121468D01* +X158360459Y-99163782D01* +X158366860Y-99206931D01* +X158369000Y-99250500D01* +X158369000Y-100393500D01* +X158366860Y-100437069D01* +X158360459Y-100480218D01* +X158349860Y-100522532D01* +X158335164Y-100563603D01* +X158316514Y-100603036D01* +X158294088Y-100640451D01* +X158268103Y-100675488D01* +X158238809Y-100707809D01* +X158206488Y-100737103D01* +X158171451Y-100763088D01* +X158134036Y-100785514D01* +X158094603Y-100804164D01* +X158053532Y-100818860D01* +X158011218Y-100829459D01* +X157968069Y-100835860D01* +X157924500Y-100838000D01* +X157035500Y-100838000D01* +X156991931Y-100835860D01* +X156948782Y-100829459D01* +X156906468Y-100818860D01* +X156865397Y-100804164D01* +X156825964Y-100785514D01* +X156788549Y-100763088D01* +X156753512Y-100737103D01* +X156721191Y-100707809D01* +X156691897Y-100675488D01* +X156665912Y-100640451D01* +X156643486Y-100603036D01* +X156624836Y-100563603D01* +X156610140Y-100522532D01* +X156599541Y-100480218D01* +X156593140Y-100437069D01* +X156591000Y-100393500D01* +X156591000Y-99250500D01* +X156593140Y-99206931D01* +X156599541Y-99163782D01* +X156610140Y-99121468D01* +X156624836Y-99080397D01* +X156643486Y-99040964D01* +X156665912Y-99003549D01* +X156691897Y-98968512D01* +X156721191Y-98936191D01* +X156753512Y-98906897D01* +X156788549Y-98880912D01* +X156825964Y-98858486D01* +X156865397Y-98839836D01* +X156906468Y-98825140D01* +X156948782Y-98814541D01* +X156991931Y-98808140D01* +X157035500Y-98806000D01* +X157924500Y-98806000D01* +X157968069Y-98808140D01* +X157968069Y-98808140D01* +G37* +D13* +X157480000Y-99822000D03* +D10* +G36* +X152888069Y-98808140D02* +G01* +X152931218Y-98814541D01* +X152973532Y-98825140D01* +X153014603Y-98839836D01* +X153054036Y-98858486D01* +X153091451Y-98880912D01* +X153126488Y-98906897D01* +X153158809Y-98936191D01* +X153188103Y-98968512D01* +X153214088Y-99003549D01* +X153236514Y-99040964D01* +X153255164Y-99080397D01* +X153269860Y-99121468D01* +X153280459Y-99163782D01* +X153286860Y-99206931D01* +X153289000Y-99250500D01* +X153289000Y-100393500D01* +X153286860Y-100437069D01* +X153280459Y-100480218D01* +X153269860Y-100522532D01* +X153255164Y-100563603D01* +X153236514Y-100603036D01* +X153214088Y-100640451D01* +X153188103Y-100675488D01* +X153158809Y-100707809D01* +X153126488Y-100737103D01* +X153091451Y-100763088D01* +X153054036Y-100785514D01* +X153014603Y-100804164D01* +X152973532Y-100818860D01* +X152931218Y-100829459D01* +X152888069Y-100835860D01* +X152844500Y-100838000D01* +X151955500Y-100838000D01* +X151911931Y-100835860D01* +X151868782Y-100829459D01* +X151826468Y-100818860D01* +X151785397Y-100804164D01* +X151745964Y-100785514D01* +X151708549Y-100763088D01* +X151673512Y-100737103D01* +X151641191Y-100707809D01* +X151611897Y-100675488D01* +X151585912Y-100640451D01* +X151563486Y-100603036D01* +X151544836Y-100563603D01* +X151530140Y-100522532D01* +X151519541Y-100480218D01* +X151513140Y-100437069D01* +X151511000Y-100393500D01* +X151511000Y-99250500D01* +X151513140Y-99206931D01* +X151519541Y-99163782D01* +X151530140Y-99121468D01* +X151544836Y-99080397D01* +X151563486Y-99040964D01* +X151585912Y-99003549D01* +X151611897Y-98968512D01* +X151641191Y-98936191D01* +X151673512Y-98906897D01* +X151708549Y-98880912D01* +X151745964Y-98858486D01* +X151785397Y-98839836D01* +X151826468Y-98825140D01* +X151868782Y-98814541D01* +X151911931Y-98808140D01* +X151955500Y-98806000D01* +X152844500Y-98806000D01* +X152888069Y-98808140D01* +X152888069Y-98808140D01* +G37* +D13* +X152400000Y-99822000D03* +D10* +G36* +X150348069Y-98808140D02* +G01* +X150391218Y-98814541D01* +X150433532Y-98825140D01* +X150474603Y-98839836D01* +X150514036Y-98858486D01* +X150551451Y-98880912D01* +X150586488Y-98906897D01* +X150618809Y-98936191D01* +X150648103Y-98968512D01* +X150674088Y-99003549D01* +X150696514Y-99040964D01* +X150715164Y-99080397D01* +X150729860Y-99121468D01* +X150740459Y-99163782D01* +X150746860Y-99206931D01* +X150749000Y-99250500D01* +X150749000Y-100393500D01* +X150746860Y-100437069D01* +X150740459Y-100480218D01* +X150729860Y-100522532D01* +X150715164Y-100563603D01* +X150696514Y-100603036D01* +X150674088Y-100640451D01* +X150648103Y-100675488D01* +X150618809Y-100707809D01* +X150586488Y-100737103D01* +X150551451Y-100763088D01* +X150514036Y-100785514D01* +X150474603Y-100804164D01* +X150433532Y-100818860D01* +X150391218Y-100829459D01* +X150348069Y-100835860D01* +X150304500Y-100838000D01* +X149415500Y-100838000D01* +X149371931Y-100835860D01* +X149328782Y-100829459D01* +X149286468Y-100818860D01* +X149245397Y-100804164D01* +X149205964Y-100785514D01* +X149168549Y-100763088D01* +X149133512Y-100737103D01* +X149101191Y-100707809D01* +X149071897Y-100675488D01* +X149045912Y-100640451D01* +X149023486Y-100603036D01* +X149004836Y-100563603D01* +X148990140Y-100522532D01* +X148979541Y-100480218D01* +X148973140Y-100437069D01* +X148971000Y-100393500D01* +X148971000Y-99250500D01* +X148973140Y-99206931D01* +X148979541Y-99163782D01* +X148990140Y-99121468D01* +X149004836Y-99080397D01* +X149023486Y-99040964D01* +X149045912Y-99003549D01* +X149071897Y-98968512D01* +X149101191Y-98936191D01* +X149133512Y-98906897D01* +X149168549Y-98880912D01* +X149205964Y-98858486D01* +X149245397Y-98839836D01* +X149286468Y-98825140D01* +X149328782Y-98814541D01* +X149371931Y-98808140D01* +X149415500Y-98806000D01* +X150304500Y-98806000D01* +X150348069Y-98808140D01* +X150348069Y-98808140D01* +G37* +D13* +X149860000Y-99822000D03* +D10* +G36* +X102088069Y-98808140D02* +G01* +X102131218Y-98814541D01* +X102173532Y-98825140D01* +X102214603Y-98839836D01* +X102254036Y-98858486D01* +X102291451Y-98880912D01* +X102326488Y-98906897D01* +X102358809Y-98936191D01* +X102388103Y-98968512D01* +X102414088Y-99003549D01* +X102436514Y-99040964D01* +X102455164Y-99080397D01* +X102469860Y-99121468D01* +X102480459Y-99163782D01* +X102486860Y-99206931D01* +X102489000Y-99250500D01* +X102489000Y-100393500D01* +X102486860Y-100437069D01* +X102480459Y-100480218D01* +X102469860Y-100522532D01* +X102455164Y-100563603D01* +X102436514Y-100603036D01* +X102414088Y-100640451D01* +X102388103Y-100675488D01* +X102358809Y-100707809D01* +X102326488Y-100737103D01* +X102291451Y-100763088D01* +X102254036Y-100785514D01* +X102214603Y-100804164D01* +X102173532Y-100818860D01* +X102131218Y-100829459D01* +X102088069Y-100835860D01* +X102044500Y-100838000D01* +X101155500Y-100838000D01* +X101111931Y-100835860D01* +X101068782Y-100829459D01* +X101026468Y-100818860D01* +X100985397Y-100804164D01* +X100945964Y-100785514D01* +X100908549Y-100763088D01* +X100873512Y-100737103D01* +X100841191Y-100707809D01* +X100811897Y-100675488D01* +X100785912Y-100640451D01* +X100763486Y-100603036D01* +X100744836Y-100563603D01* +X100730140Y-100522532D01* +X100719541Y-100480218D01* +X100713140Y-100437069D01* +X100711000Y-100393500D01* +X100711000Y-99250500D01* +X100713140Y-99206931D01* +X100719541Y-99163782D01* +X100730140Y-99121468D01* +X100744836Y-99080397D01* +X100763486Y-99040964D01* +X100785912Y-99003549D01* +X100811897Y-98968512D01* +X100841191Y-98936191D01* +X100873512Y-98906897D01* +X100908549Y-98880912D01* +X100945964Y-98858486D01* +X100985397Y-98839836D01* +X101026468Y-98825140D01* +X101068782Y-98814541D01* +X101111931Y-98808140D01* +X101155500Y-98806000D01* +X102044500Y-98806000D01* +X102088069Y-98808140D01* +X102088069Y-98808140D01* +G37* +D13* +X101600000Y-99822000D03* +D10* +G36* +X99548069Y-98808140D02* +G01* +X99591218Y-98814541D01* +X99633532Y-98825140D01* +X99674603Y-98839836D01* +X99714036Y-98858486D01* +X99751451Y-98880912D01* +X99786488Y-98906897D01* +X99818809Y-98936191D01* +X99848103Y-98968512D01* +X99874088Y-99003549D01* +X99896514Y-99040964D01* +X99915164Y-99080397D01* +X99929860Y-99121468D01* +X99940459Y-99163782D01* +X99946860Y-99206931D01* +X99949000Y-99250500D01* +X99949000Y-100393500D01* +X99946860Y-100437069D01* +X99940459Y-100480218D01* +X99929860Y-100522532D01* +X99915164Y-100563603D01* +X99896514Y-100603036D01* +X99874088Y-100640451D01* +X99848103Y-100675488D01* +X99818809Y-100707809D01* +X99786488Y-100737103D01* +X99751451Y-100763088D01* +X99714036Y-100785514D01* +X99674603Y-100804164D01* +X99633532Y-100818860D01* +X99591218Y-100829459D01* +X99548069Y-100835860D01* +X99504500Y-100838000D01* +X98615500Y-100838000D01* +X98571931Y-100835860D01* +X98528782Y-100829459D01* +X98486468Y-100818860D01* +X98445397Y-100804164D01* +X98405964Y-100785514D01* +X98368549Y-100763088D01* +X98333512Y-100737103D01* +X98301191Y-100707809D01* +X98271897Y-100675488D01* +X98245912Y-100640451D01* +X98223486Y-100603036D01* +X98204836Y-100563603D01* +X98190140Y-100522532D01* +X98179541Y-100480218D01* +X98173140Y-100437069D01* +X98171000Y-100393500D01* +X98171000Y-99250500D01* +X98173140Y-99206931D01* +X98179541Y-99163782D01* +X98190140Y-99121468D01* +X98204836Y-99080397D01* +X98223486Y-99040964D01* +X98245912Y-99003549D01* +X98271897Y-98968512D01* +X98301191Y-98936191D01* +X98333512Y-98906897D01* +X98368549Y-98880912D01* +X98405964Y-98858486D01* +X98445397Y-98839836D01* +X98486468Y-98825140D01* +X98528782Y-98814541D01* +X98571931Y-98808140D01* +X98615500Y-98806000D01* +X99504500Y-98806000D01* +X99548069Y-98808140D01* +X99548069Y-98808140D01* +G37* +D13* +X99060000Y-99822000D03* +D10* +G36* +X124948069Y-98808140D02* +G01* +X124991218Y-98814541D01* +X125033532Y-98825140D01* +X125074603Y-98839836D01* +X125114036Y-98858486D01* +X125151451Y-98880912D01* +X125186488Y-98906897D01* +X125218809Y-98936191D01* +X125248103Y-98968512D01* +X125274088Y-99003549D01* +X125296514Y-99040964D01* +X125315164Y-99080397D01* +X125329860Y-99121468D01* +X125340459Y-99163782D01* +X125346860Y-99206931D01* +X125349000Y-99250500D01* +X125349000Y-100393500D01* +X125346860Y-100437069D01* +X125340459Y-100480218D01* +X125329860Y-100522532D01* +X125315164Y-100563603D01* +X125296514Y-100603036D01* +X125274088Y-100640451D01* +X125248103Y-100675488D01* +X125218809Y-100707809D01* +X125186488Y-100737103D01* +X125151451Y-100763088D01* +X125114036Y-100785514D01* +X125074603Y-100804164D01* +X125033532Y-100818860D01* +X124991218Y-100829459D01* +X124948069Y-100835860D01* +X124904500Y-100838000D01* +X124015500Y-100838000D01* +X123971931Y-100835860D01* +X123928782Y-100829459D01* +X123886468Y-100818860D01* +X123845397Y-100804164D01* +X123805964Y-100785514D01* +X123768549Y-100763088D01* +X123733512Y-100737103D01* +X123701191Y-100707809D01* +X123671897Y-100675488D01* +X123645912Y-100640451D01* +X123623486Y-100603036D01* +X123604836Y-100563603D01* +X123590140Y-100522532D01* +X123579541Y-100480218D01* +X123573140Y-100437069D01* +X123571000Y-100393500D01* +X123571000Y-99250500D01* +X123573140Y-99206931D01* +X123579541Y-99163782D01* +X123590140Y-99121468D01* +X123604836Y-99080397D01* +X123623486Y-99040964D01* +X123645912Y-99003549D01* +X123671897Y-98968512D01* +X123701191Y-98936191D01* +X123733512Y-98906897D01* +X123768549Y-98880912D01* +X123805964Y-98858486D01* +X123845397Y-98839836D01* +X123886468Y-98825140D01* +X123928782Y-98814541D01* +X123971931Y-98808140D01* +X124015500Y-98806000D01* +X124904500Y-98806000D01* +X124948069Y-98808140D01* +X124948069Y-98808140D01* +G37* +D13* +X124460000Y-99822000D03* +D10* +G36* +X97008069Y-98808140D02* +G01* +X97051218Y-98814541D01* +X97093532Y-98825140D01* +X97134603Y-98839836D01* +X97174036Y-98858486D01* +X97211451Y-98880912D01* +X97246488Y-98906897D01* +X97278809Y-98936191D01* +X97308103Y-98968512D01* +X97334088Y-99003549D01* +X97356514Y-99040964D01* +X97375164Y-99080397D01* +X97389860Y-99121468D01* +X97400459Y-99163782D01* +X97406860Y-99206931D01* +X97409000Y-99250500D01* +X97409000Y-100393500D01* +X97406860Y-100437069D01* +X97400459Y-100480218D01* +X97389860Y-100522532D01* +X97375164Y-100563603D01* +X97356514Y-100603036D01* +X97334088Y-100640451D01* +X97308103Y-100675488D01* +X97278809Y-100707809D01* +X97246488Y-100737103D01* +X97211451Y-100763088D01* +X97174036Y-100785514D01* +X97134603Y-100804164D01* +X97093532Y-100818860D01* +X97051218Y-100829459D01* +X97008069Y-100835860D01* +X96964500Y-100838000D01* +X96075500Y-100838000D01* +X96031931Y-100835860D01* +X95988782Y-100829459D01* +X95946468Y-100818860D01* +X95905397Y-100804164D01* +X95865964Y-100785514D01* +X95828549Y-100763088D01* +X95793512Y-100737103D01* +X95761191Y-100707809D01* +X95731897Y-100675488D01* +X95705912Y-100640451D01* +X95683486Y-100603036D01* +X95664836Y-100563603D01* +X95650140Y-100522532D01* +X95639541Y-100480218D01* +X95633140Y-100437069D01* +X95631000Y-100393500D01* +X95631000Y-99250500D01* +X95633140Y-99206931D01* +X95639541Y-99163782D01* +X95650140Y-99121468D01* +X95664836Y-99080397D01* +X95683486Y-99040964D01* +X95705912Y-99003549D01* +X95731897Y-98968512D01* +X95761191Y-98936191D01* +X95793512Y-98906897D01* +X95828549Y-98880912D01* +X95865964Y-98858486D01* +X95905397Y-98839836D01* +X95946468Y-98825140D01* +X95988782Y-98814541D01* +X96031931Y-98808140D01* +X96075500Y-98806000D01* +X96964500Y-98806000D01* +X97008069Y-98808140D01* +X97008069Y-98808140D01* +G37* +D13* +X96520000Y-99822000D03* +D10* +G36* +X137648069Y-98808140D02* +G01* +X137691218Y-98814541D01* +X137733532Y-98825140D01* +X137774603Y-98839836D01* +X137814036Y-98858486D01* +X137851451Y-98880912D01* +X137886488Y-98906897D01* +X137918809Y-98936191D01* +X137948103Y-98968512D01* +X137974088Y-99003549D01* +X137996514Y-99040964D01* +X138015164Y-99080397D01* +X138029860Y-99121468D01* +X138040459Y-99163782D01* +X138046860Y-99206931D01* +X138049000Y-99250500D01* +X138049000Y-100393500D01* +X138046860Y-100437069D01* +X138040459Y-100480218D01* +X138029860Y-100522532D01* +X138015164Y-100563603D01* +X137996514Y-100603036D01* +X137974088Y-100640451D01* +X137948103Y-100675488D01* +X137918809Y-100707809D01* +X137886488Y-100737103D01* +X137851451Y-100763088D01* +X137814036Y-100785514D01* +X137774603Y-100804164D01* +X137733532Y-100818860D01* +X137691218Y-100829459D01* +X137648069Y-100835860D01* +X137604500Y-100838000D01* +X136715500Y-100838000D01* +X136671931Y-100835860D01* +X136628782Y-100829459D01* +X136586468Y-100818860D01* +X136545397Y-100804164D01* +X136505964Y-100785514D01* +X136468549Y-100763088D01* +X136433512Y-100737103D01* +X136401191Y-100707809D01* +X136371897Y-100675488D01* +X136345912Y-100640451D01* +X136323486Y-100603036D01* +X136304836Y-100563603D01* +X136290140Y-100522532D01* +X136279541Y-100480218D01* +X136273140Y-100437069D01* +X136271000Y-100393500D01* +X136271000Y-99250500D01* +X136273140Y-99206931D01* +X136279541Y-99163782D01* +X136290140Y-99121468D01* +X136304836Y-99080397D01* +X136323486Y-99040964D01* +X136345912Y-99003549D01* +X136371897Y-98968512D01* +X136401191Y-98936191D01* +X136433512Y-98906897D01* +X136468549Y-98880912D01* +X136505964Y-98858486D01* +X136545397Y-98839836D01* +X136586468Y-98825140D01* +X136628782Y-98814541D01* +X136671931Y-98808140D01* +X136715500Y-98806000D01* +X137604500Y-98806000D01* +X137648069Y-98808140D01* +X137648069Y-98808140D01* +G37* +D13* +X137160000Y-99822000D03* +D10* +G36* +X107168069Y-98808140D02* +G01* +X107211218Y-98814541D01* +X107253532Y-98825140D01* +X107294603Y-98839836D01* +X107334036Y-98858486D01* +X107371451Y-98880912D01* +X107406488Y-98906897D01* +X107438809Y-98936191D01* +X107468103Y-98968512D01* +X107494088Y-99003549D01* +X107516514Y-99040964D01* +X107535164Y-99080397D01* +X107549860Y-99121468D01* +X107560459Y-99163782D01* +X107566860Y-99206931D01* +X107569000Y-99250500D01* +X107569000Y-100393500D01* +X107566860Y-100437069D01* +X107560459Y-100480218D01* +X107549860Y-100522532D01* +X107535164Y-100563603D01* +X107516514Y-100603036D01* +X107494088Y-100640451D01* +X107468103Y-100675488D01* +X107438809Y-100707809D01* +X107406488Y-100737103D01* +X107371451Y-100763088D01* +X107334036Y-100785514D01* +X107294603Y-100804164D01* +X107253532Y-100818860D01* +X107211218Y-100829459D01* +X107168069Y-100835860D01* +X107124500Y-100838000D01* +X106235500Y-100838000D01* +X106191931Y-100835860D01* +X106148782Y-100829459D01* +X106106468Y-100818860D01* +X106065397Y-100804164D01* +X106025964Y-100785514D01* +X105988549Y-100763088D01* +X105953512Y-100737103D01* +X105921191Y-100707809D01* +X105891897Y-100675488D01* +X105865912Y-100640451D01* +X105843486Y-100603036D01* +X105824836Y-100563603D01* +X105810140Y-100522532D01* +X105799541Y-100480218D01* +X105793140Y-100437069D01* +X105791000Y-100393500D01* +X105791000Y-99250500D01* +X105793140Y-99206931D01* +X105799541Y-99163782D01* +X105810140Y-99121468D01* +X105824836Y-99080397D01* +X105843486Y-99040964D01* +X105865912Y-99003549D01* +X105891897Y-98968512D01* +X105921191Y-98936191D01* +X105953512Y-98906897D01* +X105988549Y-98880912D01* +X106025964Y-98858486D01* +X106065397Y-98839836D01* +X106106468Y-98825140D01* +X106148782Y-98814541D01* +X106191931Y-98808140D01* +X106235500Y-98806000D01* +X107124500Y-98806000D01* +X107168069Y-98808140D01* +X107168069Y-98808140D01* +G37* +D13* +X106680000Y-99822000D03* +D10* +G36* +X130028069Y-98808140D02* +G01* +X130071218Y-98814541D01* +X130113532Y-98825140D01* +X130154603Y-98839836D01* +X130194036Y-98858486D01* +X130231451Y-98880912D01* +X130266488Y-98906897D01* +X130298809Y-98936191D01* +X130328103Y-98968512D01* +X130354088Y-99003549D01* +X130376514Y-99040964D01* +X130395164Y-99080397D01* +X130409860Y-99121468D01* +X130420459Y-99163782D01* +X130426860Y-99206931D01* +X130429000Y-99250500D01* +X130429000Y-100393500D01* +X130426860Y-100437069D01* +X130420459Y-100480218D01* +X130409860Y-100522532D01* +X130395164Y-100563603D01* +X130376514Y-100603036D01* +X130354088Y-100640451D01* +X130328103Y-100675488D01* +X130298809Y-100707809D01* +X130266488Y-100737103D01* +X130231451Y-100763088D01* +X130194036Y-100785514D01* +X130154603Y-100804164D01* +X130113532Y-100818860D01* +X130071218Y-100829459D01* +X130028069Y-100835860D01* +X129984500Y-100838000D01* +X129095500Y-100838000D01* +X129051931Y-100835860D01* +X129008782Y-100829459D01* +X128966468Y-100818860D01* +X128925397Y-100804164D01* +X128885964Y-100785514D01* +X128848549Y-100763088D01* +X128813512Y-100737103D01* +X128781191Y-100707809D01* +X128751897Y-100675488D01* +X128725912Y-100640451D01* +X128703486Y-100603036D01* +X128684836Y-100563603D01* +X128670140Y-100522532D01* +X128659541Y-100480218D01* +X128653140Y-100437069D01* +X128651000Y-100393500D01* +X128651000Y-99250500D01* +X128653140Y-99206931D01* +X128659541Y-99163782D01* +X128670140Y-99121468D01* +X128684836Y-99080397D01* +X128703486Y-99040964D01* +X128725912Y-99003549D01* +X128751897Y-98968512D01* +X128781191Y-98936191D01* +X128813512Y-98906897D01* +X128848549Y-98880912D01* +X128885964Y-98858486D01* +X128925397Y-98839836D01* +X128966468Y-98825140D01* +X129008782Y-98814541D01* +X129051931Y-98808140D01* +X129095500Y-98806000D01* +X129984500Y-98806000D01* +X130028069Y-98808140D01* +X130028069Y-98808140D01* +G37* +D13* +X129540000Y-99822000D03* +D10* +G36* +X135108069Y-98808140D02* +G01* +X135151218Y-98814541D01* +X135193532Y-98825140D01* +X135234603Y-98839836D01* +X135274036Y-98858486D01* +X135311451Y-98880912D01* +X135346488Y-98906897D01* +X135378809Y-98936191D01* +X135408103Y-98968512D01* +X135434088Y-99003549D01* +X135456514Y-99040964D01* +X135475164Y-99080397D01* +X135489860Y-99121468D01* +X135500459Y-99163782D01* +X135506860Y-99206931D01* +X135509000Y-99250500D01* +X135509000Y-100393500D01* +X135506860Y-100437069D01* +X135500459Y-100480218D01* +X135489860Y-100522532D01* +X135475164Y-100563603D01* +X135456514Y-100603036D01* +X135434088Y-100640451D01* +X135408103Y-100675488D01* +X135378809Y-100707809D01* +X135346488Y-100737103D01* +X135311451Y-100763088D01* +X135274036Y-100785514D01* +X135234603Y-100804164D01* +X135193532Y-100818860D01* +X135151218Y-100829459D01* +X135108069Y-100835860D01* +X135064500Y-100838000D01* +X134175500Y-100838000D01* +X134131931Y-100835860D01* +X134088782Y-100829459D01* +X134046468Y-100818860D01* +X134005397Y-100804164D01* +X133965964Y-100785514D01* +X133928549Y-100763088D01* +X133893512Y-100737103D01* +X133861191Y-100707809D01* +X133831897Y-100675488D01* +X133805912Y-100640451D01* +X133783486Y-100603036D01* +X133764836Y-100563603D01* +X133750140Y-100522532D01* +X133739541Y-100480218D01* +X133733140Y-100437069D01* +X133731000Y-100393500D01* +X133731000Y-99250500D01* +X133733140Y-99206931D01* +X133739541Y-99163782D01* +X133750140Y-99121468D01* +X133764836Y-99080397D01* +X133783486Y-99040964D01* +X133805912Y-99003549D01* +X133831897Y-98968512D01* +X133861191Y-98936191D01* +X133893512Y-98906897D01* +X133928549Y-98880912D01* +X133965964Y-98858486D01* +X134005397Y-98839836D01* +X134046468Y-98825140D01* +X134088782Y-98814541D01* +X134131931Y-98808140D01* +X134175500Y-98806000D01* +X135064500Y-98806000D01* +X135108069Y-98808140D01* +X135108069Y-98808140D01* +G37* +D13* +X134620000Y-99822000D03* +D10* +G36* +X127488069Y-98808140D02* +G01* +X127531218Y-98814541D01* +X127573532Y-98825140D01* +X127614603Y-98839836D01* +X127654036Y-98858486D01* +X127691451Y-98880912D01* +X127726488Y-98906897D01* +X127758809Y-98936191D01* +X127788103Y-98968512D01* +X127814088Y-99003549D01* +X127836514Y-99040964D01* +X127855164Y-99080397D01* +X127869860Y-99121468D01* +X127880459Y-99163782D01* +X127886860Y-99206931D01* +X127889000Y-99250500D01* +X127889000Y-100393500D01* +X127886860Y-100437069D01* +X127880459Y-100480218D01* +X127869860Y-100522532D01* +X127855164Y-100563603D01* +X127836514Y-100603036D01* +X127814088Y-100640451D01* +X127788103Y-100675488D01* +X127758809Y-100707809D01* +X127726488Y-100737103D01* +X127691451Y-100763088D01* +X127654036Y-100785514D01* +X127614603Y-100804164D01* +X127573532Y-100818860D01* +X127531218Y-100829459D01* +X127488069Y-100835860D01* +X127444500Y-100838000D01* +X126555500Y-100838000D01* +X126511931Y-100835860D01* +X126468782Y-100829459D01* +X126426468Y-100818860D01* +X126385397Y-100804164D01* +X126345964Y-100785514D01* +X126308549Y-100763088D01* +X126273512Y-100737103D01* +X126241191Y-100707809D01* +X126211897Y-100675488D01* +X126185912Y-100640451D01* +X126163486Y-100603036D01* +X126144836Y-100563603D01* +X126130140Y-100522532D01* +X126119541Y-100480218D01* +X126113140Y-100437069D01* +X126111000Y-100393500D01* +X126111000Y-99250500D01* +X126113140Y-99206931D01* +X126119541Y-99163782D01* +X126130140Y-99121468D01* +X126144836Y-99080397D01* +X126163486Y-99040964D01* +X126185912Y-99003549D01* +X126211897Y-98968512D01* +X126241191Y-98936191D01* +X126273512Y-98906897D01* +X126308549Y-98880912D01* +X126345964Y-98858486D01* +X126385397Y-98839836D01* +X126426468Y-98825140D01* +X126468782Y-98814541D01* +X126511931Y-98808140D01* +X126555500Y-98806000D01* +X127444500Y-98806000D01* +X127488069Y-98808140D01* +X127488069Y-98808140D01* +G37* +D13* +X127000000Y-99822000D03* +D10* +G36* +X104628069Y-98808140D02* +G01* +X104671218Y-98814541D01* +X104713532Y-98825140D01* +X104754603Y-98839836D01* +X104794036Y-98858486D01* +X104831451Y-98880912D01* +X104866488Y-98906897D01* +X104898809Y-98936191D01* +X104928103Y-98968512D01* +X104954088Y-99003549D01* +X104976514Y-99040964D01* +X104995164Y-99080397D01* +X105009860Y-99121468D01* +X105020459Y-99163782D01* +X105026860Y-99206931D01* +X105029000Y-99250500D01* +X105029000Y-100393500D01* +X105026860Y-100437069D01* +X105020459Y-100480218D01* +X105009860Y-100522532D01* +X104995164Y-100563603D01* +X104976514Y-100603036D01* +X104954088Y-100640451D01* +X104928103Y-100675488D01* +X104898809Y-100707809D01* +X104866488Y-100737103D01* +X104831451Y-100763088D01* +X104794036Y-100785514D01* +X104754603Y-100804164D01* +X104713532Y-100818860D01* +X104671218Y-100829459D01* +X104628069Y-100835860D01* +X104584500Y-100838000D01* +X103695500Y-100838000D01* +X103651931Y-100835860D01* +X103608782Y-100829459D01* +X103566468Y-100818860D01* +X103525397Y-100804164D01* +X103485964Y-100785514D01* +X103448549Y-100763088D01* +X103413512Y-100737103D01* +X103381191Y-100707809D01* +X103351897Y-100675488D01* +X103325912Y-100640451D01* +X103303486Y-100603036D01* +X103284836Y-100563603D01* +X103270140Y-100522532D01* +X103259541Y-100480218D01* +X103253140Y-100437069D01* +X103251000Y-100393500D01* +X103251000Y-99250500D01* +X103253140Y-99206931D01* +X103259541Y-99163782D01* +X103270140Y-99121468D01* +X103284836Y-99080397D01* +X103303486Y-99040964D01* +X103325912Y-99003549D01* +X103351897Y-98968512D01* +X103381191Y-98936191D01* +X103413512Y-98906897D01* +X103448549Y-98880912D01* +X103485964Y-98858486D01* +X103525397Y-98839836D01* +X103566468Y-98825140D01* +X103608782Y-98814541D01* +X103651931Y-98808140D01* +X103695500Y-98806000D01* +X104584500Y-98806000D01* +X104628069Y-98808140D01* +X104628069Y-98808140D01* +G37* +D13* +X104140000Y-99822000D03* +D10* +G36* +X140188069Y-98808140D02* +G01* +X140231218Y-98814541D01* +X140273532Y-98825140D01* +X140314603Y-98839836D01* +X140354036Y-98858486D01* +X140391451Y-98880912D01* +X140426488Y-98906897D01* +X140458809Y-98936191D01* +X140488103Y-98968512D01* +X140514088Y-99003549D01* +X140536514Y-99040964D01* +X140555164Y-99080397D01* +X140569860Y-99121468D01* +X140580459Y-99163782D01* +X140586860Y-99206931D01* +X140589000Y-99250500D01* +X140589000Y-100393500D01* +X140586860Y-100437069D01* +X140580459Y-100480218D01* +X140569860Y-100522532D01* +X140555164Y-100563603D01* +X140536514Y-100603036D01* +X140514088Y-100640451D01* +X140488103Y-100675488D01* +X140458809Y-100707809D01* +X140426488Y-100737103D01* +X140391451Y-100763088D01* +X140354036Y-100785514D01* +X140314603Y-100804164D01* +X140273532Y-100818860D01* +X140231218Y-100829459D01* +X140188069Y-100835860D01* +X140144500Y-100838000D01* +X139255500Y-100838000D01* +X139211931Y-100835860D01* +X139168782Y-100829459D01* +X139126468Y-100818860D01* +X139085397Y-100804164D01* +X139045964Y-100785514D01* +X139008549Y-100763088D01* +X138973512Y-100737103D01* +X138941191Y-100707809D01* +X138911897Y-100675488D01* +X138885912Y-100640451D01* +X138863486Y-100603036D01* +X138844836Y-100563603D01* +X138830140Y-100522532D01* +X138819541Y-100480218D01* +X138813140Y-100437069D01* +X138811000Y-100393500D01* +X138811000Y-99250500D01* +X138813140Y-99206931D01* +X138819541Y-99163782D01* +X138830140Y-99121468D01* +X138844836Y-99080397D01* +X138863486Y-99040964D01* +X138885912Y-99003549D01* +X138911897Y-98968512D01* +X138941191Y-98936191D01* +X138973512Y-98906897D01* +X139008549Y-98880912D01* +X139045964Y-98858486D01* +X139085397Y-98839836D01* +X139126468Y-98825140D01* +X139168782Y-98814541D01* +X139211931Y-98808140D01* +X139255500Y-98806000D01* +X140144500Y-98806000D01* +X140188069Y-98808140D01* +X140188069Y-98808140D01* +G37* +D13* +X139700000Y-99822000D03* +D10* +G36* +X132568069Y-98808140D02* +G01* +X132611218Y-98814541D01* +X132653532Y-98825140D01* +X132694603Y-98839836D01* +X132734036Y-98858486D01* +X132771451Y-98880912D01* +X132806488Y-98906897D01* +X132838809Y-98936191D01* +X132868103Y-98968512D01* +X132894088Y-99003549D01* +X132916514Y-99040964D01* +X132935164Y-99080397D01* +X132949860Y-99121468D01* +X132960459Y-99163782D01* +X132966860Y-99206931D01* +X132969000Y-99250500D01* +X132969000Y-100393500D01* +X132966860Y-100437069D01* +X132960459Y-100480218D01* +X132949860Y-100522532D01* +X132935164Y-100563603D01* +X132916514Y-100603036D01* +X132894088Y-100640451D01* +X132868103Y-100675488D01* +X132838809Y-100707809D01* +X132806488Y-100737103D01* +X132771451Y-100763088D01* +X132734036Y-100785514D01* +X132694603Y-100804164D01* +X132653532Y-100818860D01* +X132611218Y-100829459D01* +X132568069Y-100835860D01* +X132524500Y-100838000D01* +X131635500Y-100838000D01* +X131591931Y-100835860D01* +X131548782Y-100829459D01* +X131506468Y-100818860D01* +X131465397Y-100804164D01* +X131425964Y-100785514D01* +X131388549Y-100763088D01* +X131353512Y-100737103D01* +X131321191Y-100707809D01* +X131291897Y-100675488D01* +X131265912Y-100640451D01* +X131243486Y-100603036D01* +X131224836Y-100563603D01* +X131210140Y-100522532D01* +X131199541Y-100480218D01* +X131193140Y-100437069D01* +X131191000Y-100393500D01* +X131191000Y-99250500D01* +X131193140Y-99206931D01* +X131199541Y-99163782D01* +X131210140Y-99121468D01* +X131224836Y-99080397D01* +X131243486Y-99040964D01* +X131265912Y-99003549D01* +X131291897Y-98968512D01* +X131321191Y-98936191D01* +X131353512Y-98906897D01* +X131388549Y-98880912D01* +X131425964Y-98858486D01* +X131465397Y-98839836D01* +X131506468Y-98825140D01* +X131548782Y-98814541D01* +X131591931Y-98808140D01* +X131635500Y-98806000D01* +X132524500Y-98806000D01* +X132568069Y-98808140D01* +X132568069Y-98808140D01* +G37* +D13* +X132080000Y-99822000D03* +D10* +G36* +X122408069Y-98808140D02* +G01* +X122451218Y-98814541D01* +X122493532Y-98825140D01* +X122534603Y-98839836D01* +X122574036Y-98858486D01* +X122611451Y-98880912D01* +X122646488Y-98906897D01* +X122678809Y-98936191D01* +X122708103Y-98968512D01* +X122734088Y-99003549D01* +X122756514Y-99040964D01* +X122775164Y-99080397D01* +X122789860Y-99121468D01* +X122800459Y-99163782D01* +X122806860Y-99206931D01* +X122809000Y-99250500D01* +X122809000Y-100393500D01* +X122806860Y-100437069D01* +X122800459Y-100480218D01* +X122789860Y-100522532D01* +X122775164Y-100563603D01* +X122756514Y-100603036D01* +X122734088Y-100640451D01* +X122708103Y-100675488D01* +X122678809Y-100707809D01* +X122646488Y-100737103D01* +X122611451Y-100763088D01* +X122574036Y-100785514D01* +X122534603Y-100804164D01* +X122493532Y-100818860D01* +X122451218Y-100829459D01* +X122408069Y-100835860D01* +X122364500Y-100838000D01* +X121475500Y-100838000D01* +X121431931Y-100835860D01* +X121388782Y-100829459D01* +X121346468Y-100818860D01* +X121305397Y-100804164D01* +X121265964Y-100785514D01* +X121228549Y-100763088D01* +X121193512Y-100737103D01* +X121161191Y-100707809D01* +X121131897Y-100675488D01* +X121105912Y-100640451D01* +X121083486Y-100603036D01* +X121064836Y-100563603D01* +X121050140Y-100522532D01* +X121039541Y-100480218D01* +X121033140Y-100437069D01* +X121031000Y-100393500D01* +X121031000Y-99250500D01* +X121033140Y-99206931D01* +X121039541Y-99163782D01* +X121050140Y-99121468D01* +X121064836Y-99080397D01* +X121083486Y-99040964D01* +X121105912Y-99003549D01* +X121131897Y-98968512D01* +X121161191Y-98936191D01* +X121193512Y-98906897D01* +X121228549Y-98880912D01* +X121265964Y-98858486D01* +X121305397Y-98839836D01* +X121346468Y-98825140D01* +X121388782Y-98814541D01* +X121431931Y-98808140D01* +X121475500Y-98806000D01* +X122364500Y-98806000D01* +X122408069Y-98808140D01* +X122408069Y-98808140D01* +G37* +D13* +X121920000Y-99822000D03* +D10* +G36* +X142728069Y-98808140D02* +G01* +X142771218Y-98814541D01* +X142813532Y-98825140D01* +X142854603Y-98839836D01* +X142894036Y-98858486D01* +X142931451Y-98880912D01* +X142966488Y-98906897D01* +X142998809Y-98936191D01* +X143028103Y-98968512D01* +X143054088Y-99003549D01* +X143076514Y-99040964D01* +X143095164Y-99080397D01* +X143109860Y-99121468D01* +X143120459Y-99163782D01* +X143126860Y-99206931D01* +X143129000Y-99250500D01* +X143129000Y-100393500D01* +X143126860Y-100437069D01* +X143120459Y-100480218D01* +X143109860Y-100522532D01* +X143095164Y-100563603D01* +X143076514Y-100603036D01* +X143054088Y-100640451D01* +X143028103Y-100675488D01* +X142998809Y-100707809D01* +X142966488Y-100737103D01* +X142931451Y-100763088D01* +X142894036Y-100785514D01* +X142854603Y-100804164D01* +X142813532Y-100818860D01* +X142771218Y-100829459D01* +X142728069Y-100835860D01* +X142684500Y-100838000D01* +X141795500Y-100838000D01* +X141751931Y-100835860D01* +X141708782Y-100829459D01* +X141666468Y-100818860D01* +X141625397Y-100804164D01* +X141585964Y-100785514D01* +X141548549Y-100763088D01* +X141513512Y-100737103D01* +X141481191Y-100707809D01* +X141451897Y-100675488D01* +X141425912Y-100640451D01* +X141403486Y-100603036D01* +X141384836Y-100563603D01* +X141370140Y-100522532D01* +X141359541Y-100480218D01* +X141353140Y-100437069D01* +X141351000Y-100393500D01* +X141351000Y-99250500D01* +X141353140Y-99206931D01* +X141359541Y-99163782D01* +X141370140Y-99121468D01* +X141384836Y-99080397D01* +X141403486Y-99040964D01* +X141425912Y-99003549D01* +X141451897Y-98968512D01* +X141481191Y-98936191D01* +X141513512Y-98906897D01* +X141548549Y-98880912D01* +X141585964Y-98858486D01* +X141625397Y-98839836D01* +X141666468Y-98825140D01* +X141708782Y-98814541D01* +X141751931Y-98808140D01* +X141795500Y-98806000D01* +X142684500Y-98806000D01* +X142728069Y-98808140D01* +X142728069Y-98808140D01* +G37* +D13* +X142240000Y-99822000D03* +D10* +G36* +X89388069Y-98808140D02* +G01* +X89431218Y-98814541D01* +X89473532Y-98825140D01* +X89514603Y-98839836D01* +X89554036Y-98858486D01* +X89591451Y-98880912D01* +X89626488Y-98906897D01* +X89658809Y-98936191D01* +X89688103Y-98968512D01* +X89714088Y-99003549D01* +X89736514Y-99040964D01* +X89755164Y-99080397D01* +X89769860Y-99121468D01* +X89780459Y-99163782D01* +X89786860Y-99206931D01* +X89789000Y-99250500D01* +X89789000Y-100393500D01* +X89786860Y-100437069D01* +X89780459Y-100480218D01* +X89769860Y-100522532D01* +X89755164Y-100563603D01* +X89736514Y-100603036D01* +X89714088Y-100640451D01* +X89688103Y-100675488D01* +X89658809Y-100707809D01* +X89626488Y-100737103D01* +X89591451Y-100763088D01* +X89554036Y-100785514D01* +X89514603Y-100804164D01* +X89473532Y-100818860D01* +X89431218Y-100829459D01* +X89388069Y-100835860D01* +X89344500Y-100838000D01* +X88455500Y-100838000D01* +X88411931Y-100835860D01* +X88368782Y-100829459D01* +X88326468Y-100818860D01* +X88285397Y-100804164D01* +X88245964Y-100785514D01* +X88208549Y-100763088D01* +X88173512Y-100737103D01* +X88141191Y-100707809D01* +X88111897Y-100675488D01* +X88085912Y-100640451D01* +X88063486Y-100603036D01* +X88044836Y-100563603D01* +X88030140Y-100522532D01* +X88019541Y-100480218D01* +X88013140Y-100437069D01* +X88011000Y-100393500D01* +X88011000Y-99250500D01* +X88013140Y-99206931D01* +X88019541Y-99163782D01* +X88030140Y-99121468D01* +X88044836Y-99080397D01* +X88063486Y-99040964D01* +X88085912Y-99003549D01* +X88111897Y-98968512D01* +X88141191Y-98936191D01* +X88173512Y-98906897D01* +X88208549Y-98880912D01* +X88245964Y-98858486D01* +X88285397Y-98839836D01* +X88326468Y-98825140D01* +X88368782Y-98814541D01* +X88411931Y-98808140D01* +X88455500Y-98806000D01* +X89344500Y-98806000D01* +X89388069Y-98808140D01* +X89388069Y-98808140D01* +G37* +D13* +X88900000Y-99822000D03* +D10* +G36* +X155428069Y-98808140D02* +G01* +X155471218Y-98814541D01* +X155513532Y-98825140D01* +X155554603Y-98839836D01* +X155594036Y-98858486D01* +X155631451Y-98880912D01* +X155666488Y-98906897D01* +X155698809Y-98936191D01* +X155728103Y-98968512D01* +X155754088Y-99003549D01* +X155776514Y-99040964D01* +X155795164Y-99080397D01* +X155809860Y-99121468D01* +X155820459Y-99163782D01* +X155826860Y-99206931D01* +X155829000Y-99250500D01* +X155829000Y-100393500D01* +X155826860Y-100437069D01* +X155820459Y-100480218D01* +X155809860Y-100522532D01* +X155795164Y-100563603D01* +X155776514Y-100603036D01* +X155754088Y-100640451D01* +X155728103Y-100675488D01* +X155698809Y-100707809D01* +X155666488Y-100737103D01* +X155631451Y-100763088D01* +X155594036Y-100785514D01* +X155554603Y-100804164D01* +X155513532Y-100818860D01* +X155471218Y-100829459D01* +X155428069Y-100835860D01* +X155384500Y-100838000D01* +X154495500Y-100838000D01* +X154451931Y-100835860D01* +X154408782Y-100829459D01* +X154366468Y-100818860D01* +X154325397Y-100804164D01* +X154285964Y-100785514D01* +X154248549Y-100763088D01* +X154213512Y-100737103D01* +X154181191Y-100707809D01* +X154151897Y-100675488D01* +X154125912Y-100640451D01* +X154103486Y-100603036D01* +X154084836Y-100563603D01* +X154070140Y-100522532D01* +X154059541Y-100480218D01* +X154053140Y-100437069D01* +X154051000Y-100393500D01* +X154051000Y-99250500D01* +X154053140Y-99206931D01* +X154059541Y-99163782D01* +X154070140Y-99121468D01* +X154084836Y-99080397D01* +X154103486Y-99040964D01* +X154125912Y-99003549D01* +X154151897Y-98968512D01* +X154181191Y-98936191D01* +X154213512Y-98906897D01* +X154248549Y-98880912D01* +X154285964Y-98858486D01* +X154325397Y-98839836D01* +X154366468Y-98825140D01* +X154408782Y-98814541D01* +X154451931Y-98808140D01* +X154495500Y-98806000D01* +X155384500Y-98806000D01* +X155428069Y-98808140D01* +X155428069Y-98808140D01* +G37* +D13* +X154940000Y-99822000D03* +D10* +G36* +X91928069Y-98808140D02* +G01* +X91971218Y-98814541D01* +X92013532Y-98825140D01* +X92054603Y-98839836D01* +X92094036Y-98858486D01* +X92131451Y-98880912D01* +X92166488Y-98906897D01* +X92198809Y-98936191D01* +X92228103Y-98968512D01* +X92254088Y-99003549D01* +X92276514Y-99040964D01* +X92295164Y-99080397D01* +X92309860Y-99121468D01* +X92320459Y-99163782D01* +X92326860Y-99206931D01* +X92329000Y-99250500D01* +X92329000Y-100393500D01* +X92326860Y-100437069D01* +X92320459Y-100480218D01* +X92309860Y-100522532D01* +X92295164Y-100563603D01* +X92276514Y-100603036D01* +X92254088Y-100640451D01* +X92228103Y-100675488D01* +X92198809Y-100707809D01* +X92166488Y-100737103D01* +X92131451Y-100763088D01* +X92094036Y-100785514D01* +X92054603Y-100804164D01* +X92013532Y-100818860D01* +X91971218Y-100829459D01* +X91928069Y-100835860D01* +X91884500Y-100838000D01* +X90995500Y-100838000D01* +X90951931Y-100835860D01* +X90908782Y-100829459D01* +X90866468Y-100818860D01* +X90825397Y-100804164D01* +X90785964Y-100785514D01* +X90748549Y-100763088D01* +X90713512Y-100737103D01* +X90681191Y-100707809D01* +X90651897Y-100675488D01* +X90625912Y-100640451D01* +X90603486Y-100603036D01* +X90584836Y-100563603D01* +X90570140Y-100522532D01* +X90559541Y-100480218D01* +X90553140Y-100437069D01* +X90551000Y-100393500D01* +X90551000Y-99250500D01* +X90553140Y-99206931D01* +X90559541Y-99163782D01* +X90570140Y-99121468D01* +X90584836Y-99080397D01* +X90603486Y-99040964D01* +X90625912Y-99003549D01* +X90651897Y-98968512D01* +X90681191Y-98936191D01* +X90713512Y-98906897D01* +X90748549Y-98880912D01* +X90785964Y-98858486D01* +X90825397Y-98839836D01* +X90866468Y-98825140D01* +X90908782Y-98814541D01* +X90951931Y-98808140D01* +X90995500Y-98806000D01* +X91884500Y-98806000D01* +X91928069Y-98808140D01* +X91928069Y-98808140D01* +G37* +D13* +X91440000Y-99822000D03* +D10* +G36* +X117328069Y-98808140D02* +G01* +X117371218Y-98814541D01* +X117413532Y-98825140D01* +X117454603Y-98839836D01* +X117494036Y-98858486D01* +X117531451Y-98880912D01* +X117566488Y-98906897D01* +X117598809Y-98936191D01* +X117628103Y-98968512D01* +X117654088Y-99003549D01* +X117676514Y-99040964D01* +X117695164Y-99080397D01* +X117709860Y-99121468D01* +X117720459Y-99163782D01* +X117726860Y-99206931D01* +X117729000Y-99250500D01* +X117729000Y-100393500D01* +X117726860Y-100437069D01* +X117720459Y-100480218D01* +X117709860Y-100522532D01* +X117695164Y-100563603D01* +X117676514Y-100603036D01* +X117654088Y-100640451D01* +X117628103Y-100675488D01* +X117598809Y-100707809D01* +X117566488Y-100737103D01* +X117531451Y-100763088D01* +X117494036Y-100785514D01* +X117454603Y-100804164D01* +X117413532Y-100818860D01* +X117371218Y-100829459D01* +X117328069Y-100835860D01* +X117284500Y-100838000D01* +X116395500Y-100838000D01* +X116351931Y-100835860D01* +X116308782Y-100829459D01* +X116266468Y-100818860D01* +X116225397Y-100804164D01* +X116185964Y-100785514D01* +X116148549Y-100763088D01* +X116113512Y-100737103D01* +X116081191Y-100707809D01* +X116051897Y-100675488D01* +X116025912Y-100640451D01* +X116003486Y-100603036D01* +X115984836Y-100563603D01* +X115970140Y-100522532D01* +X115959541Y-100480218D01* +X115953140Y-100437069D01* +X115951000Y-100393500D01* +X115951000Y-99250500D01* +X115953140Y-99206931D01* +X115959541Y-99163782D01* +X115970140Y-99121468D01* +X115984836Y-99080397D01* +X116003486Y-99040964D01* +X116025912Y-99003549D01* +X116051897Y-98968512D01* +X116081191Y-98936191D01* +X116113512Y-98906897D01* +X116148549Y-98880912D01* +X116185964Y-98858486D01* +X116225397Y-98839836D01* +X116266468Y-98825140D01* +X116308782Y-98814541D01* +X116351931Y-98808140D01* +X116395500Y-98806000D01* +X117284500Y-98806000D01* +X117328069Y-98808140D01* +X117328069Y-98808140D01* +G37* +D13* +X116840000Y-99822000D03* +D10* +G36* +X114788069Y-98808140D02* +G01* +X114831218Y-98814541D01* +X114873532Y-98825140D01* +X114914603Y-98839836D01* +X114954036Y-98858486D01* +X114991451Y-98880912D01* +X115026488Y-98906897D01* +X115058809Y-98936191D01* +X115088103Y-98968512D01* +X115114088Y-99003549D01* +X115136514Y-99040964D01* +X115155164Y-99080397D01* +X115169860Y-99121468D01* +X115180459Y-99163782D01* +X115186860Y-99206931D01* +X115189000Y-99250500D01* +X115189000Y-100393500D01* +X115186860Y-100437069D01* +X115180459Y-100480218D01* +X115169860Y-100522532D01* +X115155164Y-100563603D01* +X115136514Y-100603036D01* +X115114088Y-100640451D01* +X115088103Y-100675488D01* +X115058809Y-100707809D01* +X115026488Y-100737103D01* +X114991451Y-100763088D01* +X114954036Y-100785514D01* +X114914603Y-100804164D01* +X114873532Y-100818860D01* +X114831218Y-100829459D01* +X114788069Y-100835860D01* +X114744500Y-100838000D01* +X113855500Y-100838000D01* +X113811931Y-100835860D01* +X113768782Y-100829459D01* +X113726468Y-100818860D01* +X113685397Y-100804164D01* +X113645964Y-100785514D01* +X113608549Y-100763088D01* +X113573512Y-100737103D01* +X113541191Y-100707809D01* +X113511897Y-100675488D01* +X113485912Y-100640451D01* +X113463486Y-100603036D01* +X113444836Y-100563603D01* +X113430140Y-100522532D01* +X113419541Y-100480218D01* +X113413140Y-100437069D01* +X113411000Y-100393500D01* +X113411000Y-99250500D01* +X113413140Y-99206931D01* +X113419541Y-99163782D01* +X113430140Y-99121468D01* +X113444836Y-99080397D01* +X113463486Y-99040964D01* +X113485912Y-99003549D01* +X113511897Y-98968512D01* +X113541191Y-98936191D01* +X113573512Y-98906897D01* +X113608549Y-98880912D01* +X113645964Y-98858486D01* +X113685397Y-98839836D01* +X113726468Y-98825140D01* +X113768782Y-98814541D01* +X113811931Y-98808140D01* +X113855500Y-98806000D01* +X114744500Y-98806000D01* +X114788069Y-98808140D01* +X114788069Y-98808140D01* +G37* +D13* +X114300000Y-99822000D03* +D10* +G36* +X147808069Y-98808140D02* +G01* +X147851218Y-98814541D01* +X147893532Y-98825140D01* +X147934603Y-98839836D01* +X147974036Y-98858486D01* +X148011451Y-98880912D01* +X148046488Y-98906897D01* +X148078809Y-98936191D01* +X148108103Y-98968512D01* +X148134088Y-99003549D01* +X148156514Y-99040964D01* +X148175164Y-99080397D01* +X148189860Y-99121468D01* +X148200459Y-99163782D01* +X148206860Y-99206931D01* +X148209000Y-99250500D01* +X148209000Y-100393500D01* +X148206860Y-100437069D01* +X148200459Y-100480218D01* +X148189860Y-100522532D01* +X148175164Y-100563603D01* +X148156514Y-100603036D01* +X148134088Y-100640451D01* +X148108103Y-100675488D01* +X148078809Y-100707809D01* +X148046488Y-100737103D01* +X148011451Y-100763088D01* +X147974036Y-100785514D01* +X147934603Y-100804164D01* +X147893532Y-100818860D01* +X147851218Y-100829459D01* +X147808069Y-100835860D01* +X147764500Y-100838000D01* +X146875500Y-100838000D01* +X146831931Y-100835860D01* +X146788782Y-100829459D01* +X146746468Y-100818860D01* +X146705397Y-100804164D01* +X146665964Y-100785514D01* +X146628549Y-100763088D01* +X146593512Y-100737103D01* +X146561191Y-100707809D01* +X146531897Y-100675488D01* +X146505912Y-100640451D01* +X146483486Y-100603036D01* +X146464836Y-100563603D01* +X146450140Y-100522532D01* +X146439541Y-100480218D01* +X146433140Y-100437069D01* +X146431000Y-100393500D01* +X146431000Y-99250500D01* +X146433140Y-99206931D01* +X146439541Y-99163782D01* +X146450140Y-99121468D01* +X146464836Y-99080397D01* +X146483486Y-99040964D01* +X146505912Y-99003549D01* +X146531897Y-98968512D01* +X146561191Y-98936191D01* +X146593512Y-98906897D01* +X146628549Y-98880912D01* +X146665964Y-98858486D01* +X146705397Y-98839836D01* +X146746468Y-98825140D01* +X146788782Y-98814541D01* +X146831931Y-98808140D01* +X146875500Y-98806000D01* +X147764500Y-98806000D01* +X147808069Y-98808140D01* +X147808069Y-98808140D01* +G37* +D13* +X147320000Y-99822000D03* +D10* +G36* +X112248069Y-98808140D02* +G01* +X112291218Y-98814541D01* +X112333532Y-98825140D01* +X112374603Y-98839836D01* +X112414036Y-98858486D01* +X112451451Y-98880912D01* +X112486488Y-98906897D01* +X112518809Y-98936191D01* +X112548103Y-98968512D01* +X112574088Y-99003549D01* +X112596514Y-99040964D01* +X112615164Y-99080397D01* +X112629860Y-99121468D01* +X112640459Y-99163782D01* +X112646860Y-99206931D01* +X112649000Y-99250500D01* +X112649000Y-100393500D01* +X112646860Y-100437069D01* +X112640459Y-100480218D01* +X112629860Y-100522532D01* +X112615164Y-100563603D01* +X112596514Y-100603036D01* +X112574088Y-100640451D01* +X112548103Y-100675488D01* +X112518809Y-100707809D01* +X112486488Y-100737103D01* +X112451451Y-100763088D01* +X112414036Y-100785514D01* +X112374603Y-100804164D01* +X112333532Y-100818860D01* +X112291218Y-100829459D01* +X112248069Y-100835860D01* +X112204500Y-100838000D01* +X111315500Y-100838000D01* +X111271931Y-100835860D01* +X111228782Y-100829459D01* +X111186468Y-100818860D01* +X111145397Y-100804164D01* +X111105964Y-100785514D01* +X111068549Y-100763088D01* +X111033512Y-100737103D01* +X111001191Y-100707809D01* +X110971897Y-100675488D01* +X110945912Y-100640451D01* +X110923486Y-100603036D01* +X110904836Y-100563603D01* +X110890140Y-100522532D01* +X110879541Y-100480218D01* +X110873140Y-100437069D01* +X110871000Y-100393500D01* +X110871000Y-99250500D01* +X110873140Y-99206931D01* +X110879541Y-99163782D01* +X110890140Y-99121468D01* +X110904836Y-99080397D01* +X110923486Y-99040964D01* +X110945912Y-99003549D01* +X110971897Y-98968512D01* +X111001191Y-98936191D01* +X111033512Y-98906897D01* +X111068549Y-98880912D01* +X111105964Y-98858486D01* +X111145397Y-98839836D01* +X111186468Y-98825140D01* +X111228782Y-98814541D01* +X111271931Y-98808140D01* +X111315500Y-98806000D01* +X112204500Y-98806000D01* +X112248069Y-98808140D01* +X112248069Y-98808140D01* +G37* +D13* +X111760000Y-99822000D03* +D10* +G36* +X94468069Y-98808140D02* +G01* +X94511218Y-98814541D01* +X94553532Y-98825140D01* +X94594603Y-98839836D01* +X94634036Y-98858486D01* +X94671451Y-98880912D01* +X94706488Y-98906897D01* +X94738809Y-98936191D01* +X94768103Y-98968512D01* +X94794088Y-99003549D01* +X94816514Y-99040964D01* +X94835164Y-99080397D01* +X94849860Y-99121468D01* +X94860459Y-99163782D01* +X94866860Y-99206931D01* +X94869000Y-99250500D01* +X94869000Y-100393500D01* +X94866860Y-100437069D01* +X94860459Y-100480218D01* +X94849860Y-100522532D01* +X94835164Y-100563603D01* +X94816514Y-100603036D01* +X94794088Y-100640451D01* +X94768103Y-100675488D01* +X94738809Y-100707809D01* +X94706488Y-100737103D01* +X94671451Y-100763088D01* +X94634036Y-100785514D01* +X94594603Y-100804164D01* +X94553532Y-100818860D01* +X94511218Y-100829459D01* +X94468069Y-100835860D01* +X94424500Y-100838000D01* +X93535500Y-100838000D01* +X93491931Y-100835860D01* +X93448782Y-100829459D01* +X93406468Y-100818860D01* +X93365397Y-100804164D01* +X93325964Y-100785514D01* +X93288549Y-100763088D01* +X93253512Y-100737103D01* +X93221191Y-100707809D01* +X93191897Y-100675488D01* +X93165912Y-100640451D01* +X93143486Y-100603036D01* +X93124836Y-100563603D01* +X93110140Y-100522532D01* +X93099541Y-100480218D01* +X93093140Y-100437069D01* +X93091000Y-100393500D01* +X93091000Y-99250500D01* +X93093140Y-99206931D01* +X93099541Y-99163782D01* +X93110140Y-99121468D01* +X93124836Y-99080397D01* +X93143486Y-99040964D01* +X93165912Y-99003549D01* +X93191897Y-98968512D01* +X93221191Y-98936191D01* +X93253512Y-98906897D01* +X93288549Y-98880912D01* +X93325964Y-98858486D01* +X93365397Y-98839836D01* +X93406468Y-98825140D01* +X93448782Y-98814541D01* +X93491931Y-98808140D01* +X93535500Y-98806000D01* +X94424500Y-98806000D01* +X94468069Y-98808140D01* +X94468069Y-98808140D01* +G37* +D13* +X93980000Y-99822000D03* +D10* +G36* +X109708069Y-98808140D02* +G01* +X109751218Y-98814541D01* +X109793532Y-98825140D01* +X109834603Y-98839836D01* +X109874036Y-98858486D01* +X109911451Y-98880912D01* +X109946488Y-98906897D01* +X109978809Y-98936191D01* +X110008103Y-98968512D01* +X110034088Y-99003549D01* +X110056514Y-99040964D01* +X110075164Y-99080397D01* +X110089860Y-99121468D01* +X110100459Y-99163782D01* +X110106860Y-99206931D01* +X110109000Y-99250500D01* +X110109000Y-100393500D01* +X110106860Y-100437069D01* +X110100459Y-100480218D01* +X110089860Y-100522532D01* +X110075164Y-100563603D01* +X110056514Y-100603036D01* +X110034088Y-100640451D01* +X110008103Y-100675488D01* +X109978809Y-100707809D01* +X109946488Y-100737103D01* +X109911451Y-100763088D01* +X109874036Y-100785514D01* +X109834603Y-100804164D01* +X109793532Y-100818860D01* +X109751218Y-100829459D01* +X109708069Y-100835860D01* +X109664500Y-100838000D01* +X108775500Y-100838000D01* +X108731931Y-100835860D01* +X108688782Y-100829459D01* +X108646468Y-100818860D01* +X108605397Y-100804164D01* +X108565964Y-100785514D01* +X108528549Y-100763088D01* +X108493512Y-100737103D01* +X108461191Y-100707809D01* +X108431897Y-100675488D01* +X108405912Y-100640451D01* +X108383486Y-100603036D01* +X108364836Y-100563603D01* +X108350140Y-100522532D01* +X108339541Y-100480218D01* +X108333140Y-100437069D01* +X108331000Y-100393500D01* +X108331000Y-99250500D01* +X108333140Y-99206931D01* +X108339541Y-99163782D01* +X108350140Y-99121468D01* +X108364836Y-99080397D01* +X108383486Y-99040964D01* +X108405912Y-99003549D01* +X108431897Y-98968512D01* +X108461191Y-98936191D01* +X108493512Y-98906897D01* +X108528549Y-98880912D01* +X108565964Y-98858486D01* +X108605397Y-98839836D01* +X108646468Y-98825140D01* +X108688782Y-98814541D01* +X108731931Y-98808140D01* +X108775500Y-98806000D01* +X109664500Y-98806000D01* +X109708069Y-98808140D01* +X109708069Y-98808140D01* +G37* +D13* +X109220000Y-99822000D03* +D12* +X88900000Y-98806000D03* +D10* +G36* +X86848069Y-98808140D02* +G01* +X86891218Y-98814541D01* +X86933532Y-98825140D01* +X86974603Y-98839836D01* +X87014036Y-98858486D01* +X87051451Y-98880912D01* +X87086488Y-98906897D01* +X87118809Y-98936191D01* +X87148103Y-98968512D01* +X87174088Y-99003549D01* +X87196514Y-99040964D01* +X87215164Y-99080397D01* +X87229860Y-99121468D01* +X87240459Y-99163782D01* +X87246860Y-99206931D01* +X87249000Y-99250500D01* +X87249000Y-100393500D01* +X87246860Y-100437069D01* +X87240459Y-100480218D01* +X87229860Y-100522532D01* +X87215164Y-100563603D01* +X87196514Y-100603036D01* +X87174088Y-100640451D01* +X87148103Y-100675488D01* +X87118809Y-100707809D01* +X87086488Y-100737103D01* +X87051451Y-100763088D01* +X87014036Y-100785514D01* +X86974603Y-100804164D01* +X86933532Y-100818860D01* +X86891218Y-100829459D01* +X86848069Y-100835860D01* +X86804500Y-100838000D01* +X85915500Y-100838000D01* +X85871931Y-100835860D01* +X85828782Y-100829459D01* +X85786468Y-100818860D01* +X85745397Y-100804164D01* +X85705964Y-100785514D01* +X85668549Y-100763088D01* +X85633512Y-100737103D01* +X85601191Y-100707809D01* +X85571897Y-100675488D01* +X85545912Y-100640451D01* +X85523486Y-100603036D01* +X85504836Y-100563603D01* +X85490140Y-100522532D01* +X85479541Y-100480218D01* +X85473140Y-100437069D01* +X85471000Y-100393500D01* +X85471000Y-99250500D01* +X85473140Y-99206931D01* +X85479541Y-99163782D01* +X85490140Y-99121468D01* +X85504836Y-99080397D01* +X85523486Y-99040964D01* +X85545912Y-99003549D01* +X85571897Y-98968512D01* +X85601191Y-98936191D01* +X85633512Y-98906897D01* +X85668549Y-98880912D01* +X85705964Y-98858486D01* +X85745397Y-98839836D01* +X85786468Y-98825140D01* +X85828782Y-98814541D01* +X85871931Y-98808140D01* +X85915500Y-98806000D01* +X86804500Y-98806000D01* +X86848069Y-98808140D01* +X86848069Y-98808140D01* +G37* +D13* +X86360000Y-99822000D03* +D10* +G36* +X84308069Y-98808140D02* +G01* +X84351218Y-98814541D01* +X84393532Y-98825140D01* +X84434603Y-98839836D01* +X84474036Y-98858486D01* +X84511451Y-98880912D01* +X84546488Y-98906897D01* +X84578809Y-98936191D01* +X84608103Y-98968512D01* +X84634088Y-99003549D01* +X84656514Y-99040964D01* +X84675164Y-99080397D01* +X84689860Y-99121468D01* +X84700459Y-99163782D01* +X84706860Y-99206931D01* +X84709000Y-99250500D01* +X84709000Y-100393500D01* +X84706860Y-100437069D01* +X84700459Y-100480218D01* +X84689860Y-100522532D01* +X84675164Y-100563603D01* +X84656514Y-100603036D01* +X84634088Y-100640451D01* +X84608103Y-100675488D01* +X84578809Y-100707809D01* +X84546488Y-100737103D01* +X84511451Y-100763088D01* +X84474036Y-100785514D01* +X84434603Y-100804164D01* +X84393532Y-100818860D01* +X84351218Y-100829459D01* +X84308069Y-100835860D01* +X84264500Y-100838000D01* +X83375500Y-100838000D01* +X83331931Y-100835860D01* +X83288782Y-100829459D01* +X83246468Y-100818860D01* +X83205397Y-100804164D01* +X83165964Y-100785514D01* +X83128549Y-100763088D01* +X83093512Y-100737103D01* +X83061191Y-100707809D01* +X83031897Y-100675488D01* +X83005912Y-100640451D01* +X82983486Y-100603036D01* +X82964836Y-100563603D01* +X82950140Y-100522532D01* +X82939541Y-100480218D01* +X82933140Y-100437069D01* +X82931000Y-100393500D01* +X82931000Y-99250500D01* +X82933140Y-99206931D01* +X82939541Y-99163782D01* +X82950140Y-99121468D01* +X82964836Y-99080397D01* +X82983486Y-99040964D01* +X83005912Y-99003549D01* +X83031897Y-98968512D01* +X83061191Y-98936191D01* +X83093512Y-98906897D01* +X83128549Y-98880912D01* +X83165964Y-98858486D01* +X83205397Y-98839836D01* +X83246468Y-98825140D01* +X83288782Y-98814541D01* +X83331931Y-98808140D01* +X83375500Y-98806000D01* +X84264500Y-98806000D01* +X84308069Y-98808140D01* +X84308069Y-98808140D01* +G37* +D13* +X83820000Y-99822000D03* +D10* +G36* +X122084703Y-83962722D02* +G01* +X122099264Y-83964882D01* +X122113543Y-83968459D01* +X122127403Y-83973418D01* +X122140710Y-83979712D01* +X122153336Y-83987280D01* +X122165159Y-83996048D01* +X122176066Y-84005934D01* +X122185952Y-84016841D01* +X122194720Y-84028664D01* +X122202288Y-84041290D01* +X122208582Y-84054597D01* +X122213541Y-84068457D01* +X122217118Y-84082736D01* +X122219278Y-84097297D01* +X122220000Y-84112000D01* +X122220000Y-86312000D01* +X122219278Y-86326703D01* +X122217118Y-86341264D01* +X122213541Y-86355543D01* +X122208582Y-86369403D01* +X122202288Y-86382710D01* +X122194720Y-86395336D01* +X122185952Y-86407159D01* +X122176066Y-86418066D01* +X122165159Y-86427952D01* +X122153336Y-86436720D01* +X122140710Y-86444288D01* +X122127403Y-86450582D01* +X122113543Y-86455541D01* +X122099264Y-86459118D01* +X122084703Y-86461278D01* +X122070000Y-86462000D01* +X121770000Y-86462000D01* +X121755297Y-86461278D01* +X121740736Y-86459118D01* +X121726457Y-86455541D01* +X121712597Y-86450582D01* +X121699290Y-86444288D01* +X121686664Y-86436720D01* +X121674841Y-86427952D01* +X121663934Y-86418066D01* +X121654048Y-86407159D01* +X121645280Y-86395336D01* +X121637712Y-86382710D01* +X121631418Y-86369403D01* +X121626459Y-86355543D01* +X121622882Y-86341264D01* +X121620722Y-86326703D01* +X121620000Y-86312000D01* +X121620000Y-84112000D01* +X121620722Y-84097297D01* +X121622882Y-84082736D01* +X121626459Y-84068457D01* +X121631418Y-84054597D01* +X121637712Y-84041290D01* +X121645280Y-84028664D01* +X121654048Y-84016841D01* +X121663934Y-84005934D01* +X121674841Y-83996048D01* +X121686664Y-83987280D01* +X121699290Y-83979712D01* +X121712597Y-83973418D01* +X121726457Y-83968459D01* +X121740736Y-83964882D01* +X121755297Y-83962722D01* +X121770000Y-83962000D01* +X122070000Y-83962000D01* +X122084703Y-83962722D01* +X122084703Y-83962722D01* +G37* +D14* +X121920000Y-85212000D03* +D10* +G36* +X123354703Y-83962722D02* +G01* +X123369264Y-83964882D01* +X123383543Y-83968459D01* +X123397403Y-83973418D01* +X123410710Y-83979712D01* +X123423336Y-83987280D01* +X123435159Y-83996048D01* +X123446066Y-84005934D01* +X123455952Y-84016841D01* +X123464720Y-84028664D01* +X123472288Y-84041290D01* +X123478582Y-84054597D01* +X123483541Y-84068457D01* +X123487118Y-84082736D01* +X123489278Y-84097297D01* +X123490000Y-84112000D01* +X123490000Y-86312000D01* +X123489278Y-86326703D01* +X123487118Y-86341264D01* +X123483541Y-86355543D01* +X123478582Y-86369403D01* +X123472288Y-86382710D01* +X123464720Y-86395336D01* +X123455952Y-86407159D01* +X123446066Y-86418066D01* +X123435159Y-86427952D01* +X123423336Y-86436720D01* +X123410710Y-86444288D01* +X123397403Y-86450582D01* +X123383543Y-86455541D01* +X123369264Y-86459118D01* +X123354703Y-86461278D01* +X123340000Y-86462000D01* +X123040000Y-86462000D01* +X123025297Y-86461278D01* +X123010736Y-86459118D01* +X122996457Y-86455541D01* +X122982597Y-86450582D01* +X122969290Y-86444288D01* +X122956664Y-86436720D01* +X122944841Y-86427952D01* +X122933934Y-86418066D01* +X122924048Y-86407159D01* +X122915280Y-86395336D01* +X122907712Y-86382710D01* +X122901418Y-86369403D01* +X122896459Y-86355543D01* +X122892882Y-86341264D01* +X122890722Y-86326703D01* +X122890000Y-86312000D01* +X122890000Y-84112000D01* +X122890722Y-84097297D01* +X122892882Y-84082736D01* +X122896459Y-84068457D01* +X122901418Y-84054597D01* +X122907712Y-84041290D01* +X122915280Y-84028664D01* +X122924048Y-84016841D01* +X122933934Y-84005934D01* +X122944841Y-83996048D01* +X122956664Y-83987280D01* +X122969290Y-83979712D01* +X122982597Y-83973418D01* +X122996457Y-83968459D01* +X123010736Y-83964882D01* +X123025297Y-83962722D01* +X123040000Y-83962000D01* +X123340000Y-83962000D01* +X123354703Y-83962722D01* +X123354703Y-83962722D01* +G37* +D14* +X123190000Y-85212000D03* +D10* +G36* +X124624703Y-83962722D02* +G01* +X124639264Y-83964882D01* +X124653543Y-83968459D01* +X124667403Y-83973418D01* +X124680710Y-83979712D01* +X124693336Y-83987280D01* +X124705159Y-83996048D01* +X124716066Y-84005934D01* +X124725952Y-84016841D01* +X124734720Y-84028664D01* +X124742288Y-84041290D01* +X124748582Y-84054597D01* +X124753541Y-84068457D01* +X124757118Y-84082736D01* +X124759278Y-84097297D01* +X124760000Y-84112000D01* +X124760000Y-86312000D01* +X124759278Y-86326703D01* +X124757118Y-86341264D01* +X124753541Y-86355543D01* +X124748582Y-86369403D01* +X124742288Y-86382710D01* +X124734720Y-86395336D01* +X124725952Y-86407159D01* +X124716066Y-86418066D01* +X124705159Y-86427952D01* +X124693336Y-86436720D01* +X124680710Y-86444288D01* +X124667403Y-86450582D01* +X124653543Y-86455541D01* +X124639264Y-86459118D01* +X124624703Y-86461278D01* +X124610000Y-86462000D01* +X124310000Y-86462000D01* +X124295297Y-86461278D01* +X124280736Y-86459118D01* +X124266457Y-86455541D01* +X124252597Y-86450582D01* +X124239290Y-86444288D01* +X124226664Y-86436720D01* +X124214841Y-86427952D01* +X124203934Y-86418066D01* +X124194048Y-86407159D01* +X124185280Y-86395336D01* +X124177712Y-86382710D01* +X124171418Y-86369403D01* +X124166459Y-86355543D01* +X124162882Y-86341264D01* +X124160722Y-86326703D01* +X124160000Y-86312000D01* +X124160000Y-84112000D01* +X124160722Y-84097297D01* +X124162882Y-84082736D01* +X124166459Y-84068457D01* +X124171418Y-84054597D01* +X124177712Y-84041290D01* +X124185280Y-84028664D01* +X124194048Y-84016841D01* +X124203934Y-84005934D01* +X124214841Y-83996048D01* +X124226664Y-83987280D01* +X124239290Y-83979712D01* +X124252597Y-83973418D01* +X124266457Y-83968459D01* +X124280736Y-83964882D01* +X124295297Y-83962722D01* +X124310000Y-83962000D01* +X124610000Y-83962000D01* +X124624703Y-83962722D01* +X124624703Y-83962722D01* +G37* +D14* +X124460000Y-85212000D03* +D10* +G36* +X125894703Y-83962722D02* +G01* +X125909264Y-83964882D01* +X125923543Y-83968459D01* +X125937403Y-83973418D01* +X125950710Y-83979712D01* +X125963336Y-83987280D01* +X125975159Y-83996048D01* +X125986066Y-84005934D01* +X125995952Y-84016841D01* +X126004720Y-84028664D01* +X126012288Y-84041290D01* +X126018582Y-84054597D01* +X126023541Y-84068457D01* +X126027118Y-84082736D01* +X126029278Y-84097297D01* +X126030000Y-84112000D01* +X126030000Y-86312000D01* +X126029278Y-86326703D01* +X126027118Y-86341264D01* +X126023541Y-86355543D01* +X126018582Y-86369403D01* +X126012288Y-86382710D01* +X126004720Y-86395336D01* +X125995952Y-86407159D01* +X125986066Y-86418066D01* +X125975159Y-86427952D01* +X125963336Y-86436720D01* +X125950710Y-86444288D01* +X125937403Y-86450582D01* +X125923543Y-86455541D01* +X125909264Y-86459118D01* +X125894703Y-86461278D01* +X125880000Y-86462000D01* +X125580000Y-86462000D01* +X125565297Y-86461278D01* +X125550736Y-86459118D01* +X125536457Y-86455541D01* +X125522597Y-86450582D01* +X125509290Y-86444288D01* +X125496664Y-86436720D01* +X125484841Y-86427952D01* +X125473934Y-86418066D01* +X125464048Y-86407159D01* +X125455280Y-86395336D01* +X125447712Y-86382710D01* +X125441418Y-86369403D01* +X125436459Y-86355543D01* +X125432882Y-86341264D01* +X125430722Y-86326703D01* +X125430000Y-86312000D01* +X125430000Y-84112000D01* +X125430722Y-84097297D01* +X125432882Y-84082736D01* +X125436459Y-84068457D01* +X125441418Y-84054597D01* +X125447712Y-84041290D01* +X125455280Y-84028664D01* +X125464048Y-84016841D01* +X125473934Y-84005934D01* +X125484841Y-83996048D01* +X125496664Y-83987280D01* +X125509290Y-83979712D01* +X125522597Y-83973418D01* +X125536457Y-83968459D01* +X125550736Y-83964882D01* +X125565297Y-83962722D01* +X125580000Y-83962000D01* +X125880000Y-83962000D01* +X125894703Y-83962722D01* +X125894703Y-83962722D01* +G37* +D14* +X125730000Y-85212000D03* +D10* +G36* +X127164703Y-83962722D02* +G01* +X127179264Y-83964882D01* +X127193543Y-83968459D01* +X127207403Y-83973418D01* +X127220710Y-83979712D01* +X127233336Y-83987280D01* +X127245159Y-83996048D01* +X127256066Y-84005934D01* +X127265952Y-84016841D01* +X127274720Y-84028664D01* +X127282288Y-84041290D01* +X127288582Y-84054597D01* +X127293541Y-84068457D01* +X127297118Y-84082736D01* +X127299278Y-84097297D01* +X127300000Y-84112000D01* +X127300000Y-86312000D01* +X127299278Y-86326703D01* +X127297118Y-86341264D01* +X127293541Y-86355543D01* +X127288582Y-86369403D01* +X127282288Y-86382710D01* +X127274720Y-86395336D01* +X127265952Y-86407159D01* +X127256066Y-86418066D01* +X127245159Y-86427952D01* +X127233336Y-86436720D01* +X127220710Y-86444288D01* +X127207403Y-86450582D01* +X127193543Y-86455541D01* +X127179264Y-86459118D01* +X127164703Y-86461278D01* +X127150000Y-86462000D01* +X126850000Y-86462000D01* +X126835297Y-86461278D01* +X126820736Y-86459118D01* +X126806457Y-86455541D01* +X126792597Y-86450582D01* +X126779290Y-86444288D01* +X126766664Y-86436720D01* +X126754841Y-86427952D01* +X126743934Y-86418066D01* +X126734048Y-86407159D01* +X126725280Y-86395336D01* +X126717712Y-86382710D01* +X126711418Y-86369403D01* +X126706459Y-86355543D01* +X126702882Y-86341264D01* +X126700722Y-86326703D01* +X126700000Y-86312000D01* +X126700000Y-84112000D01* +X126700722Y-84097297D01* +X126702882Y-84082736D01* +X126706459Y-84068457D01* +X126711418Y-84054597D01* +X126717712Y-84041290D01* +X126725280Y-84028664D01* +X126734048Y-84016841D01* +X126743934Y-84005934D01* +X126754841Y-83996048D01* +X126766664Y-83987280D01* +X126779290Y-83979712D01* +X126792597Y-83973418D01* +X126806457Y-83968459D01* +X126820736Y-83964882D01* +X126835297Y-83962722D01* +X126850000Y-83962000D01* +X127150000Y-83962000D01* +X127164703Y-83962722D01* +X127164703Y-83962722D01* +G37* +D14* +X127000000Y-85212000D03* +D10* +G36* +X128434703Y-83962722D02* +G01* +X128449264Y-83964882D01* +X128463543Y-83968459D01* +X128477403Y-83973418D01* +X128490710Y-83979712D01* +X128503336Y-83987280D01* +X128515159Y-83996048D01* +X128526066Y-84005934D01* +X128535952Y-84016841D01* +X128544720Y-84028664D01* +X128552288Y-84041290D01* +X128558582Y-84054597D01* +X128563541Y-84068457D01* +X128567118Y-84082736D01* +X128569278Y-84097297D01* +X128570000Y-84112000D01* +X128570000Y-86312000D01* +X128569278Y-86326703D01* +X128567118Y-86341264D01* +X128563541Y-86355543D01* +X128558582Y-86369403D01* +X128552288Y-86382710D01* +X128544720Y-86395336D01* +X128535952Y-86407159D01* +X128526066Y-86418066D01* +X128515159Y-86427952D01* +X128503336Y-86436720D01* +X128490710Y-86444288D01* +X128477403Y-86450582D01* +X128463543Y-86455541D01* +X128449264Y-86459118D01* +X128434703Y-86461278D01* +X128420000Y-86462000D01* +X128120000Y-86462000D01* +X128105297Y-86461278D01* +X128090736Y-86459118D01* +X128076457Y-86455541D01* +X128062597Y-86450582D01* +X128049290Y-86444288D01* +X128036664Y-86436720D01* +X128024841Y-86427952D01* +X128013934Y-86418066D01* +X128004048Y-86407159D01* +X127995280Y-86395336D01* +X127987712Y-86382710D01* +X127981418Y-86369403D01* +X127976459Y-86355543D01* +X127972882Y-86341264D01* +X127970722Y-86326703D01* +X127970000Y-86312000D01* +X127970000Y-84112000D01* +X127970722Y-84097297D01* +X127972882Y-84082736D01* +X127976459Y-84068457D01* +X127981418Y-84054597D01* +X127987712Y-84041290D01* +X127995280Y-84028664D01* +X128004048Y-84016841D01* +X128013934Y-84005934D01* +X128024841Y-83996048D01* +X128036664Y-83987280D01* +X128049290Y-83979712D01* +X128062597Y-83973418D01* +X128076457Y-83968459D01* +X128090736Y-83964882D01* +X128105297Y-83962722D01* +X128120000Y-83962000D01* +X128420000Y-83962000D01* +X128434703Y-83962722D01* +X128434703Y-83962722D01* +G37* +D14* +X128270000Y-85212000D03* +D10* +G36* +X130974703Y-83962722D02* +G01* +X130989264Y-83964882D01* +X131003543Y-83968459D01* +X131017403Y-83973418D01* +X131030710Y-83979712D01* +X131043336Y-83987280D01* +X131055159Y-83996048D01* +X131066066Y-84005934D01* +X131075952Y-84016841D01* +X131084720Y-84028664D01* +X131092288Y-84041290D01* +X131098582Y-84054597D01* +X131103541Y-84068457D01* +X131107118Y-84082736D01* +X131109278Y-84097297D01* +X131110000Y-84112000D01* +X131110000Y-86312000D01* +X131109278Y-86326703D01* +X131107118Y-86341264D01* +X131103541Y-86355543D01* +X131098582Y-86369403D01* +X131092288Y-86382710D01* +X131084720Y-86395336D01* +X131075952Y-86407159D01* +X131066066Y-86418066D01* +X131055159Y-86427952D01* +X131043336Y-86436720D01* +X131030710Y-86444288D01* +X131017403Y-86450582D01* +X131003543Y-86455541D01* +X130989264Y-86459118D01* +X130974703Y-86461278D01* +X130960000Y-86462000D01* +X130660000Y-86462000D01* +X130645297Y-86461278D01* +X130630736Y-86459118D01* +X130616457Y-86455541D01* +X130602597Y-86450582D01* +X130589290Y-86444288D01* +X130576664Y-86436720D01* +X130564841Y-86427952D01* +X130553934Y-86418066D01* +X130544048Y-86407159D01* +X130535280Y-86395336D01* +X130527712Y-86382710D01* +X130521418Y-86369403D01* +X130516459Y-86355543D01* +X130512882Y-86341264D01* +X130510722Y-86326703D01* +X130510000Y-86312000D01* +X130510000Y-84112000D01* +X130510722Y-84097297D01* +X130512882Y-84082736D01* +X130516459Y-84068457D01* +X130521418Y-84054597D01* +X130527712Y-84041290D01* +X130535280Y-84028664D01* +X130544048Y-84016841D01* +X130553934Y-84005934D01* +X130564841Y-83996048D01* +X130576664Y-83987280D01* +X130589290Y-83979712D01* +X130602597Y-83973418D01* +X130616457Y-83968459D01* +X130630736Y-83964882D01* +X130645297Y-83962722D01* +X130660000Y-83962000D01* +X130960000Y-83962000D01* +X130974703Y-83962722D01* +X130974703Y-83962722D01* +G37* +D14* +X130810000Y-85212000D03* +D10* +G36* +X132244703Y-83962722D02* +G01* +X132259264Y-83964882D01* +X132273543Y-83968459D01* +X132287403Y-83973418D01* +X132300710Y-83979712D01* +X132313336Y-83987280D01* +X132325159Y-83996048D01* +X132336066Y-84005934D01* +X132345952Y-84016841D01* +X132354720Y-84028664D01* +X132362288Y-84041290D01* +X132368582Y-84054597D01* +X132373541Y-84068457D01* +X132377118Y-84082736D01* +X132379278Y-84097297D01* +X132380000Y-84112000D01* +X132380000Y-86312000D01* +X132379278Y-86326703D01* +X132377118Y-86341264D01* +X132373541Y-86355543D01* +X132368582Y-86369403D01* +X132362288Y-86382710D01* +X132354720Y-86395336D01* +X132345952Y-86407159D01* +X132336066Y-86418066D01* +X132325159Y-86427952D01* +X132313336Y-86436720D01* +X132300710Y-86444288D01* +X132287403Y-86450582D01* +X132273543Y-86455541D01* +X132259264Y-86459118D01* +X132244703Y-86461278D01* +X132230000Y-86462000D01* +X131930000Y-86462000D01* +X131915297Y-86461278D01* +X131900736Y-86459118D01* +X131886457Y-86455541D01* +X131872597Y-86450582D01* +X131859290Y-86444288D01* +X131846664Y-86436720D01* +X131834841Y-86427952D01* +X131823934Y-86418066D01* +X131814048Y-86407159D01* +X131805280Y-86395336D01* +X131797712Y-86382710D01* +X131791418Y-86369403D01* +X131786459Y-86355543D01* +X131782882Y-86341264D01* +X131780722Y-86326703D01* +X131780000Y-86312000D01* +X131780000Y-84112000D01* +X131780722Y-84097297D01* +X131782882Y-84082736D01* +X131786459Y-84068457D01* +X131791418Y-84054597D01* +X131797712Y-84041290D01* +X131805280Y-84028664D01* +X131814048Y-84016841D01* +X131823934Y-84005934D01* +X131834841Y-83996048D01* +X131846664Y-83987280D01* +X131859290Y-83979712D01* +X131872597Y-83973418D01* +X131886457Y-83968459D01* +X131900736Y-83964882D01* +X131915297Y-83962722D01* +X131930000Y-83962000D01* +X132230000Y-83962000D01* +X132244703Y-83962722D01* +X132244703Y-83962722D01* +G37* +D14* +X132080000Y-85212000D03* +D10* +G36* +X133514703Y-83962722D02* +G01* +X133529264Y-83964882D01* +X133543543Y-83968459D01* +X133557403Y-83973418D01* +X133570710Y-83979712D01* +X133583336Y-83987280D01* +X133595159Y-83996048D01* +X133606066Y-84005934D01* +X133615952Y-84016841D01* +X133624720Y-84028664D01* +X133632288Y-84041290D01* +X133638582Y-84054597D01* +X133643541Y-84068457D01* +X133647118Y-84082736D01* +X133649278Y-84097297D01* +X133650000Y-84112000D01* +X133650000Y-86312000D01* +X133649278Y-86326703D01* +X133647118Y-86341264D01* +X133643541Y-86355543D01* +X133638582Y-86369403D01* +X133632288Y-86382710D01* +X133624720Y-86395336D01* +X133615952Y-86407159D01* +X133606066Y-86418066D01* +X133595159Y-86427952D01* +X133583336Y-86436720D01* +X133570710Y-86444288D01* +X133557403Y-86450582D01* +X133543543Y-86455541D01* +X133529264Y-86459118D01* +X133514703Y-86461278D01* +X133500000Y-86462000D01* +X133200000Y-86462000D01* +X133185297Y-86461278D01* +X133170736Y-86459118D01* +X133156457Y-86455541D01* +X133142597Y-86450582D01* +X133129290Y-86444288D01* +X133116664Y-86436720D01* +X133104841Y-86427952D01* +X133093934Y-86418066D01* +X133084048Y-86407159D01* +X133075280Y-86395336D01* +X133067712Y-86382710D01* +X133061418Y-86369403D01* +X133056459Y-86355543D01* +X133052882Y-86341264D01* +X133050722Y-86326703D01* +X133050000Y-86312000D01* +X133050000Y-84112000D01* +X133050722Y-84097297D01* +X133052882Y-84082736D01* +X133056459Y-84068457D01* +X133061418Y-84054597D01* +X133067712Y-84041290D01* +X133075280Y-84028664D01* +X133084048Y-84016841D01* +X133093934Y-84005934D01* +X133104841Y-83996048D01* +X133116664Y-83987280D01* +X133129290Y-83979712D01* +X133142597Y-83973418D01* +X133156457Y-83968459D01* +X133170736Y-83964882D01* +X133185297Y-83962722D01* +X133200000Y-83962000D01* +X133500000Y-83962000D01* +X133514703Y-83962722D01* +X133514703Y-83962722D01* +G37* +D14* +X133350000Y-85212000D03* +D10* +G36* +X134784703Y-83962722D02* +G01* +X134799264Y-83964882D01* +X134813543Y-83968459D01* +X134827403Y-83973418D01* +X134840710Y-83979712D01* +X134853336Y-83987280D01* +X134865159Y-83996048D01* +X134876066Y-84005934D01* +X134885952Y-84016841D01* +X134894720Y-84028664D01* +X134902288Y-84041290D01* +X134908582Y-84054597D01* +X134913541Y-84068457D01* +X134917118Y-84082736D01* +X134919278Y-84097297D01* +X134920000Y-84112000D01* +X134920000Y-86312000D01* +X134919278Y-86326703D01* +X134917118Y-86341264D01* +X134913541Y-86355543D01* +X134908582Y-86369403D01* +X134902288Y-86382710D01* +X134894720Y-86395336D01* +X134885952Y-86407159D01* +X134876066Y-86418066D01* +X134865159Y-86427952D01* +X134853336Y-86436720D01* +X134840710Y-86444288D01* +X134827403Y-86450582D01* +X134813543Y-86455541D01* +X134799264Y-86459118D01* +X134784703Y-86461278D01* +X134770000Y-86462000D01* +X134470000Y-86462000D01* +X134455297Y-86461278D01* +X134440736Y-86459118D01* +X134426457Y-86455541D01* +X134412597Y-86450582D01* +X134399290Y-86444288D01* +X134386664Y-86436720D01* +X134374841Y-86427952D01* +X134363934Y-86418066D01* +X134354048Y-86407159D01* +X134345280Y-86395336D01* +X134337712Y-86382710D01* +X134331418Y-86369403D01* +X134326459Y-86355543D01* +X134322882Y-86341264D01* +X134320722Y-86326703D01* +X134320000Y-86312000D01* +X134320000Y-84112000D01* +X134320722Y-84097297D01* +X134322882Y-84082736D01* +X134326459Y-84068457D01* +X134331418Y-84054597D01* +X134337712Y-84041290D01* +X134345280Y-84028664D01* +X134354048Y-84016841D01* +X134363934Y-84005934D01* +X134374841Y-83996048D01* +X134386664Y-83987280D01* +X134399290Y-83979712D01* +X134412597Y-83973418D01* +X134426457Y-83968459D01* +X134440736Y-83964882D01* +X134455297Y-83962722D01* +X134470000Y-83962000D01* +X134770000Y-83962000D01* +X134784703Y-83962722D01* +X134784703Y-83962722D01* +G37* +D14* +X134620000Y-85212000D03* +D10* +G36* +X136054703Y-83962722D02* +G01* +X136069264Y-83964882D01* +X136083543Y-83968459D01* +X136097403Y-83973418D01* +X136110710Y-83979712D01* +X136123336Y-83987280D01* +X136135159Y-83996048D01* +X136146066Y-84005934D01* +X136155952Y-84016841D01* +X136164720Y-84028664D01* +X136172288Y-84041290D01* +X136178582Y-84054597D01* +X136183541Y-84068457D01* +X136187118Y-84082736D01* +X136189278Y-84097297D01* +X136190000Y-84112000D01* +X136190000Y-86312000D01* +X136189278Y-86326703D01* +X136187118Y-86341264D01* +X136183541Y-86355543D01* +X136178582Y-86369403D01* +X136172288Y-86382710D01* +X136164720Y-86395336D01* +X136155952Y-86407159D01* +X136146066Y-86418066D01* +X136135159Y-86427952D01* +X136123336Y-86436720D01* +X136110710Y-86444288D01* +X136097403Y-86450582D01* +X136083543Y-86455541D01* +X136069264Y-86459118D01* +X136054703Y-86461278D01* +X136040000Y-86462000D01* +X135740000Y-86462000D01* +X135725297Y-86461278D01* +X135710736Y-86459118D01* +X135696457Y-86455541D01* +X135682597Y-86450582D01* +X135669290Y-86444288D01* +X135656664Y-86436720D01* +X135644841Y-86427952D01* +X135633934Y-86418066D01* +X135624048Y-86407159D01* +X135615280Y-86395336D01* +X135607712Y-86382710D01* +X135601418Y-86369403D01* +X135596459Y-86355543D01* +X135592882Y-86341264D01* +X135590722Y-86326703D01* +X135590000Y-86312000D01* +X135590000Y-84112000D01* +X135590722Y-84097297D01* +X135592882Y-84082736D01* +X135596459Y-84068457D01* +X135601418Y-84054597D01* +X135607712Y-84041290D01* +X135615280Y-84028664D01* +X135624048Y-84016841D01* +X135633934Y-84005934D01* +X135644841Y-83996048D01* +X135656664Y-83987280D01* +X135669290Y-83979712D01* +X135682597Y-83973418D01* +X135696457Y-83968459D01* +X135710736Y-83964882D01* +X135725297Y-83962722D01* +X135740000Y-83962000D01* +X136040000Y-83962000D01* +X136054703Y-83962722D01* +X136054703Y-83962722D01* +G37* +D14* +X135890000Y-85212000D03* +D10* +G36* +X137324703Y-83962722D02* +G01* +X137339264Y-83964882D01* +X137353543Y-83968459D01* +X137367403Y-83973418D01* +X137380710Y-83979712D01* +X137393336Y-83987280D01* +X137405159Y-83996048D01* +X137416066Y-84005934D01* +X137425952Y-84016841D01* +X137434720Y-84028664D01* +X137442288Y-84041290D01* +X137448582Y-84054597D01* +X137453541Y-84068457D01* +X137457118Y-84082736D01* +X137459278Y-84097297D01* +X137460000Y-84112000D01* +X137460000Y-86312000D01* +X137459278Y-86326703D01* +X137457118Y-86341264D01* +X137453541Y-86355543D01* +X137448582Y-86369403D01* +X137442288Y-86382710D01* +X137434720Y-86395336D01* +X137425952Y-86407159D01* +X137416066Y-86418066D01* +X137405159Y-86427952D01* +X137393336Y-86436720D01* +X137380710Y-86444288D01* +X137367403Y-86450582D01* +X137353543Y-86455541D01* +X137339264Y-86459118D01* +X137324703Y-86461278D01* +X137310000Y-86462000D01* +X137010000Y-86462000D01* +X136995297Y-86461278D01* +X136980736Y-86459118D01* +X136966457Y-86455541D01* +X136952597Y-86450582D01* +X136939290Y-86444288D01* +X136926664Y-86436720D01* +X136914841Y-86427952D01* +X136903934Y-86418066D01* +X136894048Y-86407159D01* +X136885280Y-86395336D01* +X136877712Y-86382710D01* +X136871418Y-86369403D01* +X136866459Y-86355543D01* +X136862882Y-86341264D01* +X136860722Y-86326703D01* +X136860000Y-86312000D01* +X136860000Y-84112000D01* +X136860722Y-84097297D01* +X136862882Y-84082736D01* +X136866459Y-84068457D01* +X136871418Y-84054597D01* +X136877712Y-84041290D01* +X136885280Y-84028664D01* +X136894048Y-84016841D01* +X136903934Y-84005934D01* +X136914841Y-83996048D01* +X136926664Y-83987280D01* +X136939290Y-83979712D01* +X136952597Y-83973418D01* +X136966457Y-83968459D01* +X136980736Y-83964882D01* +X136995297Y-83962722D01* +X137010000Y-83962000D01* +X137310000Y-83962000D01* +X137324703Y-83962722D01* +X137324703Y-83962722D01* +G37* +D14* +X137160000Y-85212000D03* +D10* +G36* +X137324703Y-92862722D02* +G01* +X137339264Y-92864882D01* +X137353543Y-92868459D01* +X137367403Y-92873418D01* +X137380710Y-92879712D01* +X137393336Y-92887280D01* +X137405159Y-92896048D01* +X137416066Y-92905934D01* +X137425952Y-92916841D01* +X137434720Y-92928664D01* +X137442288Y-92941290D01* +X137448582Y-92954597D01* +X137453541Y-92968457D01* +X137457118Y-92982736D01* +X137459278Y-92997297D01* +X137460000Y-93012000D01* +X137460000Y-95212000D01* +X137459278Y-95226703D01* +X137457118Y-95241264D01* +X137453541Y-95255543D01* +X137448582Y-95269403D01* +X137442288Y-95282710D01* +X137434720Y-95295336D01* +X137425952Y-95307159D01* +X137416066Y-95318066D01* +X137405159Y-95327952D01* +X137393336Y-95336720D01* +X137380710Y-95344288D01* +X137367403Y-95350582D01* +X137353543Y-95355541D01* +X137339264Y-95359118D01* +X137324703Y-95361278D01* +X137310000Y-95362000D01* +X137010000Y-95362000D01* +X136995297Y-95361278D01* +X136980736Y-95359118D01* +X136966457Y-95355541D01* +X136952597Y-95350582D01* +X136939290Y-95344288D01* +X136926664Y-95336720D01* +X136914841Y-95327952D01* +X136903934Y-95318066D01* +X136894048Y-95307159D01* +X136885280Y-95295336D01* +X136877712Y-95282710D01* +X136871418Y-95269403D01* +X136866459Y-95255543D01* +X136862882Y-95241264D01* +X136860722Y-95226703D01* +X136860000Y-95212000D01* +X136860000Y-93012000D01* +X136860722Y-92997297D01* +X136862882Y-92982736D01* +X136866459Y-92968457D01* +X136871418Y-92954597D01* +X136877712Y-92941290D01* +X136885280Y-92928664D01* +X136894048Y-92916841D01* +X136903934Y-92905934D01* +X136914841Y-92896048D01* +X136926664Y-92887280D01* +X136939290Y-92879712D01* +X136952597Y-92873418D01* +X136966457Y-92868459D01* +X136980736Y-92864882D01* +X136995297Y-92862722D01* +X137010000Y-92862000D01* +X137310000Y-92862000D01* +X137324703Y-92862722D01* +X137324703Y-92862722D01* +G37* +D14* +X137160000Y-94112000D03* +D10* +G36* +X136054703Y-92862722D02* +G01* +X136069264Y-92864882D01* +X136083543Y-92868459D01* +X136097403Y-92873418D01* +X136110710Y-92879712D01* +X136123336Y-92887280D01* +X136135159Y-92896048D01* +X136146066Y-92905934D01* +X136155952Y-92916841D01* +X136164720Y-92928664D01* +X136172288Y-92941290D01* +X136178582Y-92954597D01* +X136183541Y-92968457D01* +X136187118Y-92982736D01* +X136189278Y-92997297D01* +X136190000Y-93012000D01* +X136190000Y-95212000D01* +X136189278Y-95226703D01* +X136187118Y-95241264D01* +X136183541Y-95255543D01* +X136178582Y-95269403D01* +X136172288Y-95282710D01* +X136164720Y-95295336D01* +X136155952Y-95307159D01* +X136146066Y-95318066D01* +X136135159Y-95327952D01* +X136123336Y-95336720D01* +X136110710Y-95344288D01* +X136097403Y-95350582D01* +X136083543Y-95355541D01* +X136069264Y-95359118D01* +X136054703Y-95361278D01* +X136040000Y-95362000D01* +X135740000Y-95362000D01* +X135725297Y-95361278D01* +X135710736Y-95359118D01* +X135696457Y-95355541D01* +X135682597Y-95350582D01* +X135669290Y-95344288D01* +X135656664Y-95336720D01* +X135644841Y-95327952D01* +X135633934Y-95318066D01* +X135624048Y-95307159D01* +X135615280Y-95295336D01* +X135607712Y-95282710D01* +X135601418Y-95269403D01* +X135596459Y-95255543D01* +X135592882Y-95241264D01* +X135590722Y-95226703D01* +X135590000Y-95212000D01* +X135590000Y-93012000D01* +X135590722Y-92997297D01* +X135592882Y-92982736D01* +X135596459Y-92968457D01* +X135601418Y-92954597D01* +X135607712Y-92941290D01* +X135615280Y-92928664D01* +X135624048Y-92916841D01* +X135633934Y-92905934D01* +X135644841Y-92896048D01* +X135656664Y-92887280D01* +X135669290Y-92879712D01* +X135682597Y-92873418D01* +X135696457Y-92868459D01* +X135710736Y-92864882D01* +X135725297Y-92862722D01* +X135740000Y-92862000D01* +X136040000Y-92862000D01* +X136054703Y-92862722D01* +X136054703Y-92862722D01* +G37* +D14* +X135890000Y-94112000D03* +D10* +G36* +X134784703Y-92862722D02* +G01* +X134799264Y-92864882D01* +X134813543Y-92868459D01* +X134827403Y-92873418D01* +X134840710Y-92879712D01* +X134853336Y-92887280D01* +X134865159Y-92896048D01* +X134876066Y-92905934D01* +X134885952Y-92916841D01* +X134894720Y-92928664D01* +X134902288Y-92941290D01* +X134908582Y-92954597D01* +X134913541Y-92968457D01* +X134917118Y-92982736D01* +X134919278Y-92997297D01* +X134920000Y-93012000D01* +X134920000Y-95212000D01* +X134919278Y-95226703D01* +X134917118Y-95241264D01* +X134913541Y-95255543D01* +X134908582Y-95269403D01* +X134902288Y-95282710D01* +X134894720Y-95295336D01* +X134885952Y-95307159D01* +X134876066Y-95318066D01* +X134865159Y-95327952D01* +X134853336Y-95336720D01* +X134840710Y-95344288D01* +X134827403Y-95350582D01* +X134813543Y-95355541D01* +X134799264Y-95359118D01* +X134784703Y-95361278D01* +X134770000Y-95362000D01* +X134470000Y-95362000D01* +X134455297Y-95361278D01* +X134440736Y-95359118D01* +X134426457Y-95355541D01* +X134412597Y-95350582D01* +X134399290Y-95344288D01* +X134386664Y-95336720D01* +X134374841Y-95327952D01* +X134363934Y-95318066D01* +X134354048Y-95307159D01* +X134345280Y-95295336D01* +X134337712Y-95282710D01* +X134331418Y-95269403D01* +X134326459Y-95255543D01* +X134322882Y-95241264D01* +X134320722Y-95226703D01* +X134320000Y-95212000D01* +X134320000Y-93012000D01* +X134320722Y-92997297D01* +X134322882Y-92982736D01* +X134326459Y-92968457D01* +X134331418Y-92954597D01* +X134337712Y-92941290D01* +X134345280Y-92928664D01* +X134354048Y-92916841D01* +X134363934Y-92905934D01* +X134374841Y-92896048D01* +X134386664Y-92887280D01* +X134399290Y-92879712D01* +X134412597Y-92873418D01* +X134426457Y-92868459D01* +X134440736Y-92864882D01* +X134455297Y-92862722D01* +X134470000Y-92862000D01* +X134770000Y-92862000D01* +X134784703Y-92862722D01* +X134784703Y-92862722D01* +G37* +D14* +X134620000Y-94112000D03* +D10* +G36* +X133514703Y-92862722D02* +G01* +X133529264Y-92864882D01* +X133543543Y-92868459D01* +X133557403Y-92873418D01* +X133570710Y-92879712D01* +X133583336Y-92887280D01* +X133595159Y-92896048D01* +X133606066Y-92905934D01* +X133615952Y-92916841D01* +X133624720Y-92928664D01* +X133632288Y-92941290D01* +X133638582Y-92954597D01* +X133643541Y-92968457D01* +X133647118Y-92982736D01* +X133649278Y-92997297D01* +X133650000Y-93012000D01* +X133650000Y-95212000D01* +X133649278Y-95226703D01* +X133647118Y-95241264D01* +X133643541Y-95255543D01* +X133638582Y-95269403D01* +X133632288Y-95282710D01* +X133624720Y-95295336D01* +X133615952Y-95307159D01* +X133606066Y-95318066D01* +X133595159Y-95327952D01* +X133583336Y-95336720D01* +X133570710Y-95344288D01* +X133557403Y-95350582D01* +X133543543Y-95355541D01* +X133529264Y-95359118D01* +X133514703Y-95361278D01* +X133500000Y-95362000D01* +X133200000Y-95362000D01* +X133185297Y-95361278D01* +X133170736Y-95359118D01* +X133156457Y-95355541D01* +X133142597Y-95350582D01* +X133129290Y-95344288D01* +X133116664Y-95336720D01* +X133104841Y-95327952D01* +X133093934Y-95318066D01* +X133084048Y-95307159D01* +X133075280Y-95295336D01* +X133067712Y-95282710D01* +X133061418Y-95269403D01* +X133056459Y-95255543D01* +X133052882Y-95241264D01* +X133050722Y-95226703D01* +X133050000Y-95212000D01* +X133050000Y-93012000D01* +X133050722Y-92997297D01* +X133052882Y-92982736D01* +X133056459Y-92968457D01* +X133061418Y-92954597D01* +X133067712Y-92941290D01* +X133075280Y-92928664D01* +X133084048Y-92916841D01* +X133093934Y-92905934D01* +X133104841Y-92896048D01* +X133116664Y-92887280D01* +X133129290Y-92879712D01* +X133142597Y-92873418D01* +X133156457Y-92868459D01* +X133170736Y-92864882D01* +X133185297Y-92862722D01* +X133200000Y-92862000D01* +X133500000Y-92862000D01* +X133514703Y-92862722D01* +X133514703Y-92862722D01* +G37* +D14* +X133350000Y-94112000D03* +D10* +G36* +X132244703Y-92862722D02* +G01* +X132259264Y-92864882D01* +X132273543Y-92868459D01* +X132287403Y-92873418D01* +X132300710Y-92879712D01* +X132313336Y-92887280D01* +X132325159Y-92896048D01* +X132336066Y-92905934D01* +X132345952Y-92916841D01* +X132354720Y-92928664D01* +X132362288Y-92941290D01* +X132368582Y-92954597D01* +X132373541Y-92968457D01* +X132377118Y-92982736D01* +X132379278Y-92997297D01* +X132380000Y-93012000D01* +X132380000Y-95212000D01* +X132379278Y-95226703D01* +X132377118Y-95241264D01* +X132373541Y-95255543D01* +X132368582Y-95269403D01* +X132362288Y-95282710D01* +X132354720Y-95295336D01* +X132345952Y-95307159D01* +X132336066Y-95318066D01* +X132325159Y-95327952D01* +X132313336Y-95336720D01* +X132300710Y-95344288D01* +X132287403Y-95350582D01* +X132273543Y-95355541D01* +X132259264Y-95359118D01* +X132244703Y-95361278D01* +X132230000Y-95362000D01* +X131930000Y-95362000D01* +X131915297Y-95361278D01* +X131900736Y-95359118D01* +X131886457Y-95355541D01* +X131872597Y-95350582D01* +X131859290Y-95344288D01* +X131846664Y-95336720D01* +X131834841Y-95327952D01* +X131823934Y-95318066D01* +X131814048Y-95307159D01* +X131805280Y-95295336D01* +X131797712Y-95282710D01* +X131791418Y-95269403D01* +X131786459Y-95255543D01* +X131782882Y-95241264D01* +X131780722Y-95226703D01* +X131780000Y-95212000D01* +X131780000Y-93012000D01* +X131780722Y-92997297D01* +X131782882Y-92982736D01* +X131786459Y-92968457D01* +X131791418Y-92954597D01* +X131797712Y-92941290D01* +X131805280Y-92928664D01* +X131814048Y-92916841D01* +X131823934Y-92905934D01* +X131834841Y-92896048D01* +X131846664Y-92887280D01* +X131859290Y-92879712D01* +X131872597Y-92873418D01* +X131886457Y-92868459D01* +X131900736Y-92864882D01* +X131915297Y-92862722D01* +X131930000Y-92862000D01* +X132230000Y-92862000D01* +X132244703Y-92862722D01* +X132244703Y-92862722D01* +G37* +D14* +X132080000Y-94112000D03* +D10* +G36* +X130974703Y-92862722D02* +G01* +X130989264Y-92864882D01* +X131003543Y-92868459D01* +X131017403Y-92873418D01* +X131030710Y-92879712D01* +X131043336Y-92887280D01* +X131055159Y-92896048D01* +X131066066Y-92905934D01* +X131075952Y-92916841D01* +X131084720Y-92928664D01* +X131092288Y-92941290D01* +X131098582Y-92954597D01* +X131103541Y-92968457D01* +X131107118Y-92982736D01* +X131109278Y-92997297D01* +X131110000Y-93012000D01* +X131110000Y-95212000D01* +X131109278Y-95226703D01* +X131107118Y-95241264D01* +X131103541Y-95255543D01* +X131098582Y-95269403D01* +X131092288Y-95282710D01* +X131084720Y-95295336D01* +X131075952Y-95307159D01* +X131066066Y-95318066D01* +X131055159Y-95327952D01* +X131043336Y-95336720D01* +X131030710Y-95344288D01* +X131017403Y-95350582D01* +X131003543Y-95355541D01* +X130989264Y-95359118D01* +X130974703Y-95361278D01* +X130960000Y-95362000D01* +X130660000Y-95362000D01* +X130645297Y-95361278D01* +X130630736Y-95359118D01* +X130616457Y-95355541D01* +X130602597Y-95350582D01* +X130589290Y-95344288D01* +X130576664Y-95336720D01* +X130564841Y-95327952D01* +X130553934Y-95318066D01* +X130544048Y-95307159D01* +X130535280Y-95295336D01* +X130527712Y-95282710D01* +X130521418Y-95269403D01* +X130516459Y-95255543D01* +X130512882Y-95241264D01* +X130510722Y-95226703D01* +X130510000Y-95212000D01* +X130510000Y-93012000D01* +X130510722Y-92997297D01* +X130512882Y-92982736D01* +X130516459Y-92968457D01* +X130521418Y-92954597D01* +X130527712Y-92941290D01* +X130535280Y-92928664D01* +X130544048Y-92916841D01* +X130553934Y-92905934D01* +X130564841Y-92896048D01* +X130576664Y-92887280D01* +X130589290Y-92879712D01* +X130602597Y-92873418D01* +X130616457Y-92868459D01* +X130630736Y-92864882D01* +X130645297Y-92862722D01* +X130660000Y-92862000D01* +X130960000Y-92862000D01* +X130974703Y-92862722D01* +X130974703Y-92862722D01* +G37* +D14* +X130810000Y-94112000D03* +D10* +G36* +X128434703Y-92862722D02* +G01* +X128449264Y-92864882D01* +X128463543Y-92868459D01* +X128477403Y-92873418D01* +X128490710Y-92879712D01* +X128503336Y-92887280D01* +X128515159Y-92896048D01* +X128526066Y-92905934D01* +X128535952Y-92916841D01* +X128544720Y-92928664D01* +X128552288Y-92941290D01* +X128558582Y-92954597D01* +X128563541Y-92968457D01* +X128567118Y-92982736D01* +X128569278Y-92997297D01* +X128570000Y-93012000D01* +X128570000Y-95212000D01* +X128569278Y-95226703D01* +X128567118Y-95241264D01* +X128563541Y-95255543D01* +X128558582Y-95269403D01* +X128552288Y-95282710D01* +X128544720Y-95295336D01* +X128535952Y-95307159D01* +X128526066Y-95318066D01* +X128515159Y-95327952D01* +X128503336Y-95336720D01* +X128490710Y-95344288D01* +X128477403Y-95350582D01* +X128463543Y-95355541D01* +X128449264Y-95359118D01* +X128434703Y-95361278D01* +X128420000Y-95362000D01* +X128120000Y-95362000D01* +X128105297Y-95361278D01* +X128090736Y-95359118D01* +X128076457Y-95355541D01* +X128062597Y-95350582D01* +X128049290Y-95344288D01* +X128036664Y-95336720D01* +X128024841Y-95327952D01* +X128013934Y-95318066D01* +X128004048Y-95307159D01* +X127995280Y-95295336D01* +X127987712Y-95282710D01* +X127981418Y-95269403D01* +X127976459Y-95255543D01* +X127972882Y-95241264D01* +X127970722Y-95226703D01* +X127970000Y-95212000D01* +X127970000Y-93012000D01* +X127970722Y-92997297D01* +X127972882Y-92982736D01* +X127976459Y-92968457D01* +X127981418Y-92954597D01* +X127987712Y-92941290D01* +X127995280Y-92928664D01* +X128004048Y-92916841D01* +X128013934Y-92905934D01* +X128024841Y-92896048D01* +X128036664Y-92887280D01* +X128049290Y-92879712D01* +X128062597Y-92873418D01* +X128076457Y-92868459D01* +X128090736Y-92864882D01* +X128105297Y-92862722D01* +X128120000Y-92862000D01* +X128420000Y-92862000D01* +X128434703Y-92862722D01* +X128434703Y-92862722D01* +G37* +D14* +X128270000Y-94112000D03* +D10* +G36* +X127164703Y-92862722D02* +G01* +X127179264Y-92864882D01* +X127193543Y-92868459D01* +X127207403Y-92873418D01* +X127220710Y-92879712D01* +X127233336Y-92887280D01* +X127245159Y-92896048D01* +X127256066Y-92905934D01* +X127265952Y-92916841D01* +X127274720Y-92928664D01* +X127282288Y-92941290D01* +X127288582Y-92954597D01* +X127293541Y-92968457D01* +X127297118Y-92982736D01* +X127299278Y-92997297D01* +X127300000Y-93012000D01* +X127300000Y-95212000D01* +X127299278Y-95226703D01* +X127297118Y-95241264D01* +X127293541Y-95255543D01* +X127288582Y-95269403D01* +X127282288Y-95282710D01* +X127274720Y-95295336D01* +X127265952Y-95307159D01* +X127256066Y-95318066D01* +X127245159Y-95327952D01* +X127233336Y-95336720D01* +X127220710Y-95344288D01* +X127207403Y-95350582D01* +X127193543Y-95355541D01* +X127179264Y-95359118D01* +X127164703Y-95361278D01* +X127150000Y-95362000D01* +X126850000Y-95362000D01* +X126835297Y-95361278D01* +X126820736Y-95359118D01* +X126806457Y-95355541D01* +X126792597Y-95350582D01* +X126779290Y-95344288D01* +X126766664Y-95336720D01* +X126754841Y-95327952D01* +X126743934Y-95318066D01* +X126734048Y-95307159D01* +X126725280Y-95295336D01* +X126717712Y-95282710D01* +X126711418Y-95269403D01* +X126706459Y-95255543D01* +X126702882Y-95241264D01* +X126700722Y-95226703D01* +X126700000Y-95212000D01* +X126700000Y-93012000D01* +X126700722Y-92997297D01* +X126702882Y-92982736D01* +X126706459Y-92968457D01* +X126711418Y-92954597D01* +X126717712Y-92941290D01* +X126725280Y-92928664D01* +X126734048Y-92916841D01* +X126743934Y-92905934D01* +X126754841Y-92896048D01* +X126766664Y-92887280D01* +X126779290Y-92879712D01* +X126792597Y-92873418D01* +X126806457Y-92868459D01* +X126820736Y-92864882D01* +X126835297Y-92862722D01* +X126850000Y-92862000D01* +X127150000Y-92862000D01* +X127164703Y-92862722D01* +X127164703Y-92862722D01* +G37* +D14* +X127000000Y-94112000D03* +D10* +G36* +X125894703Y-92862722D02* +G01* +X125909264Y-92864882D01* +X125923543Y-92868459D01* +X125937403Y-92873418D01* +X125950710Y-92879712D01* +X125963336Y-92887280D01* +X125975159Y-92896048D01* +X125986066Y-92905934D01* +X125995952Y-92916841D01* +X126004720Y-92928664D01* +X126012288Y-92941290D01* +X126018582Y-92954597D01* +X126023541Y-92968457D01* +X126027118Y-92982736D01* +X126029278Y-92997297D01* +X126030000Y-93012000D01* +X126030000Y-95212000D01* +X126029278Y-95226703D01* +X126027118Y-95241264D01* +X126023541Y-95255543D01* +X126018582Y-95269403D01* +X126012288Y-95282710D01* +X126004720Y-95295336D01* +X125995952Y-95307159D01* +X125986066Y-95318066D01* +X125975159Y-95327952D01* +X125963336Y-95336720D01* +X125950710Y-95344288D01* +X125937403Y-95350582D01* +X125923543Y-95355541D01* +X125909264Y-95359118D01* +X125894703Y-95361278D01* +X125880000Y-95362000D01* +X125580000Y-95362000D01* +X125565297Y-95361278D01* +X125550736Y-95359118D01* +X125536457Y-95355541D01* +X125522597Y-95350582D01* +X125509290Y-95344288D01* +X125496664Y-95336720D01* +X125484841Y-95327952D01* +X125473934Y-95318066D01* +X125464048Y-95307159D01* +X125455280Y-95295336D01* +X125447712Y-95282710D01* +X125441418Y-95269403D01* +X125436459Y-95255543D01* +X125432882Y-95241264D01* +X125430722Y-95226703D01* +X125430000Y-95212000D01* +X125430000Y-93012000D01* +X125430722Y-92997297D01* +X125432882Y-92982736D01* +X125436459Y-92968457D01* +X125441418Y-92954597D01* +X125447712Y-92941290D01* +X125455280Y-92928664D01* +X125464048Y-92916841D01* +X125473934Y-92905934D01* +X125484841Y-92896048D01* +X125496664Y-92887280D01* +X125509290Y-92879712D01* +X125522597Y-92873418D01* +X125536457Y-92868459D01* +X125550736Y-92864882D01* +X125565297Y-92862722D01* +X125580000Y-92862000D01* +X125880000Y-92862000D01* +X125894703Y-92862722D01* +X125894703Y-92862722D01* +G37* +D14* +X125730000Y-94112000D03* +D10* +G36* +X124624703Y-92862722D02* +G01* +X124639264Y-92864882D01* +X124653543Y-92868459D01* +X124667403Y-92873418D01* +X124680710Y-92879712D01* +X124693336Y-92887280D01* +X124705159Y-92896048D01* +X124716066Y-92905934D01* +X124725952Y-92916841D01* +X124734720Y-92928664D01* +X124742288Y-92941290D01* +X124748582Y-92954597D01* +X124753541Y-92968457D01* +X124757118Y-92982736D01* +X124759278Y-92997297D01* +X124760000Y-93012000D01* +X124760000Y-95212000D01* +X124759278Y-95226703D01* +X124757118Y-95241264D01* +X124753541Y-95255543D01* +X124748582Y-95269403D01* +X124742288Y-95282710D01* +X124734720Y-95295336D01* +X124725952Y-95307159D01* +X124716066Y-95318066D01* +X124705159Y-95327952D01* +X124693336Y-95336720D01* +X124680710Y-95344288D01* +X124667403Y-95350582D01* +X124653543Y-95355541D01* +X124639264Y-95359118D01* +X124624703Y-95361278D01* +X124610000Y-95362000D01* +X124310000Y-95362000D01* +X124295297Y-95361278D01* +X124280736Y-95359118D01* +X124266457Y-95355541D01* +X124252597Y-95350582D01* +X124239290Y-95344288D01* +X124226664Y-95336720D01* +X124214841Y-95327952D01* +X124203934Y-95318066D01* +X124194048Y-95307159D01* +X124185280Y-95295336D01* +X124177712Y-95282710D01* +X124171418Y-95269403D01* +X124166459Y-95255543D01* +X124162882Y-95241264D01* +X124160722Y-95226703D01* +X124160000Y-95212000D01* +X124160000Y-93012000D01* +X124160722Y-92997297D01* +X124162882Y-92982736D01* +X124166459Y-92968457D01* +X124171418Y-92954597D01* +X124177712Y-92941290D01* +X124185280Y-92928664D01* +X124194048Y-92916841D01* +X124203934Y-92905934D01* +X124214841Y-92896048D01* +X124226664Y-92887280D01* +X124239290Y-92879712D01* +X124252597Y-92873418D01* +X124266457Y-92868459D01* +X124280736Y-92864882D01* +X124295297Y-92862722D01* +X124310000Y-92862000D01* +X124610000Y-92862000D01* +X124624703Y-92862722D01* +X124624703Y-92862722D01* +G37* +D14* +X124460000Y-94112000D03* +D10* +G36* +X123354703Y-92862722D02* +G01* +X123369264Y-92864882D01* +X123383543Y-92868459D01* +X123397403Y-92873418D01* +X123410710Y-92879712D01* +X123423336Y-92887280D01* +X123435159Y-92896048D01* +X123446066Y-92905934D01* +X123455952Y-92916841D01* +X123464720Y-92928664D01* +X123472288Y-92941290D01* +X123478582Y-92954597D01* +X123483541Y-92968457D01* +X123487118Y-92982736D01* +X123489278Y-92997297D01* +X123490000Y-93012000D01* +X123490000Y-95212000D01* +X123489278Y-95226703D01* +X123487118Y-95241264D01* +X123483541Y-95255543D01* +X123478582Y-95269403D01* +X123472288Y-95282710D01* +X123464720Y-95295336D01* +X123455952Y-95307159D01* +X123446066Y-95318066D01* +X123435159Y-95327952D01* +X123423336Y-95336720D01* +X123410710Y-95344288D01* +X123397403Y-95350582D01* +X123383543Y-95355541D01* +X123369264Y-95359118D01* +X123354703Y-95361278D01* +X123340000Y-95362000D01* +X123040000Y-95362000D01* +X123025297Y-95361278D01* +X123010736Y-95359118D01* +X122996457Y-95355541D01* +X122982597Y-95350582D01* +X122969290Y-95344288D01* +X122956664Y-95336720D01* +X122944841Y-95327952D01* +X122933934Y-95318066D01* +X122924048Y-95307159D01* +X122915280Y-95295336D01* +X122907712Y-95282710D01* +X122901418Y-95269403D01* +X122896459Y-95255543D01* +X122892882Y-95241264D01* +X122890722Y-95226703D01* +X122890000Y-95212000D01* +X122890000Y-93012000D01* +X122890722Y-92997297D01* +X122892882Y-92982736D01* +X122896459Y-92968457D01* +X122901418Y-92954597D01* +X122907712Y-92941290D01* +X122915280Y-92928664D01* +X122924048Y-92916841D01* +X122933934Y-92905934D01* +X122944841Y-92896048D01* +X122956664Y-92887280D01* +X122969290Y-92879712D01* +X122982597Y-92873418D01* +X122996457Y-92868459D01* +X123010736Y-92864882D01* +X123025297Y-92862722D01* +X123040000Y-92862000D01* +X123340000Y-92862000D01* +X123354703Y-92862722D01* +X123354703Y-92862722D01* +G37* +D14* +X123190000Y-94112000D03* +D10* +G36* +X122084703Y-92862722D02* +G01* +X122099264Y-92864882D01* +X122113543Y-92868459D01* +X122127403Y-92873418D01* +X122140710Y-92879712D01* +X122153336Y-92887280D01* +X122165159Y-92896048D01* +X122176066Y-92905934D01* +X122185952Y-92916841D01* +X122194720Y-92928664D01* +X122202288Y-92941290D01* +X122208582Y-92954597D01* +X122213541Y-92968457D01* +X122217118Y-92982736D01* +X122219278Y-92997297D01* +X122220000Y-93012000D01* +X122220000Y-95212000D01* +X122219278Y-95226703D01* +X122217118Y-95241264D01* +X122213541Y-95255543D01* +X122208582Y-95269403D01* +X122202288Y-95282710D01* +X122194720Y-95295336D01* +X122185952Y-95307159D01* +X122176066Y-95318066D01* +X122165159Y-95327952D01* +X122153336Y-95336720D01* +X122140710Y-95344288D01* +X122127403Y-95350582D01* +X122113543Y-95355541D01* +X122099264Y-95359118D01* +X122084703Y-95361278D01* +X122070000Y-95362000D01* +X121770000Y-95362000D01* +X121755297Y-95361278D01* +X121740736Y-95359118D01* +X121726457Y-95355541D01* +X121712597Y-95350582D01* +X121699290Y-95344288D01* +X121686664Y-95336720D01* +X121674841Y-95327952D01* +X121663934Y-95318066D01* +X121654048Y-95307159D01* +X121645280Y-95295336D01* +X121637712Y-95282710D01* +X121631418Y-95269403D01* +X121626459Y-95255543D01* +X121622882Y-95241264D01* +X121620722Y-95226703D01* +X121620000Y-95212000D01* +X121620000Y-93012000D01* +X121620722Y-92997297D01* +X121622882Y-92982736D01* +X121626459Y-92968457D01* +X121631418Y-92954597D01* +X121637712Y-92941290D01* +X121645280Y-92928664D01* +X121654048Y-92916841D01* +X121663934Y-92905934D01* +X121674841Y-92896048D01* +X121686664Y-92887280D01* +X121699290Y-92879712D01* +X121712597Y-92873418D01* +X121726457Y-92868459D01* +X121740736Y-92864882D01* +X121755297Y-92862722D01* +X121770000Y-92862000D01* +X122070000Y-92862000D01* +X122084703Y-92862722D01* +X122084703Y-92862722D01* +G37* +D14* +X121920000Y-94112000D03* +D10* +G36* +X137324703Y-83962722D02* +G01* +X137339264Y-83964882D01* +X137353543Y-83968459D01* +X137367403Y-83973418D01* +X137380710Y-83979712D01* +X137393336Y-83987280D01* +X137405159Y-83996048D01* +X137416066Y-84005934D01* +X137425952Y-84016841D01* +X137434720Y-84028664D01* +X137442288Y-84041290D01* +X137448582Y-84054597D01* +X137453541Y-84068457D01* +X137457118Y-84082736D01* +X137459278Y-84097297D01* +X137460000Y-84112000D01* +X137460000Y-87812000D01* +X137459278Y-87826703D01* +X137457118Y-87841264D01* +X137453541Y-87855543D01* +X137448582Y-87869403D01* +X137442288Y-87882710D01* +X137434720Y-87895336D01* +X137425952Y-87907159D01* +X137416066Y-87918066D01* +X137405159Y-87927952D01* +X137393336Y-87936720D01* +X137380710Y-87944288D01* +X137367403Y-87950582D01* +X137353543Y-87955541D01* +X137339264Y-87959118D01* +X137324703Y-87961278D01* +X137310000Y-87962000D01* +X137010000Y-87962000D01* +X136995297Y-87961278D01* +X136980736Y-87959118D01* +X136966457Y-87955541D01* +X136952597Y-87950582D01* +X136939290Y-87944288D01* +X136926664Y-87936720D01* +X136914841Y-87927952D01* +X136903934Y-87918066D01* +X136894048Y-87907159D01* +X136885280Y-87895336D01* +X136877712Y-87882710D01* +X136871418Y-87869403D01* +X136866459Y-87855543D01* +X136862882Y-87841264D01* +X136860722Y-87826703D01* +X136860000Y-87812000D01* +X136860000Y-84112000D01* +X136860722Y-84097297D01* +X136862882Y-84082736D01* +X136866459Y-84068457D01* +X136871418Y-84054597D01* +X136877712Y-84041290D01* +X136885280Y-84028664D01* +X136894048Y-84016841D01* +X136903934Y-84005934D01* +X136914841Y-83996048D01* +X136926664Y-83987280D01* +X136939290Y-83979712D01* +X136952597Y-83973418D01* +X136966457Y-83968459D01* +X136980736Y-83964882D01* +X136995297Y-83962722D01* +X137010000Y-83962000D01* +X137310000Y-83962000D01* +X137324703Y-83962722D01* +X137324703Y-83962722D01* +G37* +D14* +X137160000Y-85962000D03* +D10* +G36* +X122084703Y-83962722D02* +G01* +X122099264Y-83964882D01* +X122113543Y-83968459D01* +X122127403Y-83973418D01* +X122140710Y-83979712D01* +X122153336Y-83987280D01* +X122165159Y-83996048D01* +X122176066Y-84005934D01* +X122185952Y-84016841D01* +X122194720Y-84028664D01* +X122202288Y-84041290D01* +X122208582Y-84054597D01* +X122213541Y-84068457D01* +X122217118Y-84082736D01* +X122219278Y-84097297D01* +X122220000Y-84112000D01* +X122220000Y-87812000D01* +X122219278Y-87826703D01* +X122217118Y-87841264D01* +X122213541Y-87855543D01* +X122208582Y-87869403D01* +X122202288Y-87882710D01* +X122194720Y-87895336D01* +X122185952Y-87907159D01* +X122176066Y-87918066D01* +X122165159Y-87927952D01* +X122153336Y-87936720D01* +X122140710Y-87944288D01* +X122127403Y-87950582D01* +X122113543Y-87955541D01* +X122099264Y-87959118D01* +X122084703Y-87961278D01* +X122070000Y-87962000D01* +X121770000Y-87962000D01* +X121755297Y-87961278D01* +X121740736Y-87959118D01* +X121726457Y-87955541D01* +X121712597Y-87950582D01* +X121699290Y-87944288D01* +X121686664Y-87936720D01* +X121674841Y-87927952D01* +X121663934Y-87918066D01* +X121654048Y-87907159D01* +X121645280Y-87895336D01* +X121637712Y-87882710D01* +X121631418Y-87869403D01* +X121626459Y-87855543D01* +X121622882Y-87841264D01* +X121620722Y-87826703D01* +X121620000Y-87812000D01* +X121620000Y-84112000D01* +X121620722Y-84097297D01* +X121622882Y-84082736D01* +X121626459Y-84068457D01* +X121631418Y-84054597D01* +X121637712Y-84041290D01* +X121645280Y-84028664D01* +X121654048Y-84016841D01* +X121663934Y-84005934D01* +X121674841Y-83996048D01* +X121686664Y-83987280D01* +X121699290Y-83979712D01* +X121712597Y-83973418D01* +X121726457Y-83968459D01* +X121740736Y-83964882D01* +X121755297Y-83962722D01* +X121770000Y-83962000D01* +X122070000Y-83962000D01* +X122084703Y-83962722D01* +X122084703Y-83962722D01* +G37* +D14* +X121920000Y-85962000D03* +D10* +G36* +X123354703Y-83962722D02* +G01* +X123369264Y-83964882D01* +X123383543Y-83968459D01* +X123397403Y-83973418D01* +X123410710Y-83979712D01* +X123423336Y-83987280D01* +X123435159Y-83996048D01* +X123446066Y-84005934D01* +X123455952Y-84016841D01* +X123464720Y-84028664D01* +X123472288Y-84041290D01* +X123478582Y-84054597D01* +X123483541Y-84068457D01* +X123487118Y-84082736D01* +X123489278Y-84097297D01* +X123490000Y-84112000D01* +X123490000Y-87812000D01* +X123489278Y-87826703D01* +X123487118Y-87841264D01* +X123483541Y-87855543D01* +X123478582Y-87869403D01* +X123472288Y-87882710D01* +X123464720Y-87895336D01* +X123455952Y-87907159D01* +X123446066Y-87918066D01* +X123435159Y-87927952D01* +X123423336Y-87936720D01* +X123410710Y-87944288D01* +X123397403Y-87950582D01* +X123383543Y-87955541D01* +X123369264Y-87959118D01* +X123354703Y-87961278D01* +X123340000Y-87962000D01* +X123040000Y-87962000D01* +X123025297Y-87961278D01* +X123010736Y-87959118D01* +X122996457Y-87955541D01* +X122982597Y-87950582D01* +X122969290Y-87944288D01* +X122956664Y-87936720D01* +X122944841Y-87927952D01* +X122933934Y-87918066D01* +X122924048Y-87907159D01* +X122915280Y-87895336D01* +X122907712Y-87882710D01* +X122901418Y-87869403D01* +X122896459Y-87855543D01* +X122892882Y-87841264D01* +X122890722Y-87826703D01* +X122890000Y-87812000D01* +X122890000Y-84112000D01* +X122890722Y-84097297D01* +X122892882Y-84082736D01* +X122896459Y-84068457D01* +X122901418Y-84054597D01* +X122907712Y-84041290D01* +X122915280Y-84028664D01* +X122924048Y-84016841D01* +X122933934Y-84005934D01* +X122944841Y-83996048D01* +X122956664Y-83987280D01* +X122969290Y-83979712D01* +X122982597Y-83973418D01* +X122996457Y-83968459D01* +X123010736Y-83964882D01* +X123025297Y-83962722D01* +X123040000Y-83962000D01* +X123340000Y-83962000D01* +X123354703Y-83962722D01* +X123354703Y-83962722D01* +G37* +D14* +X123190000Y-85962000D03* +D10* +G36* +X124624703Y-83962722D02* +G01* +X124639264Y-83964882D01* +X124653543Y-83968459D01* +X124667403Y-83973418D01* +X124680710Y-83979712D01* +X124693336Y-83987280D01* +X124705159Y-83996048D01* +X124716066Y-84005934D01* +X124725952Y-84016841D01* +X124734720Y-84028664D01* +X124742288Y-84041290D01* +X124748582Y-84054597D01* +X124753541Y-84068457D01* +X124757118Y-84082736D01* +X124759278Y-84097297D01* +X124760000Y-84112000D01* +X124760000Y-87812000D01* +X124759278Y-87826703D01* +X124757118Y-87841264D01* +X124753541Y-87855543D01* +X124748582Y-87869403D01* +X124742288Y-87882710D01* +X124734720Y-87895336D01* +X124725952Y-87907159D01* +X124716066Y-87918066D01* +X124705159Y-87927952D01* +X124693336Y-87936720D01* +X124680710Y-87944288D01* +X124667403Y-87950582D01* +X124653543Y-87955541D01* +X124639264Y-87959118D01* +X124624703Y-87961278D01* +X124610000Y-87962000D01* +X124310000Y-87962000D01* +X124295297Y-87961278D01* +X124280736Y-87959118D01* +X124266457Y-87955541D01* +X124252597Y-87950582D01* +X124239290Y-87944288D01* +X124226664Y-87936720D01* +X124214841Y-87927952D01* +X124203934Y-87918066D01* +X124194048Y-87907159D01* +X124185280Y-87895336D01* +X124177712Y-87882710D01* +X124171418Y-87869403D01* +X124166459Y-87855543D01* +X124162882Y-87841264D01* +X124160722Y-87826703D01* +X124160000Y-87812000D01* +X124160000Y-84112000D01* +X124160722Y-84097297D01* +X124162882Y-84082736D01* +X124166459Y-84068457D01* +X124171418Y-84054597D01* +X124177712Y-84041290D01* +X124185280Y-84028664D01* +X124194048Y-84016841D01* +X124203934Y-84005934D01* +X124214841Y-83996048D01* +X124226664Y-83987280D01* +X124239290Y-83979712D01* +X124252597Y-83973418D01* +X124266457Y-83968459D01* +X124280736Y-83964882D01* +X124295297Y-83962722D01* +X124310000Y-83962000D01* +X124610000Y-83962000D01* +X124624703Y-83962722D01* +X124624703Y-83962722D01* +G37* +D14* +X124460000Y-85962000D03* +D10* +G36* +X125894703Y-83962722D02* +G01* +X125909264Y-83964882D01* +X125923543Y-83968459D01* +X125937403Y-83973418D01* +X125950710Y-83979712D01* +X125963336Y-83987280D01* +X125975159Y-83996048D01* +X125986066Y-84005934D01* +X125995952Y-84016841D01* +X126004720Y-84028664D01* +X126012288Y-84041290D01* +X126018582Y-84054597D01* +X126023541Y-84068457D01* +X126027118Y-84082736D01* +X126029278Y-84097297D01* +X126030000Y-84112000D01* +X126030000Y-87812000D01* +X126029278Y-87826703D01* +X126027118Y-87841264D01* +X126023541Y-87855543D01* +X126018582Y-87869403D01* +X126012288Y-87882710D01* +X126004720Y-87895336D01* +X125995952Y-87907159D01* +X125986066Y-87918066D01* +X125975159Y-87927952D01* +X125963336Y-87936720D01* +X125950710Y-87944288D01* +X125937403Y-87950582D01* +X125923543Y-87955541D01* +X125909264Y-87959118D01* +X125894703Y-87961278D01* +X125880000Y-87962000D01* +X125580000Y-87962000D01* +X125565297Y-87961278D01* +X125550736Y-87959118D01* +X125536457Y-87955541D01* +X125522597Y-87950582D01* +X125509290Y-87944288D01* +X125496664Y-87936720D01* +X125484841Y-87927952D01* +X125473934Y-87918066D01* +X125464048Y-87907159D01* +X125455280Y-87895336D01* +X125447712Y-87882710D01* +X125441418Y-87869403D01* +X125436459Y-87855543D01* +X125432882Y-87841264D01* +X125430722Y-87826703D01* +X125430000Y-87812000D01* +X125430000Y-84112000D01* +X125430722Y-84097297D01* +X125432882Y-84082736D01* +X125436459Y-84068457D01* +X125441418Y-84054597D01* +X125447712Y-84041290D01* +X125455280Y-84028664D01* +X125464048Y-84016841D01* +X125473934Y-84005934D01* +X125484841Y-83996048D01* +X125496664Y-83987280D01* +X125509290Y-83979712D01* +X125522597Y-83973418D01* +X125536457Y-83968459D01* +X125550736Y-83964882D01* +X125565297Y-83962722D01* +X125580000Y-83962000D01* +X125880000Y-83962000D01* +X125894703Y-83962722D01* +X125894703Y-83962722D01* +G37* +D14* +X125730000Y-85962000D03* +D10* +G36* +X127164703Y-83962722D02* +G01* +X127179264Y-83964882D01* +X127193543Y-83968459D01* +X127207403Y-83973418D01* +X127220710Y-83979712D01* +X127233336Y-83987280D01* +X127245159Y-83996048D01* +X127256066Y-84005934D01* +X127265952Y-84016841D01* +X127274720Y-84028664D01* +X127282288Y-84041290D01* +X127288582Y-84054597D01* +X127293541Y-84068457D01* +X127297118Y-84082736D01* +X127299278Y-84097297D01* +X127300000Y-84112000D01* +X127300000Y-87812000D01* +X127299278Y-87826703D01* +X127297118Y-87841264D01* +X127293541Y-87855543D01* +X127288582Y-87869403D01* +X127282288Y-87882710D01* +X127274720Y-87895336D01* +X127265952Y-87907159D01* +X127256066Y-87918066D01* +X127245159Y-87927952D01* +X127233336Y-87936720D01* +X127220710Y-87944288D01* +X127207403Y-87950582D01* +X127193543Y-87955541D01* +X127179264Y-87959118D01* +X127164703Y-87961278D01* +X127150000Y-87962000D01* +X126850000Y-87962000D01* +X126835297Y-87961278D01* +X126820736Y-87959118D01* +X126806457Y-87955541D01* +X126792597Y-87950582D01* +X126779290Y-87944288D01* +X126766664Y-87936720D01* +X126754841Y-87927952D01* +X126743934Y-87918066D01* +X126734048Y-87907159D01* +X126725280Y-87895336D01* +X126717712Y-87882710D01* +X126711418Y-87869403D01* +X126706459Y-87855543D01* +X126702882Y-87841264D01* +X126700722Y-87826703D01* +X126700000Y-87812000D01* +X126700000Y-84112000D01* +X126700722Y-84097297D01* +X126702882Y-84082736D01* +X126706459Y-84068457D01* +X126711418Y-84054597D01* +X126717712Y-84041290D01* +X126725280Y-84028664D01* +X126734048Y-84016841D01* +X126743934Y-84005934D01* +X126754841Y-83996048D01* +X126766664Y-83987280D01* +X126779290Y-83979712D01* +X126792597Y-83973418D01* +X126806457Y-83968459D01* +X126820736Y-83964882D01* +X126835297Y-83962722D01* +X126850000Y-83962000D01* +X127150000Y-83962000D01* +X127164703Y-83962722D01* +X127164703Y-83962722D01* +G37* +D14* +X127000000Y-85962000D03* +D10* +G36* +X132244703Y-83962722D02* +G01* +X132259264Y-83964882D01* +X132273543Y-83968459D01* +X132287403Y-83973418D01* +X132300710Y-83979712D01* +X132313336Y-83987280D01* +X132325159Y-83996048D01* +X132336066Y-84005934D01* +X132345952Y-84016841D01* +X132354720Y-84028664D01* +X132362288Y-84041290D01* +X132368582Y-84054597D01* +X132373541Y-84068457D01* +X132377118Y-84082736D01* +X132379278Y-84097297D01* +X132380000Y-84112000D01* +X132380000Y-87812000D01* +X132379278Y-87826703D01* +X132377118Y-87841264D01* +X132373541Y-87855543D01* +X132368582Y-87869403D01* +X132362288Y-87882710D01* +X132354720Y-87895336D01* +X132345952Y-87907159D01* +X132336066Y-87918066D01* +X132325159Y-87927952D01* +X132313336Y-87936720D01* +X132300710Y-87944288D01* +X132287403Y-87950582D01* +X132273543Y-87955541D01* +X132259264Y-87959118D01* +X132244703Y-87961278D01* +X132230000Y-87962000D01* +X131930000Y-87962000D01* +X131915297Y-87961278D01* +X131900736Y-87959118D01* +X131886457Y-87955541D01* +X131872597Y-87950582D01* +X131859290Y-87944288D01* +X131846664Y-87936720D01* +X131834841Y-87927952D01* +X131823934Y-87918066D01* +X131814048Y-87907159D01* +X131805280Y-87895336D01* +X131797712Y-87882710D01* +X131791418Y-87869403D01* +X131786459Y-87855543D01* +X131782882Y-87841264D01* +X131780722Y-87826703D01* +X131780000Y-87812000D01* +X131780000Y-84112000D01* +X131780722Y-84097297D01* +X131782882Y-84082736D01* +X131786459Y-84068457D01* +X131791418Y-84054597D01* +X131797712Y-84041290D01* +X131805280Y-84028664D01* +X131814048Y-84016841D01* +X131823934Y-84005934D01* +X131834841Y-83996048D01* +X131846664Y-83987280D01* +X131859290Y-83979712D01* +X131872597Y-83973418D01* +X131886457Y-83968459D01* +X131900736Y-83964882D01* +X131915297Y-83962722D01* +X131930000Y-83962000D01* +X132230000Y-83962000D01* +X132244703Y-83962722D01* +X132244703Y-83962722D01* +G37* +D14* +X132080000Y-85962000D03* +D10* +G36* +X133514703Y-83962722D02* +G01* +X133529264Y-83964882D01* +X133543543Y-83968459D01* +X133557403Y-83973418D01* +X133570710Y-83979712D01* +X133583336Y-83987280D01* +X133595159Y-83996048D01* +X133606066Y-84005934D01* +X133615952Y-84016841D01* +X133624720Y-84028664D01* +X133632288Y-84041290D01* +X133638582Y-84054597D01* +X133643541Y-84068457D01* +X133647118Y-84082736D01* +X133649278Y-84097297D01* +X133650000Y-84112000D01* +X133650000Y-87812000D01* +X133649278Y-87826703D01* +X133647118Y-87841264D01* +X133643541Y-87855543D01* +X133638582Y-87869403D01* +X133632288Y-87882710D01* +X133624720Y-87895336D01* +X133615952Y-87907159D01* +X133606066Y-87918066D01* +X133595159Y-87927952D01* +X133583336Y-87936720D01* +X133570710Y-87944288D01* +X133557403Y-87950582D01* +X133543543Y-87955541D01* +X133529264Y-87959118D01* +X133514703Y-87961278D01* +X133500000Y-87962000D01* +X133200000Y-87962000D01* +X133185297Y-87961278D01* +X133170736Y-87959118D01* +X133156457Y-87955541D01* +X133142597Y-87950582D01* +X133129290Y-87944288D01* +X133116664Y-87936720D01* +X133104841Y-87927952D01* +X133093934Y-87918066D01* +X133084048Y-87907159D01* +X133075280Y-87895336D01* +X133067712Y-87882710D01* +X133061418Y-87869403D01* +X133056459Y-87855543D01* +X133052882Y-87841264D01* +X133050722Y-87826703D01* +X133050000Y-87812000D01* +X133050000Y-84112000D01* +X133050722Y-84097297D01* +X133052882Y-84082736D01* +X133056459Y-84068457D01* +X133061418Y-84054597D01* +X133067712Y-84041290D01* +X133075280Y-84028664D01* +X133084048Y-84016841D01* +X133093934Y-84005934D01* +X133104841Y-83996048D01* +X133116664Y-83987280D01* +X133129290Y-83979712D01* +X133142597Y-83973418D01* +X133156457Y-83968459D01* +X133170736Y-83964882D01* +X133185297Y-83962722D01* +X133200000Y-83962000D01* +X133500000Y-83962000D01* +X133514703Y-83962722D01* +X133514703Y-83962722D01* +G37* +D14* +X133350000Y-85962000D03* +D10* +G36* +X134784703Y-83962722D02* +G01* +X134799264Y-83964882D01* +X134813543Y-83968459D01* +X134827403Y-83973418D01* +X134840710Y-83979712D01* +X134853336Y-83987280D01* +X134865159Y-83996048D01* +X134876066Y-84005934D01* +X134885952Y-84016841D01* +X134894720Y-84028664D01* +X134902288Y-84041290D01* +X134908582Y-84054597D01* +X134913541Y-84068457D01* +X134917118Y-84082736D01* +X134919278Y-84097297D01* +X134920000Y-84112000D01* +X134920000Y-87812000D01* +X134919278Y-87826703D01* +X134917118Y-87841264D01* +X134913541Y-87855543D01* +X134908582Y-87869403D01* +X134902288Y-87882710D01* +X134894720Y-87895336D01* +X134885952Y-87907159D01* +X134876066Y-87918066D01* +X134865159Y-87927952D01* +X134853336Y-87936720D01* +X134840710Y-87944288D01* +X134827403Y-87950582D01* +X134813543Y-87955541D01* +X134799264Y-87959118D01* +X134784703Y-87961278D01* +X134770000Y-87962000D01* +X134470000Y-87962000D01* +X134455297Y-87961278D01* +X134440736Y-87959118D01* +X134426457Y-87955541D01* +X134412597Y-87950582D01* +X134399290Y-87944288D01* +X134386664Y-87936720D01* +X134374841Y-87927952D01* +X134363934Y-87918066D01* +X134354048Y-87907159D01* +X134345280Y-87895336D01* +X134337712Y-87882710D01* +X134331418Y-87869403D01* +X134326459Y-87855543D01* +X134322882Y-87841264D01* +X134320722Y-87826703D01* +X134320000Y-87812000D01* +X134320000Y-84112000D01* +X134320722Y-84097297D01* +X134322882Y-84082736D01* +X134326459Y-84068457D01* +X134331418Y-84054597D01* +X134337712Y-84041290D01* +X134345280Y-84028664D01* +X134354048Y-84016841D01* +X134363934Y-84005934D01* +X134374841Y-83996048D01* +X134386664Y-83987280D01* +X134399290Y-83979712D01* +X134412597Y-83973418D01* +X134426457Y-83968459D01* +X134440736Y-83964882D01* +X134455297Y-83962722D01* +X134470000Y-83962000D01* +X134770000Y-83962000D01* +X134784703Y-83962722D01* +X134784703Y-83962722D01* +G37* +D14* +X134620000Y-85962000D03* +D10* +G36* +X136054703Y-83962722D02* +G01* +X136069264Y-83964882D01* +X136083543Y-83968459D01* +X136097403Y-83973418D01* +X136110710Y-83979712D01* +X136123336Y-83987280D01* +X136135159Y-83996048D01* +X136146066Y-84005934D01* +X136155952Y-84016841D01* +X136164720Y-84028664D01* +X136172288Y-84041290D01* +X136178582Y-84054597D01* +X136183541Y-84068457D01* +X136187118Y-84082736D01* +X136189278Y-84097297D01* +X136190000Y-84112000D01* +X136190000Y-87812000D01* +X136189278Y-87826703D01* +X136187118Y-87841264D01* +X136183541Y-87855543D01* +X136178582Y-87869403D01* +X136172288Y-87882710D01* +X136164720Y-87895336D01* +X136155952Y-87907159D01* +X136146066Y-87918066D01* +X136135159Y-87927952D01* +X136123336Y-87936720D01* +X136110710Y-87944288D01* +X136097403Y-87950582D01* +X136083543Y-87955541D01* +X136069264Y-87959118D01* +X136054703Y-87961278D01* +X136040000Y-87962000D01* +X135740000Y-87962000D01* +X135725297Y-87961278D01* +X135710736Y-87959118D01* +X135696457Y-87955541D01* +X135682597Y-87950582D01* +X135669290Y-87944288D01* +X135656664Y-87936720D01* +X135644841Y-87927952D01* +X135633934Y-87918066D01* +X135624048Y-87907159D01* +X135615280Y-87895336D01* +X135607712Y-87882710D01* +X135601418Y-87869403D01* +X135596459Y-87855543D01* +X135592882Y-87841264D01* +X135590722Y-87826703D01* +X135590000Y-87812000D01* +X135590000Y-84112000D01* +X135590722Y-84097297D01* +X135592882Y-84082736D01* +X135596459Y-84068457D01* +X135601418Y-84054597D01* +X135607712Y-84041290D01* +X135615280Y-84028664D01* +X135624048Y-84016841D01* +X135633934Y-84005934D01* +X135644841Y-83996048D01* +X135656664Y-83987280D01* +X135669290Y-83979712D01* +X135682597Y-83973418D01* +X135696457Y-83968459D01* +X135710736Y-83964882D01* +X135725297Y-83962722D01* +X135740000Y-83962000D01* +X136040000Y-83962000D01* +X136054703Y-83962722D01* +X136054703Y-83962722D01* +G37* +D14* +X135890000Y-85962000D03* +D10* +G36* +X137324703Y-91362722D02* +G01* +X137339264Y-91364882D01* +X137353543Y-91368459D01* +X137367403Y-91373418D01* +X137380710Y-91379712D01* +X137393336Y-91387280D01* +X137405159Y-91396048D01* +X137416066Y-91405934D01* +X137425952Y-91416841D01* +X137434720Y-91428664D01* +X137442288Y-91441290D01* +X137448582Y-91454597D01* +X137453541Y-91468457D01* +X137457118Y-91482736D01* +X137459278Y-91497297D01* +X137460000Y-91512000D01* +X137460000Y-95212000D01* +X137459278Y-95226703D01* +X137457118Y-95241264D01* +X137453541Y-95255543D01* +X137448582Y-95269403D01* +X137442288Y-95282710D01* +X137434720Y-95295336D01* +X137425952Y-95307159D01* +X137416066Y-95318066D01* +X137405159Y-95327952D01* +X137393336Y-95336720D01* +X137380710Y-95344288D01* +X137367403Y-95350582D01* +X137353543Y-95355541D01* +X137339264Y-95359118D01* +X137324703Y-95361278D01* +X137310000Y-95362000D01* +X137010000Y-95362000D01* +X136995297Y-95361278D01* +X136980736Y-95359118D01* +X136966457Y-95355541D01* +X136952597Y-95350582D01* +X136939290Y-95344288D01* +X136926664Y-95336720D01* +X136914841Y-95327952D01* +X136903934Y-95318066D01* +X136894048Y-95307159D01* +X136885280Y-95295336D01* +X136877712Y-95282710D01* +X136871418Y-95269403D01* +X136866459Y-95255543D01* +X136862882Y-95241264D01* +X136860722Y-95226703D01* +X136860000Y-95212000D01* +X136860000Y-91512000D01* +X136860722Y-91497297D01* +X136862882Y-91482736D01* +X136866459Y-91468457D01* +X136871418Y-91454597D01* +X136877712Y-91441290D01* +X136885280Y-91428664D01* +X136894048Y-91416841D01* +X136903934Y-91405934D01* +X136914841Y-91396048D01* +X136926664Y-91387280D01* +X136939290Y-91379712D01* +X136952597Y-91373418D01* +X136966457Y-91368459D01* +X136980736Y-91364882D01* +X136995297Y-91362722D01* +X137010000Y-91362000D01* +X137310000Y-91362000D01* +X137324703Y-91362722D01* +X137324703Y-91362722D01* +G37* +D14* +X137160000Y-93362000D03* +D10* +G36* +X136054703Y-91362722D02* +G01* +X136069264Y-91364882D01* +X136083543Y-91368459D01* +X136097403Y-91373418D01* +X136110710Y-91379712D01* +X136123336Y-91387280D01* +X136135159Y-91396048D01* +X136146066Y-91405934D01* +X136155952Y-91416841D01* +X136164720Y-91428664D01* +X136172288Y-91441290D01* +X136178582Y-91454597D01* +X136183541Y-91468457D01* +X136187118Y-91482736D01* +X136189278Y-91497297D01* +X136190000Y-91512000D01* +X136190000Y-95212000D01* +X136189278Y-95226703D01* +X136187118Y-95241264D01* +X136183541Y-95255543D01* +X136178582Y-95269403D01* +X136172288Y-95282710D01* +X136164720Y-95295336D01* +X136155952Y-95307159D01* +X136146066Y-95318066D01* +X136135159Y-95327952D01* +X136123336Y-95336720D01* +X136110710Y-95344288D01* +X136097403Y-95350582D01* +X136083543Y-95355541D01* +X136069264Y-95359118D01* +X136054703Y-95361278D01* +X136040000Y-95362000D01* +X135740000Y-95362000D01* +X135725297Y-95361278D01* +X135710736Y-95359118D01* +X135696457Y-95355541D01* +X135682597Y-95350582D01* +X135669290Y-95344288D01* +X135656664Y-95336720D01* +X135644841Y-95327952D01* +X135633934Y-95318066D01* +X135624048Y-95307159D01* +X135615280Y-95295336D01* +X135607712Y-95282710D01* +X135601418Y-95269403D01* +X135596459Y-95255543D01* +X135592882Y-95241264D01* +X135590722Y-95226703D01* +X135590000Y-95212000D01* +X135590000Y-91512000D01* +X135590722Y-91497297D01* +X135592882Y-91482736D01* +X135596459Y-91468457D01* +X135601418Y-91454597D01* +X135607712Y-91441290D01* +X135615280Y-91428664D01* +X135624048Y-91416841D01* +X135633934Y-91405934D01* +X135644841Y-91396048D01* +X135656664Y-91387280D01* +X135669290Y-91379712D01* +X135682597Y-91373418D01* +X135696457Y-91368459D01* +X135710736Y-91364882D01* +X135725297Y-91362722D01* +X135740000Y-91362000D01* +X136040000Y-91362000D01* +X136054703Y-91362722D01* +X136054703Y-91362722D01* +G37* +D14* +X135890000Y-93362000D03* +D10* +G36* +X134784703Y-91362722D02* +G01* +X134799264Y-91364882D01* +X134813543Y-91368459D01* +X134827403Y-91373418D01* +X134840710Y-91379712D01* +X134853336Y-91387280D01* +X134865159Y-91396048D01* +X134876066Y-91405934D01* +X134885952Y-91416841D01* +X134894720Y-91428664D01* +X134902288Y-91441290D01* +X134908582Y-91454597D01* +X134913541Y-91468457D01* +X134917118Y-91482736D01* +X134919278Y-91497297D01* +X134920000Y-91512000D01* +X134920000Y-95212000D01* +X134919278Y-95226703D01* +X134917118Y-95241264D01* +X134913541Y-95255543D01* +X134908582Y-95269403D01* +X134902288Y-95282710D01* +X134894720Y-95295336D01* +X134885952Y-95307159D01* +X134876066Y-95318066D01* +X134865159Y-95327952D01* +X134853336Y-95336720D01* +X134840710Y-95344288D01* +X134827403Y-95350582D01* +X134813543Y-95355541D01* +X134799264Y-95359118D01* +X134784703Y-95361278D01* +X134770000Y-95362000D01* +X134470000Y-95362000D01* +X134455297Y-95361278D01* +X134440736Y-95359118D01* +X134426457Y-95355541D01* +X134412597Y-95350582D01* +X134399290Y-95344288D01* +X134386664Y-95336720D01* +X134374841Y-95327952D01* +X134363934Y-95318066D01* +X134354048Y-95307159D01* +X134345280Y-95295336D01* +X134337712Y-95282710D01* +X134331418Y-95269403D01* +X134326459Y-95255543D01* +X134322882Y-95241264D01* +X134320722Y-95226703D01* +X134320000Y-95212000D01* +X134320000Y-91512000D01* +X134320722Y-91497297D01* +X134322882Y-91482736D01* +X134326459Y-91468457D01* +X134331418Y-91454597D01* +X134337712Y-91441290D01* +X134345280Y-91428664D01* +X134354048Y-91416841D01* +X134363934Y-91405934D01* +X134374841Y-91396048D01* +X134386664Y-91387280D01* +X134399290Y-91379712D01* +X134412597Y-91373418D01* +X134426457Y-91368459D01* +X134440736Y-91364882D01* +X134455297Y-91362722D01* +X134470000Y-91362000D01* +X134770000Y-91362000D01* +X134784703Y-91362722D01* +X134784703Y-91362722D01* +G37* +D14* +X134620000Y-93362000D03* +D10* +G36* +X133514703Y-91362722D02* +G01* +X133529264Y-91364882D01* +X133543543Y-91368459D01* +X133557403Y-91373418D01* +X133570710Y-91379712D01* +X133583336Y-91387280D01* +X133595159Y-91396048D01* +X133606066Y-91405934D01* +X133615952Y-91416841D01* +X133624720Y-91428664D01* +X133632288Y-91441290D01* +X133638582Y-91454597D01* +X133643541Y-91468457D01* +X133647118Y-91482736D01* +X133649278Y-91497297D01* +X133650000Y-91512000D01* +X133650000Y-95212000D01* +X133649278Y-95226703D01* +X133647118Y-95241264D01* +X133643541Y-95255543D01* +X133638582Y-95269403D01* +X133632288Y-95282710D01* +X133624720Y-95295336D01* +X133615952Y-95307159D01* +X133606066Y-95318066D01* +X133595159Y-95327952D01* +X133583336Y-95336720D01* +X133570710Y-95344288D01* +X133557403Y-95350582D01* +X133543543Y-95355541D01* +X133529264Y-95359118D01* +X133514703Y-95361278D01* +X133500000Y-95362000D01* +X133200000Y-95362000D01* +X133185297Y-95361278D01* +X133170736Y-95359118D01* +X133156457Y-95355541D01* +X133142597Y-95350582D01* +X133129290Y-95344288D01* +X133116664Y-95336720D01* +X133104841Y-95327952D01* +X133093934Y-95318066D01* +X133084048Y-95307159D01* +X133075280Y-95295336D01* +X133067712Y-95282710D01* +X133061418Y-95269403D01* +X133056459Y-95255543D01* +X133052882Y-95241264D01* +X133050722Y-95226703D01* +X133050000Y-95212000D01* +X133050000Y-91512000D01* +X133050722Y-91497297D01* +X133052882Y-91482736D01* +X133056459Y-91468457D01* +X133061418Y-91454597D01* +X133067712Y-91441290D01* +X133075280Y-91428664D01* +X133084048Y-91416841D01* +X133093934Y-91405934D01* +X133104841Y-91396048D01* +X133116664Y-91387280D01* +X133129290Y-91379712D01* +X133142597Y-91373418D01* +X133156457Y-91368459D01* +X133170736Y-91364882D01* +X133185297Y-91362722D01* +X133200000Y-91362000D01* +X133500000Y-91362000D01* +X133514703Y-91362722D01* +X133514703Y-91362722D01* +G37* +D14* +X133350000Y-93362000D03* +D10* +G36* +X132244703Y-91362722D02* +G01* +X132259264Y-91364882D01* +X132273543Y-91368459D01* +X132287403Y-91373418D01* +X132300710Y-91379712D01* +X132313336Y-91387280D01* +X132325159Y-91396048D01* +X132336066Y-91405934D01* +X132345952Y-91416841D01* +X132354720Y-91428664D01* +X132362288Y-91441290D01* +X132368582Y-91454597D01* +X132373541Y-91468457D01* +X132377118Y-91482736D01* +X132379278Y-91497297D01* +X132380000Y-91512000D01* +X132380000Y-95212000D01* +X132379278Y-95226703D01* +X132377118Y-95241264D01* +X132373541Y-95255543D01* +X132368582Y-95269403D01* +X132362288Y-95282710D01* +X132354720Y-95295336D01* +X132345952Y-95307159D01* +X132336066Y-95318066D01* +X132325159Y-95327952D01* +X132313336Y-95336720D01* +X132300710Y-95344288D01* +X132287403Y-95350582D01* +X132273543Y-95355541D01* +X132259264Y-95359118D01* +X132244703Y-95361278D01* +X132230000Y-95362000D01* +X131930000Y-95362000D01* +X131915297Y-95361278D01* +X131900736Y-95359118D01* +X131886457Y-95355541D01* +X131872597Y-95350582D01* +X131859290Y-95344288D01* +X131846664Y-95336720D01* +X131834841Y-95327952D01* +X131823934Y-95318066D01* +X131814048Y-95307159D01* +X131805280Y-95295336D01* +X131797712Y-95282710D01* +X131791418Y-95269403D01* +X131786459Y-95255543D01* +X131782882Y-95241264D01* +X131780722Y-95226703D01* +X131780000Y-95212000D01* +X131780000Y-91512000D01* +X131780722Y-91497297D01* +X131782882Y-91482736D01* +X131786459Y-91468457D01* +X131791418Y-91454597D01* +X131797712Y-91441290D01* +X131805280Y-91428664D01* +X131814048Y-91416841D01* +X131823934Y-91405934D01* +X131834841Y-91396048D01* +X131846664Y-91387280D01* +X131859290Y-91379712D01* +X131872597Y-91373418D01* +X131886457Y-91368459D01* +X131900736Y-91364882D01* +X131915297Y-91362722D01* +X131930000Y-91362000D01* +X132230000Y-91362000D01* +X132244703Y-91362722D01* +X132244703Y-91362722D01* +G37* +D14* +X132080000Y-93362000D03* +D10* +G36* +X127164703Y-91362722D02* +G01* +X127179264Y-91364882D01* +X127193543Y-91368459D01* +X127207403Y-91373418D01* +X127220710Y-91379712D01* +X127233336Y-91387280D01* +X127245159Y-91396048D01* +X127256066Y-91405934D01* +X127265952Y-91416841D01* +X127274720Y-91428664D01* +X127282288Y-91441290D01* +X127288582Y-91454597D01* +X127293541Y-91468457D01* +X127297118Y-91482736D01* +X127299278Y-91497297D01* +X127300000Y-91512000D01* +X127300000Y-95212000D01* +X127299278Y-95226703D01* +X127297118Y-95241264D01* +X127293541Y-95255543D01* +X127288582Y-95269403D01* +X127282288Y-95282710D01* +X127274720Y-95295336D01* +X127265952Y-95307159D01* +X127256066Y-95318066D01* +X127245159Y-95327952D01* +X127233336Y-95336720D01* +X127220710Y-95344288D01* +X127207403Y-95350582D01* +X127193543Y-95355541D01* +X127179264Y-95359118D01* +X127164703Y-95361278D01* +X127150000Y-95362000D01* +X126850000Y-95362000D01* +X126835297Y-95361278D01* +X126820736Y-95359118D01* +X126806457Y-95355541D01* +X126792597Y-95350582D01* +X126779290Y-95344288D01* +X126766664Y-95336720D01* +X126754841Y-95327952D01* +X126743934Y-95318066D01* +X126734048Y-95307159D01* +X126725280Y-95295336D01* +X126717712Y-95282710D01* +X126711418Y-95269403D01* +X126706459Y-95255543D01* +X126702882Y-95241264D01* +X126700722Y-95226703D01* +X126700000Y-95212000D01* +X126700000Y-91512000D01* +X126700722Y-91497297D01* +X126702882Y-91482736D01* +X126706459Y-91468457D01* +X126711418Y-91454597D01* +X126717712Y-91441290D01* +X126725280Y-91428664D01* +X126734048Y-91416841D01* +X126743934Y-91405934D01* +X126754841Y-91396048D01* +X126766664Y-91387280D01* +X126779290Y-91379712D01* +X126792597Y-91373418D01* +X126806457Y-91368459D01* +X126820736Y-91364882D01* +X126835297Y-91362722D01* +X126850000Y-91362000D01* +X127150000Y-91362000D01* +X127164703Y-91362722D01* +X127164703Y-91362722D01* +G37* +D14* +X127000000Y-93362000D03* +D10* +G36* +X125894703Y-91362722D02* +G01* +X125909264Y-91364882D01* +X125923543Y-91368459D01* +X125937403Y-91373418D01* +X125950710Y-91379712D01* +X125963336Y-91387280D01* +X125975159Y-91396048D01* +X125986066Y-91405934D01* +X125995952Y-91416841D01* +X126004720Y-91428664D01* +X126012288Y-91441290D01* +X126018582Y-91454597D01* +X126023541Y-91468457D01* +X126027118Y-91482736D01* +X126029278Y-91497297D01* +X126030000Y-91512000D01* +X126030000Y-95212000D01* +X126029278Y-95226703D01* +X126027118Y-95241264D01* +X126023541Y-95255543D01* +X126018582Y-95269403D01* +X126012288Y-95282710D01* +X126004720Y-95295336D01* +X125995952Y-95307159D01* +X125986066Y-95318066D01* +X125975159Y-95327952D01* +X125963336Y-95336720D01* +X125950710Y-95344288D01* +X125937403Y-95350582D01* +X125923543Y-95355541D01* +X125909264Y-95359118D01* +X125894703Y-95361278D01* +X125880000Y-95362000D01* +X125580000Y-95362000D01* +X125565297Y-95361278D01* +X125550736Y-95359118D01* +X125536457Y-95355541D01* +X125522597Y-95350582D01* +X125509290Y-95344288D01* +X125496664Y-95336720D01* +X125484841Y-95327952D01* +X125473934Y-95318066D01* +X125464048Y-95307159D01* +X125455280Y-95295336D01* +X125447712Y-95282710D01* +X125441418Y-95269403D01* +X125436459Y-95255543D01* +X125432882Y-95241264D01* +X125430722Y-95226703D01* +X125430000Y-95212000D01* +X125430000Y-91512000D01* +X125430722Y-91497297D01* +X125432882Y-91482736D01* +X125436459Y-91468457D01* +X125441418Y-91454597D01* +X125447712Y-91441290D01* +X125455280Y-91428664D01* +X125464048Y-91416841D01* +X125473934Y-91405934D01* +X125484841Y-91396048D01* +X125496664Y-91387280D01* +X125509290Y-91379712D01* +X125522597Y-91373418D01* +X125536457Y-91368459D01* +X125550736Y-91364882D01* +X125565297Y-91362722D01* +X125580000Y-91362000D01* +X125880000Y-91362000D01* +X125894703Y-91362722D01* +X125894703Y-91362722D01* +G37* +D14* +X125730000Y-93362000D03* +D10* +G36* +X124624703Y-91362722D02* +G01* +X124639264Y-91364882D01* +X124653543Y-91368459D01* +X124667403Y-91373418D01* +X124680710Y-91379712D01* +X124693336Y-91387280D01* +X124705159Y-91396048D01* +X124716066Y-91405934D01* +X124725952Y-91416841D01* +X124734720Y-91428664D01* +X124742288Y-91441290D01* +X124748582Y-91454597D01* +X124753541Y-91468457D01* +X124757118Y-91482736D01* +X124759278Y-91497297D01* +X124760000Y-91512000D01* +X124760000Y-95212000D01* +X124759278Y-95226703D01* +X124757118Y-95241264D01* +X124753541Y-95255543D01* +X124748582Y-95269403D01* +X124742288Y-95282710D01* +X124734720Y-95295336D01* +X124725952Y-95307159D01* +X124716066Y-95318066D01* +X124705159Y-95327952D01* +X124693336Y-95336720D01* +X124680710Y-95344288D01* +X124667403Y-95350582D01* +X124653543Y-95355541D01* +X124639264Y-95359118D01* +X124624703Y-95361278D01* +X124610000Y-95362000D01* +X124310000Y-95362000D01* +X124295297Y-95361278D01* +X124280736Y-95359118D01* +X124266457Y-95355541D01* +X124252597Y-95350582D01* +X124239290Y-95344288D01* +X124226664Y-95336720D01* +X124214841Y-95327952D01* +X124203934Y-95318066D01* +X124194048Y-95307159D01* +X124185280Y-95295336D01* +X124177712Y-95282710D01* +X124171418Y-95269403D01* +X124166459Y-95255543D01* +X124162882Y-95241264D01* +X124160722Y-95226703D01* +X124160000Y-95212000D01* +X124160000Y-91512000D01* +X124160722Y-91497297D01* +X124162882Y-91482736D01* +X124166459Y-91468457D01* +X124171418Y-91454597D01* +X124177712Y-91441290D01* +X124185280Y-91428664D01* +X124194048Y-91416841D01* +X124203934Y-91405934D01* +X124214841Y-91396048D01* +X124226664Y-91387280D01* +X124239290Y-91379712D01* +X124252597Y-91373418D01* +X124266457Y-91368459D01* +X124280736Y-91364882D01* +X124295297Y-91362722D01* +X124310000Y-91362000D01* +X124610000Y-91362000D01* +X124624703Y-91362722D01* +X124624703Y-91362722D01* +G37* +D14* +X124460000Y-93362000D03* +D10* +G36* +X123354703Y-91362722D02* +G01* +X123369264Y-91364882D01* +X123383543Y-91368459D01* +X123397403Y-91373418D01* +X123410710Y-91379712D01* +X123423336Y-91387280D01* +X123435159Y-91396048D01* +X123446066Y-91405934D01* +X123455952Y-91416841D01* +X123464720Y-91428664D01* +X123472288Y-91441290D01* +X123478582Y-91454597D01* +X123483541Y-91468457D01* +X123487118Y-91482736D01* +X123489278Y-91497297D01* +X123490000Y-91512000D01* +X123490000Y-95212000D01* +X123489278Y-95226703D01* +X123487118Y-95241264D01* +X123483541Y-95255543D01* +X123478582Y-95269403D01* +X123472288Y-95282710D01* +X123464720Y-95295336D01* +X123455952Y-95307159D01* +X123446066Y-95318066D01* +X123435159Y-95327952D01* +X123423336Y-95336720D01* +X123410710Y-95344288D01* +X123397403Y-95350582D01* +X123383543Y-95355541D01* +X123369264Y-95359118D01* +X123354703Y-95361278D01* +X123340000Y-95362000D01* +X123040000Y-95362000D01* +X123025297Y-95361278D01* +X123010736Y-95359118D01* +X122996457Y-95355541D01* +X122982597Y-95350582D01* +X122969290Y-95344288D01* +X122956664Y-95336720D01* +X122944841Y-95327952D01* +X122933934Y-95318066D01* +X122924048Y-95307159D01* +X122915280Y-95295336D01* +X122907712Y-95282710D01* +X122901418Y-95269403D01* +X122896459Y-95255543D01* +X122892882Y-95241264D01* +X122890722Y-95226703D01* +X122890000Y-95212000D01* +X122890000Y-91512000D01* +X122890722Y-91497297D01* +X122892882Y-91482736D01* +X122896459Y-91468457D01* +X122901418Y-91454597D01* +X122907712Y-91441290D01* +X122915280Y-91428664D01* +X122924048Y-91416841D01* +X122933934Y-91405934D01* +X122944841Y-91396048D01* +X122956664Y-91387280D01* +X122969290Y-91379712D01* +X122982597Y-91373418D01* +X122996457Y-91368459D01* +X123010736Y-91364882D01* +X123025297Y-91362722D01* +X123040000Y-91362000D01* +X123340000Y-91362000D01* +X123354703Y-91362722D01* +X123354703Y-91362722D01* +G37* +D14* +X123190000Y-93362000D03* +D10* +G36* +X122084703Y-91362722D02* +G01* +X122099264Y-91364882D01* +X122113543Y-91368459D01* +X122127403Y-91373418D01* +X122140710Y-91379712D01* +X122153336Y-91387280D01* +X122165159Y-91396048D01* +X122176066Y-91405934D01* +X122185952Y-91416841D01* +X122194720Y-91428664D01* +X122202288Y-91441290D01* +X122208582Y-91454597D01* +X122213541Y-91468457D01* +X122217118Y-91482736D01* +X122219278Y-91497297D01* +X122220000Y-91512000D01* +X122220000Y-95212000D01* +X122219278Y-95226703D01* +X122217118Y-95241264D01* +X122213541Y-95255543D01* +X122208582Y-95269403D01* +X122202288Y-95282710D01* +X122194720Y-95295336D01* +X122185952Y-95307159D01* +X122176066Y-95318066D01* +X122165159Y-95327952D01* +X122153336Y-95336720D01* +X122140710Y-95344288D01* +X122127403Y-95350582D01* +X122113543Y-95355541D01* +X122099264Y-95359118D01* +X122084703Y-95361278D01* +X122070000Y-95362000D01* +X121770000Y-95362000D01* +X121755297Y-95361278D01* +X121740736Y-95359118D01* +X121726457Y-95355541D01* +X121712597Y-95350582D01* +X121699290Y-95344288D01* +X121686664Y-95336720D01* +X121674841Y-95327952D01* +X121663934Y-95318066D01* +X121654048Y-95307159D01* +X121645280Y-95295336D01* +X121637712Y-95282710D01* +X121631418Y-95269403D01* +X121626459Y-95255543D01* +X121622882Y-95241264D01* +X121620722Y-95226703D01* +X121620000Y-95212000D01* +X121620000Y-91512000D01* +X121620722Y-91497297D01* +X121622882Y-91482736D01* +X121626459Y-91468457D01* +X121631418Y-91454597D01* +X121637712Y-91441290D01* +X121645280Y-91428664D01* +X121654048Y-91416841D01* +X121663934Y-91405934D01* +X121674841Y-91396048D01* +X121686664Y-91387280D01* +X121699290Y-91379712D01* +X121712597Y-91373418D01* +X121726457Y-91368459D01* +X121740736Y-91364882D01* +X121755297Y-91362722D01* +X121770000Y-91362000D01* +X122070000Y-91362000D01* +X122084703Y-91362722D01* +X122084703Y-91362722D01* +G37* +D14* +X121920000Y-93362000D03* +D10* +G36* +X128434703Y-83962722D02* +G01* +X128449264Y-83964882D01* +X128463543Y-83968459D01* +X128477403Y-83973418D01* +X128490710Y-83979712D01* +X128503336Y-83987280D01* +X128515159Y-83996048D01* +X128526066Y-84005934D01* +X128535952Y-84016841D01* +X128544720Y-84028664D01* +X128552288Y-84041290D01* +X128558582Y-84054597D01* +X128563541Y-84068457D01* +X128567118Y-84082736D01* +X128569278Y-84097297D01* +X128570000Y-84112000D01* +X128570000Y-87812000D01* +X128569278Y-87826703D01* +X128567118Y-87841264D01* +X128563541Y-87855543D01* +X128558582Y-87869403D01* +X128552288Y-87882710D01* +X128544720Y-87895336D01* +X128535952Y-87907159D01* +X128526066Y-87918066D01* +X128515159Y-87927952D01* +X128503336Y-87936720D01* +X128490710Y-87944288D01* +X128477403Y-87950582D01* +X128463543Y-87955541D01* +X128449264Y-87959118D01* +X128434703Y-87961278D01* +X128420000Y-87962000D01* +X128120000Y-87962000D01* +X128105297Y-87961278D01* +X128090736Y-87959118D01* +X128076457Y-87955541D01* +X128062597Y-87950582D01* +X128049290Y-87944288D01* +X128036664Y-87936720D01* +X128024841Y-87927952D01* +X128013934Y-87918066D01* +X128004048Y-87907159D01* +X127995280Y-87895336D01* +X127987712Y-87882710D01* +X127981418Y-87869403D01* +X127976459Y-87855543D01* +X127972882Y-87841264D01* +X127970722Y-87826703D01* +X127970000Y-87812000D01* +X127970000Y-84112000D01* +X127970722Y-84097297D01* +X127972882Y-84082736D01* +X127976459Y-84068457D01* +X127981418Y-84054597D01* +X127987712Y-84041290D01* +X127995280Y-84028664D01* +X128004048Y-84016841D01* +X128013934Y-84005934D01* +X128024841Y-83996048D01* +X128036664Y-83987280D01* +X128049290Y-83979712D01* +X128062597Y-83973418D01* +X128076457Y-83968459D01* +X128090736Y-83964882D01* +X128105297Y-83962722D01* +X128120000Y-83962000D01* +X128420000Y-83962000D01* +X128434703Y-83962722D01* +X128434703Y-83962722D01* +G37* +D14* +X128270000Y-85962000D03* +D10* +G36* +X128434703Y-91362722D02* +G01* +X128449264Y-91364882D01* +X128463543Y-91368459D01* +X128477403Y-91373418D01* +X128490710Y-91379712D01* +X128503336Y-91387280D01* +X128515159Y-91396048D01* +X128526066Y-91405934D01* +X128535952Y-91416841D01* +X128544720Y-91428664D01* +X128552288Y-91441290D01* +X128558582Y-91454597D01* +X128563541Y-91468457D01* +X128567118Y-91482736D01* +X128569278Y-91497297D01* +X128570000Y-91512000D01* +X128570000Y-95212000D01* +X128569278Y-95226703D01* +X128567118Y-95241264D01* +X128563541Y-95255543D01* +X128558582Y-95269403D01* +X128552288Y-95282710D01* +X128544720Y-95295336D01* +X128535952Y-95307159D01* +X128526066Y-95318066D01* +X128515159Y-95327952D01* +X128503336Y-95336720D01* +X128490710Y-95344288D01* +X128477403Y-95350582D01* +X128463543Y-95355541D01* +X128449264Y-95359118D01* +X128434703Y-95361278D01* +X128420000Y-95362000D01* +X128120000Y-95362000D01* +X128105297Y-95361278D01* +X128090736Y-95359118D01* +X128076457Y-95355541D01* +X128062597Y-95350582D01* +X128049290Y-95344288D01* +X128036664Y-95336720D01* +X128024841Y-95327952D01* +X128013934Y-95318066D01* +X128004048Y-95307159D01* +X127995280Y-95295336D01* +X127987712Y-95282710D01* +X127981418Y-95269403D01* +X127976459Y-95255543D01* +X127972882Y-95241264D01* +X127970722Y-95226703D01* +X127970000Y-95212000D01* +X127970000Y-91512000D01* +X127970722Y-91497297D01* +X127972882Y-91482736D01* +X127976459Y-91468457D01* +X127981418Y-91454597D01* +X127987712Y-91441290D01* +X127995280Y-91428664D01* +X128004048Y-91416841D01* +X128013934Y-91405934D01* +X128024841Y-91396048D01* +X128036664Y-91387280D01* +X128049290Y-91379712D01* +X128062597Y-91373418D01* +X128076457Y-91368459D01* +X128090736Y-91364882D01* +X128105297Y-91362722D01* +X128120000Y-91362000D01* +X128420000Y-91362000D01* +X128434703Y-91362722D01* +X128434703Y-91362722D01* +G37* +D14* +X128270000Y-93362000D03* +D10* +G36* +X130974703Y-83962722D02* +G01* +X130989264Y-83964882D01* +X131003543Y-83968459D01* +X131017403Y-83973418D01* +X131030710Y-83979712D01* +X131043336Y-83987280D01* +X131055159Y-83996048D01* +X131066066Y-84005934D01* +X131075952Y-84016841D01* +X131084720Y-84028664D01* +X131092288Y-84041290D01* +X131098582Y-84054597D01* +X131103541Y-84068457D01* +X131107118Y-84082736D01* +X131109278Y-84097297D01* +X131110000Y-84112000D01* +X131110000Y-87812000D01* +X131109278Y-87826703D01* +X131107118Y-87841264D01* +X131103541Y-87855543D01* +X131098582Y-87869403D01* +X131092288Y-87882710D01* +X131084720Y-87895336D01* +X131075952Y-87907159D01* +X131066066Y-87918066D01* +X131055159Y-87927952D01* +X131043336Y-87936720D01* +X131030710Y-87944288D01* +X131017403Y-87950582D01* +X131003543Y-87955541D01* +X130989264Y-87959118D01* +X130974703Y-87961278D01* +X130960000Y-87962000D01* +X130660000Y-87962000D01* +X130645297Y-87961278D01* +X130630736Y-87959118D01* +X130616457Y-87955541D01* +X130602597Y-87950582D01* +X130589290Y-87944288D01* +X130576664Y-87936720D01* +X130564841Y-87927952D01* +X130553934Y-87918066D01* +X130544048Y-87907159D01* +X130535280Y-87895336D01* +X130527712Y-87882710D01* +X130521418Y-87869403D01* +X130516459Y-87855543D01* +X130512882Y-87841264D01* +X130510722Y-87826703D01* +X130510000Y-87812000D01* +X130510000Y-84112000D01* +X130510722Y-84097297D01* +X130512882Y-84082736D01* +X130516459Y-84068457D01* +X130521418Y-84054597D01* +X130527712Y-84041290D01* +X130535280Y-84028664D01* +X130544048Y-84016841D01* +X130553934Y-84005934D01* +X130564841Y-83996048D01* +X130576664Y-83987280D01* +X130589290Y-83979712D01* +X130602597Y-83973418D01* +X130616457Y-83968459D01* +X130630736Y-83964882D01* +X130645297Y-83962722D01* +X130660000Y-83962000D01* +X130960000Y-83962000D01* +X130974703Y-83962722D01* +X130974703Y-83962722D01* +G37* +D14* +X130810000Y-85962000D03* +D10* +G36* +X130974703Y-91362722D02* +G01* +X130989264Y-91364882D01* +X131003543Y-91368459D01* +X131017403Y-91373418D01* +X131030710Y-91379712D01* +X131043336Y-91387280D01* +X131055159Y-91396048D01* +X131066066Y-91405934D01* +X131075952Y-91416841D01* +X131084720Y-91428664D01* +X131092288Y-91441290D01* +X131098582Y-91454597D01* +X131103541Y-91468457D01* +X131107118Y-91482736D01* +X131109278Y-91497297D01* +X131110000Y-91512000D01* +X131110000Y-95212000D01* +X131109278Y-95226703D01* +X131107118Y-95241264D01* +X131103541Y-95255543D01* +X131098582Y-95269403D01* +X131092288Y-95282710D01* +X131084720Y-95295336D01* +X131075952Y-95307159D01* +X131066066Y-95318066D01* +X131055159Y-95327952D01* +X131043336Y-95336720D01* +X131030710Y-95344288D01* +X131017403Y-95350582D01* +X131003543Y-95355541D01* +X130989264Y-95359118D01* +X130974703Y-95361278D01* +X130960000Y-95362000D01* +X130660000Y-95362000D01* +X130645297Y-95361278D01* +X130630736Y-95359118D01* +X130616457Y-95355541D01* +X130602597Y-95350582D01* +X130589290Y-95344288D01* +X130576664Y-95336720D01* +X130564841Y-95327952D01* +X130553934Y-95318066D01* +X130544048Y-95307159D01* +X130535280Y-95295336D01* +X130527712Y-95282710D01* +X130521418Y-95269403D01* +X130516459Y-95255543D01* +X130512882Y-95241264D01* +X130510722Y-95226703D01* +X130510000Y-95212000D01* +X130510000Y-91512000D01* +X130510722Y-91497297D01* +X130512882Y-91482736D01* +X130516459Y-91468457D01* +X130521418Y-91454597D01* +X130527712Y-91441290D01* +X130535280Y-91428664D01* +X130544048Y-91416841D01* +X130553934Y-91405934D01* +X130564841Y-91396048D01* +X130576664Y-91387280D01* +X130589290Y-91379712D01* +X130602597Y-91373418D01* +X130616457Y-91368459D01* +X130630736Y-91364882D01* +X130645297Y-91362722D01* +X130660000Y-91362000D01* +X130960000Y-91362000D01* +X130974703Y-91362722D01* +X130974703Y-91362722D01* +G37* +D14* +X130810000Y-93362000D03* +D10* +G36* +X96684703Y-91362722D02* +G01* +X96699264Y-91364882D01* +X96713543Y-91368459D01* +X96727403Y-91373418D01* +X96740710Y-91379712D01* +X96753336Y-91387280D01* +X96765159Y-91396048D01* +X96776066Y-91405934D01* +X96785952Y-91416841D01* +X96794720Y-91428664D01* +X96802288Y-91441290D01* +X96808582Y-91454597D01* +X96813541Y-91468457D01* +X96817118Y-91482736D01* +X96819278Y-91497297D01* +X96820000Y-91512000D01* +X96820000Y-95212000D01* +X96819278Y-95226703D01* +X96817118Y-95241264D01* +X96813541Y-95255543D01* +X96808582Y-95269403D01* +X96802288Y-95282710D01* +X96794720Y-95295336D01* +X96785952Y-95307159D01* +X96776066Y-95318066D01* +X96765159Y-95327952D01* +X96753336Y-95336720D01* +X96740710Y-95344288D01* +X96727403Y-95350582D01* +X96713543Y-95355541D01* +X96699264Y-95359118D01* +X96684703Y-95361278D01* +X96670000Y-95362000D01* +X96370000Y-95362000D01* +X96355297Y-95361278D01* +X96340736Y-95359118D01* +X96326457Y-95355541D01* +X96312597Y-95350582D01* +X96299290Y-95344288D01* +X96286664Y-95336720D01* +X96274841Y-95327952D01* +X96263934Y-95318066D01* +X96254048Y-95307159D01* +X96245280Y-95295336D01* +X96237712Y-95282710D01* +X96231418Y-95269403D01* +X96226459Y-95255543D01* +X96222882Y-95241264D01* +X96220722Y-95226703D01* +X96220000Y-95212000D01* +X96220000Y-91512000D01* +X96220722Y-91497297D01* +X96222882Y-91482736D01* +X96226459Y-91468457D01* +X96231418Y-91454597D01* +X96237712Y-91441290D01* +X96245280Y-91428664D01* +X96254048Y-91416841D01* +X96263934Y-91405934D01* +X96274841Y-91396048D01* +X96286664Y-91387280D01* +X96299290Y-91379712D01* +X96312597Y-91373418D01* +X96326457Y-91368459D01* +X96340736Y-91364882D01* +X96355297Y-91362722D01* +X96370000Y-91362000D01* +X96670000Y-91362000D01* +X96684703Y-91362722D01* +X96684703Y-91362722D01* +G37* +D14* +X96520000Y-93362000D03* +D10* +G36* +X96684703Y-83962722D02* +G01* +X96699264Y-83964882D01* +X96713543Y-83968459D01* +X96727403Y-83973418D01* +X96740710Y-83979712D01* +X96753336Y-83987280D01* +X96765159Y-83996048D01* +X96776066Y-84005934D01* +X96785952Y-84016841D01* +X96794720Y-84028664D01* +X96802288Y-84041290D01* +X96808582Y-84054597D01* +X96813541Y-84068457D01* +X96817118Y-84082736D01* +X96819278Y-84097297D01* +X96820000Y-84112000D01* +X96820000Y-87812000D01* +X96819278Y-87826703D01* +X96817118Y-87841264D01* +X96813541Y-87855543D01* +X96808582Y-87869403D01* +X96802288Y-87882710D01* +X96794720Y-87895336D01* +X96785952Y-87907159D01* +X96776066Y-87918066D01* +X96765159Y-87927952D01* +X96753336Y-87936720D01* +X96740710Y-87944288D01* +X96727403Y-87950582D01* +X96713543Y-87955541D01* +X96699264Y-87959118D01* +X96684703Y-87961278D01* +X96670000Y-87962000D01* +X96370000Y-87962000D01* +X96355297Y-87961278D01* +X96340736Y-87959118D01* +X96326457Y-87955541D01* +X96312597Y-87950582D01* +X96299290Y-87944288D01* +X96286664Y-87936720D01* +X96274841Y-87927952D01* +X96263934Y-87918066D01* +X96254048Y-87907159D01* +X96245280Y-87895336D01* +X96237712Y-87882710D01* +X96231418Y-87869403D01* +X96226459Y-87855543D01* +X96222882Y-87841264D01* +X96220722Y-87826703D01* +X96220000Y-87812000D01* +X96220000Y-84112000D01* +X96220722Y-84097297D01* +X96222882Y-84082736D01* +X96226459Y-84068457D01* +X96231418Y-84054597D01* +X96237712Y-84041290D01* +X96245280Y-84028664D01* +X96254048Y-84016841D01* +X96263934Y-84005934D01* +X96274841Y-83996048D01* +X96286664Y-83987280D01* +X96299290Y-83979712D01* +X96312597Y-83973418D01* +X96326457Y-83968459D01* +X96340736Y-83964882D01* +X96355297Y-83962722D01* +X96370000Y-83962000D01* +X96670000Y-83962000D01* +X96684703Y-83962722D01* +X96684703Y-83962722D01* +G37* +D14* +X96520000Y-85962000D03* +D10* +G36* +X94144703Y-91362722D02* +G01* +X94159264Y-91364882D01* +X94173543Y-91368459D01* +X94187403Y-91373418D01* +X94200710Y-91379712D01* +X94213336Y-91387280D01* +X94225159Y-91396048D01* +X94236066Y-91405934D01* +X94245952Y-91416841D01* +X94254720Y-91428664D01* +X94262288Y-91441290D01* +X94268582Y-91454597D01* +X94273541Y-91468457D01* +X94277118Y-91482736D01* +X94279278Y-91497297D01* +X94280000Y-91512000D01* +X94280000Y-95212000D01* +X94279278Y-95226703D01* +X94277118Y-95241264D01* +X94273541Y-95255543D01* +X94268582Y-95269403D01* +X94262288Y-95282710D01* +X94254720Y-95295336D01* +X94245952Y-95307159D01* +X94236066Y-95318066D01* +X94225159Y-95327952D01* +X94213336Y-95336720D01* +X94200710Y-95344288D01* +X94187403Y-95350582D01* +X94173543Y-95355541D01* +X94159264Y-95359118D01* +X94144703Y-95361278D01* +X94130000Y-95362000D01* +X93830000Y-95362000D01* +X93815297Y-95361278D01* +X93800736Y-95359118D01* +X93786457Y-95355541D01* +X93772597Y-95350582D01* +X93759290Y-95344288D01* +X93746664Y-95336720D01* +X93734841Y-95327952D01* +X93723934Y-95318066D01* +X93714048Y-95307159D01* +X93705280Y-95295336D01* +X93697712Y-95282710D01* +X93691418Y-95269403D01* +X93686459Y-95255543D01* +X93682882Y-95241264D01* +X93680722Y-95226703D01* +X93680000Y-95212000D01* +X93680000Y-91512000D01* +X93680722Y-91497297D01* +X93682882Y-91482736D01* +X93686459Y-91468457D01* +X93691418Y-91454597D01* +X93697712Y-91441290D01* +X93705280Y-91428664D01* +X93714048Y-91416841D01* +X93723934Y-91405934D01* +X93734841Y-91396048D01* +X93746664Y-91387280D01* +X93759290Y-91379712D01* +X93772597Y-91373418D01* +X93786457Y-91368459D01* +X93800736Y-91364882D01* +X93815297Y-91362722D01* +X93830000Y-91362000D01* +X94130000Y-91362000D01* +X94144703Y-91362722D01* +X94144703Y-91362722D01* +G37* +D14* +X93980000Y-93362000D03* +D10* +G36* +X94144703Y-83962722D02* +G01* +X94159264Y-83964882D01* +X94173543Y-83968459D01* +X94187403Y-83973418D01* +X94200710Y-83979712D01* +X94213336Y-83987280D01* +X94225159Y-83996048D01* +X94236066Y-84005934D01* +X94245952Y-84016841D01* +X94254720Y-84028664D01* +X94262288Y-84041290D01* +X94268582Y-84054597D01* +X94273541Y-84068457D01* +X94277118Y-84082736D01* +X94279278Y-84097297D01* +X94280000Y-84112000D01* +X94280000Y-87812000D01* +X94279278Y-87826703D01* +X94277118Y-87841264D01* +X94273541Y-87855543D01* +X94268582Y-87869403D01* +X94262288Y-87882710D01* +X94254720Y-87895336D01* +X94245952Y-87907159D01* +X94236066Y-87918066D01* +X94225159Y-87927952D01* +X94213336Y-87936720D01* +X94200710Y-87944288D01* +X94187403Y-87950582D01* +X94173543Y-87955541D01* +X94159264Y-87959118D01* +X94144703Y-87961278D01* +X94130000Y-87962000D01* +X93830000Y-87962000D01* +X93815297Y-87961278D01* +X93800736Y-87959118D01* +X93786457Y-87955541D01* +X93772597Y-87950582D01* +X93759290Y-87944288D01* +X93746664Y-87936720D01* +X93734841Y-87927952D01* +X93723934Y-87918066D01* +X93714048Y-87907159D01* +X93705280Y-87895336D01* +X93697712Y-87882710D01* +X93691418Y-87869403D01* +X93686459Y-87855543D01* +X93682882Y-87841264D01* +X93680722Y-87826703D01* +X93680000Y-87812000D01* +X93680000Y-84112000D01* +X93680722Y-84097297D01* +X93682882Y-84082736D01* +X93686459Y-84068457D01* +X93691418Y-84054597D01* +X93697712Y-84041290D01* +X93705280Y-84028664D01* +X93714048Y-84016841D01* +X93723934Y-84005934D01* +X93734841Y-83996048D01* +X93746664Y-83987280D01* +X93759290Y-83979712D01* +X93772597Y-83973418D01* +X93786457Y-83968459D01* +X93800736Y-83964882D01* +X93815297Y-83962722D01* +X93830000Y-83962000D01* +X94130000Y-83962000D01* +X94144703Y-83962722D01* +X94144703Y-83962722D01* +G37* +D14* +X93980000Y-85962000D03* +D10* +G36* +X87794703Y-91362722D02* +G01* +X87809264Y-91364882D01* +X87823543Y-91368459D01* +X87837403Y-91373418D01* +X87850710Y-91379712D01* +X87863336Y-91387280D01* +X87875159Y-91396048D01* +X87886066Y-91405934D01* +X87895952Y-91416841D01* +X87904720Y-91428664D01* +X87912288Y-91441290D01* +X87918582Y-91454597D01* +X87923541Y-91468457D01* +X87927118Y-91482736D01* +X87929278Y-91497297D01* +X87930000Y-91512000D01* +X87930000Y-95212000D01* +X87929278Y-95226703D01* +X87927118Y-95241264D01* +X87923541Y-95255543D01* +X87918582Y-95269403D01* +X87912288Y-95282710D01* +X87904720Y-95295336D01* +X87895952Y-95307159D01* +X87886066Y-95318066D01* +X87875159Y-95327952D01* +X87863336Y-95336720D01* +X87850710Y-95344288D01* +X87837403Y-95350582D01* +X87823543Y-95355541D01* +X87809264Y-95359118D01* +X87794703Y-95361278D01* +X87780000Y-95362000D01* +X87480000Y-95362000D01* +X87465297Y-95361278D01* +X87450736Y-95359118D01* +X87436457Y-95355541D01* +X87422597Y-95350582D01* +X87409290Y-95344288D01* +X87396664Y-95336720D01* +X87384841Y-95327952D01* +X87373934Y-95318066D01* +X87364048Y-95307159D01* +X87355280Y-95295336D01* +X87347712Y-95282710D01* +X87341418Y-95269403D01* +X87336459Y-95255543D01* +X87332882Y-95241264D01* +X87330722Y-95226703D01* +X87330000Y-95212000D01* +X87330000Y-91512000D01* +X87330722Y-91497297D01* +X87332882Y-91482736D01* +X87336459Y-91468457D01* +X87341418Y-91454597D01* +X87347712Y-91441290D01* +X87355280Y-91428664D01* +X87364048Y-91416841D01* +X87373934Y-91405934D01* +X87384841Y-91396048D01* +X87396664Y-91387280D01* +X87409290Y-91379712D01* +X87422597Y-91373418D01* +X87436457Y-91368459D01* +X87450736Y-91364882D01* +X87465297Y-91362722D01* +X87480000Y-91362000D01* +X87780000Y-91362000D01* +X87794703Y-91362722D01* +X87794703Y-91362722D01* +G37* +D14* +X87630000Y-93362000D03* +D10* +G36* +X89064703Y-91362722D02* +G01* +X89079264Y-91364882D01* +X89093543Y-91368459D01* +X89107403Y-91373418D01* +X89120710Y-91379712D01* +X89133336Y-91387280D01* +X89145159Y-91396048D01* +X89156066Y-91405934D01* +X89165952Y-91416841D01* +X89174720Y-91428664D01* +X89182288Y-91441290D01* +X89188582Y-91454597D01* +X89193541Y-91468457D01* +X89197118Y-91482736D01* +X89199278Y-91497297D01* +X89200000Y-91512000D01* +X89200000Y-95212000D01* +X89199278Y-95226703D01* +X89197118Y-95241264D01* +X89193541Y-95255543D01* +X89188582Y-95269403D01* +X89182288Y-95282710D01* +X89174720Y-95295336D01* +X89165952Y-95307159D01* +X89156066Y-95318066D01* +X89145159Y-95327952D01* +X89133336Y-95336720D01* +X89120710Y-95344288D01* +X89107403Y-95350582D01* +X89093543Y-95355541D01* +X89079264Y-95359118D01* +X89064703Y-95361278D01* +X89050000Y-95362000D01* +X88750000Y-95362000D01* +X88735297Y-95361278D01* +X88720736Y-95359118D01* +X88706457Y-95355541D01* +X88692597Y-95350582D01* +X88679290Y-95344288D01* +X88666664Y-95336720D01* +X88654841Y-95327952D01* +X88643934Y-95318066D01* +X88634048Y-95307159D01* +X88625280Y-95295336D01* +X88617712Y-95282710D01* +X88611418Y-95269403D01* +X88606459Y-95255543D01* +X88602882Y-95241264D01* +X88600722Y-95226703D01* +X88600000Y-95212000D01* +X88600000Y-91512000D01* +X88600722Y-91497297D01* +X88602882Y-91482736D01* +X88606459Y-91468457D01* +X88611418Y-91454597D01* +X88617712Y-91441290D01* +X88625280Y-91428664D01* +X88634048Y-91416841D01* +X88643934Y-91405934D01* +X88654841Y-91396048D01* +X88666664Y-91387280D01* +X88679290Y-91379712D01* +X88692597Y-91373418D01* +X88706457Y-91368459D01* +X88720736Y-91364882D01* +X88735297Y-91362722D01* +X88750000Y-91362000D01* +X89050000Y-91362000D01* +X89064703Y-91362722D01* +X89064703Y-91362722D01* +G37* +D14* +X88900000Y-93362000D03* +D10* +G36* +X90334703Y-91362722D02* +G01* +X90349264Y-91364882D01* +X90363543Y-91368459D01* +X90377403Y-91373418D01* +X90390710Y-91379712D01* +X90403336Y-91387280D01* +X90415159Y-91396048D01* +X90426066Y-91405934D01* +X90435952Y-91416841D01* +X90444720Y-91428664D01* +X90452288Y-91441290D01* +X90458582Y-91454597D01* +X90463541Y-91468457D01* +X90467118Y-91482736D01* +X90469278Y-91497297D01* +X90470000Y-91512000D01* +X90470000Y-95212000D01* +X90469278Y-95226703D01* +X90467118Y-95241264D01* +X90463541Y-95255543D01* +X90458582Y-95269403D01* +X90452288Y-95282710D01* +X90444720Y-95295336D01* +X90435952Y-95307159D01* +X90426066Y-95318066D01* +X90415159Y-95327952D01* +X90403336Y-95336720D01* +X90390710Y-95344288D01* +X90377403Y-95350582D01* +X90363543Y-95355541D01* +X90349264Y-95359118D01* +X90334703Y-95361278D01* +X90320000Y-95362000D01* +X90020000Y-95362000D01* +X90005297Y-95361278D01* +X89990736Y-95359118D01* +X89976457Y-95355541D01* +X89962597Y-95350582D01* +X89949290Y-95344288D01* +X89936664Y-95336720D01* +X89924841Y-95327952D01* +X89913934Y-95318066D01* +X89904048Y-95307159D01* +X89895280Y-95295336D01* +X89887712Y-95282710D01* +X89881418Y-95269403D01* +X89876459Y-95255543D01* +X89872882Y-95241264D01* +X89870722Y-95226703D01* +X89870000Y-95212000D01* +X89870000Y-91512000D01* +X89870722Y-91497297D01* +X89872882Y-91482736D01* +X89876459Y-91468457D01* +X89881418Y-91454597D01* +X89887712Y-91441290D01* +X89895280Y-91428664D01* +X89904048Y-91416841D01* +X89913934Y-91405934D01* +X89924841Y-91396048D01* +X89936664Y-91387280D01* +X89949290Y-91379712D01* +X89962597Y-91373418D01* +X89976457Y-91368459D01* +X89990736Y-91364882D01* +X90005297Y-91362722D01* +X90020000Y-91362000D01* +X90320000Y-91362000D01* +X90334703Y-91362722D01* +X90334703Y-91362722D01* +G37* +D14* +X90170000Y-93362000D03* +D10* +G36* +X91604703Y-91362722D02* +G01* +X91619264Y-91364882D01* +X91633543Y-91368459D01* +X91647403Y-91373418D01* +X91660710Y-91379712D01* +X91673336Y-91387280D01* +X91685159Y-91396048D01* +X91696066Y-91405934D01* +X91705952Y-91416841D01* +X91714720Y-91428664D01* +X91722288Y-91441290D01* +X91728582Y-91454597D01* +X91733541Y-91468457D01* +X91737118Y-91482736D01* +X91739278Y-91497297D01* +X91740000Y-91512000D01* +X91740000Y-95212000D01* +X91739278Y-95226703D01* +X91737118Y-95241264D01* +X91733541Y-95255543D01* +X91728582Y-95269403D01* +X91722288Y-95282710D01* +X91714720Y-95295336D01* +X91705952Y-95307159D01* +X91696066Y-95318066D01* +X91685159Y-95327952D01* +X91673336Y-95336720D01* +X91660710Y-95344288D01* +X91647403Y-95350582D01* +X91633543Y-95355541D01* +X91619264Y-95359118D01* +X91604703Y-95361278D01* +X91590000Y-95362000D01* +X91290000Y-95362000D01* +X91275297Y-95361278D01* +X91260736Y-95359118D01* +X91246457Y-95355541D01* +X91232597Y-95350582D01* +X91219290Y-95344288D01* +X91206664Y-95336720D01* +X91194841Y-95327952D01* +X91183934Y-95318066D01* +X91174048Y-95307159D01* +X91165280Y-95295336D01* +X91157712Y-95282710D01* +X91151418Y-95269403D01* +X91146459Y-95255543D01* +X91142882Y-95241264D01* +X91140722Y-95226703D01* +X91140000Y-95212000D01* +X91140000Y-91512000D01* +X91140722Y-91497297D01* +X91142882Y-91482736D01* +X91146459Y-91468457D01* +X91151418Y-91454597D01* +X91157712Y-91441290D01* +X91165280Y-91428664D01* +X91174048Y-91416841D01* +X91183934Y-91405934D01* +X91194841Y-91396048D01* +X91206664Y-91387280D01* +X91219290Y-91379712D01* +X91232597Y-91373418D01* +X91246457Y-91368459D01* +X91260736Y-91364882D01* +X91275297Y-91362722D01* +X91290000Y-91362000D01* +X91590000Y-91362000D01* +X91604703Y-91362722D01* +X91604703Y-91362722D01* +G37* +D14* +X91440000Y-93362000D03* +D10* +G36* +X92874703Y-91362722D02* +G01* +X92889264Y-91364882D01* +X92903543Y-91368459D01* +X92917403Y-91373418D01* +X92930710Y-91379712D01* +X92943336Y-91387280D01* +X92955159Y-91396048D01* +X92966066Y-91405934D01* +X92975952Y-91416841D01* +X92984720Y-91428664D01* +X92992288Y-91441290D01* +X92998582Y-91454597D01* +X93003541Y-91468457D01* +X93007118Y-91482736D01* +X93009278Y-91497297D01* +X93010000Y-91512000D01* +X93010000Y-95212000D01* +X93009278Y-95226703D01* +X93007118Y-95241264D01* +X93003541Y-95255543D01* +X92998582Y-95269403D01* +X92992288Y-95282710D01* +X92984720Y-95295336D01* +X92975952Y-95307159D01* +X92966066Y-95318066D01* +X92955159Y-95327952D01* +X92943336Y-95336720D01* +X92930710Y-95344288D01* +X92917403Y-95350582D01* +X92903543Y-95355541D01* +X92889264Y-95359118D01* +X92874703Y-95361278D01* +X92860000Y-95362000D01* +X92560000Y-95362000D01* +X92545297Y-95361278D01* +X92530736Y-95359118D01* +X92516457Y-95355541D01* +X92502597Y-95350582D01* +X92489290Y-95344288D01* +X92476664Y-95336720D01* +X92464841Y-95327952D01* +X92453934Y-95318066D01* +X92444048Y-95307159D01* +X92435280Y-95295336D01* +X92427712Y-95282710D01* +X92421418Y-95269403D01* +X92416459Y-95255543D01* +X92412882Y-95241264D01* +X92410722Y-95226703D01* +X92410000Y-95212000D01* +X92410000Y-91512000D01* +X92410722Y-91497297D01* +X92412882Y-91482736D01* +X92416459Y-91468457D01* +X92421418Y-91454597D01* +X92427712Y-91441290D01* +X92435280Y-91428664D01* +X92444048Y-91416841D01* +X92453934Y-91405934D01* +X92464841Y-91396048D01* +X92476664Y-91387280D01* +X92489290Y-91379712D01* +X92502597Y-91373418D01* +X92516457Y-91368459D01* +X92530736Y-91364882D01* +X92545297Y-91362722D01* +X92560000Y-91362000D01* +X92860000Y-91362000D01* +X92874703Y-91362722D01* +X92874703Y-91362722D01* +G37* +D14* +X92710000Y-93362000D03* +D10* +G36* +X97954703Y-91362722D02* +G01* +X97969264Y-91364882D01* +X97983543Y-91368459D01* +X97997403Y-91373418D01* +X98010710Y-91379712D01* +X98023336Y-91387280D01* +X98035159Y-91396048D01* +X98046066Y-91405934D01* +X98055952Y-91416841D01* +X98064720Y-91428664D01* +X98072288Y-91441290D01* +X98078582Y-91454597D01* +X98083541Y-91468457D01* +X98087118Y-91482736D01* +X98089278Y-91497297D01* +X98090000Y-91512000D01* +X98090000Y-95212000D01* +X98089278Y-95226703D01* +X98087118Y-95241264D01* +X98083541Y-95255543D01* +X98078582Y-95269403D01* +X98072288Y-95282710D01* +X98064720Y-95295336D01* +X98055952Y-95307159D01* +X98046066Y-95318066D01* +X98035159Y-95327952D01* +X98023336Y-95336720D01* +X98010710Y-95344288D01* +X97997403Y-95350582D01* +X97983543Y-95355541D01* +X97969264Y-95359118D01* +X97954703Y-95361278D01* +X97940000Y-95362000D01* +X97640000Y-95362000D01* +X97625297Y-95361278D01* +X97610736Y-95359118D01* +X97596457Y-95355541D01* +X97582597Y-95350582D01* +X97569290Y-95344288D01* +X97556664Y-95336720D01* +X97544841Y-95327952D01* +X97533934Y-95318066D01* +X97524048Y-95307159D01* +X97515280Y-95295336D01* +X97507712Y-95282710D01* +X97501418Y-95269403D01* +X97496459Y-95255543D01* +X97492882Y-95241264D01* +X97490722Y-95226703D01* +X97490000Y-95212000D01* +X97490000Y-91512000D01* +X97490722Y-91497297D01* +X97492882Y-91482736D01* +X97496459Y-91468457D01* +X97501418Y-91454597D01* +X97507712Y-91441290D01* +X97515280Y-91428664D01* +X97524048Y-91416841D01* +X97533934Y-91405934D01* +X97544841Y-91396048D01* +X97556664Y-91387280D01* +X97569290Y-91379712D01* +X97582597Y-91373418D01* +X97596457Y-91368459D01* +X97610736Y-91364882D01* +X97625297Y-91362722D01* +X97640000Y-91362000D01* +X97940000Y-91362000D01* +X97954703Y-91362722D01* +X97954703Y-91362722D01* +G37* +D14* +X97790000Y-93362000D03* +D10* +G36* +X99224703Y-91362722D02* +G01* +X99239264Y-91364882D01* +X99253543Y-91368459D01* +X99267403Y-91373418D01* +X99280710Y-91379712D01* +X99293336Y-91387280D01* +X99305159Y-91396048D01* +X99316066Y-91405934D01* +X99325952Y-91416841D01* +X99334720Y-91428664D01* +X99342288Y-91441290D01* +X99348582Y-91454597D01* +X99353541Y-91468457D01* +X99357118Y-91482736D01* +X99359278Y-91497297D01* +X99360000Y-91512000D01* +X99360000Y-95212000D01* +X99359278Y-95226703D01* +X99357118Y-95241264D01* +X99353541Y-95255543D01* +X99348582Y-95269403D01* +X99342288Y-95282710D01* +X99334720Y-95295336D01* +X99325952Y-95307159D01* +X99316066Y-95318066D01* +X99305159Y-95327952D01* +X99293336Y-95336720D01* +X99280710Y-95344288D01* +X99267403Y-95350582D01* +X99253543Y-95355541D01* +X99239264Y-95359118D01* +X99224703Y-95361278D01* +X99210000Y-95362000D01* +X98910000Y-95362000D01* +X98895297Y-95361278D01* +X98880736Y-95359118D01* +X98866457Y-95355541D01* +X98852597Y-95350582D01* +X98839290Y-95344288D01* +X98826664Y-95336720D01* +X98814841Y-95327952D01* +X98803934Y-95318066D01* +X98794048Y-95307159D01* +X98785280Y-95295336D01* +X98777712Y-95282710D01* +X98771418Y-95269403D01* +X98766459Y-95255543D01* +X98762882Y-95241264D01* +X98760722Y-95226703D01* +X98760000Y-95212000D01* +X98760000Y-91512000D01* +X98760722Y-91497297D01* +X98762882Y-91482736D01* +X98766459Y-91468457D01* +X98771418Y-91454597D01* +X98777712Y-91441290D01* +X98785280Y-91428664D01* +X98794048Y-91416841D01* +X98803934Y-91405934D01* +X98814841Y-91396048D01* +X98826664Y-91387280D01* +X98839290Y-91379712D01* +X98852597Y-91373418D01* +X98866457Y-91368459D01* +X98880736Y-91364882D01* +X98895297Y-91362722D01* +X98910000Y-91362000D01* +X99210000Y-91362000D01* +X99224703Y-91362722D01* +X99224703Y-91362722D01* +G37* +D14* +X99060000Y-93362000D03* +D10* +G36* +X100494703Y-91362722D02* +G01* +X100509264Y-91364882D01* +X100523543Y-91368459D01* +X100537403Y-91373418D01* +X100550710Y-91379712D01* +X100563336Y-91387280D01* +X100575159Y-91396048D01* +X100586066Y-91405934D01* +X100595952Y-91416841D01* +X100604720Y-91428664D01* +X100612288Y-91441290D01* +X100618582Y-91454597D01* +X100623541Y-91468457D01* +X100627118Y-91482736D01* +X100629278Y-91497297D01* +X100630000Y-91512000D01* +X100630000Y-95212000D01* +X100629278Y-95226703D01* +X100627118Y-95241264D01* +X100623541Y-95255543D01* +X100618582Y-95269403D01* +X100612288Y-95282710D01* +X100604720Y-95295336D01* +X100595952Y-95307159D01* +X100586066Y-95318066D01* +X100575159Y-95327952D01* +X100563336Y-95336720D01* +X100550710Y-95344288D01* +X100537403Y-95350582D01* +X100523543Y-95355541D01* +X100509264Y-95359118D01* +X100494703Y-95361278D01* +X100480000Y-95362000D01* +X100180000Y-95362000D01* +X100165297Y-95361278D01* +X100150736Y-95359118D01* +X100136457Y-95355541D01* +X100122597Y-95350582D01* +X100109290Y-95344288D01* +X100096664Y-95336720D01* +X100084841Y-95327952D01* +X100073934Y-95318066D01* +X100064048Y-95307159D01* +X100055280Y-95295336D01* +X100047712Y-95282710D01* +X100041418Y-95269403D01* +X100036459Y-95255543D01* +X100032882Y-95241264D01* +X100030722Y-95226703D01* +X100030000Y-95212000D01* +X100030000Y-91512000D01* +X100030722Y-91497297D01* +X100032882Y-91482736D01* +X100036459Y-91468457D01* +X100041418Y-91454597D01* +X100047712Y-91441290D01* +X100055280Y-91428664D01* +X100064048Y-91416841D01* +X100073934Y-91405934D01* +X100084841Y-91396048D01* +X100096664Y-91387280D01* +X100109290Y-91379712D01* +X100122597Y-91373418D01* +X100136457Y-91368459D01* +X100150736Y-91364882D01* +X100165297Y-91362722D01* +X100180000Y-91362000D01* +X100480000Y-91362000D01* +X100494703Y-91362722D01* +X100494703Y-91362722D01* +G37* +D14* +X100330000Y-93362000D03* +D10* +G36* +X101764703Y-91362722D02* +G01* +X101779264Y-91364882D01* +X101793543Y-91368459D01* +X101807403Y-91373418D01* +X101820710Y-91379712D01* +X101833336Y-91387280D01* +X101845159Y-91396048D01* +X101856066Y-91405934D01* +X101865952Y-91416841D01* +X101874720Y-91428664D01* +X101882288Y-91441290D01* +X101888582Y-91454597D01* +X101893541Y-91468457D01* +X101897118Y-91482736D01* +X101899278Y-91497297D01* +X101900000Y-91512000D01* +X101900000Y-95212000D01* +X101899278Y-95226703D01* +X101897118Y-95241264D01* +X101893541Y-95255543D01* +X101888582Y-95269403D01* +X101882288Y-95282710D01* +X101874720Y-95295336D01* +X101865952Y-95307159D01* +X101856066Y-95318066D01* +X101845159Y-95327952D01* +X101833336Y-95336720D01* +X101820710Y-95344288D01* +X101807403Y-95350582D01* +X101793543Y-95355541D01* +X101779264Y-95359118D01* +X101764703Y-95361278D01* +X101750000Y-95362000D01* +X101450000Y-95362000D01* +X101435297Y-95361278D01* +X101420736Y-95359118D01* +X101406457Y-95355541D01* +X101392597Y-95350582D01* +X101379290Y-95344288D01* +X101366664Y-95336720D01* +X101354841Y-95327952D01* +X101343934Y-95318066D01* +X101334048Y-95307159D01* +X101325280Y-95295336D01* +X101317712Y-95282710D01* +X101311418Y-95269403D01* +X101306459Y-95255543D01* +X101302882Y-95241264D01* +X101300722Y-95226703D01* +X101300000Y-95212000D01* +X101300000Y-91512000D01* +X101300722Y-91497297D01* +X101302882Y-91482736D01* +X101306459Y-91468457D01* +X101311418Y-91454597D01* +X101317712Y-91441290D01* +X101325280Y-91428664D01* +X101334048Y-91416841D01* +X101343934Y-91405934D01* +X101354841Y-91396048D01* +X101366664Y-91387280D01* +X101379290Y-91379712D01* +X101392597Y-91373418D01* +X101406457Y-91368459D01* +X101420736Y-91364882D01* +X101435297Y-91362722D01* +X101450000Y-91362000D01* +X101750000Y-91362000D01* +X101764703Y-91362722D01* +X101764703Y-91362722D01* +G37* +D14* +X101600000Y-93362000D03* +D10* +G36* +X103034703Y-91362722D02* +G01* +X103049264Y-91364882D01* +X103063543Y-91368459D01* +X103077403Y-91373418D01* +X103090710Y-91379712D01* +X103103336Y-91387280D01* +X103115159Y-91396048D01* +X103126066Y-91405934D01* +X103135952Y-91416841D01* +X103144720Y-91428664D01* +X103152288Y-91441290D01* +X103158582Y-91454597D01* +X103163541Y-91468457D01* +X103167118Y-91482736D01* +X103169278Y-91497297D01* +X103170000Y-91512000D01* +X103170000Y-95212000D01* +X103169278Y-95226703D01* +X103167118Y-95241264D01* +X103163541Y-95255543D01* +X103158582Y-95269403D01* +X103152288Y-95282710D01* +X103144720Y-95295336D01* +X103135952Y-95307159D01* +X103126066Y-95318066D01* +X103115159Y-95327952D01* +X103103336Y-95336720D01* +X103090710Y-95344288D01* +X103077403Y-95350582D01* +X103063543Y-95355541D01* +X103049264Y-95359118D01* +X103034703Y-95361278D01* +X103020000Y-95362000D01* +X102720000Y-95362000D01* +X102705297Y-95361278D01* +X102690736Y-95359118D01* +X102676457Y-95355541D01* +X102662597Y-95350582D01* +X102649290Y-95344288D01* +X102636664Y-95336720D01* +X102624841Y-95327952D01* +X102613934Y-95318066D01* +X102604048Y-95307159D01* +X102595280Y-95295336D01* +X102587712Y-95282710D01* +X102581418Y-95269403D01* +X102576459Y-95255543D01* +X102572882Y-95241264D01* +X102570722Y-95226703D01* +X102570000Y-95212000D01* +X102570000Y-91512000D01* +X102570722Y-91497297D01* +X102572882Y-91482736D01* +X102576459Y-91468457D01* +X102581418Y-91454597D01* +X102587712Y-91441290D01* +X102595280Y-91428664D01* +X102604048Y-91416841D01* +X102613934Y-91405934D01* +X102624841Y-91396048D01* +X102636664Y-91387280D01* +X102649290Y-91379712D01* +X102662597Y-91373418D01* +X102676457Y-91368459D01* +X102690736Y-91364882D01* +X102705297Y-91362722D01* +X102720000Y-91362000D01* +X103020000Y-91362000D01* +X103034703Y-91362722D01* +X103034703Y-91362722D01* +G37* +D14* +X102870000Y-93362000D03* +D10* +G36* +X101764703Y-83962722D02* +G01* +X101779264Y-83964882D01* +X101793543Y-83968459D01* +X101807403Y-83973418D01* +X101820710Y-83979712D01* +X101833336Y-83987280D01* +X101845159Y-83996048D01* +X101856066Y-84005934D01* +X101865952Y-84016841D01* +X101874720Y-84028664D01* +X101882288Y-84041290D01* +X101888582Y-84054597D01* +X101893541Y-84068457D01* +X101897118Y-84082736D01* +X101899278Y-84097297D01* +X101900000Y-84112000D01* +X101900000Y-87812000D01* +X101899278Y-87826703D01* +X101897118Y-87841264D01* +X101893541Y-87855543D01* +X101888582Y-87869403D01* +X101882288Y-87882710D01* +X101874720Y-87895336D01* +X101865952Y-87907159D01* +X101856066Y-87918066D01* +X101845159Y-87927952D01* +X101833336Y-87936720D01* +X101820710Y-87944288D01* +X101807403Y-87950582D01* +X101793543Y-87955541D01* +X101779264Y-87959118D01* +X101764703Y-87961278D01* +X101750000Y-87962000D01* +X101450000Y-87962000D01* +X101435297Y-87961278D01* +X101420736Y-87959118D01* +X101406457Y-87955541D01* +X101392597Y-87950582D01* +X101379290Y-87944288D01* +X101366664Y-87936720D01* +X101354841Y-87927952D01* +X101343934Y-87918066D01* +X101334048Y-87907159D01* +X101325280Y-87895336D01* +X101317712Y-87882710D01* +X101311418Y-87869403D01* +X101306459Y-87855543D01* +X101302882Y-87841264D01* +X101300722Y-87826703D01* +X101300000Y-87812000D01* +X101300000Y-84112000D01* +X101300722Y-84097297D01* +X101302882Y-84082736D01* +X101306459Y-84068457D01* +X101311418Y-84054597D01* +X101317712Y-84041290D01* +X101325280Y-84028664D01* +X101334048Y-84016841D01* +X101343934Y-84005934D01* +X101354841Y-83996048D01* +X101366664Y-83987280D01* +X101379290Y-83979712D01* +X101392597Y-83973418D01* +X101406457Y-83968459D01* +X101420736Y-83964882D01* +X101435297Y-83962722D01* +X101450000Y-83962000D01* +X101750000Y-83962000D01* +X101764703Y-83962722D01* +X101764703Y-83962722D01* +G37* +D14* +X101600000Y-85962000D03* +D10* +G36* +X100494703Y-83962722D02* +G01* +X100509264Y-83964882D01* +X100523543Y-83968459D01* +X100537403Y-83973418D01* +X100550710Y-83979712D01* +X100563336Y-83987280D01* +X100575159Y-83996048D01* +X100586066Y-84005934D01* +X100595952Y-84016841D01* +X100604720Y-84028664D01* +X100612288Y-84041290D01* +X100618582Y-84054597D01* +X100623541Y-84068457D01* +X100627118Y-84082736D01* +X100629278Y-84097297D01* +X100630000Y-84112000D01* +X100630000Y-87812000D01* +X100629278Y-87826703D01* +X100627118Y-87841264D01* +X100623541Y-87855543D01* +X100618582Y-87869403D01* +X100612288Y-87882710D01* +X100604720Y-87895336D01* +X100595952Y-87907159D01* +X100586066Y-87918066D01* +X100575159Y-87927952D01* +X100563336Y-87936720D01* +X100550710Y-87944288D01* +X100537403Y-87950582D01* +X100523543Y-87955541D01* +X100509264Y-87959118D01* +X100494703Y-87961278D01* +X100480000Y-87962000D01* +X100180000Y-87962000D01* +X100165297Y-87961278D01* +X100150736Y-87959118D01* +X100136457Y-87955541D01* +X100122597Y-87950582D01* +X100109290Y-87944288D01* +X100096664Y-87936720D01* +X100084841Y-87927952D01* +X100073934Y-87918066D01* +X100064048Y-87907159D01* +X100055280Y-87895336D01* +X100047712Y-87882710D01* +X100041418Y-87869403D01* +X100036459Y-87855543D01* +X100032882Y-87841264D01* +X100030722Y-87826703D01* +X100030000Y-87812000D01* +X100030000Y-84112000D01* +X100030722Y-84097297D01* +X100032882Y-84082736D01* +X100036459Y-84068457D01* +X100041418Y-84054597D01* +X100047712Y-84041290D01* +X100055280Y-84028664D01* +X100064048Y-84016841D01* +X100073934Y-84005934D01* +X100084841Y-83996048D01* +X100096664Y-83987280D01* +X100109290Y-83979712D01* +X100122597Y-83973418D01* +X100136457Y-83968459D01* +X100150736Y-83964882D01* +X100165297Y-83962722D01* +X100180000Y-83962000D01* +X100480000Y-83962000D01* +X100494703Y-83962722D01* +X100494703Y-83962722D01* +G37* +D14* +X100330000Y-85962000D03* +D10* +G36* +X99224703Y-83962722D02* +G01* +X99239264Y-83964882D01* +X99253543Y-83968459D01* +X99267403Y-83973418D01* +X99280710Y-83979712D01* +X99293336Y-83987280D01* +X99305159Y-83996048D01* +X99316066Y-84005934D01* +X99325952Y-84016841D01* +X99334720Y-84028664D01* +X99342288Y-84041290D01* +X99348582Y-84054597D01* +X99353541Y-84068457D01* +X99357118Y-84082736D01* +X99359278Y-84097297D01* +X99360000Y-84112000D01* +X99360000Y-87812000D01* +X99359278Y-87826703D01* +X99357118Y-87841264D01* +X99353541Y-87855543D01* +X99348582Y-87869403D01* +X99342288Y-87882710D01* +X99334720Y-87895336D01* +X99325952Y-87907159D01* +X99316066Y-87918066D01* +X99305159Y-87927952D01* +X99293336Y-87936720D01* +X99280710Y-87944288D01* +X99267403Y-87950582D01* +X99253543Y-87955541D01* +X99239264Y-87959118D01* +X99224703Y-87961278D01* +X99210000Y-87962000D01* +X98910000Y-87962000D01* +X98895297Y-87961278D01* +X98880736Y-87959118D01* +X98866457Y-87955541D01* +X98852597Y-87950582D01* +X98839290Y-87944288D01* +X98826664Y-87936720D01* +X98814841Y-87927952D01* +X98803934Y-87918066D01* +X98794048Y-87907159D01* +X98785280Y-87895336D01* +X98777712Y-87882710D01* +X98771418Y-87869403D01* +X98766459Y-87855543D01* +X98762882Y-87841264D01* +X98760722Y-87826703D01* +X98760000Y-87812000D01* +X98760000Y-84112000D01* +X98760722Y-84097297D01* +X98762882Y-84082736D01* +X98766459Y-84068457D01* +X98771418Y-84054597D01* +X98777712Y-84041290D01* +X98785280Y-84028664D01* +X98794048Y-84016841D01* +X98803934Y-84005934D01* +X98814841Y-83996048D01* +X98826664Y-83987280D01* +X98839290Y-83979712D01* +X98852597Y-83973418D01* +X98866457Y-83968459D01* +X98880736Y-83964882D01* +X98895297Y-83962722D01* +X98910000Y-83962000D01* +X99210000Y-83962000D01* +X99224703Y-83962722D01* +X99224703Y-83962722D01* +G37* +D14* +X99060000Y-85962000D03* +D10* +G36* +X97954703Y-83962722D02* +G01* +X97969264Y-83964882D01* +X97983543Y-83968459D01* +X97997403Y-83973418D01* +X98010710Y-83979712D01* +X98023336Y-83987280D01* +X98035159Y-83996048D01* +X98046066Y-84005934D01* +X98055952Y-84016841D01* +X98064720Y-84028664D01* +X98072288Y-84041290D01* +X98078582Y-84054597D01* +X98083541Y-84068457D01* +X98087118Y-84082736D01* +X98089278Y-84097297D01* +X98090000Y-84112000D01* +X98090000Y-87812000D01* +X98089278Y-87826703D01* +X98087118Y-87841264D01* +X98083541Y-87855543D01* +X98078582Y-87869403D01* +X98072288Y-87882710D01* +X98064720Y-87895336D01* +X98055952Y-87907159D01* +X98046066Y-87918066D01* +X98035159Y-87927952D01* +X98023336Y-87936720D01* +X98010710Y-87944288D01* +X97997403Y-87950582D01* +X97983543Y-87955541D01* +X97969264Y-87959118D01* +X97954703Y-87961278D01* +X97940000Y-87962000D01* +X97640000Y-87962000D01* +X97625297Y-87961278D01* +X97610736Y-87959118D01* +X97596457Y-87955541D01* +X97582597Y-87950582D01* +X97569290Y-87944288D01* +X97556664Y-87936720D01* +X97544841Y-87927952D01* +X97533934Y-87918066D01* +X97524048Y-87907159D01* +X97515280Y-87895336D01* +X97507712Y-87882710D01* +X97501418Y-87869403D01* +X97496459Y-87855543D01* +X97492882Y-87841264D01* +X97490722Y-87826703D01* +X97490000Y-87812000D01* +X97490000Y-84112000D01* +X97490722Y-84097297D01* +X97492882Y-84082736D01* +X97496459Y-84068457D01* +X97501418Y-84054597D01* +X97507712Y-84041290D01* +X97515280Y-84028664D01* +X97524048Y-84016841D01* +X97533934Y-84005934D01* +X97544841Y-83996048D01* +X97556664Y-83987280D01* +X97569290Y-83979712D01* +X97582597Y-83973418D01* +X97596457Y-83968459D01* +X97610736Y-83964882D01* +X97625297Y-83962722D01* +X97640000Y-83962000D01* +X97940000Y-83962000D01* +X97954703Y-83962722D01* +X97954703Y-83962722D01* +G37* +D14* +X97790000Y-85962000D03* +D10* +G36* +X92874703Y-83962722D02* +G01* +X92889264Y-83964882D01* +X92903543Y-83968459D01* +X92917403Y-83973418D01* +X92930710Y-83979712D01* +X92943336Y-83987280D01* +X92955159Y-83996048D01* +X92966066Y-84005934D01* +X92975952Y-84016841D01* +X92984720Y-84028664D01* +X92992288Y-84041290D01* +X92998582Y-84054597D01* +X93003541Y-84068457D01* +X93007118Y-84082736D01* +X93009278Y-84097297D01* +X93010000Y-84112000D01* +X93010000Y-87812000D01* +X93009278Y-87826703D01* +X93007118Y-87841264D01* +X93003541Y-87855543D01* +X92998582Y-87869403D01* +X92992288Y-87882710D01* +X92984720Y-87895336D01* +X92975952Y-87907159D01* +X92966066Y-87918066D01* +X92955159Y-87927952D01* +X92943336Y-87936720D01* +X92930710Y-87944288D01* +X92917403Y-87950582D01* +X92903543Y-87955541D01* +X92889264Y-87959118D01* +X92874703Y-87961278D01* +X92860000Y-87962000D01* +X92560000Y-87962000D01* +X92545297Y-87961278D01* +X92530736Y-87959118D01* +X92516457Y-87955541D01* +X92502597Y-87950582D01* +X92489290Y-87944288D01* +X92476664Y-87936720D01* +X92464841Y-87927952D01* +X92453934Y-87918066D01* +X92444048Y-87907159D01* +X92435280Y-87895336D01* +X92427712Y-87882710D01* +X92421418Y-87869403D01* +X92416459Y-87855543D01* +X92412882Y-87841264D01* +X92410722Y-87826703D01* +X92410000Y-87812000D01* +X92410000Y-84112000D01* +X92410722Y-84097297D01* +X92412882Y-84082736D01* +X92416459Y-84068457D01* +X92421418Y-84054597D01* +X92427712Y-84041290D01* +X92435280Y-84028664D01* +X92444048Y-84016841D01* +X92453934Y-84005934D01* +X92464841Y-83996048D01* +X92476664Y-83987280D01* +X92489290Y-83979712D01* +X92502597Y-83973418D01* +X92516457Y-83968459D01* +X92530736Y-83964882D01* +X92545297Y-83962722D01* +X92560000Y-83962000D01* +X92860000Y-83962000D01* +X92874703Y-83962722D01* +X92874703Y-83962722D01* +G37* +D14* +X92710000Y-85962000D03* +D10* +G36* +X91604703Y-83962722D02* +G01* +X91619264Y-83964882D01* +X91633543Y-83968459D01* +X91647403Y-83973418D01* +X91660710Y-83979712D01* +X91673336Y-83987280D01* +X91685159Y-83996048D01* +X91696066Y-84005934D01* +X91705952Y-84016841D01* +X91714720Y-84028664D01* +X91722288Y-84041290D01* +X91728582Y-84054597D01* +X91733541Y-84068457D01* +X91737118Y-84082736D01* +X91739278Y-84097297D01* +X91740000Y-84112000D01* +X91740000Y-87812000D01* +X91739278Y-87826703D01* +X91737118Y-87841264D01* +X91733541Y-87855543D01* +X91728582Y-87869403D01* +X91722288Y-87882710D01* +X91714720Y-87895336D01* +X91705952Y-87907159D01* +X91696066Y-87918066D01* +X91685159Y-87927952D01* +X91673336Y-87936720D01* +X91660710Y-87944288D01* +X91647403Y-87950582D01* +X91633543Y-87955541D01* +X91619264Y-87959118D01* +X91604703Y-87961278D01* +X91590000Y-87962000D01* +X91290000Y-87962000D01* +X91275297Y-87961278D01* +X91260736Y-87959118D01* +X91246457Y-87955541D01* +X91232597Y-87950582D01* +X91219290Y-87944288D01* +X91206664Y-87936720D01* +X91194841Y-87927952D01* +X91183934Y-87918066D01* +X91174048Y-87907159D01* +X91165280Y-87895336D01* +X91157712Y-87882710D01* +X91151418Y-87869403D01* +X91146459Y-87855543D01* +X91142882Y-87841264D01* +X91140722Y-87826703D01* +X91140000Y-87812000D01* +X91140000Y-84112000D01* +X91140722Y-84097297D01* +X91142882Y-84082736D01* +X91146459Y-84068457D01* +X91151418Y-84054597D01* +X91157712Y-84041290D01* +X91165280Y-84028664D01* +X91174048Y-84016841D01* +X91183934Y-84005934D01* +X91194841Y-83996048D01* +X91206664Y-83987280D01* +X91219290Y-83979712D01* +X91232597Y-83973418D01* +X91246457Y-83968459D01* +X91260736Y-83964882D01* +X91275297Y-83962722D01* +X91290000Y-83962000D01* +X91590000Y-83962000D01* +X91604703Y-83962722D01* +X91604703Y-83962722D01* +G37* +D14* +X91440000Y-85962000D03* +D10* +G36* +X90334703Y-83962722D02* +G01* +X90349264Y-83964882D01* +X90363543Y-83968459D01* +X90377403Y-83973418D01* +X90390710Y-83979712D01* +X90403336Y-83987280D01* +X90415159Y-83996048D01* +X90426066Y-84005934D01* +X90435952Y-84016841D01* +X90444720Y-84028664D01* +X90452288Y-84041290D01* +X90458582Y-84054597D01* +X90463541Y-84068457D01* +X90467118Y-84082736D01* +X90469278Y-84097297D01* +X90470000Y-84112000D01* +X90470000Y-87812000D01* +X90469278Y-87826703D01* +X90467118Y-87841264D01* +X90463541Y-87855543D01* +X90458582Y-87869403D01* +X90452288Y-87882710D01* +X90444720Y-87895336D01* +X90435952Y-87907159D01* +X90426066Y-87918066D01* +X90415159Y-87927952D01* +X90403336Y-87936720D01* +X90390710Y-87944288D01* +X90377403Y-87950582D01* +X90363543Y-87955541D01* +X90349264Y-87959118D01* +X90334703Y-87961278D01* +X90320000Y-87962000D01* +X90020000Y-87962000D01* +X90005297Y-87961278D01* +X89990736Y-87959118D01* +X89976457Y-87955541D01* +X89962597Y-87950582D01* +X89949290Y-87944288D01* +X89936664Y-87936720D01* +X89924841Y-87927952D01* +X89913934Y-87918066D01* +X89904048Y-87907159D01* +X89895280Y-87895336D01* +X89887712Y-87882710D01* +X89881418Y-87869403D01* +X89876459Y-87855543D01* +X89872882Y-87841264D01* +X89870722Y-87826703D01* +X89870000Y-87812000D01* +X89870000Y-84112000D01* +X89870722Y-84097297D01* +X89872882Y-84082736D01* +X89876459Y-84068457D01* +X89881418Y-84054597D01* +X89887712Y-84041290D01* +X89895280Y-84028664D01* +X89904048Y-84016841D01* +X89913934Y-84005934D01* +X89924841Y-83996048D01* +X89936664Y-83987280D01* +X89949290Y-83979712D01* +X89962597Y-83973418D01* +X89976457Y-83968459D01* +X89990736Y-83964882D01* +X90005297Y-83962722D01* +X90020000Y-83962000D01* +X90320000Y-83962000D01* +X90334703Y-83962722D01* +X90334703Y-83962722D01* +G37* +D14* +X90170000Y-85962000D03* +D10* +G36* +X89064703Y-83962722D02* +G01* +X89079264Y-83964882D01* +X89093543Y-83968459D01* +X89107403Y-83973418D01* +X89120710Y-83979712D01* +X89133336Y-83987280D01* +X89145159Y-83996048D01* +X89156066Y-84005934D01* +X89165952Y-84016841D01* +X89174720Y-84028664D01* +X89182288Y-84041290D01* +X89188582Y-84054597D01* +X89193541Y-84068457D01* +X89197118Y-84082736D01* +X89199278Y-84097297D01* +X89200000Y-84112000D01* +X89200000Y-87812000D01* +X89199278Y-87826703D01* +X89197118Y-87841264D01* +X89193541Y-87855543D01* +X89188582Y-87869403D01* +X89182288Y-87882710D01* +X89174720Y-87895336D01* +X89165952Y-87907159D01* +X89156066Y-87918066D01* +X89145159Y-87927952D01* +X89133336Y-87936720D01* +X89120710Y-87944288D01* +X89107403Y-87950582D01* +X89093543Y-87955541D01* +X89079264Y-87959118D01* +X89064703Y-87961278D01* +X89050000Y-87962000D01* +X88750000Y-87962000D01* +X88735297Y-87961278D01* +X88720736Y-87959118D01* +X88706457Y-87955541D01* +X88692597Y-87950582D01* +X88679290Y-87944288D01* +X88666664Y-87936720D01* +X88654841Y-87927952D01* +X88643934Y-87918066D01* +X88634048Y-87907159D01* +X88625280Y-87895336D01* +X88617712Y-87882710D01* +X88611418Y-87869403D01* +X88606459Y-87855543D01* +X88602882Y-87841264D01* +X88600722Y-87826703D01* +X88600000Y-87812000D01* +X88600000Y-84112000D01* +X88600722Y-84097297D01* +X88602882Y-84082736D01* +X88606459Y-84068457D01* +X88611418Y-84054597D01* +X88617712Y-84041290D01* +X88625280Y-84028664D01* +X88634048Y-84016841D01* +X88643934Y-84005934D01* +X88654841Y-83996048D01* +X88666664Y-83987280D01* +X88679290Y-83979712D01* +X88692597Y-83973418D01* +X88706457Y-83968459D01* +X88720736Y-83964882D01* +X88735297Y-83962722D01* +X88750000Y-83962000D01* +X89050000Y-83962000D01* +X89064703Y-83962722D01* +X89064703Y-83962722D01* +G37* +D14* +X88900000Y-85962000D03* +D10* +G36* +X87794703Y-83962722D02* +G01* +X87809264Y-83964882D01* +X87823543Y-83968459D01* +X87837403Y-83973418D01* +X87850710Y-83979712D01* +X87863336Y-83987280D01* +X87875159Y-83996048D01* +X87886066Y-84005934D01* +X87895952Y-84016841D01* +X87904720Y-84028664D01* +X87912288Y-84041290D01* +X87918582Y-84054597D01* +X87923541Y-84068457D01* +X87927118Y-84082736D01* +X87929278Y-84097297D01* +X87930000Y-84112000D01* +X87930000Y-87812000D01* +X87929278Y-87826703D01* +X87927118Y-87841264D01* +X87923541Y-87855543D01* +X87918582Y-87869403D01* +X87912288Y-87882710D01* +X87904720Y-87895336D01* +X87895952Y-87907159D01* +X87886066Y-87918066D01* +X87875159Y-87927952D01* +X87863336Y-87936720D01* +X87850710Y-87944288D01* +X87837403Y-87950582D01* +X87823543Y-87955541D01* +X87809264Y-87959118D01* +X87794703Y-87961278D01* +X87780000Y-87962000D01* +X87480000Y-87962000D01* +X87465297Y-87961278D01* +X87450736Y-87959118D01* +X87436457Y-87955541D01* +X87422597Y-87950582D01* +X87409290Y-87944288D01* +X87396664Y-87936720D01* +X87384841Y-87927952D01* +X87373934Y-87918066D01* +X87364048Y-87907159D01* +X87355280Y-87895336D01* +X87347712Y-87882710D01* +X87341418Y-87869403D01* +X87336459Y-87855543D01* +X87332882Y-87841264D01* +X87330722Y-87826703D01* +X87330000Y-87812000D01* +X87330000Y-84112000D01* +X87330722Y-84097297D01* +X87332882Y-84082736D01* +X87336459Y-84068457D01* +X87341418Y-84054597D01* +X87347712Y-84041290D01* +X87355280Y-84028664D01* +X87364048Y-84016841D01* +X87373934Y-84005934D01* +X87384841Y-83996048D01* +X87396664Y-83987280D01* +X87409290Y-83979712D01* +X87422597Y-83973418D01* +X87436457Y-83968459D01* +X87450736Y-83964882D01* +X87465297Y-83962722D01* +X87480000Y-83962000D01* +X87780000Y-83962000D01* +X87794703Y-83962722D01* +X87794703Y-83962722D01* +G37* +D14* +X87630000Y-85962000D03* +D10* +G36* +X103034703Y-83962722D02* +G01* +X103049264Y-83964882D01* +X103063543Y-83968459D01* +X103077403Y-83973418D01* +X103090710Y-83979712D01* +X103103336Y-83987280D01* +X103115159Y-83996048D01* +X103126066Y-84005934D01* +X103135952Y-84016841D01* +X103144720Y-84028664D01* +X103152288Y-84041290D01* +X103158582Y-84054597D01* +X103163541Y-84068457D01* +X103167118Y-84082736D01* +X103169278Y-84097297D01* +X103170000Y-84112000D01* +X103170000Y-87812000D01* +X103169278Y-87826703D01* +X103167118Y-87841264D01* +X103163541Y-87855543D01* +X103158582Y-87869403D01* +X103152288Y-87882710D01* +X103144720Y-87895336D01* +X103135952Y-87907159D01* +X103126066Y-87918066D01* +X103115159Y-87927952D01* +X103103336Y-87936720D01* +X103090710Y-87944288D01* +X103077403Y-87950582D01* +X103063543Y-87955541D01* +X103049264Y-87959118D01* +X103034703Y-87961278D01* +X103020000Y-87962000D01* +X102720000Y-87962000D01* +X102705297Y-87961278D01* +X102690736Y-87959118D01* +X102676457Y-87955541D01* +X102662597Y-87950582D01* +X102649290Y-87944288D01* +X102636664Y-87936720D01* +X102624841Y-87927952D01* +X102613934Y-87918066D01* +X102604048Y-87907159D01* +X102595280Y-87895336D01* +X102587712Y-87882710D01* +X102581418Y-87869403D01* +X102576459Y-87855543D01* +X102572882Y-87841264D01* +X102570722Y-87826703D01* +X102570000Y-87812000D01* +X102570000Y-84112000D01* +X102570722Y-84097297D01* +X102572882Y-84082736D01* +X102576459Y-84068457D01* +X102581418Y-84054597D01* +X102587712Y-84041290D01* +X102595280Y-84028664D01* +X102604048Y-84016841D01* +X102613934Y-84005934D01* +X102624841Y-83996048D01* +X102636664Y-83987280D01* +X102649290Y-83979712D01* +X102662597Y-83973418D01* +X102676457Y-83968459D01* +X102690736Y-83964882D01* +X102705297Y-83962722D01* +X102720000Y-83962000D01* +X103020000Y-83962000D01* +X103034703Y-83962722D01* +X103034703Y-83962722D01* +G37* +D14* +X102870000Y-85962000D03* +D10* +G36* +X87794703Y-92862722D02* +G01* +X87809264Y-92864882D01* +X87823543Y-92868459D01* +X87837403Y-92873418D01* +X87850710Y-92879712D01* +X87863336Y-92887280D01* +X87875159Y-92896048D01* +X87886066Y-92905934D01* +X87895952Y-92916841D01* +X87904720Y-92928664D01* +X87912288Y-92941290D01* +X87918582Y-92954597D01* +X87923541Y-92968457D01* +X87927118Y-92982736D01* +X87929278Y-92997297D01* +X87930000Y-93012000D01* +X87930000Y-95212000D01* +X87929278Y-95226703D01* +X87927118Y-95241264D01* +X87923541Y-95255543D01* +X87918582Y-95269403D01* +X87912288Y-95282710D01* +X87904720Y-95295336D01* +X87895952Y-95307159D01* +X87886066Y-95318066D01* +X87875159Y-95327952D01* +X87863336Y-95336720D01* +X87850710Y-95344288D01* +X87837403Y-95350582D01* +X87823543Y-95355541D01* +X87809264Y-95359118D01* +X87794703Y-95361278D01* +X87780000Y-95362000D01* +X87480000Y-95362000D01* +X87465297Y-95361278D01* +X87450736Y-95359118D01* +X87436457Y-95355541D01* +X87422597Y-95350582D01* +X87409290Y-95344288D01* +X87396664Y-95336720D01* +X87384841Y-95327952D01* +X87373934Y-95318066D01* +X87364048Y-95307159D01* +X87355280Y-95295336D01* +X87347712Y-95282710D01* +X87341418Y-95269403D01* +X87336459Y-95255543D01* +X87332882Y-95241264D01* +X87330722Y-95226703D01* +X87330000Y-95212000D01* +X87330000Y-93012000D01* +X87330722Y-92997297D01* +X87332882Y-92982736D01* +X87336459Y-92968457D01* +X87341418Y-92954597D01* +X87347712Y-92941290D01* +X87355280Y-92928664D01* +X87364048Y-92916841D01* +X87373934Y-92905934D01* +X87384841Y-92896048D01* +X87396664Y-92887280D01* +X87409290Y-92879712D01* +X87422597Y-92873418D01* +X87436457Y-92868459D01* +X87450736Y-92864882D01* +X87465297Y-92862722D01* +X87480000Y-92862000D01* +X87780000Y-92862000D01* +X87794703Y-92862722D01* +X87794703Y-92862722D01* +G37* +D14* +X87630000Y-94112000D03* +D10* +G36* +X89064703Y-92862722D02* +G01* +X89079264Y-92864882D01* +X89093543Y-92868459D01* +X89107403Y-92873418D01* +X89120710Y-92879712D01* +X89133336Y-92887280D01* +X89145159Y-92896048D01* +X89156066Y-92905934D01* +X89165952Y-92916841D01* +X89174720Y-92928664D01* +X89182288Y-92941290D01* +X89188582Y-92954597D01* +X89193541Y-92968457D01* +X89197118Y-92982736D01* +X89199278Y-92997297D01* +X89200000Y-93012000D01* +X89200000Y-95212000D01* +X89199278Y-95226703D01* +X89197118Y-95241264D01* +X89193541Y-95255543D01* +X89188582Y-95269403D01* +X89182288Y-95282710D01* +X89174720Y-95295336D01* +X89165952Y-95307159D01* +X89156066Y-95318066D01* +X89145159Y-95327952D01* +X89133336Y-95336720D01* +X89120710Y-95344288D01* +X89107403Y-95350582D01* +X89093543Y-95355541D01* +X89079264Y-95359118D01* +X89064703Y-95361278D01* +X89050000Y-95362000D01* +X88750000Y-95362000D01* +X88735297Y-95361278D01* +X88720736Y-95359118D01* +X88706457Y-95355541D01* +X88692597Y-95350582D01* +X88679290Y-95344288D01* +X88666664Y-95336720D01* +X88654841Y-95327952D01* +X88643934Y-95318066D01* +X88634048Y-95307159D01* +X88625280Y-95295336D01* +X88617712Y-95282710D01* +X88611418Y-95269403D01* +X88606459Y-95255543D01* +X88602882Y-95241264D01* +X88600722Y-95226703D01* +X88600000Y-95212000D01* +X88600000Y-93012000D01* +X88600722Y-92997297D01* +X88602882Y-92982736D01* +X88606459Y-92968457D01* +X88611418Y-92954597D01* +X88617712Y-92941290D01* +X88625280Y-92928664D01* +X88634048Y-92916841D01* +X88643934Y-92905934D01* +X88654841Y-92896048D01* +X88666664Y-92887280D01* +X88679290Y-92879712D01* +X88692597Y-92873418D01* +X88706457Y-92868459D01* +X88720736Y-92864882D01* +X88735297Y-92862722D01* +X88750000Y-92862000D01* +X89050000Y-92862000D01* +X89064703Y-92862722D01* +X89064703Y-92862722D01* +G37* +D14* +X88900000Y-94112000D03* +D10* +G36* +X90334703Y-92862722D02* +G01* +X90349264Y-92864882D01* +X90363543Y-92868459D01* +X90377403Y-92873418D01* +X90390710Y-92879712D01* +X90403336Y-92887280D01* +X90415159Y-92896048D01* +X90426066Y-92905934D01* +X90435952Y-92916841D01* +X90444720Y-92928664D01* +X90452288Y-92941290D01* +X90458582Y-92954597D01* +X90463541Y-92968457D01* +X90467118Y-92982736D01* +X90469278Y-92997297D01* +X90470000Y-93012000D01* +X90470000Y-95212000D01* +X90469278Y-95226703D01* +X90467118Y-95241264D01* +X90463541Y-95255543D01* +X90458582Y-95269403D01* +X90452288Y-95282710D01* +X90444720Y-95295336D01* +X90435952Y-95307159D01* +X90426066Y-95318066D01* +X90415159Y-95327952D01* +X90403336Y-95336720D01* +X90390710Y-95344288D01* +X90377403Y-95350582D01* +X90363543Y-95355541D01* +X90349264Y-95359118D01* +X90334703Y-95361278D01* +X90320000Y-95362000D01* +X90020000Y-95362000D01* +X90005297Y-95361278D01* +X89990736Y-95359118D01* +X89976457Y-95355541D01* +X89962597Y-95350582D01* +X89949290Y-95344288D01* +X89936664Y-95336720D01* +X89924841Y-95327952D01* +X89913934Y-95318066D01* +X89904048Y-95307159D01* +X89895280Y-95295336D01* +X89887712Y-95282710D01* +X89881418Y-95269403D01* +X89876459Y-95255543D01* +X89872882Y-95241264D01* +X89870722Y-95226703D01* +X89870000Y-95212000D01* +X89870000Y-93012000D01* +X89870722Y-92997297D01* +X89872882Y-92982736D01* +X89876459Y-92968457D01* +X89881418Y-92954597D01* +X89887712Y-92941290D01* +X89895280Y-92928664D01* +X89904048Y-92916841D01* +X89913934Y-92905934D01* +X89924841Y-92896048D01* +X89936664Y-92887280D01* +X89949290Y-92879712D01* +X89962597Y-92873418D01* +X89976457Y-92868459D01* +X89990736Y-92864882D01* +X90005297Y-92862722D01* +X90020000Y-92862000D01* +X90320000Y-92862000D01* +X90334703Y-92862722D01* +X90334703Y-92862722D01* +G37* +D14* +X90170000Y-94112000D03* +D10* +G36* +X91604703Y-92862722D02* +G01* +X91619264Y-92864882D01* +X91633543Y-92868459D01* +X91647403Y-92873418D01* +X91660710Y-92879712D01* +X91673336Y-92887280D01* +X91685159Y-92896048D01* +X91696066Y-92905934D01* +X91705952Y-92916841D01* +X91714720Y-92928664D01* +X91722288Y-92941290D01* +X91728582Y-92954597D01* +X91733541Y-92968457D01* +X91737118Y-92982736D01* +X91739278Y-92997297D01* +X91740000Y-93012000D01* +X91740000Y-95212000D01* +X91739278Y-95226703D01* +X91737118Y-95241264D01* +X91733541Y-95255543D01* +X91728582Y-95269403D01* +X91722288Y-95282710D01* +X91714720Y-95295336D01* +X91705952Y-95307159D01* +X91696066Y-95318066D01* +X91685159Y-95327952D01* +X91673336Y-95336720D01* +X91660710Y-95344288D01* +X91647403Y-95350582D01* +X91633543Y-95355541D01* +X91619264Y-95359118D01* +X91604703Y-95361278D01* +X91590000Y-95362000D01* +X91290000Y-95362000D01* +X91275297Y-95361278D01* +X91260736Y-95359118D01* +X91246457Y-95355541D01* +X91232597Y-95350582D01* +X91219290Y-95344288D01* +X91206664Y-95336720D01* +X91194841Y-95327952D01* +X91183934Y-95318066D01* +X91174048Y-95307159D01* +X91165280Y-95295336D01* +X91157712Y-95282710D01* +X91151418Y-95269403D01* +X91146459Y-95255543D01* +X91142882Y-95241264D01* +X91140722Y-95226703D01* +X91140000Y-95212000D01* +X91140000Y-93012000D01* +X91140722Y-92997297D01* +X91142882Y-92982736D01* +X91146459Y-92968457D01* +X91151418Y-92954597D01* +X91157712Y-92941290D01* +X91165280Y-92928664D01* +X91174048Y-92916841D01* +X91183934Y-92905934D01* +X91194841Y-92896048D01* +X91206664Y-92887280D01* +X91219290Y-92879712D01* +X91232597Y-92873418D01* +X91246457Y-92868459D01* +X91260736Y-92864882D01* +X91275297Y-92862722D01* +X91290000Y-92862000D01* +X91590000Y-92862000D01* +X91604703Y-92862722D01* +X91604703Y-92862722D01* +G37* +D14* +X91440000Y-94112000D03* +D10* +G36* +X92874703Y-92862722D02* +G01* +X92889264Y-92864882D01* +X92903543Y-92868459D01* +X92917403Y-92873418D01* +X92930710Y-92879712D01* +X92943336Y-92887280D01* +X92955159Y-92896048D01* +X92966066Y-92905934D01* +X92975952Y-92916841D01* +X92984720Y-92928664D01* +X92992288Y-92941290D01* +X92998582Y-92954597D01* +X93003541Y-92968457D01* +X93007118Y-92982736D01* +X93009278Y-92997297D01* +X93010000Y-93012000D01* +X93010000Y-95212000D01* +X93009278Y-95226703D01* +X93007118Y-95241264D01* +X93003541Y-95255543D01* +X92998582Y-95269403D01* +X92992288Y-95282710D01* +X92984720Y-95295336D01* +X92975952Y-95307159D01* +X92966066Y-95318066D01* +X92955159Y-95327952D01* +X92943336Y-95336720D01* +X92930710Y-95344288D01* +X92917403Y-95350582D01* +X92903543Y-95355541D01* +X92889264Y-95359118D01* +X92874703Y-95361278D01* +X92860000Y-95362000D01* +X92560000Y-95362000D01* +X92545297Y-95361278D01* +X92530736Y-95359118D01* +X92516457Y-95355541D01* +X92502597Y-95350582D01* +X92489290Y-95344288D01* +X92476664Y-95336720D01* +X92464841Y-95327952D01* +X92453934Y-95318066D01* +X92444048Y-95307159D01* +X92435280Y-95295336D01* +X92427712Y-95282710D01* +X92421418Y-95269403D01* +X92416459Y-95255543D01* +X92412882Y-95241264D01* +X92410722Y-95226703D01* +X92410000Y-95212000D01* +X92410000Y-93012000D01* +X92410722Y-92997297D01* +X92412882Y-92982736D01* +X92416459Y-92968457D01* +X92421418Y-92954597D01* +X92427712Y-92941290D01* +X92435280Y-92928664D01* +X92444048Y-92916841D01* +X92453934Y-92905934D01* +X92464841Y-92896048D01* +X92476664Y-92887280D01* +X92489290Y-92879712D01* +X92502597Y-92873418D01* +X92516457Y-92868459D01* +X92530736Y-92864882D01* +X92545297Y-92862722D01* +X92560000Y-92862000D01* +X92860000Y-92862000D01* +X92874703Y-92862722D01* +X92874703Y-92862722D01* +G37* +D14* +X92710000Y-94112000D03* +D10* +G36* +X94144703Y-92862722D02* +G01* +X94159264Y-92864882D01* +X94173543Y-92868459D01* +X94187403Y-92873418D01* +X94200710Y-92879712D01* +X94213336Y-92887280D01* +X94225159Y-92896048D01* +X94236066Y-92905934D01* +X94245952Y-92916841D01* +X94254720Y-92928664D01* +X94262288Y-92941290D01* +X94268582Y-92954597D01* +X94273541Y-92968457D01* +X94277118Y-92982736D01* +X94279278Y-92997297D01* +X94280000Y-93012000D01* +X94280000Y-95212000D01* +X94279278Y-95226703D01* +X94277118Y-95241264D01* +X94273541Y-95255543D01* +X94268582Y-95269403D01* +X94262288Y-95282710D01* +X94254720Y-95295336D01* +X94245952Y-95307159D01* +X94236066Y-95318066D01* +X94225159Y-95327952D01* +X94213336Y-95336720D01* +X94200710Y-95344288D01* +X94187403Y-95350582D01* +X94173543Y-95355541D01* +X94159264Y-95359118D01* +X94144703Y-95361278D01* +X94130000Y-95362000D01* +X93830000Y-95362000D01* +X93815297Y-95361278D01* +X93800736Y-95359118D01* +X93786457Y-95355541D01* +X93772597Y-95350582D01* +X93759290Y-95344288D01* +X93746664Y-95336720D01* +X93734841Y-95327952D01* +X93723934Y-95318066D01* +X93714048Y-95307159D01* +X93705280Y-95295336D01* +X93697712Y-95282710D01* +X93691418Y-95269403D01* +X93686459Y-95255543D01* +X93682882Y-95241264D01* +X93680722Y-95226703D01* +X93680000Y-95212000D01* +X93680000Y-93012000D01* +X93680722Y-92997297D01* +X93682882Y-92982736D01* +X93686459Y-92968457D01* +X93691418Y-92954597D01* +X93697712Y-92941290D01* +X93705280Y-92928664D01* +X93714048Y-92916841D01* +X93723934Y-92905934D01* +X93734841Y-92896048D01* +X93746664Y-92887280D01* +X93759290Y-92879712D01* +X93772597Y-92873418D01* +X93786457Y-92868459D01* +X93800736Y-92864882D01* +X93815297Y-92862722D01* +X93830000Y-92862000D01* +X94130000Y-92862000D01* +X94144703Y-92862722D01* +X94144703Y-92862722D01* +G37* +D14* +X93980000Y-94112000D03* +D10* +G36* +X96684703Y-92862722D02* +G01* +X96699264Y-92864882D01* +X96713543Y-92868459D01* +X96727403Y-92873418D01* +X96740710Y-92879712D01* +X96753336Y-92887280D01* +X96765159Y-92896048D01* +X96776066Y-92905934D01* +X96785952Y-92916841D01* +X96794720Y-92928664D01* +X96802288Y-92941290D01* +X96808582Y-92954597D01* +X96813541Y-92968457D01* +X96817118Y-92982736D01* +X96819278Y-92997297D01* +X96820000Y-93012000D01* +X96820000Y-95212000D01* +X96819278Y-95226703D01* +X96817118Y-95241264D01* +X96813541Y-95255543D01* +X96808582Y-95269403D01* +X96802288Y-95282710D01* +X96794720Y-95295336D01* +X96785952Y-95307159D01* +X96776066Y-95318066D01* +X96765159Y-95327952D01* +X96753336Y-95336720D01* +X96740710Y-95344288D01* +X96727403Y-95350582D01* +X96713543Y-95355541D01* +X96699264Y-95359118D01* +X96684703Y-95361278D01* +X96670000Y-95362000D01* +X96370000Y-95362000D01* +X96355297Y-95361278D01* +X96340736Y-95359118D01* +X96326457Y-95355541D01* +X96312597Y-95350582D01* +X96299290Y-95344288D01* +X96286664Y-95336720D01* +X96274841Y-95327952D01* +X96263934Y-95318066D01* +X96254048Y-95307159D01* +X96245280Y-95295336D01* +X96237712Y-95282710D01* +X96231418Y-95269403D01* +X96226459Y-95255543D01* +X96222882Y-95241264D01* +X96220722Y-95226703D01* +X96220000Y-95212000D01* +X96220000Y-93012000D01* +X96220722Y-92997297D01* +X96222882Y-92982736D01* +X96226459Y-92968457D01* +X96231418Y-92954597D01* +X96237712Y-92941290D01* +X96245280Y-92928664D01* +X96254048Y-92916841D01* +X96263934Y-92905934D01* +X96274841Y-92896048D01* +X96286664Y-92887280D01* +X96299290Y-92879712D01* +X96312597Y-92873418D01* +X96326457Y-92868459D01* +X96340736Y-92864882D01* +X96355297Y-92862722D01* +X96370000Y-92862000D01* +X96670000Y-92862000D01* +X96684703Y-92862722D01* +X96684703Y-92862722D01* +G37* +D14* +X96520000Y-94112000D03* +D10* +G36* +X97954703Y-92862722D02* +G01* +X97969264Y-92864882D01* +X97983543Y-92868459D01* +X97997403Y-92873418D01* +X98010710Y-92879712D01* +X98023336Y-92887280D01* +X98035159Y-92896048D01* +X98046066Y-92905934D01* +X98055952Y-92916841D01* +X98064720Y-92928664D01* +X98072288Y-92941290D01* +X98078582Y-92954597D01* +X98083541Y-92968457D01* +X98087118Y-92982736D01* +X98089278Y-92997297D01* +X98090000Y-93012000D01* +X98090000Y-95212000D01* +X98089278Y-95226703D01* +X98087118Y-95241264D01* +X98083541Y-95255543D01* +X98078582Y-95269403D01* +X98072288Y-95282710D01* +X98064720Y-95295336D01* +X98055952Y-95307159D01* +X98046066Y-95318066D01* +X98035159Y-95327952D01* +X98023336Y-95336720D01* +X98010710Y-95344288D01* +X97997403Y-95350582D01* +X97983543Y-95355541D01* +X97969264Y-95359118D01* +X97954703Y-95361278D01* +X97940000Y-95362000D01* +X97640000Y-95362000D01* +X97625297Y-95361278D01* +X97610736Y-95359118D01* +X97596457Y-95355541D01* +X97582597Y-95350582D01* +X97569290Y-95344288D01* +X97556664Y-95336720D01* +X97544841Y-95327952D01* +X97533934Y-95318066D01* +X97524048Y-95307159D01* +X97515280Y-95295336D01* +X97507712Y-95282710D01* +X97501418Y-95269403D01* +X97496459Y-95255543D01* +X97492882Y-95241264D01* +X97490722Y-95226703D01* +X97490000Y-95212000D01* +X97490000Y-93012000D01* +X97490722Y-92997297D01* +X97492882Y-92982736D01* +X97496459Y-92968457D01* +X97501418Y-92954597D01* +X97507712Y-92941290D01* +X97515280Y-92928664D01* +X97524048Y-92916841D01* +X97533934Y-92905934D01* +X97544841Y-92896048D01* +X97556664Y-92887280D01* +X97569290Y-92879712D01* +X97582597Y-92873418D01* +X97596457Y-92868459D01* +X97610736Y-92864882D01* +X97625297Y-92862722D01* +X97640000Y-92862000D01* +X97940000Y-92862000D01* +X97954703Y-92862722D01* +X97954703Y-92862722D01* +G37* +D14* +X97790000Y-94112000D03* +D10* +G36* +X99224703Y-92862722D02* +G01* +X99239264Y-92864882D01* +X99253543Y-92868459D01* +X99267403Y-92873418D01* +X99280710Y-92879712D01* +X99293336Y-92887280D01* +X99305159Y-92896048D01* +X99316066Y-92905934D01* +X99325952Y-92916841D01* +X99334720Y-92928664D01* +X99342288Y-92941290D01* +X99348582Y-92954597D01* +X99353541Y-92968457D01* +X99357118Y-92982736D01* +X99359278Y-92997297D01* +X99360000Y-93012000D01* +X99360000Y-95212000D01* +X99359278Y-95226703D01* +X99357118Y-95241264D01* +X99353541Y-95255543D01* +X99348582Y-95269403D01* +X99342288Y-95282710D01* +X99334720Y-95295336D01* +X99325952Y-95307159D01* +X99316066Y-95318066D01* +X99305159Y-95327952D01* +X99293336Y-95336720D01* +X99280710Y-95344288D01* +X99267403Y-95350582D01* +X99253543Y-95355541D01* +X99239264Y-95359118D01* +X99224703Y-95361278D01* +X99210000Y-95362000D01* +X98910000Y-95362000D01* +X98895297Y-95361278D01* +X98880736Y-95359118D01* +X98866457Y-95355541D01* +X98852597Y-95350582D01* +X98839290Y-95344288D01* +X98826664Y-95336720D01* +X98814841Y-95327952D01* +X98803934Y-95318066D01* +X98794048Y-95307159D01* +X98785280Y-95295336D01* +X98777712Y-95282710D01* +X98771418Y-95269403D01* +X98766459Y-95255543D01* +X98762882Y-95241264D01* +X98760722Y-95226703D01* +X98760000Y-95212000D01* +X98760000Y-93012000D01* +X98760722Y-92997297D01* +X98762882Y-92982736D01* +X98766459Y-92968457D01* +X98771418Y-92954597D01* +X98777712Y-92941290D01* +X98785280Y-92928664D01* +X98794048Y-92916841D01* +X98803934Y-92905934D01* +X98814841Y-92896048D01* +X98826664Y-92887280D01* +X98839290Y-92879712D01* +X98852597Y-92873418D01* +X98866457Y-92868459D01* +X98880736Y-92864882D01* +X98895297Y-92862722D01* +X98910000Y-92862000D01* +X99210000Y-92862000D01* +X99224703Y-92862722D01* +X99224703Y-92862722D01* +G37* +D14* +X99060000Y-94112000D03* +D10* +G36* +X100494703Y-92862722D02* +G01* +X100509264Y-92864882D01* +X100523543Y-92868459D01* +X100537403Y-92873418D01* +X100550710Y-92879712D01* +X100563336Y-92887280D01* +X100575159Y-92896048D01* +X100586066Y-92905934D01* +X100595952Y-92916841D01* +X100604720Y-92928664D01* +X100612288Y-92941290D01* +X100618582Y-92954597D01* +X100623541Y-92968457D01* +X100627118Y-92982736D01* +X100629278Y-92997297D01* +X100630000Y-93012000D01* +X100630000Y-95212000D01* +X100629278Y-95226703D01* +X100627118Y-95241264D01* +X100623541Y-95255543D01* +X100618582Y-95269403D01* +X100612288Y-95282710D01* +X100604720Y-95295336D01* +X100595952Y-95307159D01* +X100586066Y-95318066D01* +X100575159Y-95327952D01* +X100563336Y-95336720D01* +X100550710Y-95344288D01* +X100537403Y-95350582D01* +X100523543Y-95355541D01* +X100509264Y-95359118D01* +X100494703Y-95361278D01* +X100480000Y-95362000D01* +X100180000Y-95362000D01* +X100165297Y-95361278D01* +X100150736Y-95359118D01* +X100136457Y-95355541D01* +X100122597Y-95350582D01* +X100109290Y-95344288D01* +X100096664Y-95336720D01* +X100084841Y-95327952D01* +X100073934Y-95318066D01* +X100064048Y-95307159D01* +X100055280Y-95295336D01* +X100047712Y-95282710D01* +X100041418Y-95269403D01* +X100036459Y-95255543D01* +X100032882Y-95241264D01* +X100030722Y-95226703D01* +X100030000Y-95212000D01* +X100030000Y-93012000D01* +X100030722Y-92997297D01* +X100032882Y-92982736D01* +X100036459Y-92968457D01* +X100041418Y-92954597D01* +X100047712Y-92941290D01* +X100055280Y-92928664D01* +X100064048Y-92916841D01* +X100073934Y-92905934D01* +X100084841Y-92896048D01* +X100096664Y-92887280D01* +X100109290Y-92879712D01* +X100122597Y-92873418D01* +X100136457Y-92868459D01* +X100150736Y-92864882D01* +X100165297Y-92862722D01* +X100180000Y-92862000D01* +X100480000Y-92862000D01* +X100494703Y-92862722D01* +X100494703Y-92862722D01* +G37* +D14* +X100330000Y-94112000D03* +D10* +G36* +X101764703Y-92862722D02* +G01* +X101779264Y-92864882D01* +X101793543Y-92868459D01* +X101807403Y-92873418D01* +X101820710Y-92879712D01* +X101833336Y-92887280D01* +X101845159Y-92896048D01* +X101856066Y-92905934D01* +X101865952Y-92916841D01* +X101874720Y-92928664D01* +X101882288Y-92941290D01* +X101888582Y-92954597D01* +X101893541Y-92968457D01* +X101897118Y-92982736D01* +X101899278Y-92997297D01* +X101900000Y-93012000D01* +X101900000Y-95212000D01* +X101899278Y-95226703D01* +X101897118Y-95241264D01* +X101893541Y-95255543D01* +X101888582Y-95269403D01* +X101882288Y-95282710D01* +X101874720Y-95295336D01* +X101865952Y-95307159D01* +X101856066Y-95318066D01* +X101845159Y-95327952D01* +X101833336Y-95336720D01* +X101820710Y-95344288D01* +X101807403Y-95350582D01* +X101793543Y-95355541D01* +X101779264Y-95359118D01* +X101764703Y-95361278D01* +X101750000Y-95362000D01* +X101450000Y-95362000D01* +X101435297Y-95361278D01* +X101420736Y-95359118D01* +X101406457Y-95355541D01* +X101392597Y-95350582D01* +X101379290Y-95344288D01* +X101366664Y-95336720D01* +X101354841Y-95327952D01* +X101343934Y-95318066D01* +X101334048Y-95307159D01* +X101325280Y-95295336D01* +X101317712Y-95282710D01* +X101311418Y-95269403D01* +X101306459Y-95255543D01* +X101302882Y-95241264D01* +X101300722Y-95226703D01* +X101300000Y-95212000D01* +X101300000Y-93012000D01* +X101300722Y-92997297D01* +X101302882Y-92982736D01* +X101306459Y-92968457D01* +X101311418Y-92954597D01* +X101317712Y-92941290D01* +X101325280Y-92928664D01* +X101334048Y-92916841D01* +X101343934Y-92905934D01* +X101354841Y-92896048D01* +X101366664Y-92887280D01* +X101379290Y-92879712D01* +X101392597Y-92873418D01* +X101406457Y-92868459D01* +X101420736Y-92864882D01* +X101435297Y-92862722D01* +X101450000Y-92862000D01* +X101750000Y-92862000D01* +X101764703Y-92862722D01* +X101764703Y-92862722D01* +G37* +D14* +X101600000Y-94112000D03* +D10* +G36* +X103034703Y-92862722D02* +G01* +X103049264Y-92864882D01* +X103063543Y-92868459D01* +X103077403Y-92873418D01* +X103090710Y-92879712D01* +X103103336Y-92887280D01* +X103115159Y-92896048D01* +X103126066Y-92905934D01* +X103135952Y-92916841D01* +X103144720Y-92928664D01* +X103152288Y-92941290D01* +X103158582Y-92954597D01* +X103163541Y-92968457D01* +X103167118Y-92982736D01* +X103169278Y-92997297D01* +X103170000Y-93012000D01* +X103170000Y-95212000D01* +X103169278Y-95226703D01* +X103167118Y-95241264D01* +X103163541Y-95255543D01* +X103158582Y-95269403D01* +X103152288Y-95282710D01* +X103144720Y-95295336D01* +X103135952Y-95307159D01* +X103126066Y-95318066D01* +X103115159Y-95327952D01* +X103103336Y-95336720D01* +X103090710Y-95344288D01* +X103077403Y-95350582D01* +X103063543Y-95355541D01* +X103049264Y-95359118D01* +X103034703Y-95361278D01* +X103020000Y-95362000D01* +X102720000Y-95362000D01* +X102705297Y-95361278D01* +X102690736Y-95359118D01* +X102676457Y-95355541D01* +X102662597Y-95350582D01* +X102649290Y-95344288D01* +X102636664Y-95336720D01* +X102624841Y-95327952D01* +X102613934Y-95318066D01* +X102604048Y-95307159D01* +X102595280Y-95295336D01* +X102587712Y-95282710D01* +X102581418Y-95269403D01* +X102576459Y-95255543D01* +X102572882Y-95241264D01* +X102570722Y-95226703D01* +X102570000Y-95212000D01* +X102570000Y-93012000D01* +X102570722Y-92997297D01* +X102572882Y-92982736D01* +X102576459Y-92968457D01* +X102581418Y-92954597D01* +X102587712Y-92941290D01* +X102595280Y-92928664D01* +X102604048Y-92916841D01* +X102613934Y-92905934D01* +X102624841Y-92896048D01* +X102636664Y-92887280D01* +X102649290Y-92879712D01* +X102662597Y-92873418D01* +X102676457Y-92868459D01* +X102690736Y-92864882D01* +X102705297Y-92862722D01* +X102720000Y-92862000D01* +X103020000Y-92862000D01* +X103034703Y-92862722D01* +X103034703Y-92862722D01* +G37* +D14* +X102870000Y-94112000D03* +D10* +G36* +X103034703Y-83962722D02* +G01* +X103049264Y-83964882D01* +X103063543Y-83968459D01* +X103077403Y-83973418D01* +X103090710Y-83979712D01* +X103103336Y-83987280D01* +X103115159Y-83996048D01* +X103126066Y-84005934D01* +X103135952Y-84016841D01* +X103144720Y-84028664D01* +X103152288Y-84041290D01* +X103158582Y-84054597D01* +X103163541Y-84068457D01* +X103167118Y-84082736D01* +X103169278Y-84097297D01* +X103170000Y-84112000D01* +X103170000Y-86312000D01* +X103169278Y-86326703D01* +X103167118Y-86341264D01* +X103163541Y-86355543D01* +X103158582Y-86369403D01* +X103152288Y-86382710D01* +X103144720Y-86395336D01* +X103135952Y-86407159D01* +X103126066Y-86418066D01* +X103115159Y-86427952D01* +X103103336Y-86436720D01* +X103090710Y-86444288D01* +X103077403Y-86450582D01* +X103063543Y-86455541D01* +X103049264Y-86459118D01* +X103034703Y-86461278D01* +X103020000Y-86462000D01* +X102720000Y-86462000D01* +X102705297Y-86461278D01* +X102690736Y-86459118D01* +X102676457Y-86455541D01* +X102662597Y-86450582D01* +X102649290Y-86444288D01* +X102636664Y-86436720D01* +X102624841Y-86427952D01* +X102613934Y-86418066D01* +X102604048Y-86407159D01* +X102595280Y-86395336D01* +X102587712Y-86382710D01* +X102581418Y-86369403D01* +X102576459Y-86355543D01* +X102572882Y-86341264D01* +X102570722Y-86326703D01* +X102570000Y-86312000D01* +X102570000Y-84112000D01* +X102570722Y-84097297D01* +X102572882Y-84082736D01* +X102576459Y-84068457D01* +X102581418Y-84054597D01* +X102587712Y-84041290D01* +X102595280Y-84028664D01* +X102604048Y-84016841D01* +X102613934Y-84005934D01* +X102624841Y-83996048D01* +X102636664Y-83987280D01* +X102649290Y-83979712D01* +X102662597Y-83973418D01* +X102676457Y-83968459D01* +X102690736Y-83964882D01* +X102705297Y-83962722D01* +X102720000Y-83962000D01* +X103020000Y-83962000D01* +X103034703Y-83962722D01* +X103034703Y-83962722D01* +G37* +D14* +X102870000Y-85212000D03* +D10* +G36* +X101764703Y-83962722D02* +G01* +X101779264Y-83964882D01* +X101793543Y-83968459D01* +X101807403Y-83973418D01* +X101820710Y-83979712D01* +X101833336Y-83987280D01* +X101845159Y-83996048D01* +X101856066Y-84005934D01* +X101865952Y-84016841D01* +X101874720Y-84028664D01* +X101882288Y-84041290D01* +X101888582Y-84054597D01* +X101893541Y-84068457D01* +X101897118Y-84082736D01* +X101899278Y-84097297D01* +X101900000Y-84112000D01* +X101900000Y-86312000D01* +X101899278Y-86326703D01* +X101897118Y-86341264D01* +X101893541Y-86355543D01* +X101888582Y-86369403D01* +X101882288Y-86382710D01* +X101874720Y-86395336D01* +X101865952Y-86407159D01* +X101856066Y-86418066D01* +X101845159Y-86427952D01* +X101833336Y-86436720D01* +X101820710Y-86444288D01* +X101807403Y-86450582D01* +X101793543Y-86455541D01* +X101779264Y-86459118D01* +X101764703Y-86461278D01* +X101750000Y-86462000D01* +X101450000Y-86462000D01* +X101435297Y-86461278D01* +X101420736Y-86459118D01* +X101406457Y-86455541D01* +X101392597Y-86450582D01* +X101379290Y-86444288D01* +X101366664Y-86436720D01* +X101354841Y-86427952D01* +X101343934Y-86418066D01* +X101334048Y-86407159D01* +X101325280Y-86395336D01* +X101317712Y-86382710D01* +X101311418Y-86369403D01* +X101306459Y-86355543D01* +X101302882Y-86341264D01* +X101300722Y-86326703D01* +X101300000Y-86312000D01* +X101300000Y-84112000D01* +X101300722Y-84097297D01* +X101302882Y-84082736D01* +X101306459Y-84068457D01* +X101311418Y-84054597D01* +X101317712Y-84041290D01* +X101325280Y-84028664D01* +X101334048Y-84016841D01* +X101343934Y-84005934D01* +X101354841Y-83996048D01* +X101366664Y-83987280D01* +X101379290Y-83979712D01* +X101392597Y-83973418D01* +X101406457Y-83968459D01* +X101420736Y-83964882D01* +X101435297Y-83962722D01* +X101450000Y-83962000D01* +X101750000Y-83962000D01* +X101764703Y-83962722D01* +X101764703Y-83962722D01* +G37* +D14* +X101600000Y-85212000D03* +D10* +G36* +X100494703Y-83962722D02* +G01* +X100509264Y-83964882D01* +X100523543Y-83968459D01* +X100537403Y-83973418D01* +X100550710Y-83979712D01* +X100563336Y-83987280D01* +X100575159Y-83996048D01* +X100586066Y-84005934D01* +X100595952Y-84016841D01* +X100604720Y-84028664D01* +X100612288Y-84041290D01* +X100618582Y-84054597D01* +X100623541Y-84068457D01* +X100627118Y-84082736D01* +X100629278Y-84097297D01* +X100630000Y-84112000D01* +X100630000Y-86312000D01* +X100629278Y-86326703D01* +X100627118Y-86341264D01* +X100623541Y-86355543D01* +X100618582Y-86369403D01* +X100612288Y-86382710D01* +X100604720Y-86395336D01* +X100595952Y-86407159D01* +X100586066Y-86418066D01* +X100575159Y-86427952D01* +X100563336Y-86436720D01* +X100550710Y-86444288D01* +X100537403Y-86450582D01* +X100523543Y-86455541D01* +X100509264Y-86459118D01* +X100494703Y-86461278D01* +X100480000Y-86462000D01* +X100180000Y-86462000D01* +X100165297Y-86461278D01* +X100150736Y-86459118D01* +X100136457Y-86455541D01* +X100122597Y-86450582D01* +X100109290Y-86444288D01* +X100096664Y-86436720D01* +X100084841Y-86427952D01* +X100073934Y-86418066D01* +X100064048Y-86407159D01* +X100055280Y-86395336D01* +X100047712Y-86382710D01* +X100041418Y-86369403D01* +X100036459Y-86355543D01* +X100032882Y-86341264D01* +X100030722Y-86326703D01* +X100030000Y-86312000D01* +X100030000Y-84112000D01* +X100030722Y-84097297D01* +X100032882Y-84082736D01* +X100036459Y-84068457D01* +X100041418Y-84054597D01* +X100047712Y-84041290D01* +X100055280Y-84028664D01* +X100064048Y-84016841D01* +X100073934Y-84005934D01* +X100084841Y-83996048D01* +X100096664Y-83987280D01* +X100109290Y-83979712D01* +X100122597Y-83973418D01* +X100136457Y-83968459D01* +X100150736Y-83964882D01* +X100165297Y-83962722D01* +X100180000Y-83962000D01* +X100480000Y-83962000D01* +X100494703Y-83962722D01* +X100494703Y-83962722D01* +G37* +D14* +X100330000Y-85212000D03* +D10* +G36* +X99224703Y-83962722D02* +G01* +X99239264Y-83964882D01* +X99253543Y-83968459D01* +X99267403Y-83973418D01* +X99280710Y-83979712D01* +X99293336Y-83987280D01* +X99305159Y-83996048D01* +X99316066Y-84005934D01* +X99325952Y-84016841D01* +X99334720Y-84028664D01* +X99342288Y-84041290D01* +X99348582Y-84054597D01* +X99353541Y-84068457D01* +X99357118Y-84082736D01* +X99359278Y-84097297D01* +X99360000Y-84112000D01* +X99360000Y-86312000D01* +X99359278Y-86326703D01* +X99357118Y-86341264D01* +X99353541Y-86355543D01* +X99348582Y-86369403D01* +X99342288Y-86382710D01* +X99334720Y-86395336D01* +X99325952Y-86407159D01* +X99316066Y-86418066D01* +X99305159Y-86427952D01* +X99293336Y-86436720D01* +X99280710Y-86444288D01* +X99267403Y-86450582D01* +X99253543Y-86455541D01* +X99239264Y-86459118D01* +X99224703Y-86461278D01* +X99210000Y-86462000D01* +X98910000Y-86462000D01* +X98895297Y-86461278D01* +X98880736Y-86459118D01* +X98866457Y-86455541D01* +X98852597Y-86450582D01* +X98839290Y-86444288D01* +X98826664Y-86436720D01* +X98814841Y-86427952D01* +X98803934Y-86418066D01* +X98794048Y-86407159D01* +X98785280Y-86395336D01* +X98777712Y-86382710D01* +X98771418Y-86369403D01* +X98766459Y-86355543D01* +X98762882Y-86341264D01* +X98760722Y-86326703D01* +X98760000Y-86312000D01* +X98760000Y-84112000D01* +X98760722Y-84097297D01* +X98762882Y-84082736D01* +X98766459Y-84068457D01* +X98771418Y-84054597D01* +X98777712Y-84041290D01* +X98785280Y-84028664D01* +X98794048Y-84016841D01* +X98803934Y-84005934D01* +X98814841Y-83996048D01* +X98826664Y-83987280D01* +X98839290Y-83979712D01* +X98852597Y-83973418D01* +X98866457Y-83968459D01* +X98880736Y-83964882D01* +X98895297Y-83962722D01* +X98910000Y-83962000D01* +X99210000Y-83962000D01* +X99224703Y-83962722D01* +X99224703Y-83962722D01* +G37* +D14* +X99060000Y-85212000D03* +D10* +G36* +X97954703Y-83962722D02* +G01* +X97969264Y-83964882D01* +X97983543Y-83968459D01* +X97997403Y-83973418D01* +X98010710Y-83979712D01* +X98023336Y-83987280D01* +X98035159Y-83996048D01* +X98046066Y-84005934D01* +X98055952Y-84016841D01* +X98064720Y-84028664D01* +X98072288Y-84041290D01* +X98078582Y-84054597D01* +X98083541Y-84068457D01* +X98087118Y-84082736D01* +X98089278Y-84097297D01* +X98090000Y-84112000D01* +X98090000Y-86312000D01* +X98089278Y-86326703D01* +X98087118Y-86341264D01* +X98083541Y-86355543D01* +X98078582Y-86369403D01* +X98072288Y-86382710D01* +X98064720Y-86395336D01* +X98055952Y-86407159D01* +X98046066Y-86418066D01* +X98035159Y-86427952D01* +X98023336Y-86436720D01* +X98010710Y-86444288D01* +X97997403Y-86450582D01* +X97983543Y-86455541D01* +X97969264Y-86459118D01* +X97954703Y-86461278D01* +X97940000Y-86462000D01* +X97640000Y-86462000D01* +X97625297Y-86461278D01* +X97610736Y-86459118D01* +X97596457Y-86455541D01* +X97582597Y-86450582D01* +X97569290Y-86444288D01* +X97556664Y-86436720D01* +X97544841Y-86427952D01* +X97533934Y-86418066D01* +X97524048Y-86407159D01* +X97515280Y-86395336D01* +X97507712Y-86382710D01* +X97501418Y-86369403D01* +X97496459Y-86355543D01* +X97492882Y-86341264D01* +X97490722Y-86326703D01* +X97490000Y-86312000D01* +X97490000Y-84112000D01* +X97490722Y-84097297D01* +X97492882Y-84082736D01* +X97496459Y-84068457D01* +X97501418Y-84054597D01* +X97507712Y-84041290D01* +X97515280Y-84028664D01* +X97524048Y-84016841D01* +X97533934Y-84005934D01* +X97544841Y-83996048D01* +X97556664Y-83987280D01* +X97569290Y-83979712D01* +X97582597Y-83973418D01* +X97596457Y-83968459D01* +X97610736Y-83964882D01* +X97625297Y-83962722D01* +X97640000Y-83962000D01* +X97940000Y-83962000D01* +X97954703Y-83962722D01* +X97954703Y-83962722D01* +G37* +D14* +X97790000Y-85212000D03* +D10* +G36* +X96684703Y-83962722D02* +G01* +X96699264Y-83964882D01* +X96713543Y-83968459D01* +X96727403Y-83973418D01* +X96740710Y-83979712D01* +X96753336Y-83987280D01* +X96765159Y-83996048D01* +X96776066Y-84005934D01* +X96785952Y-84016841D01* +X96794720Y-84028664D01* +X96802288Y-84041290D01* +X96808582Y-84054597D01* +X96813541Y-84068457D01* +X96817118Y-84082736D01* +X96819278Y-84097297D01* +X96820000Y-84112000D01* +X96820000Y-86312000D01* +X96819278Y-86326703D01* +X96817118Y-86341264D01* +X96813541Y-86355543D01* +X96808582Y-86369403D01* +X96802288Y-86382710D01* +X96794720Y-86395336D01* +X96785952Y-86407159D01* +X96776066Y-86418066D01* +X96765159Y-86427952D01* +X96753336Y-86436720D01* +X96740710Y-86444288D01* +X96727403Y-86450582D01* +X96713543Y-86455541D01* +X96699264Y-86459118D01* +X96684703Y-86461278D01* +X96670000Y-86462000D01* +X96370000Y-86462000D01* +X96355297Y-86461278D01* +X96340736Y-86459118D01* +X96326457Y-86455541D01* +X96312597Y-86450582D01* +X96299290Y-86444288D01* +X96286664Y-86436720D01* +X96274841Y-86427952D01* +X96263934Y-86418066D01* +X96254048Y-86407159D01* +X96245280Y-86395336D01* +X96237712Y-86382710D01* +X96231418Y-86369403D01* +X96226459Y-86355543D01* +X96222882Y-86341264D01* +X96220722Y-86326703D01* +X96220000Y-86312000D01* +X96220000Y-84112000D01* +X96220722Y-84097297D01* +X96222882Y-84082736D01* +X96226459Y-84068457D01* +X96231418Y-84054597D01* +X96237712Y-84041290D01* +X96245280Y-84028664D01* +X96254048Y-84016841D01* +X96263934Y-84005934D01* +X96274841Y-83996048D01* +X96286664Y-83987280D01* +X96299290Y-83979712D01* +X96312597Y-83973418D01* +X96326457Y-83968459D01* +X96340736Y-83964882D01* +X96355297Y-83962722D01* +X96370000Y-83962000D01* +X96670000Y-83962000D01* +X96684703Y-83962722D01* +X96684703Y-83962722D01* +G37* +D14* +X96520000Y-85212000D03* +D10* +G36* +X94144703Y-83962722D02* +G01* +X94159264Y-83964882D01* +X94173543Y-83968459D01* +X94187403Y-83973418D01* +X94200710Y-83979712D01* +X94213336Y-83987280D01* +X94225159Y-83996048D01* +X94236066Y-84005934D01* +X94245952Y-84016841D01* +X94254720Y-84028664D01* +X94262288Y-84041290D01* +X94268582Y-84054597D01* +X94273541Y-84068457D01* +X94277118Y-84082736D01* +X94279278Y-84097297D01* +X94280000Y-84112000D01* +X94280000Y-86312000D01* +X94279278Y-86326703D01* +X94277118Y-86341264D01* +X94273541Y-86355543D01* +X94268582Y-86369403D01* +X94262288Y-86382710D01* +X94254720Y-86395336D01* +X94245952Y-86407159D01* +X94236066Y-86418066D01* +X94225159Y-86427952D01* +X94213336Y-86436720D01* +X94200710Y-86444288D01* +X94187403Y-86450582D01* +X94173543Y-86455541D01* +X94159264Y-86459118D01* +X94144703Y-86461278D01* +X94130000Y-86462000D01* +X93830000Y-86462000D01* +X93815297Y-86461278D01* +X93800736Y-86459118D01* +X93786457Y-86455541D01* +X93772597Y-86450582D01* +X93759290Y-86444288D01* +X93746664Y-86436720D01* +X93734841Y-86427952D01* +X93723934Y-86418066D01* +X93714048Y-86407159D01* +X93705280Y-86395336D01* +X93697712Y-86382710D01* +X93691418Y-86369403D01* +X93686459Y-86355543D01* +X93682882Y-86341264D01* +X93680722Y-86326703D01* +X93680000Y-86312000D01* +X93680000Y-84112000D01* +X93680722Y-84097297D01* +X93682882Y-84082736D01* +X93686459Y-84068457D01* +X93691418Y-84054597D01* +X93697712Y-84041290D01* +X93705280Y-84028664D01* +X93714048Y-84016841D01* +X93723934Y-84005934D01* +X93734841Y-83996048D01* +X93746664Y-83987280D01* +X93759290Y-83979712D01* +X93772597Y-83973418D01* +X93786457Y-83968459D01* +X93800736Y-83964882D01* +X93815297Y-83962722D01* +X93830000Y-83962000D01* +X94130000Y-83962000D01* +X94144703Y-83962722D01* +X94144703Y-83962722D01* +G37* +D14* +X93980000Y-85212000D03* +D10* +G36* +X92874703Y-83962722D02* +G01* +X92889264Y-83964882D01* +X92903543Y-83968459D01* +X92917403Y-83973418D01* +X92930710Y-83979712D01* +X92943336Y-83987280D01* +X92955159Y-83996048D01* +X92966066Y-84005934D01* +X92975952Y-84016841D01* +X92984720Y-84028664D01* +X92992288Y-84041290D01* +X92998582Y-84054597D01* +X93003541Y-84068457D01* +X93007118Y-84082736D01* +X93009278Y-84097297D01* +X93010000Y-84112000D01* +X93010000Y-86312000D01* +X93009278Y-86326703D01* +X93007118Y-86341264D01* +X93003541Y-86355543D01* +X92998582Y-86369403D01* +X92992288Y-86382710D01* +X92984720Y-86395336D01* +X92975952Y-86407159D01* +X92966066Y-86418066D01* +X92955159Y-86427952D01* +X92943336Y-86436720D01* +X92930710Y-86444288D01* +X92917403Y-86450582D01* +X92903543Y-86455541D01* +X92889264Y-86459118D01* +X92874703Y-86461278D01* +X92860000Y-86462000D01* +X92560000Y-86462000D01* +X92545297Y-86461278D01* +X92530736Y-86459118D01* +X92516457Y-86455541D01* +X92502597Y-86450582D01* +X92489290Y-86444288D01* +X92476664Y-86436720D01* +X92464841Y-86427952D01* +X92453934Y-86418066D01* +X92444048Y-86407159D01* +X92435280Y-86395336D01* +X92427712Y-86382710D01* +X92421418Y-86369403D01* +X92416459Y-86355543D01* +X92412882Y-86341264D01* +X92410722Y-86326703D01* +X92410000Y-86312000D01* +X92410000Y-84112000D01* +X92410722Y-84097297D01* +X92412882Y-84082736D01* +X92416459Y-84068457D01* +X92421418Y-84054597D01* +X92427712Y-84041290D01* +X92435280Y-84028664D01* +X92444048Y-84016841D01* +X92453934Y-84005934D01* +X92464841Y-83996048D01* +X92476664Y-83987280D01* +X92489290Y-83979712D01* +X92502597Y-83973418D01* +X92516457Y-83968459D01* +X92530736Y-83964882D01* +X92545297Y-83962722D01* +X92560000Y-83962000D01* +X92860000Y-83962000D01* +X92874703Y-83962722D01* +X92874703Y-83962722D01* +G37* +D14* +X92710000Y-85212000D03* +D10* +G36* +X91604703Y-83962722D02* +G01* +X91619264Y-83964882D01* +X91633543Y-83968459D01* +X91647403Y-83973418D01* +X91660710Y-83979712D01* +X91673336Y-83987280D01* +X91685159Y-83996048D01* +X91696066Y-84005934D01* +X91705952Y-84016841D01* +X91714720Y-84028664D01* +X91722288Y-84041290D01* +X91728582Y-84054597D01* +X91733541Y-84068457D01* +X91737118Y-84082736D01* +X91739278Y-84097297D01* +X91740000Y-84112000D01* +X91740000Y-86312000D01* +X91739278Y-86326703D01* +X91737118Y-86341264D01* +X91733541Y-86355543D01* +X91728582Y-86369403D01* +X91722288Y-86382710D01* +X91714720Y-86395336D01* +X91705952Y-86407159D01* +X91696066Y-86418066D01* +X91685159Y-86427952D01* +X91673336Y-86436720D01* +X91660710Y-86444288D01* +X91647403Y-86450582D01* +X91633543Y-86455541D01* +X91619264Y-86459118D01* +X91604703Y-86461278D01* +X91590000Y-86462000D01* +X91290000Y-86462000D01* +X91275297Y-86461278D01* +X91260736Y-86459118D01* +X91246457Y-86455541D01* +X91232597Y-86450582D01* +X91219290Y-86444288D01* +X91206664Y-86436720D01* +X91194841Y-86427952D01* +X91183934Y-86418066D01* +X91174048Y-86407159D01* +X91165280Y-86395336D01* +X91157712Y-86382710D01* +X91151418Y-86369403D01* +X91146459Y-86355543D01* +X91142882Y-86341264D01* +X91140722Y-86326703D01* +X91140000Y-86312000D01* +X91140000Y-84112000D01* +X91140722Y-84097297D01* +X91142882Y-84082736D01* +X91146459Y-84068457D01* +X91151418Y-84054597D01* +X91157712Y-84041290D01* +X91165280Y-84028664D01* +X91174048Y-84016841D01* +X91183934Y-84005934D01* +X91194841Y-83996048D01* +X91206664Y-83987280D01* +X91219290Y-83979712D01* +X91232597Y-83973418D01* +X91246457Y-83968459D01* +X91260736Y-83964882D01* +X91275297Y-83962722D01* +X91290000Y-83962000D01* +X91590000Y-83962000D01* +X91604703Y-83962722D01* +X91604703Y-83962722D01* +G37* +D14* +X91440000Y-85212000D03* +D10* +G36* +X90334703Y-83962722D02* +G01* +X90349264Y-83964882D01* +X90363543Y-83968459D01* +X90377403Y-83973418D01* +X90390710Y-83979712D01* +X90403336Y-83987280D01* +X90415159Y-83996048D01* +X90426066Y-84005934D01* +X90435952Y-84016841D01* +X90444720Y-84028664D01* +X90452288Y-84041290D01* +X90458582Y-84054597D01* +X90463541Y-84068457D01* +X90467118Y-84082736D01* +X90469278Y-84097297D01* +X90470000Y-84112000D01* +X90470000Y-86312000D01* +X90469278Y-86326703D01* +X90467118Y-86341264D01* +X90463541Y-86355543D01* +X90458582Y-86369403D01* +X90452288Y-86382710D01* +X90444720Y-86395336D01* +X90435952Y-86407159D01* +X90426066Y-86418066D01* +X90415159Y-86427952D01* +X90403336Y-86436720D01* +X90390710Y-86444288D01* +X90377403Y-86450582D01* +X90363543Y-86455541D01* +X90349264Y-86459118D01* +X90334703Y-86461278D01* +X90320000Y-86462000D01* +X90020000Y-86462000D01* +X90005297Y-86461278D01* +X89990736Y-86459118D01* +X89976457Y-86455541D01* +X89962597Y-86450582D01* +X89949290Y-86444288D01* +X89936664Y-86436720D01* +X89924841Y-86427952D01* +X89913934Y-86418066D01* +X89904048Y-86407159D01* +X89895280Y-86395336D01* +X89887712Y-86382710D01* +X89881418Y-86369403D01* +X89876459Y-86355543D01* +X89872882Y-86341264D01* +X89870722Y-86326703D01* +X89870000Y-86312000D01* +X89870000Y-84112000D01* +X89870722Y-84097297D01* +X89872882Y-84082736D01* +X89876459Y-84068457D01* +X89881418Y-84054597D01* +X89887712Y-84041290D01* +X89895280Y-84028664D01* +X89904048Y-84016841D01* +X89913934Y-84005934D01* +X89924841Y-83996048D01* +X89936664Y-83987280D01* +X89949290Y-83979712D01* +X89962597Y-83973418D01* +X89976457Y-83968459D01* +X89990736Y-83964882D01* +X90005297Y-83962722D01* +X90020000Y-83962000D01* +X90320000Y-83962000D01* +X90334703Y-83962722D01* +X90334703Y-83962722D01* +G37* +D14* +X90170000Y-85212000D03* +D10* +G36* +X89064703Y-83962722D02* +G01* +X89079264Y-83964882D01* +X89093543Y-83968459D01* +X89107403Y-83973418D01* +X89120710Y-83979712D01* +X89133336Y-83987280D01* +X89145159Y-83996048D01* +X89156066Y-84005934D01* +X89165952Y-84016841D01* +X89174720Y-84028664D01* +X89182288Y-84041290D01* +X89188582Y-84054597D01* +X89193541Y-84068457D01* +X89197118Y-84082736D01* +X89199278Y-84097297D01* +X89200000Y-84112000D01* +X89200000Y-86312000D01* +X89199278Y-86326703D01* +X89197118Y-86341264D01* +X89193541Y-86355543D01* +X89188582Y-86369403D01* +X89182288Y-86382710D01* +X89174720Y-86395336D01* +X89165952Y-86407159D01* +X89156066Y-86418066D01* +X89145159Y-86427952D01* +X89133336Y-86436720D01* +X89120710Y-86444288D01* +X89107403Y-86450582D01* +X89093543Y-86455541D01* +X89079264Y-86459118D01* +X89064703Y-86461278D01* +X89050000Y-86462000D01* +X88750000Y-86462000D01* +X88735297Y-86461278D01* +X88720736Y-86459118D01* +X88706457Y-86455541D01* +X88692597Y-86450582D01* +X88679290Y-86444288D01* +X88666664Y-86436720D01* +X88654841Y-86427952D01* +X88643934Y-86418066D01* +X88634048Y-86407159D01* +X88625280Y-86395336D01* +X88617712Y-86382710D01* +X88611418Y-86369403D01* +X88606459Y-86355543D01* +X88602882Y-86341264D01* +X88600722Y-86326703D01* +X88600000Y-86312000D01* +X88600000Y-84112000D01* +X88600722Y-84097297D01* +X88602882Y-84082736D01* +X88606459Y-84068457D01* +X88611418Y-84054597D01* +X88617712Y-84041290D01* +X88625280Y-84028664D01* +X88634048Y-84016841D01* +X88643934Y-84005934D01* +X88654841Y-83996048D01* +X88666664Y-83987280D01* +X88679290Y-83979712D01* +X88692597Y-83973418D01* +X88706457Y-83968459D01* +X88720736Y-83964882D01* +X88735297Y-83962722D01* +X88750000Y-83962000D01* +X89050000Y-83962000D01* +X89064703Y-83962722D01* +X89064703Y-83962722D01* +G37* +D14* +X88900000Y-85212000D03* +D10* +G36* +X87794703Y-83962722D02* +G01* +X87809264Y-83964882D01* +X87823543Y-83968459D01* +X87837403Y-83973418D01* +X87850710Y-83979712D01* +X87863336Y-83987280D01* +X87875159Y-83996048D01* +X87886066Y-84005934D01* +X87895952Y-84016841D01* +X87904720Y-84028664D01* +X87912288Y-84041290D01* +X87918582Y-84054597D01* +X87923541Y-84068457D01* +X87927118Y-84082736D01* +X87929278Y-84097297D01* +X87930000Y-84112000D01* +X87930000Y-86312000D01* +X87929278Y-86326703D01* +X87927118Y-86341264D01* +X87923541Y-86355543D01* +X87918582Y-86369403D01* +X87912288Y-86382710D01* +X87904720Y-86395336D01* +X87895952Y-86407159D01* +X87886066Y-86418066D01* +X87875159Y-86427952D01* +X87863336Y-86436720D01* +X87850710Y-86444288D01* +X87837403Y-86450582D01* +X87823543Y-86455541D01* +X87809264Y-86459118D01* +X87794703Y-86461278D01* +X87780000Y-86462000D01* +X87480000Y-86462000D01* +X87465297Y-86461278D01* +X87450736Y-86459118D01* +X87436457Y-86455541D01* +X87422597Y-86450582D01* +X87409290Y-86444288D01* +X87396664Y-86436720D01* +X87384841Y-86427952D01* +X87373934Y-86418066D01* +X87364048Y-86407159D01* +X87355280Y-86395336D01* +X87347712Y-86382710D01* +X87341418Y-86369403D01* +X87336459Y-86355543D01* +X87332882Y-86341264D01* +X87330722Y-86326703D01* +X87330000Y-86312000D01* +X87330000Y-84112000D01* +X87330722Y-84097297D01* +X87332882Y-84082736D01* +X87336459Y-84068457D01* +X87341418Y-84054597D01* +X87347712Y-84041290D01* +X87355280Y-84028664D01* +X87364048Y-84016841D01* +X87373934Y-84005934D01* +X87384841Y-83996048D01* +X87396664Y-83987280D01* +X87409290Y-83979712D01* +X87422597Y-83973418D01* +X87436457Y-83968459D01* +X87450736Y-83964882D01* +X87465297Y-83962722D01* +X87480000Y-83962000D01* +X87780000Y-83962000D01* +X87794703Y-83962722D01* +X87794703Y-83962722D01* +G37* +D14* +X87630000Y-85212000D03* +D10* +G36* +X105873229Y-91883264D02* +G01* +X105898711Y-91887044D01* +X105923700Y-91893303D01* +X105947954Y-91901982D01* +X105971242Y-91912996D01* +X105993337Y-91926239D01* +X106014028Y-91941585D01* +X106033116Y-91958884D01* +X106050415Y-91977972D01* +X106065761Y-91998663D01* +X106079004Y-92020758D01* +X106090018Y-92044046D01* +X106098697Y-92068300D01* +X106104956Y-92093289D01* +X106108736Y-92118771D01* +X106110000Y-92144500D01* +X106110000Y-92669500D01* +X106108736Y-92695229D01* +X106104956Y-92720711D01* +X106098697Y-92745700D01* +X106090018Y-92769954D01* +X106079004Y-92793242D01* +X106065761Y-92815337D01* +X106050415Y-92836028D01* +X106033116Y-92855116D01* +X106014028Y-92872415D01* +X105993337Y-92887761D01* +X105971242Y-92901004D01* +X105947954Y-92912018D01* +X105923700Y-92920697D01* +X105898711Y-92926956D01* +X105873229Y-92930736D01* +X105847500Y-92932000D01* +X104972500Y-92932000D01* +X104946771Y-92930736D01* +X104921289Y-92926956D01* +X104896300Y-92920697D01* +X104872046Y-92912018D01* +X104848758Y-92901004D01* +X104826663Y-92887761D01* +X104805972Y-92872415D01* +X104786884Y-92855116D01* +X104769585Y-92836028D01* +X104754239Y-92815337D01* +X104740996Y-92793242D01* +X104729982Y-92769954D01* +X104721303Y-92745700D01* +X104715044Y-92720711D01* +X104711264Y-92695229D01* +X104710000Y-92669500D01* +X104710000Y-92144500D01* +X104711264Y-92118771D01* +X104715044Y-92093289D01* +X104721303Y-92068300D01* +X104729982Y-92044046D01* +X104740996Y-92020758D01* +X104754239Y-91998663D01* +X104769585Y-91977972D01* +X104786884Y-91958884D01* +X104805972Y-91941585D01* +X104826663Y-91926239D01* +X104848758Y-91912996D01* +X104872046Y-91901982D01* +X104896300Y-91893303D01* +X104921289Y-91887044D01* +X104946771Y-91883264D01* +X104972500Y-91882000D01* +X105847500Y-91882000D01* +X105873229Y-91883264D01* +X105873229Y-91883264D01* +G37* +D11* +X105410000Y-92407000D03* +D10* +G36* +X105873229Y-93583264D02* +G01* +X105898711Y-93587044D01* +X105923700Y-93593303D01* +X105947954Y-93601982D01* +X105971242Y-93612996D01* +X105993337Y-93626239D01* +X106014028Y-93641585D01* +X106033116Y-93658884D01* +X106050415Y-93677972D01* +X106065761Y-93698663D01* +X106079004Y-93720758D01* +X106090018Y-93744046D01* +X106098697Y-93768300D01* +X106104956Y-93793289D01* +X106108736Y-93818771D01* +X106110000Y-93844500D01* +X106110000Y-94369500D01* +X106108736Y-94395229D01* +X106104956Y-94420711D01* +X106098697Y-94445700D01* +X106090018Y-94469954D01* +X106079004Y-94493242D01* +X106065761Y-94515337D01* +X106050415Y-94536028D01* +X106033116Y-94555116D01* +X106014028Y-94572415D01* +X105993337Y-94587761D01* +X105971242Y-94601004D01* +X105947954Y-94612018D01* +X105923700Y-94620697D01* +X105898711Y-94626956D01* +X105873229Y-94630736D01* +X105847500Y-94632000D01* +X104972500Y-94632000D01* +X104946771Y-94630736D01* +X104921289Y-94626956D01* +X104896300Y-94620697D01* +X104872046Y-94612018D01* +X104848758Y-94601004D01* +X104826663Y-94587761D01* +X104805972Y-94572415D01* +X104786884Y-94555116D01* +X104769585Y-94536028D01* +X104754239Y-94515337D01* +X104740996Y-94493242D01* +X104729982Y-94469954D01* +X104721303Y-94445700D01* +X104715044Y-94420711D01* +X104711264Y-94395229D01* +X104710000Y-94369500D01* +X104710000Y-93844500D01* +X104711264Y-93818771D01* +X104715044Y-93793289D01* +X104721303Y-93768300D01* +X104729982Y-93744046D01* +X104740996Y-93720758D01* +X104754239Y-93698663D01* +X104769585Y-93677972D01* +X104786884Y-93658884D01* +X104805972Y-93641585D01* +X104826663Y-93626239D01* +X104848758Y-93612996D01* +X104872046Y-93601982D01* +X104896300Y-93593303D01* +X104921289Y-93587044D01* +X104946771Y-93583264D01* +X104972500Y-93582000D01* +X105847500Y-93582000D01* +X105873229Y-93583264D01* +X105873229Y-93583264D01* +G37* +D11* +X105410000Y-94107000D03* +D10* +G36* +X119843229Y-93583264D02* +G01* +X119868711Y-93587044D01* +X119893700Y-93593303D01* +X119917954Y-93601982D01* +X119941242Y-93612996D01* +X119963337Y-93626239D01* +X119984028Y-93641585D01* +X120003116Y-93658884D01* +X120020415Y-93677972D01* +X120035761Y-93698663D01* +X120049004Y-93720758D01* +X120060018Y-93744046D01* +X120068697Y-93768300D01* +X120074956Y-93793289D01* +X120078736Y-93818771D01* +X120080000Y-93844500D01* +X120080000Y-94369500D01* +X120078736Y-94395229D01* +X120074956Y-94420711D01* +X120068697Y-94445700D01* +X120060018Y-94469954D01* +X120049004Y-94493242D01* +X120035761Y-94515337D01* +X120020415Y-94536028D01* +X120003116Y-94555116D01* +X119984028Y-94572415D01* +X119963337Y-94587761D01* +X119941242Y-94601004D01* +X119917954Y-94612018D01* +X119893700Y-94620697D01* +X119868711Y-94626956D01* +X119843229Y-94630736D01* +X119817500Y-94632000D01* +X118942500Y-94632000D01* +X118916771Y-94630736D01* +X118891289Y-94626956D01* +X118866300Y-94620697D01* +X118842046Y-94612018D01* +X118818758Y-94601004D01* +X118796663Y-94587761D01* +X118775972Y-94572415D01* +X118756884Y-94555116D01* +X118739585Y-94536028D01* +X118724239Y-94515337D01* +X118710996Y-94493242D01* +X118699982Y-94469954D01* +X118691303Y-94445700D01* +X118685044Y-94420711D01* +X118681264Y-94395229D01* +X118680000Y-94369500D01* +X118680000Y-93844500D01* +X118681264Y-93818771D01* +X118685044Y-93793289D01* +X118691303Y-93768300D01* +X118699982Y-93744046D01* +X118710996Y-93720758D01* +X118724239Y-93698663D01* +X118739585Y-93677972D01* +X118756884Y-93658884D01* +X118775972Y-93641585D01* +X118796663Y-93626239D01* +X118818758Y-93612996D01* +X118842046Y-93601982D01* +X118866300Y-93593303D01* +X118891289Y-93587044D01* +X118916771Y-93583264D01* +X118942500Y-93582000D01* +X119817500Y-93582000D01* +X119843229Y-93583264D01* +X119843229Y-93583264D01* +G37* +D11* +X119380000Y-94107000D03* +D10* +G36* +X119843229Y-91883264D02* +G01* +X119868711Y-91887044D01* +X119893700Y-91893303D01* +X119917954Y-91901982D01* +X119941242Y-91912996D01* +X119963337Y-91926239D01* +X119984028Y-91941585D01* +X120003116Y-91958884D01* +X120020415Y-91977972D01* +X120035761Y-91998663D01* +X120049004Y-92020758D01* +X120060018Y-92044046D01* +X120068697Y-92068300D01* +X120074956Y-92093289D01* +X120078736Y-92118771D01* +X120080000Y-92144500D01* +X120080000Y-92669500D01* +X120078736Y-92695229D01* +X120074956Y-92720711D01* +X120068697Y-92745700D01* +X120060018Y-92769954D01* +X120049004Y-92793242D01* +X120035761Y-92815337D01* +X120020415Y-92836028D01* +X120003116Y-92855116D01* +X119984028Y-92872415D01* +X119963337Y-92887761D01* +X119941242Y-92901004D01* +X119917954Y-92912018D01* +X119893700Y-92920697D01* +X119868711Y-92926956D01* +X119843229Y-92930736D01* +X119817500Y-92932000D01* +X118942500Y-92932000D01* +X118916771Y-92930736D01* +X118891289Y-92926956D01* +X118866300Y-92920697D01* +X118842046Y-92912018D01* +X118818758Y-92901004D01* +X118796663Y-92887761D01* +X118775972Y-92872415D01* +X118756884Y-92855116D01* +X118739585Y-92836028D01* +X118724239Y-92815337D01* +X118710996Y-92793242D01* +X118699982Y-92769954D01* +X118691303Y-92745700D01* +X118685044Y-92720711D01* +X118681264Y-92695229D01* +X118680000Y-92669500D01* +X118680000Y-92144500D01* +X118681264Y-92118771D01* +X118685044Y-92093289D01* +X118691303Y-92068300D01* +X118699982Y-92044046D01* +X118710996Y-92020758D01* +X118724239Y-91998663D01* +X118739585Y-91977972D01* +X118756884Y-91958884D01* +X118775972Y-91941585D01* +X118796663Y-91926239D01* +X118818758Y-91912996D01* +X118842046Y-91901982D01* +X118866300Y-91893303D01* +X118891289Y-91887044D01* +X118916771Y-91883264D01* +X118942500Y-91882000D01* +X119817500Y-91882000D01* +X119843229Y-91883264D01* +X119843229Y-91883264D01* +G37* +D11* +X119380000Y-92407000D03* +D10* +G36* +X157943229Y-93583264D02* +G01* +X157968711Y-93587044D01* +X157993700Y-93593303D01* +X158017954Y-93601982D01* +X158041242Y-93612996D01* +X158063337Y-93626239D01* +X158084028Y-93641585D01* +X158103116Y-93658884D01* +X158120415Y-93677972D01* +X158135761Y-93698663D01* +X158149004Y-93720758D01* +X158160018Y-93744046D01* +X158168697Y-93768300D01* +X158174956Y-93793289D01* +X158178736Y-93818771D01* +X158180000Y-93844500D01* +X158180000Y-94369500D01* +X158178736Y-94395229D01* +X158174956Y-94420711D01* +X158168697Y-94445700D01* +X158160018Y-94469954D01* +X158149004Y-94493242D01* +X158135761Y-94515337D01* +X158120415Y-94536028D01* +X158103116Y-94555116D01* +X158084028Y-94572415D01* +X158063337Y-94587761D01* +X158041242Y-94601004D01* +X158017954Y-94612018D01* +X157993700Y-94620697D01* +X157968711Y-94626956D01* +X157943229Y-94630736D01* +X157917500Y-94632000D01* +X157042500Y-94632000D01* +X157016771Y-94630736D01* +X156991289Y-94626956D01* +X156966300Y-94620697D01* +X156942046Y-94612018D01* +X156918758Y-94601004D01* +X156896663Y-94587761D01* +X156875972Y-94572415D01* +X156856884Y-94555116D01* +X156839585Y-94536028D01* +X156824239Y-94515337D01* +X156810996Y-94493242D01* +X156799982Y-94469954D01* +X156791303Y-94445700D01* +X156785044Y-94420711D01* +X156781264Y-94395229D01* +X156780000Y-94369500D01* +X156780000Y-93844500D01* +X156781264Y-93818771D01* +X156785044Y-93793289D01* +X156791303Y-93768300D01* +X156799982Y-93744046D01* +X156810996Y-93720758D01* +X156824239Y-93698663D01* +X156839585Y-93677972D01* +X156856884Y-93658884D01* +X156875972Y-93641585D01* +X156896663Y-93626239D01* +X156918758Y-93612996D01* +X156942046Y-93601982D01* +X156966300Y-93593303D01* +X156991289Y-93587044D01* +X157016771Y-93583264D01* +X157042500Y-93582000D01* +X157917500Y-93582000D01* +X157943229Y-93583264D01* +X157943229Y-93583264D01* +G37* +D11* +X157480000Y-94107000D03* +D10* +G36* +X157943229Y-91883264D02* +G01* +X157968711Y-91887044D01* +X157993700Y-91893303D01* +X158017954Y-91901982D01* +X158041242Y-91912996D01* +X158063337Y-91926239D01* +X158084028Y-91941585D01* +X158103116Y-91958884D01* +X158120415Y-91977972D01* +X158135761Y-91998663D01* +X158149004Y-92020758D01* +X158160018Y-92044046D01* +X158168697Y-92068300D01* +X158174956Y-92093289D01* +X158178736Y-92118771D01* +X158180000Y-92144500D01* +X158180000Y-92669500D01* +X158178736Y-92695229D01* +X158174956Y-92720711D01* +X158168697Y-92745700D01* +X158160018Y-92769954D01* +X158149004Y-92793242D01* +X158135761Y-92815337D01* +X158120415Y-92836028D01* +X158103116Y-92855116D01* +X158084028Y-92872415D01* +X158063337Y-92887761D01* +X158041242Y-92901004D01* +X158017954Y-92912018D01* +X157993700Y-92920697D01* +X157968711Y-92926956D01* +X157943229Y-92930736D01* +X157917500Y-92932000D01* +X157042500Y-92932000D01* +X157016771Y-92930736D01* +X156991289Y-92926956D01* +X156966300Y-92920697D01* +X156942046Y-92912018D01* +X156918758Y-92901004D01* +X156896663Y-92887761D01* +X156875972Y-92872415D01* +X156856884Y-92855116D01* +X156839585Y-92836028D01* +X156824239Y-92815337D01* +X156810996Y-92793242D01* +X156799982Y-92769954D01* +X156791303Y-92745700D01* +X156785044Y-92720711D01* +X156781264Y-92695229D01* +X156780000Y-92669500D01* +X156780000Y-92144500D01* +X156781264Y-92118771D01* +X156785044Y-92093289D01* +X156791303Y-92068300D01* +X156799982Y-92044046D01* +X156810996Y-92020758D01* +X156824239Y-91998663D01* +X156839585Y-91977972D01* +X156856884Y-91958884D01* +X156875972Y-91941585D01* +X156896663Y-91926239D01* +X156918758Y-91912996D01* +X156942046Y-91901982D01* +X156966300Y-91893303D01* +X156991289Y-91887044D01* +X157016771Y-91883264D01* +X157042500Y-91882000D01* +X157917500Y-91882000D01* +X157943229Y-91883264D01* +X157943229Y-91883264D01* +G37* +D11* +X157480000Y-92407000D03* +D10* +G36* +X140163229Y-93583264D02* +G01* +X140188711Y-93587044D01* +X140213700Y-93593303D01* +X140237954Y-93601982D01* +X140261242Y-93612996D01* +X140283337Y-93626239D01* +X140304028Y-93641585D01* +X140323116Y-93658884D01* +X140340415Y-93677972D01* +X140355761Y-93698663D01* +X140369004Y-93720758D01* +X140380018Y-93744046D01* +X140388697Y-93768300D01* +X140394956Y-93793289D01* +X140398736Y-93818771D01* +X140400000Y-93844500D01* +X140400000Y-94369500D01* +X140398736Y-94395229D01* +X140394956Y-94420711D01* +X140388697Y-94445700D01* +X140380018Y-94469954D01* +X140369004Y-94493242D01* +X140355761Y-94515337D01* +X140340415Y-94536028D01* +X140323116Y-94555116D01* +X140304028Y-94572415D01* +X140283337Y-94587761D01* +X140261242Y-94601004D01* +X140237954Y-94612018D01* +X140213700Y-94620697D01* +X140188711Y-94626956D01* +X140163229Y-94630736D01* +X140137500Y-94632000D01* +X139262500Y-94632000D01* +X139236771Y-94630736D01* +X139211289Y-94626956D01* +X139186300Y-94620697D01* +X139162046Y-94612018D01* +X139138758Y-94601004D01* +X139116663Y-94587761D01* +X139095972Y-94572415D01* +X139076884Y-94555116D01* +X139059585Y-94536028D01* +X139044239Y-94515337D01* +X139030996Y-94493242D01* +X139019982Y-94469954D01* +X139011303Y-94445700D01* +X139005044Y-94420711D01* +X139001264Y-94395229D01* +X139000000Y-94369500D01* +X139000000Y-93844500D01* +X139001264Y-93818771D01* +X139005044Y-93793289D01* +X139011303Y-93768300D01* +X139019982Y-93744046D01* +X139030996Y-93720758D01* +X139044239Y-93698663D01* +X139059585Y-93677972D01* +X139076884Y-93658884D01* +X139095972Y-93641585D01* +X139116663Y-93626239D01* +X139138758Y-93612996D01* +X139162046Y-93601982D01* +X139186300Y-93593303D01* +X139211289Y-93587044D01* +X139236771Y-93583264D01* +X139262500Y-93582000D01* +X140137500Y-93582000D01* +X140163229Y-93583264D01* +X140163229Y-93583264D01* +G37* +D11* +X139700000Y-94107000D03* +D10* +G36* +X140163229Y-91883264D02* +G01* +X140188711Y-91887044D01* +X140213700Y-91893303D01* +X140237954Y-91901982D01* +X140261242Y-91912996D01* +X140283337Y-91926239D01* +X140304028Y-91941585D01* +X140323116Y-91958884D01* +X140340415Y-91977972D01* +X140355761Y-91998663D01* +X140369004Y-92020758D01* +X140380018Y-92044046D01* +X140388697Y-92068300D01* +X140394956Y-92093289D01* +X140398736Y-92118771D01* +X140400000Y-92144500D01* +X140400000Y-92669500D01* +X140398736Y-92695229D01* +X140394956Y-92720711D01* +X140388697Y-92745700D01* +X140380018Y-92769954D01* +X140369004Y-92793242D01* +X140355761Y-92815337D01* +X140340415Y-92836028D01* +X140323116Y-92855116D01* +X140304028Y-92872415D01* +X140283337Y-92887761D01* +X140261242Y-92901004D01* +X140237954Y-92912018D01* +X140213700Y-92920697D01* +X140188711Y-92926956D01* +X140163229Y-92930736D01* +X140137500Y-92932000D01* +X139262500Y-92932000D01* +X139236771Y-92930736D01* +X139211289Y-92926956D01* +X139186300Y-92920697D01* +X139162046Y-92912018D01* +X139138758Y-92901004D01* +X139116663Y-92887761D01* +X139095972Y-92872415D01* +X139076884Y-92855116D01* +X139059585Y-92836028D01* +X139044239Y-92815337D01* +X139030996Y-92793242D01* +X139019982Y-92769954D01* +X139011303Y-92745700D01* +X139005044Y-92720711D01* +X139001264Y-92695229D01* +X139000000Y-92669500D01* +X139000000Y-92144500D01* +X139001264Y-92118771D01* +X139005044Y-92093289D01* +X139011303Y-92068300D01* +X139019982Y-92044046D01* +X139030996Y-92020758D01* +X139044239Y-91998663D01* +X139059585Y-91977972D01* +X139076884Y-91958884D01* +X139095972Y-91941585D01* +X139116663Y-91926239D01* +X139138758Y-91912996D01* +X139162046Y-91901982D01* +X139186300Y-91893303D01* +X139211289Y-91887044D01* +X139236771Y-91883264D01* +X139262500Y-91882000D01* +X140137500Y-91882000D01* +X140163229Y-91883264D01* +X140163229Y-91883264D01* +G37* +D11* +X139700000Y-92407000D03* +D12* +X86741000Y-94107000D03* +X121031000Y-94107000D03* +X138049000Y-94107000D03* +X83820000Y-94107000D03* +X85090000Y-95250000D03* +X103759000Y-94107000D03* +X106680000Y-94107000D03* +X118110000Y-94107000D03* +X119380000Y-95250000D03* +D15* +X105410000Y-94996000D03* +D12* +X139700000Y-95250000D03* +X140970000Y-94107000D03* +X156210000Y-94107000D03* +X158750000Y-94107000D03* +D16* +X157480000Y-95377000D03* +D15* +X125730000Y-90932000D03* +X115189000Y-93281500D03* +X127000000Y-90932000D03* +X112522000Y-92456000D03* +X91440000Y-88392000D03* +X121158000Y-90170000D03* +X125730000Y-89027000D03* +D12* +X121031000Y-84836000D03* +X138049000Y-85217000D03* +X103759000Y-84836000D03* +X86741000Y-85217000D03* +D15* +X134620000Y-89408000D03* +X133350000Y-89408000D03* +X132080000Y-89408000D03* +X135890000Y-89408000D03* +X130810000Y-89408000D03* +X108839000Y-95377000D03* +X104267000Y-95377000D03* +X129540000Y-89662000D03* +X95250000Y-89662000D03* +X112395000Y-89408000D03* +X119634000Y-89408000D03* +X92710000Y-96520000D03* +X111252000Y-97536000D03* +X117729000Y-97917000D03* +X118872000Y-97536000D03* +X139065000Y-97409000D03* +X134239000Y-97663000D03* +X89408000Y-97028000D03* +X88138000Y-96266000D03* +X142621000Y-97409000D03* +X114681000Y-95758000D03* +X144145000Y-97409000D03* +X143129000Y-96393000D03* +X112395000Y-84709000D03* +X111379000Y-89916000D03* +X108077000Y-84709000D03* +X116713000Y-84709000D03* +X88900000Y-90043000D03* +X87630000Y-90043000D03* +X90170000Y-90043000D03* +X91948000Y-89662000D03* +X126492000Y-89662000D03* +X92710000Y-88392000D03* +X121412000Y-97536000D03* +X122428000Y-97536000D03* +X97282000Y-83439000D03* +X131572000Y-83439000D03* +X77089000Y-83439000D03* +X164211000Y-83439000D03* +X164211000Y-100711000D03* +X79121000Y-100711000D03* +X77089000Y-94742000D03* +X164211000Y-91440000D03* +X77089000Y-91440000D03* +X77089000Y-87376000D03* +X164211000Y-87376000D03* +X140081000Y-83439000D03* +X88773000Y-83439000D03* +X105791000Y-83439000D03* +X123063000Y-83439000D03* +X114427000Y-83439000D03* +X118745000Y-83439000D03* +X110109000Y-83439000D03* +X127127000Y-83439000D03* +X136017000Y-83439000D03* +X101727000Y-83439000D03* +X92837000Y-83439000D03* +X82804000Y-83439000D03* +X152146000Y-83439000D03* +X158242000Y-83439000D03* +X146050000Y-83439000D03* +X164211000Y-96139000D03* +X82804000Y-87376000D03* +X158242000Y-87376000D03* +X152146000Y-87376000D03* +X146050000Y-87376000D03* +X146050000Y-91440000D03* +X152146000Y-91440000D03* +X152146000Y-95885000D03* +X79883000Y-85344000D03* +X161290000Y-85344000D03* +X155194000Y-85344000D03* +X149098000Y-85344000D03* +X143002000Y-85344000D03* +X149098000Y-89408000D03* +X161290000Y-89408000D03* +X149098000Y-93853000D03* +X161290000Y-93853000D03* +X161290000Y-98552000D03* +X78994000Y-94742000D03* +X80899000Y-97790000D03* +X79883000Y-89281000D03* +X139954000Y-87376000D03* +X143002000Y-89408000D03* +X143002000Y-93853000D03* +X146050000Y-95885000D03* +X131699000Y-97663000D03* +X110109000Y-97917000D03* +X102743000Y-97917000D03* +X99949000Y-97917000D03* +X97409000Y-97917000D03* +X128270000Y-98298000D03* +X147701000Y-97409000D03* +X158623000Y-96139000D03* +X113411000Y-91948000D03* +X116205000Y-92964000D03* +X114935000Y-91948000D03* +X114173000Y-92964000D03* +X112395000Y-93472000D03* +X112776000Y-95377000D03* +X110363000Y-95377000D03* +X111506000Y-92583000D03* +X110617000Y-93345000D03* +X111569500Y-94234000D03* +X82931000Y-95250000D03* +D12* +X83820000Y-92456000D03* +X85090000Y-91313000D03* +D15* +X104013000Y-89408000D03* +D12* +X105410000Y-91313000D03* +X119380000Y-91313000D03* +X117729000Y-91821000D03* +X106807000Y-92075000D03* +X139700000Y-91186000D03* +X140970000Y-92456000D03* +X156210000Y-92456000D03* +X158750000Y-92456000D03* +X157480000Y-91313000D03* +D15* +X132080000Y-90932000D03* +X100330000Y-90932000D03* +X133350000Y-90932000D03* +X99060000Y-90932000D03* +X134620000Y-90932000D03* +X97790000Y-90932000D03* +X135890000Y-90932000D03* +X96520000Y-90932000D03* +X93980000Y-88392000D03* +X135890000Y-88392000D03* +X134620000Y-88392000D03* +X96520000Y-88392000D03* +X97790000Y-88392000D03* +X133350000Y-88392000D03* +X99060000Y-88392000D03* +X132080000Y-88392000D03* +X100330000Y-88392000D03* +X130810000Y-88392000D03* +X130810000Y-90932000D03* +X101600000Y-90932000D03* +X101600000Y-88392000D03* +X128270000Y-88392000D03* +D17* +X87625000Y-94107000D02* +X87630000Y-94112000D01* +X86741000Y-94107000D02* +X87625000Y-94107000D01* +X102875000Y-94107000D02* +X102870000Y-94112000D01* +X121915000Y-94107000D02* +X121920000Y-94112000D01* +X121031000Y-94107000D02* +X121915000Y-94107000D01* +X137165000Y-94107000D02* +X137160000Y-94112000D01* +X138049000Y-94107000D02* +X137165000Y-94107000D01* +D18* +X85090000Y-94107000D02* +X86741000Y-94107000D01* +X85090000Y-94107000D02* +X83820000Y-94107000D01* +X85090000Y-94107000D02* +X85090000Y-95250000D01* +D17* +X103759000Y-94107000D02* +X102875000Y-94107000D01* +D18* +X105410000Y-94107000D02* +X106680000Y-94107000D01* +X105410000Y-94107000D02* +X103759000Y-94107000D01* +X119380000Y-94107000D02* +X118110000Y-94107000D01* +X119380000Y-94107000D02* +X121031000Y-94107000D01* +X119380000Y-94107000D02* +X119380000Y-95250000D01* +D17* +X105410000Y-94107000D02* +X105410000Y-94996000D01* +D18* +X139700000Y-94107000D02* +X138049000Y-94107000D01* +X139700000Y-94107000D02* +X139700000Y-95250000D01* +X139700000Y-94107000D02* +X140970000Y-94107000D01* +D19* +X157480000Y-98806000D02* +X157480000Y-95377000D01* +D18* +X157480000Y-95377000D02* +X157480000Y-94107000D01* +D20* +X157480000Y-94107000D02* +X156210000Y-94107000D01* +X157480000Y-94107000D02* +X158750000Y-94107000D01* +D21* +X88900000Y-89281000D02* +X88900000Y-85962000D01* +X88265000Y-89916000D02* +X88900000Y-89281000D01* +X88900000Y-98806000D02* +X88900000Y-96266000D01* +X88265000Y-95631000D02* +X88265000Y-89916000D01* +X88900000Y-96266000D02* +X88265000Y-95631000D01* +X88900000Y-95758000D02* +X88900000Y-94112000D01* +X95504000Y-97790000D02* +X90932000Y-97790000D01* +X96520000Y-98806000D02* +X95504000Y-97790000D01* +X90932000Y-97790000D02* +X88900000Y-95758000D01* +X125730000Y-94112000D02* +X125730000Y-90932000D01* +X91440000Y-90932000D02* +X91440000Y-94112000D01* +X92329000Y-90043000D02* +X91440000Y-90932000D01* +X94869000Y-90043000D02* +X92329000Y-90043000D01* +X95504000Y-90170000D02* +X94996000Y-90170000D01* +X111633000Y-89408000D02* +X104775000Y-89408000D01* +X104203500Y-89979500D02* +X95694500Y-89979500D01* +X94996000Y-90170000D02* +X94869000Y-90043000D01* +X95694500Y-89979500D02* +X95504000Y-90170000D01* +X115189000Y-92964000D02* +X111633000Y-89408000D01* +X115189000Y-93281500D02* +X115189000Y-92964000D01* +X104775000Y-89408000D02* +X104203500Y-89979500D01* +X127000000Y-94112000D02* +X127000000Y-90932000D01* +X92710000Y-95758000D02* +X92710000Y-93362000D01* +X93345000Y-96393000D02* +X92710000Y-95758000D01* +X108585000Y-96393000D02* +X93345000Y-96393000D01* +X112522000Y-92456000D02* +X108585000Y-96393000D01* +X91440000Y-85212000D02* +X91440000Y-88392000D01* +X125730000Y-89027000D02* +X125730000Y-85212000D01* +X92329000Y-89281000D02* +X91440000Y-88392000D01* +X94869000Y-89281000D02* +X92329000Y-89281000D01* +X94996000Y-89154000D02* +X94869000Y-89281000D01* +X95504000Y-89154000D02* +X94996000Y-89154000D01* +X95631000Y-89281000D02* +X95504000Y-89154000D01* +X103378000Y-89281000D02* +X95631000Y-89281000D01* +X104013000Y-88646000D02* +X103378000Y-89281000D01* +X119634000Y-88646000D02* +X104013000Y-88646000D01* +X121158000Y-90170000D02* +X119634000Y-88646000D01* +X105283000Y-97409000D02* +X106680000Y-98806000D01* +X89535000Y-89916000D02* +X89535000Y-95758000D01* +X91186000Y-97409000D02* +X105283000Y-97409000D01* +X89535000Y-95758000D02* +X91186000Y-97409000D01* +X90170000Y-89281000D02* +X89535000Y-89916000D01* +X90170000Y-85212000D02* +X90170000Y-89281000D01* +X112522000Y-97028000D02* +X114300000Y-98806000D01* +X91440000Y-97028000D02* +X112522000Y-97028000D01* +X90170000Y-94112000D02* +X90170000Y-95758000D01* +X90170000Y-95758000D02* +X91440000Y-97028000D01* +D17* +X138044000Y-85212000D02* +X138049000Y-85217000D01* +X137160000Y-85212000D02* +X138044000Y-85212000D01* +X86746000Y-85212000D02* +X86741000Y-85217000D01* +X87630000Y-85212000D02* +X86746000Y-85212000D01* +X103759000Y-84836000D02* +X102997000Y-84836000D01* +X102870000Y-84963000D02* +X102870000Y-85962000D01* +X102997000Y-84836000D02* +X102870000Y-84963000D01* +X121031000Y-84836000D02* +X121848590Y-84836000D01* +X121920000Y-84907410D02* +X121920000Y-85962000D01* +X121848590Y-84836000D02* +X121920000Y-84907410D01* +D21* +X92710000Y-85962000D02* +X92710000Y-88392000D01* +D18* +X83869000Y-92407000D02* +X83820000Y-92456000D01* +X85090000Y-92407000D02* +X83869000Y-92407000D01* +X85090000Y-92407000D02* +X85090000Y-91313000D01* +X105410000Y-92407000D02* +X105410000Y-91313000D01* +X119380000Y-92407000D02* +X119380000Y-91313000D01* +X118315000Y-92407000D02* +X117729000Y-91821000D01* +X119380000Y-92407000D02* +X118315000Y-92407000D01* +X106475000Y-92407000D02* +X106807000Y-92075000D01* +X105410000Y-92407000D02* +X106475000Y-92407000D01* +X139700000Y-92407000D02* +X139700000Y-91186000D01* +X140921000Y-92407000D02* +X140970000Y-92456000D01* +X139700000Y-92407000D02* +X140921000Y-92407000D01* +D20* +X156259000Y-92407000D02* +X156210000Y-92456000D01* +X157480000Y-92407000D02* +X156259000Y-92407000D01* +X158701000Y-92407000D02* +X158750000Y-92456000D01* +X157480000Y-92407000D02* +X158701000Y-92407000D01* +X157480000Y-92407000D02* +X157480000Y-91313000D01* +D21* +X122555000Y-95758000D02* +X121920000Y-96393000D01* +X121920000Y-96393000D02* +X121920000Y-98806000D01* +X122555000Y-89662000D02* +X122555000Y-95758000D01* +X123190000Y-89027000D02* +X122555000Y-89662000D01* +X123190000Y-85962000D02* +X123190000Y-89027000D01* +X131064000Y-97790000D02* +X132080000Y-98806000D01* +X123190000Y-96266000D02* +X124714000Y-97790000D01* +X124714000Y-97790000D02* +X131064000Y-97790000D01* +X123190000Y-93362000D02* +X123190000Y-96266000D01* +X138049000Y-97155000D02* +X139700000Y-98806000D01* +X124968000Y-97155000D02* +X138049000Y-97155000D01* +X123825000Y-96012000D02* +X124968000Y-97155000D01* +X124460000Y-85212000D02* +X124460000Y-89027000D01* +X124460000Y-89027000D02* +X123825000Y-89662000D01* +X123825000Y-89662000D02* +X123825000Y-96012000D01* +X142494000Y-96520000D02* +X144780000Y-98806000D01* +X124460000Y-95758000D02* +X125222000Y-96520000D01* +X125222000Y-96520000D02* +X142494000Y-96520000D01* +X124460000Y-93362000D02* +X124460000Y-95758000D01* +X132080000Y-93362000D02* +X132080000Y-90932000D01* +X100330000Y-94112000D02* +X100330000Y-90932000D01* +X133350000Y-94112000D02* +X133350000Y-90932000D01* +X99060000Y-90932000D02* +X99060000Y-93362000D01* +X134620000Y-94112000D02* +X134620000Y-90932000D01* +X97790000Y-90932000D02* +X97790000Y-93362000D01* +X135890000Y-94112000D02* +X135890000Y-90932000D01* +X96520000Y-90932000D02* +X96520000Y-93362000D01* +X93980000Y-85212000D02* +X93980000Y-88392000D01* +X135890000Y-85212000D02* +X135890000Y-88392000D01* +X134620000Y-85962000D02* +X134620000Y-88392000D01* +X96520000Y-88392000D02* +X96520000Y-85962000D01* +X133350000Y-85212000D02* +X133350000Y-88392000D01* +X97790000Y-88392000D02* +X97790000Y-85962000D01* +X132080000Y-85212000D02* +X132080000Y-88392000D01* +X99060000Y-88392000D02* +X99060000Y-85962000D01* +X100330000Y-85212000D02* +X100330000Y-88392000D01* +X130810000Y-85212000D02* +X130810000Y-88392000D01* +X130810000Y-94112000D02* +X130810000Y-90932000D01* +X101600000Y-94112000D02* +X101600000Y-90932000D01* +X101600000Y-85212000D02* +X101600000Y-88392000D01* +X128270000Y-85212000D02* +X128270000Y-88392000D01* +G36* +X164771401Y-101271400D02* +G01* +X78560600Y-101271400D01* +X78560600Y-99250500D01* +X82701294Y-99250500D01* +X82701294Y-100393500D01* +X82714249Y-100525031D01* +X82752615Y-100651507D01* +X82814918Y-100768069D01* +X82898764Y-100870236D01* +X83000931Y-100954082D01* +X83117493Y-101016385D01* +X83243969Y-101054751D01* +X83375500Y-101067706D01* +X84264500Y-101067706D01* +X84396031Y-101054751D01* +X84522507Y-101016385D01* +X84639069Y-100954082D01* +X84741236Y-100870236D01* +X84825082Y-100768069D01* +X84887385Y-100651507D01* +X84925751Y-100525031D01* +X84938706Y-100393500D01* +X84938706Y-99250500D01* +X85241294Y-99250500D01* +X85241294Y-100393500D01* +X85254249Y-100525031D01* +X85292615Y-100651507D01* +X85354918Y-100768069D01* +X85438764Y-100870236D01* +X85540931Y-100954082D01* +X85657493Y-101016385D01* +X85783969Y-101054751D01* +X85915500Y-101067706D01* +X86804500Y-101067706D01* +X86936031Y-101054751D01* +X87062507Y-101016385D01* +X87179069Y-100954082D01* +X87281236Y-100870236D01* +X87365082Y-100768069D01* +X87427385Y-100651507D01* +X87465751Y-100525031D01* +X87478706Y-100393500D01* +X87478706Y-99250500D01* +X87465751Y-99118969D01* +X87427385Y-98992493D01* +X87365082Y-98875931D01* +X87281236Y-98773764D01* +X87179069Y-98689918D01* +X87062507Y-98627615D01* +X86952707Y-98594308D01* +X86917059Y-98508246D01* +X86848266Y-98405291D01* +X86760709Y-98317734D01* +X86657754Y-98248941D01* +X86543356Y-98201556D01* +X86421912Y-98177400D01* +X86298088Y-98177400D01* +X86176644Y-98201556D01* +X86062246Y-98248941D01* +X85959291Y-98317734D01* +X85871734Y-98405291D01* +X85802941Y-98508246D01* +X85767293Y-98594308D01* +X85657493Y-98627615D01* +X85540931Y-98689918D01* +X85438764Y-98773764D01* +X85354918Y-98875931D01* +X85292615Y-98992493D01* +X85254249Y-99118969D01* +X85241294Y-99250500D01* +X84938706Y-99250500D01* +X84925751Y-99118969D01* +X84887385Y-98992493D01* +X84825082Y-98875931D01* +X84741236Y-98773764D01* +X84639069Y-98689918D01* +X84522507Y-98627615D01* +X84412707Y-98594308D01* +X84377059Y-98508246D01* +X84308266Y-98405291D01* +X84220709Y-98317734D01* +X84117754Y-98248941D01* +X84003356Y-98201556D01* +X83881912Y-98177400D01* +X83758088Y-98177400D01* +X83636644Y-98201556D01* +X83522246Y-98248941D01* +X83419291Y-98317734D01* +X83331734Y-98405291D01* +X83262941Y-98508246D01* +X83227293Y-98594308D01* +X83117493Y-98627615D01* +X83000931Y-98689918D01* +X82898764Y-98773764D01* +X82814918Y-98875931D01* +X82752615Y-98992493D01* +X82714249Y-99118969D01* +X82701294Y-99250500D01* +X78560600Y-99250500D01* +X78560600Y-97265865D01* +X78559136Y-97251000D01* +X78559208Y-97240692D01* +X78558760Y-97236126D01* +X78525079Y-96915672D01* +X78519080Y-96886446D01* +X78513509Y-96857242D01* +X78512185Y-96852858D01* +X78512184Y-96852851D01* +X78512181Y-96852845D01* +X78416900Y-96545042D01* +X78405368Y-96517608D01* +X78394203Y-96489973D01* +X78392049Y-96485922D01* +X78238793Y-96202483D01* +X78222151Y-96177810D01* +X78205832Y-96152871D01* +X78202932Y-96149316D01* +X77997542Y-95901042D01* +X77976410Y-95880057D01* +X77955571Y-95858777D01* +X77952036Y-95855852D01* +X77702334Y-95652200D01* +X77677513Y-95635709D01* +X77652954Y-95618893D01* +X77648918Y-95616711D01* +X77364416Y-95465438D01* +X77336876Y-95454086D01* +X77309505Y-95442355D01* +X77305130Y-95441001D01* +X77305124Y-95440999D01* +X77305118Y-95440998D01* +X76996656Y-95347868D01* +X76967429Y-95342081D01* +X76938310Y-95335891D01* +X76933747Y-95335411D01* +X76613066Y-95303968D01* +X76613056Y-95303968D01* +X76597135Y-95302400D01* +X76528600Y-95302400D01* +X76528600Y-94045088D01* +X83191400Y-94045088D01* +X83191400Y-94168912D01* +X83215556Y-94290356D01* +X83262941Y-94404754D01* +X83331734Y-94507709D01* +X83419291Y-94595266D01* +X83522246Y-94664059D01* +X83636644Y-94711444D01* +X83758088Y-94735600D01* +X83881912Y-94735600D01* +X83977434Y-94716600D01* +X84303685Y-94716600D01* +X84304458Y-94717542D01* +X84379045Y-94778754D01* +X84464141Y-94824239D01* +X84480401Y-94829171D01* +X84480401Y-95092561D01* +X84461400Y-95188088D01* +X84461400Y-95311912D01* +X84485556Y-95433356D01* +X84532941Y-95547754D01* +X84601734Y-95650709D01* +X84689291Y-95738266D01* +X84792246Y-95807059D01* +X84906644Y-95854444D01* +X85028088Y-95878600D01* +X85151912Y-95878600D01* +X85273356Y-95854444D01* +X85387754Y-95807059D01* +X85490709Y-95738266D01* +X85578266Y-95650709D01* +X85647059Y-95547754D01* +X85694444Y-95433356D01* +X85718600Y-95311912D01* +X85718600Y-95188088D01* +X85699600Y-95092566D01* +X85699600Y-94829171D01* +X85715859Y-94824239D01* +X85800955Y-94778754D01* +X85875542Y-94717542D01* +X85876315Y-94716600D01* +X86583566Y-94716600D01* +X86679088Y-94735600D01* +X86802912Y-94735600D01* +X86924356Y-94711444D01* +X87038754Y-94664059D01* +X87100294Y-94622939D01* +X87100294Y-95212000D01* +X87107590Y-95286077D01* +X87129197Y-95357307D01* +X87164286Y-95422953D01* +X87211507Y-95480493D01* +X87269047Y-95527714D01* +X87334693Y-95562803D01* +X87405923Y-95584410D01* +X87480000Y-95591706D01* +X87780000Y-95591706D01* +X87854077Y-95584410D01* +X87925307Y-95562803D01* +X87960200Y-95544152D01* +X87960200Y-95616042D01* +X87958727Y-95631000D01* +X87960200Y-95645958D01* +X87960200Y-95645965D01* +X87961858Y-95662796D01* +X87964611Y-95690751D01* +X87974127Y-95722121D01* +X87982040Y-95748205D01* +X88010342Y-95801156D01* +X88048432Y-95847568D01* +X88060061Y-95857112D01* +X88595201Y-96392253D01* +X88595200Y-98253649D01* +X88499291Y-98317734D01* +X88411734Y-98405291D01* +X88342941Y-98508246D01* +X88307293Y-98594308D01* +X88197493Y-98627615D01* +X88080931Y-98689918D01* +X87978764Y-98773764D01* +X87894918Y-98875931D01* +X87832615Y-98992493D01* +X87794249Y-99118969D01* +X87781294Y-99250500D01* +X87781294Y-100393500D01* +X87794249Y-100525031D01* +X87832615Y-100651507D01* +X87894918Y-100768069D01* +X87978764Y-100870236D01* +X88080931Y-100954082D01* +X88197493Y-101016385D01* +X88323969Y-101054751D01* +X88455500Y-101067706D01* +X89344500Y-101067706D01* +X89476031Y-101054751D01* +X89602507Y-101016385D01* +X89719069Y-100954082D01* +X89821236Y-100870236D01* +X89905082Y-100768069D01* +X89967385Y-100651507D01* +X90005751Y-100525031D01* +X90018706Y-100393500D01* +X90018706Y-99250500D01* +X90321294Y-99250500D01* +X90321294Y-100393500D01* +X90334249Y-100525031D01* +X90372615Y-100651507D01* +X90434918Y-100768069D01* +X90518764Y-100870236D01* +X90620931Y-100954082D01* +X90737493Y-101016385D01* +X90863969Y-101054751D01* +X90995500Y-101067706D01* +X91884500Y-101067706D01* +X92016031Y-101054751D01* +X92142507Y-101016385D01* +X92259069Y-100954082D01* +X92361236Y-100870236D01* +X92445082Y-100768069D01* +X92507385Y-100651507D01* +X92545751Y-100525031D01* +X92558706Y-100393500D01* +X92558706Y-99250500D01* +X92861294Y-99250500D01* +X92861294Y-100393500D01* +X92874249Y-100525031D01* +X92912615Y-100651507D01* +X92974918Y-100768069D01* +X93058764Y-100870236D01* +X93160931Y-100954082D01* +X93277493Y-101016385D01* +X93403969Y-101054751D01* +X93535500Y-101067706D01* +X94424500Y-101067706D01* +X94556031Y-101054751D01* +X94682507Y-101016385D01* +X94799069Y-100954082D01* +X94901236Y-100870236D01* +X94985082Y-100768069D01* +X95047385Y-100651507D01* +X95085751Y-100525031D01* +X95098706Y-100393500D01* +X95098706Y-99250500D01* +X95085751Y-99118969D01* +X95047385Y-98992493D01* +X94985082Y-98875931D01* +X94901236Y-98773764D01* +X94799069Y-98689918D01* +X94682507Y-98627615D01* +X94572707Y-98594308D01* +X94537059Y-98508246D01* +X94468266Y-98405291D01* +X94380709Y-98317734D01* +X94277754Y-98248941D01* +X94163356Y-98201556D01* +X94041912Y-98177400D01* +X93918088Y-98177400D01* +X93796644Y-98201556D01* +X93682246Y-98248941D01* +X93579291Y-98317734D01* +X93491734Y-98405291D01* +X93422941Y-98508246D01* +X93387293Y-98594308D01* +X93277493Y-98627615D01* +X93160931Y-98689918D01* +X93058764Y-98773764D01* +X92974918Y-98875931D01* +X92912615Y-98992493D01* +X92874249Y-99118969D01* +X92861294Y-99250500D01* +X92558706Y-99250500D01* +X92545751Y-99118969D01* +X92507385Y-98992493D01* +X92445082Y-98875931D01* +X92361236Y-98773764D01* +X92259069Y-98689918D01* +X92142507Y-98627615D01* +X92032707Y-98594308D01* +X91997059Y-98508246D01* +X91928266Y-98405291D01* +X91840709Y-98317734D01* +X91737754Y-98248941D01* +X91623356Y-98201556D01* +X91501912Y-98177400D01* +X91378088Y-98177400D01* +X91256644Y-98201556D01* +X91142246Y-98248941D01* +X91039291Y-98317734D01* +X90951734Y-98405291D01* +X90882941Y-98508246D01* +X90847293Y-98594308D01* +X90737493Y-98627615D01* +X90620931Y-98689918D01* +X90518764Y-98773764D01* +X90434918Y-98875931D01* +X90372615Y-98992493D01* +X90334249Y-99118969D01* +X90321294Y-99250500D01* +X90018706Y-99250500D01* +X90005751Y-99118969D01* +X89967385Y-98992493D01* +X89905082Y-98875931D01* +X89821236Y-98773764D01* +X89719069Y-98689918D01* +X89602507Y-98627615D01* +X89492707Y-98594308D01* +X89457059Y-98508246D01* +X89388266Y-98405291D01* +X89300709Y-98317734D01* +X89204800Y-98253649D01* +X89204800Y-96493851D01* +X90705891Y-97994943D01* +X90715432Y-98006568D01* +X90761843Y-98044658D01* +X90814792Y-98072959D01* +X90814794Y-98072960D01* +X90872248Y-98090389D01* +X90877403Y-98090897D01* +X90917034Y-98094800D01* +X90917042Y-98094800D01* +X90932000Y-98096273D01* +X90946958Y-98094800D01* +X95377749Y-98094800D01* +X95888902Y-98605953D01* +X95817493Y-98627615D01* +X95700931Y-98689918D01* +X95598764Y-98773764D01* +X95514918Y-98875931D01* +X95452615Y-98992493D01* +X95414249Y-99118969D01* +X95401294Y-99250500D01* +X95401294Y-100393500D01* +X95414249Y-100525031D01* +X95452615Y-100651507D01* +X95514918Y-100768069D01* +X95598764Y-100870236D01* +X95700931Y-100954082D01* +X95817493Y-101016385D01* +X95943969Y-101054751D01* +X96075500Y-101067706D01* +X96964500Y-101067706D01* +X97096031Y-101054751D01* +X97222507Y-101016385D01* +X97339069Y-100954082D01* +X97441236Y-100870236D01* +X97525082Y-100768069D01* +X97587385Y-100651507D01* +X97625751Y-100525031D01* +X97638706Y-100393500D01* +X97638706Y-99250500D01* +X97941294Y-99250500D01* +X97941294Y-100393500D01* +X97954249Y-100525031D01* +X97992615Y-100651507D01* +X98054918Y-100768069D01* +X98138764Y-100870236D01* +X98240931Y-100954082D01* +X98357493Y-101016385D01* +X98483969Y-101054751D01* +X98615500Y-101067706D01* +X99504500Y-101067706D01* +X99636031Y-101054751D01* +X99762507Y-101016385D01* +X99879069Y-100954082D01* +X99981236Y-100870236D01* +X100065082Y-100768069D01* +X100127385Y-100651507D01* +X100165751Y-100525031D01* +X100178706Y-100393500D01* +X100178706Y-99250500D01* +X100481294Y-99250500D01* +X100481294Y-100393500D01* +X100494249Y-100525031D01* +X100532615Y-100651507D01* +X100594918Y-100768069D01* +X100678764Y-100870236D01* +X100780931Y-100954082D01* +X100897493Y-101016385D01* +X101023969Y-101054751D01* +X101155500Y-101067706D01* +X102044500Y-101067706D01* +X102176031Y-101054751D01* +X102302507Y-101016385D01* +X102419069Y-100954082D01* +X102521236Y-100870236D01* +X102547691Y-100838000D01* +X103021294Y-100838000D01* +X103025708Y-100882813D01* +X103038779Y-100925905D01* +X103060006Y-100965618D01* +X103088573Y-101000427D01* +X103123382Y-101028994D01* +X103163095Y-101050221D01* +X103206187Y-101063292D01* +X103251000Y-101067706D01* +X104009050Y-101066600D01* +X104066200Y-101009450D01* +X104066200Y-99895800D01* +X104213800Y-99895800D01* +X104213800Y-101009450D01* +X104270950Y-101066600D01* +X105029000Y-101067706D01* +X105073813Y-101063292D01* +X105116905Y-101050221D01* +X105156618Y-101028994D01* +X105191427Y-101000427D01* +X105219994Y-100965618D01* +X105241221Y-100925905D01* +X105254292Y-100882813D01* +X105258706Y-100838000D01* +X105257600Y-99952950D01* +X105200450Y-99895800D01* +X104213800Y-99895800D01* +X104066200Y-99895800D01* +X103079550Y-99895800D01* +X103022400Y-99952950D01* +X103021294Y-100838000D01* +X102547691Y-100838000D01* +X102605082Y-100768069D01* +X102667385Y-100651507D01* +X102705751Y-100525031D01* +X102718706Y-100393500D01* +X102718706Y-99250500D01* +X102705751Y-99118969D01* +X102667385Y-98992493D01* +X102605082Y-98875931D01* +X102547692Y-98806000D01* +X103021294Y-98806000D01* +X103022400Y-99691050D01* +X103079550Y-99748200D01* +X104066200Y-99748200D01* +X104066200Y-99728200D01* +X104213800Y-99728200D01* +X104213800Y-99748200D01* +X105200450Y-99748200D01* +X105257600Y-99691050D01* +X105258706Y-98806000D01* +X105254292Y-98761187D01* +X105241221Y-98718095D01* +X105219994Y-98678382D01* +X105191427Y-98643573D01* +X105156618Y-98615006D01* +X105116905Y-98593779D01* +X105073813Y-98580708D01* +X105029000Y-98576294D01* +X104725300Y-98576737D01* +X104702998Y-98519637D01* +X104690888Y-98496978D01* +X104595046Y-98455323D01* +X104473264Y-98577105D01* +X104270950Y-98577400D01* +X104213802Y-98634548D01* +X104213802Y-98627829D01* +X104490677Y-98350954D01* +X104449022Y-98255112D01* +X104335611Y-98205410D01* +X104214683Y-98178789D01* +X104090885Y-98176271D01* +X103968975Y-98197953D01* +X103853637Y-98243002D01* +X103830978Y-98255112D01* +X103789323Y-98350954D01* +X104066198Y-98627829D01* +X104066198Y-98634548D01* +X104009050Y-98577400D01* +X103806736Y-98577105D01* +X103684954Y-98455323D01* +X103589112Y-98496978D01* +X103554158Y-98576736D01* +X103251000Y-98576294D01* +X103206187Y-98580708D01* +X103163095Y-98593779D01* +X103123382Y-98615006D01* +X103088573Y-98643573D01* +X103060006Y-98678382D01* +X103038779Y-98718095D01* +X103025708Y-98761187D01* +X103021294Y-98806000D01* +X102547692Y-98806000D01* +X102521236Y-98773764D01* +X102419069Y-98689918D01* +X102302507Y-98627615D01* +X102192707Y-98594308D01* +X102157059Y-98508246D01* +X102088266Y-98405291D01* +X102000709Y-98317734D01* +X101897754Y-98248941D01* +X101783356Y-98201556D01* +X101661912Y-98177400D01* +X101538088Y-98177400D01* +X101416644Y-98201556D01* +X101302246Y-98248941D01* +X101199291Y-98317734D01* +X101111734Y-98405291D01* +X101042941Y-98508246D01* +X101007293Y-98594308D01* +X100897493Y-98627615D01* +X100780931Y-98689918D01* +X100678764Y-98773764D01* +X100594918Y-98875931D01* +X100532615Y-98992493D01* +X100494249Y-99118969D01* +X100481294Y-99250500D01* +X100178706Y-99250500D01* +X100165751Y-99118969D01* +X100127385Y-98992493D01* +X100065082Y-98875931D01* +X99981236Y-98773764D01* +X99879069Y-98689918D01* +X99762507Y-98627615D01* +X99652707Y-98594308D01* +X99617059Y-98508246D01* +X99548266Y-98405291D01* +X99460709Y-98317734D01* +X99357754Y-98248941D01* +X99243356Y-98201556D01* +X99121912Y-98177400D01* +X98998088Y-98177400D01* +X98876644Y-98201556D01* +X98762246Y-98248941D01* +X98659291Y-98317734D01* +X98571734Y-98405291D01* +X98502941Y-98508246D01* +X98467293Y-98594308D01* +X98357493Y-98627615D01* +X98240931Y-98689918D01* +X98138764Y-98773764D01* +X98054918Y-98875931D01* +X97992615Y-98992493D01* +X97954249Y-99118969D01* +X97941294Y-99250500D01* +X97638706Y-99250500D01* +X97625751Y-99118969D01* +X97587385Y-98992493D01* +X97525082Y-98875931D01* +X97441236Y-98773764D01* +X97339069Y-98689918D01* +X97222507Y-98627615D01* +X97112707Y-98594308D01* +X97077059Y-98508246D01* +X97008266Y-98405291D01* +X96920709Y-98317734D01* +X96817754Y-98248941D01* +X96703356Y-98201556D01* +X96581912Y-98177400D01* +X96458088Y-98177400D01* +X96344955Y-98199903D01* +X95858851Y-97713800D01* +X105156749Y-97713800D01* +X106048902Y-98605953D01* +X105977493Y-98627615D01* +X105860931Y-98689918D01* +X105758764Y-98773764D01* +X105674918Y-98875931D01* +X105612615Y-98992493D01* +X105574249Y-99118969D01* +X105561294Y-99250500D01* +X105561294Y-100393500D01* +X105574249Y-100525031D01* +X105612615Y-100651507D01* +X105674918Y-100768069D01* +X105758764Y-100870236D01* +X105860931Y-100954082D01* +X105977493Y-101016385D01* +X106103969Y-101054751D01* +X106235500Y-101067706D01* +X107124500Y-101067706D01* +X107256031Y-101054751D01* +X107382507Y-101016385D01* +X107499069Y-100954082D01* +X107601236Y-100870236D01* +X107685082Y-100768069D01* +X107747385Y-100651507D01* +X107785751Y-100525031D01* +X107798706Y-100393500D01* +X107798706Y-99250500D01* +X108101294Y-99250500D01* +X108101294Y-100393500D01* +X108114249Y-100525031D01* +X108152615Y-100651507D01* +X108214918Y-100768069D01* +X108298764Y-100870236D01* +X108400931Y-100954082D01* +X108517493Y-101016385D01* +X108643969Y-101054751D01* +X108775500Y-101067706D01* +X109664500Y-101067706D01* +X109796031Y-101054751D01* +X109922507Y-101016385D01* +X110039069Y-100954082D01* +X110141236Y-100870236D01* +X110225082Y-100768069D01* +X110287385Y-100651507D01* +X110325751Y-100525031D01* +X110338706Y-100393500D01* +X110338706Y-99250500D01* +X110641294Y-99250500D01* +X110641294Y-100393500D01* +X110654249Y-100525031D01* +X110692615Y-100651507D01* +X110754918Y-100768069D01* +X110838764Y-100870236D01* +X110940931Y-100954082D01* +X111057493Y-101016385D01* +X111183969Y-101054751D01* +X111315500Y-101067706D01* +X112204500Y-101067706D01* +X112336031Y-101054751D01* +X112462507Y-101016385D01* +X112579069Y-100954082D01* +X112681236Y-100870236D01* +X112765082Y-100768069D01* +X112827385Y-100651507D01* +X112865751Y-100525031D01* +X112878706Y-100393500D01* +X112878706Y-99250500D01* +X112865751Y-99118969D01* +X112827385Y-98992493D01* +X112765082Y-98875931D01* +X112681236Y-98773764D01* +X112579069Y-98689918D01* +X112462507Y-98627615D01* +X112352707Y-98594308D01* +X112317059Y-98508246D01* +X112248266Y-98405291D01* +X112160709Y-98317734D01* +X112057754Y-98248941D01* +X111943356Y-98201556D01* +X111821912Y-98177400D01* +X111698088Y-98177400D01* +X111576644Y-98201556D01* +X111462246Y-98248941D01* +X111359291Y-98317734D01* +X111271734Y-98405291D01* +X111202941Y-98508246D01* +X111167293Y-98594308D01* +X111057493Y-98627615D01* +X110940931Y-98689918D01* +X110838764Y-98773764D01* +X110754918Y-98875931D01* +X110692615Y-98992493D01* +X110654249Y-99118969D01* +X110641294Y-99250500D01* +X110338706Y-99250500D01* +X110325751Y-99118969D01* +X110287385Y-98992493D01* +X110225082Y-98875931D01* +X110141236Y-98773764D01* +X110039069Y-98689918D01* +X109922507Y-98627615D01* +X109812707Y-98594308D01* +X109777059Y-98508246D01* +X109708266Y-98405291D01* +X109620709Y-98317734D01* +X109517754Y-98248941D01* +X109403356Y-98201556D01* +X109281912Y-98177400D01* +X109158088Y-98177400D01* +X109036644Y-98201556D01* +X108922246Y-98248941D01* +X108819291Y-98317734D01* +X108731734Y-98405291D01* +X108662941Y-98508246D01* +X108627293Y-98594308D01* +X108517493Y-98627615D01* +X108400931Y-98689918D01* +X108298764Y-98773764D01* +X108214918Y-98875931D01* +X108152615Y-98992493D01* +X108114249Y-99118969D01* +X108101294Y-99250500D01* +X107798706Y-99250500D01* +X107785751Y-99118969D01* +X107747385Y-98992493D01* +X107685082Y-98875931D01* +X107601236Y-98773764D01* +X107499069Y-98689918D01* +X107382507Y-98627615D01* +X107272707Y-98594308D01* +X107237059Y-98508246D01* +X107168266Y-98405291D01* +X107080709Y-98317734D01* +X106977754Y-98248941D01* +X106863356Y-98201556D01* +X106741912Y-98177400D01* +X106618088Y-98177400D01* +X106504955Y-98199903D01* +X105637851Y-97332800D01* +X112395749Y-97332800D01* +X113668902Y-98605953D01* +X113597493Y-98627615D01* +X113480931Y-98689918D01* +X113378764Y-98773764D01* +X113294918Y-98875931D01* +X113232615Y-98992493D01* +X113194249Y-99118969D01* +X113181294Y-99250500D01* +X113181294Y-100393500D01* +X113194249Y-100525031D01* +X113232615Y-100651507D01* +X113294918Y-100768069D01* +X113378764Y-100870236D01* +X113480931Y-100954082D01* +X113597493Y-101016385D01* +X113723969Y-101054751D01* +X113855500Y-101067706D01* +X114744500Y-101067706D01* +X114876031Y-101054751D01* +X115002507Y-101016385D01* +X115119069Y-100954082D01* +X115221236Y-100870236D01* +X115305082Y-100768069D01* +X115367385Y-100651507D01* +X115405751Y-100525031D01* +X115418706Y-100393500D01* +X115418706Y-99250500D01* +X115721294Y-99250500D01* +X115721294Y-100393500D01* +X115734249Y-100525031D01* +X115772615Y-100651507D01* +X115834918Y-100768069D01* +X115918764Y-100870236D01* +X116020931Y-100954082D01* +X116137493Y-101016385D01* +X116263969Y-101054751D01* +X116395500Y-101067706D01* +X117284500Y-101067706D01* +X117416031Y-101054751D01* +X117542507Y-101016385D01* +X117659069Y-100954082D01* +X117761236Y-100870236D01* +X117845082Y-100768069D01* +X117907385Y-100651507D01* +X117945751Y-100525031D01* +X117958706Y-100393500D01* +X117958706Y-99250500D01* +X118261294Y-99250500D01* +X118261294Y-100393500D01* +X118274249Y-100525031D01* +X118312615Y-100651507D01* +X118374918Y-100768069D01* +X118458764Y-100870236D01* +X118560931Y-100954082D01* +X118677493Y-101016385D01* +X118803969Y-101054751D01* +X118935500Y-101067706D01* +X119824500Y-101067706D01* +X119956031Y-101054751D01* +X120082507Y-101016385D01* +X120199069Y-100954082D01* +X120301236Y-100870236D01* +X120385082Y-100768069D01* +X120447385Y-100651507D01* +X120485751Y-100525031D01* +X120498706Y-100393500D01* +X120498706Y-99250500D01* +X120485751Y-99118969D01* +X120447385Y-98992493D01* +X120385082Y-98875931D01* +X120301236Y-98773764D01* +X120199069Y-98689918D01* +X120082507Y-98627615D01* +X119972707Y-98594308D01* +X119937059Y-98508246D01* +X119868266Y-98405291D01* +X119780709Y-98317734D01* +X119677754Y-98248941D01* +X119563356Y-98201556D01* +X119441912Y-98177400D01* +X119318088Y-98177400D01* +X119196644Y-98201556D01* +X119082246Y-98248941D01* +X118979291Y-98317734D01* +X118891734Y-98405291D01* +X118822941Y-98508246D01* +X118787293Y-98594308D01* +X118677493Y-98627615D01* +X118560931Y-98689918D01* +X118458764Y-98773764D01* +X118374918Y-98875931D01* +X118312615Y-98992493D01* +X118274249Y-99118969D01* +X118261294Y-99250500D01* +X117958706Y-99250500D01* +X117945751Y-99118969D01* +X117907385Y-98992493D01* +X117845082Y-98875931D01* +X117761236Y-98773764D01* +X117659069Y-98689918D01* +X117542507Y-98627615D01* +X117432707Y-98594308D01* +X117397059Y-98508246D01* +X117328266Y-98405291D01* +X117240709Y-98317734D01* +X117137754Y-98248941D01* +X117023356Y-98201556D01* +X116901912Y-98177400D01* +X116778088Y-98177400D01* +X116656644Y-98201556D01* +X116542246Y-98248941D01* +X116439291Y-98317734D01* +X116351734Y-98405291D01* +X116282941Y-98508246D01* +X116247293Y-98594308D01* +X116137493Y-98627615D01* +X116020931Y-98689918D01* +X115918764Y-98773764D01* +X115834918Y-98875931D01* +X115772615Y-98992493D01* +X115734249Y-99118969D01* +X115721294Y-99250500D01* +X115418706Y-99250500D01* +X115405751Y-99118969D01* +X115367385Y-98992493D01* +X115305082Y-98875931D01* +X115221236Y-98773764D01* +X115119069Y-98689918D01* +X115002507Y-98627615D01* +X114892707Y-98594308D01* +X114857059Y-98508246D01* +X114788266Y-98405291D01* +X114700709Y-98317734D01* +X114597754Y-98248941D01* +X114483356Y-98201556D01* +X114361912Y-98177400D01* +X114238088Y-98177400D01* +X114124955Y-98199903D01* +X112748112Y-96823061D01* +X112738568Y-96811432D01* +X112692157Y-96773342D01* +X112639206Y-96745040D01* +X112581751Y-96727611D01* +X112536966Y-96723200D01* +X112536958Y-96723200D01* +X112522000Y-96721727D01* +X112507042Y-96723200D01* +X91566252Y-96723200D01* +X90474800Y-95631749D01* +X90474800Y-95557729D01* +X90530953Y-95527714D01* +X90588493Y-95480493D01* +X90635714Y-95422953D01* +X90670803Y-95357307D01* +X90692410Y-95286077D01* +X90699706Y-95212000D01* +X90699706Y-91512000D01* +X90910294Y-91512000D01* +X90910294Y-95212000D01* +X90917590Y-95286077D01* +X90939197Y-95357307D01* +X90974286Y-95422953D01* +X91021507Y-95480493D01* +X91079047Y-95527714D01* +X91144693Y-95562803D01* +X91215923Y-95584410D01* +X91290000Y-95591706D01* +X91590000Y-95591706D01* +X91664077Y-95584410D01* +X91735307Y-95562803D01* +X91800953Y-95527714D01* +X91858493Y-95480493D01* +X91905714Y-95422953D01* +X91940803Y-95357307D01* +X91962410Y-95286077D01* +X91969706Y-95212000D01* +X91969706Y-91512000D01* +X92180294Y-91512000D01* +X92180294Y-95212000D01* +X92187590Y-95286077D01* +X92209197Y-95357307D01* +X92244286Y-95422953D01* +X92291507Y-95480493D01* +X92349047Y-95527714D01* +X92405200Y-95557729D01* +X92405200Y-95743042D01* +X92403727Y-95758000D01* +X92405200Y-95772958D01* +X92405200Y-95772965D01* +X92407977Y-95801156D01* +X92409611Y-95817751D01* +X92423201Y-95862549D01* +X92427040Y-95875205D01* +X92455342Y-95928156D01* +X92493432Y-95974568D01* +X92505061Y-95984112D01* +X93118892Y-96597944D01* +X93128432Y-96609568D01* +X93174843Y-96647658D01* +X93227794Y-96675960D01* +X93285249Y-96693389D01* +X93330034Y-96697800D01* +X93330042Y-96697800D01* +X93345000Y-96699273D01* +X93359958Y-96697800D01* +X108570042Y-96697800D01* +X108585000Y-96699273D01* +X108599958Y-96697800D01* +X108599966Y-96697800D01* +X108644751Y-96693389D01* +X108702206Y-96675960D01* +X108755157Y-96647658D01* +X108801568Y-96609568D01* +X108811112Y-96597939D01* +X111363963Y-94045088D01* +X117481400Y-94045088D01* +X117481400Y-94168912D01* +X117505556Y-94290356D01* +X117552941Y-94404754D01* +X117621734Y-94507709D01* +X117709291Y-94595266D01* +X117812246Y-94664059D01* +X117926644Y-94711444D01* +X118048088Y-94735600D01* +X118171912Y-94735600D01* +X118267434Y-94716600D01* +X118593685Y-94716600D01* +X118594458Y-94717542D01* +X118669045Y-94778754D01* +X118754141Y-94824239D01* +X118770401Y-94829171D01* +X118770401Y-95092561D01* +X118751400Y-95188088D01* +X118751400Y-95311912D01* +X118775556Y-95433356D01* +X118822941Y-95547754D01* +X118891734Y-95650709D01* +X118979291Y-95738266D01* +X119082246Y-95807059D01* +X119196644Y-95854444D01* +X119318088Y-95878600D01* +X119441912Y-95878600D01* +X119563356Y-95854444D01* +X119677754Y-95807059D01* +X119780709Y-95738266D01* +X119868266Y-95650709D01* +X119937059Y-95547754D01* +X119984444Y-95433356D01* +X120008600Y-95311912D01* +X120008600Y-95188088D01* +X119989600Y-95092566D01* +X119989600Y-94829171D01* +X120005859Y-94824239D01* +X120090955Y-94778754D01* +X120165542Y-94717542D01* +X120166315Y-94716600D01* +X120873566Y-94716600D01* +X120969088Y-94735600D01* +X121092912Y-94735600D01* +X121214356Y-94711444D01* +X121328754Y-94664059D01* +X121390294Y-94622939D01* +X121390294Y-95212000D01* +X121397590Y-95286077D01* +X121419197Y-95357307D01* +X121454286Y-95422953D01* +X121501507Y-95480493D01* +X121559047Y-95527714D01* +X121624693Y-95562803D01* +X121695923Y-95584410D01* +X121770000Y-95591706D01* +X122070000Y-95591706D01* +X122144077Y-95584410D01* +X122215307Y-95562803D01* +X122250201Y-95544152D01* +X122250201Y-95631747D01* +X121715061Y-96166888D01* +X121703432Y-96176432D01* +X121665342Y-96222844D01* +X121641924Y-96266658D01* +X121637040Y-96275795D01* +X121621314Y-96327637D01* +X121619611Y-96333250D01* +X121615200Y-96378035D01* +X121615200Y-96378042D01* +X121613727Y-96393000D01* +X121615200Y-96407958D01* +X121615201Y-98253649D01* +X121519291Y-98317734D01* +X121431734Y-98405291D01* +X121362941Y-98508246D01* +X121327293Y-98594308D01* +X121217493Y-98627615D01* +X121100931Y-98689918D01* +X120998764Y-98773764D01* +X120914918Y-98875931D01* +X120852615Y-98992493D01* +X120814249Y-99118969D01* +X120801294Y-99250500D01* +X120801294Y-100393500D01* +X120814249Y-100525031D01* +X120852615Y-100651507D01* +X120914918Y-100768069D01* +X120998764Y-100870236D01* +X121100931Y-100954082D01* +X121217493Y-101016385D01* +X121343969Y-101054751D01* +X121475500Y-101067706D01* +X122364500Y-101067706D01* +X122496031Y-101054751D01* +X122622507Y-101016385D01* +X122739069Y-100954082D01* +X122841236Y-100870236D01* +X122925082Y-100768069D01* +X122987385Y-100651507D01* +X123025751Y-100525031D01* +X123038706Y-100393500D01* +X123038706Y-99250500D01* +X123341294Y-99250500D01* +X123341294Y-100393500D01* +X123354249Y-100525031D01* +X123392615Y-100651507D01* +X123454918Y-100768069D01* +X123538764Y-100870236D01* +X123640931Y-100954082D01* +X123757493Y-101016385D01* +X123883969Y-101054751D01* +X124015500Y-101067706D01* +X124904500Y-101067706D01* +X125036031Y-101054751D01* +X125162507Y-101016385D01* +X125279069Y-100954082D01* +X125381236Y-100870236D01* +X125465082Y-100768069D01* +X125527385Y-100651507D01* +X125565751Y-100525031D01* +X125578706Y-100393500D01* +X125578706Y-99250500D01* +X125881294Y-99250500D01* +X125881294Y-100393500D01* +X125894249Y-100525031D01* +X125932615Y-100651507D01* +X125994918Y-100768069D01* +X126078764Y-100870236D01* +X126180931Y-100954082D01* +X126297493Y-101016385D01* +X126423969Y-101054751D01* +X126555500Y-101067706D01* +X127444500Y-101067706D01* +X127576031Y-101054751D01* +X127702507Y-101016385D01* +X127819069Y-100954082D01* +X127921236Y-100870236D01* +X128005082Y-100768069D01* +X128067385Y-100651507D01* +X128105751Y-100525031D01* +X128118706Y-100393500D01* +X128118706Y-99250500D01* +X128421294Y-99250500D01* +X128421294Y-100393500D01* +X128434249Y-100525031D01* +X128472615Y-100651507D01* +X128534918Y-100768069D01* +X128618764Y-100870236D01* +X128720931Y-100954082D01* +X128837493Y-101016385D01* +X128963969Y-101054751D01* +X129095500Y-101067706D01* +X129984500Y-101067706D01* +X130116031Y-101054751D01* +X130242507Y-101016385D01* +X130359069Y-100954082D01* +X130461236Y-100870236D01* +X130545082Y-100768069D01* +X130607385Y-100651507D01* +X130645751Y-100525031D01* +X130658706Y-100393500D01* +X130658706Y-99250500D01* +X130645751Y-99118969D01* +X130607385Y-98992493D01* +X130545082Y-98875931D01* +X130461236Y-98773764D01* +X130359069Y-98689918D01* +X130242507Y-98627615D01* +X130132707Y-98594308D01* +X130097059Y-98508246D01* +X130028266Y-98405291D01* +X129940709Y-98317734D01* +X129837754Y-98248941D01* +X129723356Y-98201556D01* +X129601912Y-98177400D01* +X129478088Y-98177400D01* +X129356644Y-98201556D01* +X129242246Y-98248941D01* +X129139291Y-98317734D01* +X129051734Y-98405291D01* +X128982941Y-98508246D01* +X128947293Y-98594308D01* +X128837493Y-98627615D01* +X128720931Y-98689918D01* +X128618764Y-98773764D01* +X128534918Y-98875931D01* +X128472615Y-98992493D01* +X128434249Y-99118969D01* +X128421294Y-99250500D01* +X128118706Y-99250500D01* +X128105751Y-99118969D01* +X128067385Y-98992493D01* +X128005082Y-98875931D01* +X127921236Y-98773764D01* +X127819069Y-98689918D01* +X127702507Y-98627615D01* +X127592707Y-98594308D01* +X127557059Y-98508246D01* +X127488266Y-98405291D01* +X127400709Y-98317734D01* +X127297754Y-98248941D01* +X127183356Y-98201556D01* +X127061912Y-98177400D01* +X126938088Y-98177400D01* +X126816644Y-98201556D01* +X126702246Y-98248941D01* +X126599291Y-98317734D01* +X126511734Y-98405291D01* +X126442941Y-98508246D01* +X126407293Y-98594308D01* +X126297493Y-98627615D01* +X126180931Y-98689918D01* +X126078764Y-98773764D01* +X125994918Y-98875931D01* +X125932615Y-98992493D01* +X125894249Y-99118969D01* +X125881294Y-99250500D01* +X125578706Y-99250500D01* +X125565751Y-99118969D01* +X125527385Y-98992493D01* +X125465082Y-98875931D01* +X125381236Y-98773764D01* +X125279069Y-98689918D01* +X125162507Y-98627615D01* +X125052707Y-98594308D01* +X125017059Y-98508246D01* +X124948266Y-98405291D01* +X124860709Y-98317734D01* +X124757754Y-98248941D01* +X124643356Y-98201556D01* +X124521912Y-98177400D01* +X124398088Y-98177400D01* +X124276644Y-98201556D01* +X124162246Y-98248941D01* +X124059291Y-98317734D01* +X123971734Y-98405291D01* +X123902941Y-98508246D01* +X123867293Y-98594308D01* +X123757493Y-98627615D01* +X123640931Y-98689918D01* +X123538764Y-98773764D01* +X123454918Y-98875931D01* +X123392615Y-98992493D01* +X123354249Y-99118969D01* +X123341294Y-99250500D01* +X123038706Y-99250500D01* +X123025751Y-99118969D01* +X122987385Y-98992493D01* +X122925082Y-98875931D01* +X122841236Y-98773764D01* +X122739069Y-98689918D01* +X122622507Y-98627615D01* +X122512707Y-98594308D01* +X122477059Y-98508246D01* +X122408266Y-98405291D01* +X122320709Y-98317734D01* +X122224800Y-98253649D01* +X122224800Y-96519251D01* +X122759944Y-95984108D01* +X122771568Y-95974568D01* +X122809658Y-95928157D01* +X122837960Y-95875206D01* +X122855389Y-95817751D01* +X122859800Y-95772966D01* +X122859800Y-95772958D01* +X122861273Y-95758000D01* +X122859800Y-95743042D01* +X122859800Y-95544152D01* +X122885201Y-95557729D01* +X122885201Y-96251032D01* +X122883727Y-96266000D01* +X122889611Y-96325751D01* +X122907040Y-96383205D01* +X122909900Y-96388555D01* +X122935343Y-96436157D01* +X122973433Y-96482568D01* +X122985057Y-96492108D01* +X124487891Y-97994943D01* +X124497432Y-98006568D01* +X124543843Y-98044658D01* +X124596794Y-98072960D01* +X124636819Y-98085102D01* +X124654248Y-98090389D01* +X124659889Y-98090945D01* +X124699034Y-98094800D01* +X124699041Y-98094800D01* +X124713999Y-98096273D01* +X124728957Y-98094800D01* +X130937749Y-98094800D01* +X131448902Y-98605953D01* +X131377493Y-98627615D01* +X131260931Y-98689918D01* +X131158764Y-98773764D01* +X131074918Y-98875931D01* +X131012615Y-98992493D01* +X130974249Y-99118969D01* +X130961294Y-99250500D01* +X130961294Y-100393500D01* +X130974249Y-100525031D01* +X131012615Y-100651507D01* +X131074918Y-100768069D01* +X131158764Y-100870236D01* +X131260931Y-100954082D01* +X131377493Y-101016385D01* +X131503969Y-101054751D01* +X131635500Y-101067706D01* +X132524500Y-101067706D01* +X132656031Y-101054751D01* +X132782507Y-101016385D01* +X132899069Y-100954082D01* +X133001236Y-100870236D01* +X133085082Y-100768069D01* +X133147385Y-100651507D01* +X133185751Y-100525031D01* +X133198706Y-100393500D01* +X133198706Y-99250500D01* +X133501294Y-99250500D01* +X133501294Y-100393500D01* +X133514249Y-100525031D01* +X133552615Y-100651507D01* +X133614918Y-100768069D01* +X133698764Y-100870236D01* +X133800931Y-100954082D01* +X133917493Y-101016385D01* +X134043969Y-101054751D01* +X134175500Y-101067706D01* +X135064500Y-101067706D01* +X135196031Y-101054751D01* +X135322507Y-101016385D01* +X135439069Y-100954082D01* +X135541236Y-100870236D01* +X135567691Y-100838000D01* +X136041294Y-100838000D01* +X136045708Y-100882813D01* +X136058779Y-100925905D01* +X136080006Y-100965618D01* +X136108573Y-101000427D01* +X136143382Y-101028994D01* +X136183095Y-101050221D01* +X136226187Y-101063292D01* +X136271000Y-101067706D01* +X137029050Y-101066600D01* +X137086200Y-101009450D01* +X137086200Y-99895800D01* +X137233800Y-99895800D01* +X137233800Y-101009450D01* +X137290950Y-101066600D01* +X138049000Y-101067706D01* +X138093813Y-101063292D01* +X138136905Y-101050221D01* +X138176618Y-101028994D01* +X138211427Y-101000427D01* +X138239994Y-100965618D01* +X138261221Y-100925905D01* +X138274292Y-100882813D01* +X138278706Y-100838000D01* +X138277600Y-99952950D01* +X138220450Y-99895800D01* +X137233800Y-99895800D01* +X137086200Y-99895800D01* +X136099550Y-99895800D01* +X136042400Y-99952950D01* +X136041294Y-100838000D01* +X135567691Y-100838000D01* +X135625082Y-100768069D01* +X135687385Y-100651507D01* +X135725751Y-100525031D01* +X135738706Y-100393500D01* +X135738706Y-99250500D01* +X135725751Y-99118969D01* +X135687385Y-98992493D01* +X135625082Y-98875931D01* +X135567692Y-98806000D01* +X136041294Y-98806000D01* +X136042400Y-99691050D01* +X136099550Y-99748200D01* +X137086200Y-99748200D01* +X137086200Y-99728200D01* +X137233800Y-99728200D01* +X137233800Y-99748200D01* +X138220450Y-99748200D01* +X138277600Y-99691050D01* +X138278706Y-98806000D01* +X138274292Y-98761187D01* +X138261221Y-98718095D01* +X138239994Y-98678382D01* +X138211427Y-98643573D01* +X138176618Y-98615006D01* +X138136905Y-98593779D01* +X138093813Y-98580708D01* +X138049000Y-98576294D01* +X137745300Y-98576737D01* +X137722998Y-98519637D01* +X137710888Y-98496978D01* +X137615046Y-98455323D01* +X137493264Y-98577105D01* +X137290950Y-98577400D01* +X137233802Y-98634548D01* +X137233802Y-98627829D01* +X137510677Y-98350954D01* +X137469022Y-98255112D01* +X137355611Y-98205410D01* +X137234683Y-98178789D01* +X137110885Y-98176271D01* +X136988975Y-98197953D01* +X136873637Y-98243002D01* +X136850978Y-98255112D01* +X136809323Y-98350954D01* +X137086198Y-98627829D01* +X137086198Y-98634548D01* +X137029050Y-98577400D01* +X136826736Y-98577105D01* +X136704954Y-98455323D01* +X136609112Y-98496978D01* +X136574158Y-98576736D01* +X136271000Y-98576294D01* +X136226187Y-98580708D01* +X136183095Y-98593779D01* +X136143382Y-98615006D01* +X136108573Y-98643573D01* +X136080006Y-98678382D01* +X136058779Y-98718095D01* +X136045708Y-98761187D01* +X136041294Y-98806000D01* +X135567692Y-98806000D01* +X135541236Y-98773764D01* +X135439069Y-98689918D01* +X135322507Y-98627615D01* +X135212707Y-98594308D01* +X135177059Y-98508246D01* +X135108266Y-98405291D01* +X135020709Y-98317734D01* +X134917754Y-98248941D01* +X134803356Y-98201556D01* +X134681912Y-98177400D01* +X134558088Y-98177400D01* +X134436644Y-98201556D01* +X134322246Y-98248941D01* +X134219291Y-98317734D01* +X134131734Y-98405291D01* +X134062941Y-98508246D01* +X134027293Y-98594308D01* +X133917493Y-98627615D01* +X133800931Y-98689918D01* +X133698764Y-98773764D01* +X133614918Y-98875931D01* +X133552615Y-98992493D01* +X133514249Y-99118969D01* +X133501294Y-99250500D01* +X133198706Y-99250500D01* +X133185751Y-99118969D01* +X133147385Y-98992493D01* +X133085082Y-98875931D01* +X133001236Y-98773764D01* +X132899069Y-98689918D01* +X132782507Y-98627615D01* +X132672707Y-98594308D01* +X132637059Y-98508246D01* +X132568266Y-98405291D01* +X132480709Y-98317734D01* +X132377754Y-98248941D01* +X132263356Y-98201556D01* +X132141912Y-98177400D01* +X132018088Y-98177400D01* +X131904955Y-98199903D01* +X131290112Y-97585061D01* +X131280568Y-97573432D01* +X131234157Y-97535342D01* +X131181206Y-97507040D01* +X131123751Y-97489611D01* +X131078966Y-97485200D01* +X131078958Y-97485200D01* +X131064000Y-97483727D01* +X131049042Y-97485200D01* +X124840252Y-97485200D01* +X123494800Y-96139749D01* +X123494800Y-95557729D01* +X123520201Y-95544152D01* +X123520201Y-95997032D01* +X123518727Y-96012000D01* +X123524611Y-96071751D01* +X123542040Y-96129205D01* +X123550401Y-96144847D01* +X123570343Y-96182157D01* +X123608433Y-96228568D01* +X123620057Y-96238108D01* +X124741891Y-97359943D01* +X124751432Y-97371568D01* +X124797843Y-97409658D01* +X124850794Y-97437960D01* +X124908248Y-97455389D01* +X124913889Y-97455945D01* +X124953034Y-97459800D01* +X124953041Y-97459800D01* +X124967999Y-97461273D01* +X124982957Y-97459800D01* +X137922749Y-97459800D01* +X139068902Y-98605953D01* +X138997493Y-98627615D01* +X138880931Y-98689918D01* +X138778764Y-98773764D01* +X138694918Y-98875931D01* +X138632615Y-98992493D01* +X138594249Y-99118969D01* +X138581294Y-99250500D01* +X138581294Y-100393500D01* +X138594249Y-100525031D01* +X138632615Y-100651507D01* +X138694918Y-100768069D01* +X138778764Y-100870236D01* +X138880931Y-100954082D01* +X138997493Y-101016385D01* +X139123969Y-101054751D01* +X139255500Y-101067706D01* +X140144500Y-101067706D01* +X140276031Y-101054751D01* +X140402507Y-101016385D01* +X140519069Y-100954082D01* +X140621236Y-100870236D01* +X140705082Y-100768069D01* +X140767385Y-100651507D01* +X140805751Y-100525031D01* +X140818706Y-100393500D01* +X140818706Y-99250500D01* +X141121294Y-99250500D01* +X141121294Y-100393500D01* +X141134249Y-100525031D01* +X141172615Y-100651507D01* +X141234918Y-100768069D01* +X141318764Y-100870236D01* +X141420931Y-100954082D01* +X141537493Y-101016385D01* +X141663969Y-101054751D01* +X141795500Y-101067706D01* +X142684500Y-101067706D01* +X142816031Y-101054751D01* +X142942507Y-101016385D01* +X143059069Y-100954082D01* +X143161236Y-100870236D01* +X143245082Y-100768069D01* +X143307385Y-100651507D01* +X143345751Y-100525031D01* +X143358706Y-100393500D01* +X143358706Y-99250500D01* +X143345751Y-99118969D01* +X143307385Y-98992493D01* +X143245082Y-98875931D01* +X143161236Y-98773764D01* +X143059069Y-98689918D01* +X142942507Y-98627615D01* +X142832707Y-98594308D01* +X142797059Y-98508246D01* +X142728266Y-98405291D01* +X142640709Y-98317734D01* +X142537754Y-98248941D01* +X142423356Y-98201556D01* +X142301912Y-98177400D01* +X142178088Y-98177400D01* +X142056644Y-98201556D01* +X141942246Y-98248941D01* +X141839291Y-98317734D01* +X141751734Y-98405291D01* +X141682941Y-98508246D01* +X141647293Y-98594308D01* +X141537493Y-98627615D01* +X141420931Y-98689918D01* +X141318764Y-98773764D01* +X141234918Y-98875931D01* +X141172615Y-98992493D01* +X141134249Y-99118969D01* +X141121294Y-99250500D01* +X140818706Y-99250500D01* +X140805751Y-99118969D01* +X140767385Y-98992493D01* +X140705082Y-98875931D01* +X140621236Y-98773764D01* +X140519069Y-98689918D01* +X140402507Y-98627615D01* +X140292707Y-98594308D01* +X140257059Y-98508246D01* +X140188266Y-98405291D01* +X140100709Y-98317734D01* +X139997754Y-98248941D01* +X139883356Y-98201556D01* +X139761912Y-98177400D01* +X139638088Y-98177400D01* +X139524955Y-98199903D01* +X138275112Y-96950061D01* +X138265568Y-96938432D01* +X138219157Y-96900342D01* +X138166206Y-96872040D01* +X138108751Y-96854611D01* +X138063966Y-96850200D01* +X138063958Y-96850200D01* +X138049000Y-96848727D01* +X138034042Y-96850200D01* +X125094252Y-96850200D01* +X124129800Y-95885749D01* +X124129800Y-95544152D01* +X124155201Y-95557729D01* +X124155201Y-95743032D01* +X124153727Y-95758000D01* +X124159611Y-95817751D01* +X124177040Y-95875205D01* +X124182979Y-95886316D01* +X124205343Y-95928157D01* +X124223596Y-95950397D01* +X124231149Y-95959600D01* +X124243433Y-95974568D01* +X124255057Y-95984108D01* +X124995891Y-96724943D01* +X125005432Y-96736568D01* +X125051843Y-96774658D01* +X125102796Y-96801892D01* +X125104794Y-96802960D01* +X125162248Y-96820389D01* +X125167403Y-96820897D01* +X125207034Y-96824800D01* +X125207042Y-96824800D01* +X125222000Y-96826273D01* +X125236958Y-96824800D01* +X142367749Y-96824800D01* +X144148902Y-98605953D01* +X144077493Y-98627615D01* +X143960931Y-98689918D01* +X143858764Y-98773764D01* +X143774918Y-98875931D01* +X143712615Y-98992493D01* +X143674249Y-99118969D01* +X143661294Y-99250500D01* +X143661294Y-100393500D01* +X143674249Y-100525031D01* +X143712615Y-100651507D01* +X143774918Y-100768069D01* +X143858764Y-100870236D01* +X143960931Y-100954082D01* +X144077493Y-101016385D01* +X144203969Y-101054751D01* +X144335500Y-101067706D01* +X145224500Y-101067706D01* +X145356031Y-101054751D01* +X145482507Y-101016385D01* +X145599069Y-100954082D01* +X145701236Y-100870236D01* +X145785082Y-100768069D01* +X145847385Y-100651507D01* +X145885751Y-100525031D01* +X145898706Y-100393500D01* +X145898706Y-99250500D01* +X146201294Y-99250500D01* +X146201294Y-100393500D01* +X146214249Y-100525031D01* +X146252615Y-100651507D01* +X146314918Y-100768069D01* +X146398764Y-100870236D01* +X146500931Y-100954082D01* +X146617493Y-101016385D01* +X146743969Y-101054751D01* +X146875500Y-101067706D01* +X147764500Y-101067706D01* +X147896031Y-101054751D01* +X148022507Y-101016385D01* +X148139069Y-100954082D01* +X148241236Y-100870236D01* +X148325082Y-100768069D01* +X148387385Y-100651507D01* +X148425751Y-100525031D01* +X148438706Y-100393500D01* +X148438706Y-99250500D01* +X148741294Y-99250500D01* +X148741294Y-100393500D01* +X148754249Y-100525031D01* +X148792615Y-100651507D01* +X148854918Y-100768069D01* +X148938764Y-100870236D01* +X149040931Y-100954082D01* +X149157493Y-101016385D01* +X149283969Y-101054751D01* +X149415500Y-101067706D01* +X150304500Y-101067706D01* +X150436031Y-101054751D01* +X150562507Y-101016385D01* +X150679069Y-100954082D01* +X150781236Y-100870236D01* +X150865082Y-100768069D01* +X150927385Y-100651507D01* +X150965751Y-100525031D01* +X150978706Y-100393500D01* +X150978706Y-99250500D01* +X151281294Y-99250500D01* +X151281294Y-100393500D01* +X151294249Y-100525031D01* +X151332615Y-100651507D01* +X151394918Y-100768069D01* +X151478764Y-100870236D01* +X151580931Y-100954082D01* +X151697493Y-101016385D01* +X151823969Y-101054751D01* +X151955500Y-101067706D01* +X152844500Y-101067706D01* +X152976031Y-101054751D01* +X153102507Y-101016385D01* +X153219069Y-100954082D01* +X153321236Y-100870236D01* +X153405082Y-100768069D01* +X153467385Y-100651507D01* +X153505751Y-100525031D01* +X153518706Y-100393500D01* +X153518706Y-99250500D01* +X153821294Y-99250500D01* +X153821294Y-100393500D01* +X153834249Y-100525031D01* +X153872615Y-100651507D01* +X153934918Y-100768069D01* +X154018764Y-100870236D01* +X154120931Y-100954082D01* +X154237493Y-101016385D01* +X154363969Y-101054751D01* +X154495500Y-101067706D01* +X155384500Y-101067706D01* +X155516031Y-101054751D01* +X155642507Y-101016385D01* +X155759069Y-100954082D01* +X155861236Y-100870236D01* +X155945082Y-100768069D01* +X156007385Y-100651507D01* +X156045751Y-100525031D01* +X156058706Y-100393500D01* +X156058706Y-99250500D01* +X156045751Y-99118969D01* +X156007385Y-98992493D01* +X155945082Y-98875931D01* +X155861236Y-98773764D01* +X155759069Y-98689918D01* +X155642507Y-98627615D01* +X155532707Y-98594308D01* +X155497059Y-98508246D01* +X155428266Y-98405291D01* +X155340709Y-98317734D01* +X155237754Y-98248941D01* +X155123356Y-98201556D01* +X155001912Y-98177400D01* +X154878088Y-98177400D01* +X154756644Y-98201556D01* +X154642246Y-98248941D01* +X154539291Y-98317734D01* +X154451734Y-98405291D01* +X154382941Y-98508246D01* +X154347293Y-98594308D01* +X154237493Y-98627615D01* +X154120931Y-98689918D01* +X154018764Y-98773764D01* +X153934918Y-98875931D01* +X153872615Y-98992493D01* +X153834249Y-99118969D01* +X153821294Y-99250500D01* +X153518706Y-99250500D01* +X153505751Y-99118969D01* +X153467385Y-98992493D01* +X153405082Y-98875931D01* +X153321236Y-98773764D01* +X153219069Y-98689918D01* +X153102507Y-98627615D01* +X152992707Y-98594308D01* +X152957059Y-98508246D01* +X152888266Y-98405291D01* +X152800709Y-98317734D01* +X152697754Y-98248941D01* +X152583356Y-98201556D01* +X152461912Y-98177400D01* +X152338088Y-98177400D01* +X152216644Y-98201556D01* +X152102246Y-98248941D01* +X151999291Y-98317734D01* +X151911734Y-98405291D01* +X151842941Y-98508246D01* +X151807293Y-98594308D01* +X151697493Y-98627615D01* +X151580931Y-98689918D01* +X151478764Y-98773764D01* +X151394918Y-98875931D01* +X151332615Y-98992493D01* +X151294249Y-99118969D01* +X151281294Y-99250500D01* +X150978706Y-99250500D01* +X150965751Y-99118969D01* +X150927385Y-98992493D01* +X150865082Y-98875931D01* +X150781236Y-98773764D01* +X150679069Y-98689918D01* +X150562507Y-98627615D01* +X150452707Y-98594308D01* +X150417059Y-98508246D01* +X150348266Y-98405291D01* +X150260709Y-98317734D01* +X150157754Y-98248941D01* +X150043356Y-98201556D01* +X149921912Y-98177400D01* +X149798088Y-98177400D01* +X149676644Y-98201556D01* +X149562246Y-98248941D01* +X149459291Y-98317734D01* +X149371734Y-98405291D01* +X149302941Y-98508246D01* +X149267293Y-98594308D01* +X149157493Y-98627615D01* +X149040931Y-98689918D01* +X148938764Y-98773764D01* +X148854918Y-98875931D01* +X148792615Y-98992493D01* +X148754249Y-99118969D01* +X148741294Y-99250500D01* +X148438706Y-99250500D01* +X148425751Y-99118969D01* +X148387385Y-98992493D01* +X148325082Y-98875931D01* +X148241236Y-98773764D01* +X148139069Y-98689918D01* +X148022507Y-98627615D01* +X147912707Y-98594308D01* +X147877059Y-98508246D01* +X147808266Y-98405291D01* +X147720709Y-98317734D01* +X147617754Y-98248941D01* +X147503356Y-98201556D01* +X147381912Y-98177400D01* +X147258088Y-98177400D01* +X147136644Y-98201556D01* +X147022246Y-98248941D01* +X146919291Y-98317734D01* +X146831734Y-98405291D01* +X146762941Y-98508246D01* +X146727293Y-98594308D01* +X146617493Y-98627615D01* +X146500931Y-98689918D01* +X146398764Y-98773764D01* +X146314918Y-98875931D01* +X146252615Y-98992493D01* +X146214249Y-99118969D01* +X146201294Y-99250500D01* +X145898706Y-99250500D01* +X145885751Y-99118969D01* +X145847385Y-98992493D01* +X145785082Y-98875931D01* +X145701236Y-98773764D01* +X145599069Y-98689918D01* +X145482507Y-98627615D01* +X145372707Y-98594308D01* +X145337059Y-98508246D01* +X145268266Y-98405291D01* +X145180709Y-98317734D01* +X145077754Y-98248941D01* +X144963356Y-98201556D01* +X144841912Y-98177400D01* +X144718088Y-98177400D01* +X144604955Y-98199903D01* +X142720112Y-96315061D01* +X142710568Y-96303432D01* +X142664157Y-96265342D01* +X142611206Y-96237040D01* +X142553751Y-96219611D01* +X142508966Y-96215200D01* +X142508958Y-96215200D01* +X142494000Y-96213727D01* +X142479042Y-96215200D01* +X125348252Y-96215200D01* +X124764800Y-95631749D01* +X124764800Y-95557729D01* +X124820953Y-95527714D01* +X124878493Y-95480493D01* +X124925714Y-95422953D01* +X124960803Y-95357307D01* +X124982410Y-95286077D01* +X124989706Y-95212000D01* +X124989706Y-91512000D01* +X125200294Y-91512000D01* +X125200294Y-95212000D01* +X125207590Y-95286077D01* +X125229197Y-95357307D01* +X125264286Y-95422953D01* +X125311507Y-95480493D01* +X125369047Y-95527714D01* +X125434693Y-95562803D01* +X125505923Y-95584410D01* +X125580000Y-95591706D01* +X125880000Y-95591706D01* +X125954077Y-95584410D01* +X126025307Y-95562803D01* +X126090953Y-95527714D01* +X126148493Y-95480493D01* +X126195714Y-95422953D01* +X126230803Y-95357307D01* +X126252410Y-95286077D01* +X126259706Y-95212000D01* +X126259706Y-91512000D01* +X126470294Y-91512000D01* +X126470294Y-95212000D01* +X126477590Y-95286077D01* +X126499197Y-95357307D01* +X126534286Y-95422953D01* +X126581507Y-95480493D01* +X126639047Y-95527714D01* +X126704693Y-95562803D01* +X126775923Y-95584410D01* +X126850000Y-95591706D01* +X127150000Y-95591706D01* +X127224077Y-95584410D01* +X127295307Y-95562803D01* +X127360953Y-95527714D01* +X127418493Y-95480493D01* +X127465714Y-95422953D01* +X127500803Y-95357307D01* +X127522410Y-95286077D01* +X127529706Y-95212000D01* +X127529706Y-91512000D01* +X127740294Y-91512000D01* +X127740294Y-95212000D01* +X127747590Y-95286077D01* +X127769197Y-95357307D01* +X127804286Y-95422953D01* +X127851507Y-95480493D01* +X127909047Y-95527714D01* +X127974693Y-95562803D01* +X128045923Y-95584410D01* +X128120000Y-95591706D01* +X128420000Y-95591706D01* +X128494077Y-95584410D01* +X128565307Y-95562803D01* +X128630953Y-95527714D01* +X128688493Y-95480493D01* +X128735714Y-95422953D01* +X128770803Y-95357307D01* +X128792410Y-95286077D01* +X128799706Y-95212000D01* +X128799706Y-91512000D01* +X130280294Y-91512000D01* +X130280294Y-95212000D01* +X130287590Y-95286077D01* +X130309197Y-95357307D01* +X130344286Y-95422953D01* +X130391507Y-95480493D01* +X130449047Y-95527714D01* +X130514693Y-95562803D01* +X130585923Y-95584410D01* +X130660000Y-95591706D01* +X130960000Y-95591706D01* +X131034077Y-95584410D01* +X131105307Y-95562803D01* +X131170953Y-95527714D01* +X131228493Y-95480493D01* +X131275714Y-95422953D01* +X131310803Y-95357307D01* +X131332410Y-95286077D01* +X131339706Y-95212000D01* +X131339706Y-91512000D01* +X131550294Y-91512000D01* +X131550294Y-95212000D01* +X131557590Y-95286077D01* +X131579197Y-95357307D01* +X131614286Y-95422953D01* +X131661507Y-95480493D01* +X131719047Y-95527714D01* +X131784693Y-95562803D01* +X131855923Y-95584410D01* +X131930000Y-95591706D01* +X132230000Y-95591706D01* +X132304077Y-95584410D01* +X132375307Y-95562803D01* +X132440953Y-95527714D01* +X132498493Y-95480493D01* +X132545714Y-95422953D01* +X132580803Y-95357307D01* +X132602410Y-95286077D01* +X132609706Y-95212000D01* +X132609706Y-91512000D01* +X132820294Y-91512000D01* +X132820294Y-95212000D01* +X132827590Y-95286077D01* +X132849197Y-95357307D01* +X132884286Y-95422953D01* +X132931507Y-95480493D01* +X132989047Y-95527714D01* +X133054693Y-95562803D01* +X133125923Y-95584410D01* +X133200000Y-95591706D01* +X133500000Y-95591706D01* +X133574077Y-95584410D01* +X133645307Y-95562803D01* +X133710953Y-95527714D01* +X133768493Y-95480493D01* +X133815714Y-95422953D01* +X133850803Y-95357307D01* +X133872410Y-95286077D01* +X133879706Y-95212000D01* +X133879706Y-91512000D01* +X134090294Y-91512000D01* +X134090294Y-95212000D01* +X134097590Y-95286077D01* +X134119197Y-95357307D01* +X134154286Y-95422953D01* +X134201507Y-95480493D01* +X134259047Y-95527714D01* +X134324693Y-95562803D01* +X134395923Y-95584410D01* +X134470000Y-95591706D01* +X134770000Y-95591706D01* +X134844077Y-95584410D01* +X134915307Y-95562803D01* +X134980953Y-95527714D01* +X135038493Y-95480493D01* +X135085714Y-95422953D01* +X135120803Y-95357307D01* +X135142410Y-95286077D01* +X135149706Y-95212000D01* +X135149706Y-91512000D01* +X135360294Y-91512000D01* +X135360294Y-95212000D01* +X135367590Y-95286077D01* +X135389197Y-95357307D01* +X135424286Y-95422953D01* +X135471507Y-95480493D01* +X135529047Y-95527714D01* +X135594693Y-95562803D01* +X135665923Y-95584410D01* +X135740000Y-95591706D01* +X136040000Y-95591706D01* +X136114077Y-95584410D01* +X136185307Y-95562803D01* +X136250953Y-95527714D01* +X136308493Y-95480493D01* +X136355714Y-95422953D01* +X136390803Y-95357307D01* +X136412410Y-95286077D01* +X136419706Y-95212000D01* +X136419706Y-91512000D01* +X136630294Y-91512000D01* +X136630294Y-95212000D01* +X136637590Y-95286077D01* +X136659197Y-95357307D01* +X136694286Y-95422953D01* +X136741507Y-95480493D01* +X136799047Y-95527714D01* +X136864693Y-95562803D01* +X136935923Y-95584410D01* +X137010000Y-95591706D01* +X137310000Y-95591706D01* +X137384077Y-95584410D01* +X137455307Y-95562803D01* +X137520953Y-95527714D01* +X137578493Y-95480493D01* +X137625714Y-95422953D01* +X137660803Y-95357307D01* +X137682410Y-95286077D01* +X137689706Y-95212000D01* +X137689706Y-94622939D01* +X137751246Y-94664059D01* +X137865644Y-94711444D01* +X137987088Y-94735600D01* +X138110912Y-94735600D01* +X138206434Y-94716600D01* +X138913685Y-94716600D01* +X138914458Y-94717542D01* +X138989045Y-94778754D01* +X139074141Y-94824239D01* +X139090401Y-94829171D01* +X139090401Y-95092561D01* +X139071400Y-95188088D01* +X139071400Y-95311912D01* +X139095556Y-95433356D01* +X139142941Y-95547754D01* +X139211734Y-95650709D01* +X139299291Y-95738266D01* +X139402246Y-95807059D01* +X139516644Y-95854444D01* +X139638088Y-95878600D01* +X139761912Y-95878600D01* +X139883356Y-95854444D01* +X139997754Y-95807059D01* +X140100709Y-95738266D01* +X140188266Y-95650709D01* +X140257059Y-95547754D01* +X140304444Y-95433356D01* +X140328600Y-95311912D01* +X140328600Y-95188088D01* +X140309600Y-95092566D01* +X140309600Y-94829171D01* +X140325859Y-94824239D01* +X140410955Y-94778754D01* +X140485542Y-94717542D01* +X140486315Y-94716600D01* +X140812566Y-94716600D01* +X140908088Y-94735600D01* +X141031912Y-94735600D01* +X141153356Y-94711444D01* +X141267754Y-94664059D01* +X141370709Y-94595266D01* +X141458266Y-94507709D01* +X141527059Y-94404754D01* +X141574444Y-94290356D01* +X141598600Y-94168912D01* +X141598600Y-94107000D01* +X155578359Y-94107000D01* +X155581400Y-94137875D01* +X155581400Y-94168912D01* +X155587455Y-94199355D01* +X155590496Y-94230227D01* +X155599500Y-94259909D01* +X155605556Y-94290356D01* +X155617436Y-94319038D01* +X155626440Y-94348719D01* +X155641061Y-94376072D01* +X155652941Y-94404754D01* +X155670189Y-94430567D01* +X155684810Y-94457921D01* +X155704489Y-94481900D01* +X155721734Y-94507709D01* +X155743679Y-94529654D01* +X155763362Y-94553638D01* +X155787346Y-94573321D01* +X155809291Y-94595266D01* +X155835100Y-94612511D01* +X155859079Y-94632190D01* +X155886433Y-94646811D01* +X155912246Y-94664059D01* +X155940928Y-94675939D01* +X155968281Y-94690560D01* +X155997962Y-94699564D01* +X156026644Y-94711444D01* +X156057091Y-94717500D01* +X156086773Y-94726504D01* +X156117645Y-94729545D01* +X156148088Y-94735600D01* +X156716462Y-94735600D01* +X156769045Y-94778754D01* +X156827942Y-94810235D01* +X156758470Y-94894888D01* +X156678279Y-95044916D01* +X156628897Y-95207705D01* +X156616401Y-95334580D01* +X156616400Y-98726463D01* +X156558764Y-98773764D01* +X156474918Y-98875931D01* +X156412615Y-98992493D01* +X156374249Y-99118969D01* +X156361294Y-99250500D01* +X156361294Y-100393500D01* +X156374249Y-100525031D01* +X156412615Y-100651507D01* +X156474918Y-100768069D01* +X156558764Y-100870236D01* +X156660931Y-100954082D01* +X156777493Y-101016385D01* +X156903969Y-101054751D01* +X157035500Y-101067706D01* +X157924500Y-101067706D01* +X158056031Y-101054751D01* +X158182507Y-101016385D01* +X158299069Y-100954082D01* +X158401236Y-100870236D01* +X158485082Y-100768069D01* +X158547385Y-100651507D01* +X158585751Y-100525031D01* +X158598706Y-100393500D01* +X158598706Y-99250500D01* +X158585751Y-99118969D01* +X158547385Y-98992493D01* +X158485082Y-98875931D01* +X158401236Y-98773764D01* +X158343600Y-98726464D01* +X158343600Y-95334580D01* +X158331104Y-95207705D01* +X158281722Y-95044916D01* +X158201531Y-94894888D01* +X158132058Y-94810235D01* +X158190955Y-94778754D01* +X158243538Y-94735600D01* +X158811912Y-94735600D01* +X158842355Y-94729545D01* +X158873227Y-94726504D01* +X158902909Y-94717500D01* +X158933356Y-94711444D01* +X158962038Y-94699564D01* +X158991719Y-94690560D01* +X159019072Y-94675939D01* +X159047754Y-94664059D01* +X159073567Y-94646811D01* +X159100921Y-94632190D01* +X159124900Y-94612511D01* +X159150709Y-94595266D01* +X159172654Y-94573321D01* +X159196638Y-94553638D01* +X159216321Y-94529654D01* +X159238266Y-94507709D01* +X159255511Y-94481900D01* +X159275190Y-94457921D01* +X159289811Y-94430567D01* +X159307059Y-94404754D01* +X159318939Y-94376072D01* +X159333560Y-94348719D01* +X159342564Y-94319038D01* +X159354444Y-94290356D01* +X159360500Y-94259909D01* +X159369504Y-94230227D01* +X159372545Y-94199355D01* +X159378600Y-94168912D01* +X159378600Y-94137875D01* +X159381641Y-94107000D01* +X159378600Y-94076125D01* +X159378600Y-94045088D01* +X159372545Y-94014645D01* +X159369504Y-93983773D01* +X159360500Y-93954091D01* +X159354444Y-93923644D01* +X159342564Y-93894962D01* +X159333560Y-93865281D01* +X159318939Y-93837928D01* +X159307059Y-93809246D01* +X159289811Y-93783433D01* +X159275190Y-93756079D01* +X159255511Y-93732100D01* +X159238266Y-93706291D01* +X159216321Y-93684346D01* +X159196638Y-93660362D01* +X159172654Y-93640679D01* +X159150709Y-93618734D01* +X159124900Y-93601489D01* +X159100921Y-93581810D01* +X159073567Y-93567189D01* +X159047754Y-93549941D01* +X159019072Y-93538061D01* +X158991719Y-93523440D01* +X158962038Y-93514436D01* +X158933356Y-93502556D01* +X158902909Y-93496500D01* +X158873227Y-93487496D01* +X158842355Y-93484455D01* +X158811912Y-93478400D01* +X158243538Y-93478400D01* +X158190955Y-93435246D01* +X158105859Y-93389761D01* +X158013525Y-93361752D01* +X157917500Y-93352294D01* +X157042500Y-93352294D01* +X156946475Y-93361752D01* +X156854141Y-93389761D01* +X156769045Y-93435246D01* +X156716462Y-93478400D01* +X156148088Y-93478400D01* +X156117645Y-93484455D01* +X156086773Y-93487496D01* +X156057091Y-93496500D01* +X156026644Y-93502556D01* +X155997962Y-93514436D01* +X155968281Y-93523440D01* +X155940928Y-93538061D01* +X155912246Y-93549941D01* +X155886433Y-93567189D01* +X155859079Y-93581810D01* +X155835100Y-93601489D01* +X155809291Y-93618734D01* +X155787346Y-93640679D01* +X155763362Y-93660362D01* +X155743679Y-93684346D01* +X155721734Y-93706291D01* +X155704489Y-93732100D01* +X155684810Y-93756079D01* +X155670189Y-93783433D01* +X155652941Y-93809246D01* +X155641061Y-93837928D01* +X155626440Y-93865281D01* +X155617436Y-93894962D01* +X155605556Y-93923644D01* +X155599500Y-93954091D01* +X155590496Y-93983773D01* +X155587455Y-94014645D01* +X155581400Y-94045088D01* +X155581400Y-94076125D01* +X155578359Y-94107000D01* +X141598600Y-94107000D01* +X141598600Y-94045088D01* +X141574444Y-93923644D01* +X141527059Y-93809246D01* +X141458266Y-93706291D01* +X141370709Y-93618734D01* +X141267754Y-93549941D01* +X141153356Y-93502556D01* +X141031912Y-93478400D01* +X140908088Y-93478400D01* +X140812566Y-93497400D01* +X140486315Y-93497400D01* +X140485542Y-93496458D01* +X140410955Y-93435246D01* +X140325859Y-93389761D01* +X140233525Y-93361752D01* +X140137500Y-93352294D01* +X139262500Y-93352294D01* +X139166475Y-93361752D01* +X139074141Y-93389761D01* +X138989045Y-93435246D01* +X138914458Y-93496458D01* +X138913685Y-93497400D01* +X138206434Y-93497400D01* +X138110912Y-93478400D01* +X137987088Y-93478400D01* +X137865644Y-93502556D01* +X137751246Y-93549941D01* +X137689706Y-93591061D01* +X137689706Y-92932000D01* +X138770294Y-92932000D01* +X138774708Y-92976813D01* +X138787779Y-93019905D01* +X138809006Y-93059618D01* +X138837573Y-93094427D01* +X138872382Y-93122994D01* +X138912095Y-93144221D01* +X138955187Y-93157292D01* +X139000000Y-93161706D01* +X139569050Y-93160600D01* +X139626200Y-93103450D01* +X139626200Y-92480800D01* +X139773800Y-92480800D01* +X139773800Y-93103450D01* +X139830950Y-93160600D01* +X140400000Y-93161706D01* +X140444813Y-93157292D01* +X140487905Y-93144221D01* +X140527618Y-93122994D01* +X140562427Y-93094427D01* +X140590994Y-93059618D01* +X140612221Y-93019905D01* +X140625292Y-92976813D01* +X140629706Y-92932000D01* +X156550294Y-92932000D01* +X156554708Y-92976813D01* +X156567779Y-93019905D01* +X156589006Y-93059618D01* +X156617573Y-93094427D01* +X156652382Y-93122994D01* +X156692095Y-93144221D01* +X156735187Y-93157292D01* +X156780000Y-93161706D01* +X157349050Y-93160600D01* +X157406200Y-93103450D01* +X157406200Y-92480800D01* +X157553800Y-92480800D01* +X157553800Y-93103450D01* +X157610950Y-93160600D01* +X158180000Y-93161706D01* +X158224813Y-93157292D01* +X158267905Y-93144221D01* +X158307618Y-93122994D01* +X158342427Y-93094427D01* +X158370994Y-93059618D01* +X158392221Y-93019905D01* +X158405292Y-92976813D01* +X158409706Y-92932000D01* +X158408600Y-92537950D01* +X158351450Y-92480800D01* +X157553800Y-92480800D01* +X157406200Y-92480800D01* +X156608550Y-92480800D01* +X156551400Y-92537950D01* +X156550294Y-92932000D01* +X140629706Y-92932000D01* +X140628600Y-92537950D01* +X140571450Y-92480800D01* +X139773800Y-92480800D01* +X139626200Y-92480800D01* +X138828550Y-92480800D01* +X138771400Y-92537950D01* +X138770294Y-92932000D01* +X137689706Y-92932000D01* +X137689706Y-91882000D01* +X138770294Y-91882000D01* +X138771400Y-92276050D01* +X138828550Y-92333200D01* +X139626200Y-92333200D01* +X139626200Y-91710550D01* +X139773800Y-91710550D01* +X139773800Y-92333200D01* +X140571450Y-92333200D01* +X140628600Y-92276050D01* +X140629706Y-91882000D01* +X156550294Y-91882000D01* +X156551400Y-92276050D01* +X156608550Y-92333200D01* +X157406200Y-92333200D01* +X157406200Y-91710550D01* +X157553800Y-91710550D01* +X157553800Y-92333200D01* +X158351450Y-92333200D01* +X158408600Y-92276050D01* +X158409706Y-91882000D01* +X158405292Y-91837187D01* +X158392221Y-91794095D01* +X158370994Y-91754382D01* +X158342427Y-91719573D01* +X158307618Y-91691006D01* +X158267905Y-91669779D01* +X158224813Y-91656708D01* +X158180000Y-91652294D01* +X157610950Y-91653400D01* +X157553800Y-91710550D01* +X157406200Y-91710550D01* +X157349050Y-91653400D01* +X156780000Y-91652294D01* +X156735187Y-91656708D01* +X156692095Y-91669779D01* +X156652382Y-91691006D01* +X156617573Y-91719573D01* +X156589006Y-91754382D01* +X156567779Y-91794095D01* +X156554708Y-91837187D01* +X156550294Y-91882000D01* +X140629706Y-91882000D01* +X140625292Y-91837187D01* +X140612221Y-91794095D01* +X140590994Y-91754382D01* +X140562427Y-91719573D01* +X140527618Y-91691006D01* +X140487905Y-91669779D01* +X140444813Y-91656708D01* +X140400000Y-91652294D01* +X139830950Y-91653400D01* +X139773800Y-91710550D01* +X139626200Y-91710550D01* +X139569050Y-91653400D01* +X139000000Y-91652294D01* +X138955187Y-91656708D01* +X138912095Y-91669779D01* +X138872382Y-91691006D01* +X138837573Y-91719573D01* +X138809006Y-91754382D01* +X138787779Y-91794095D01* +X138774708Y-91837187D01* +X138770294Y-91882000D01* +X137689706Y-91882000D01* +X137689706Y-91512000D01* +X137685243Y-91466683D01* +X159823586Y-91466683D01* +X159865162Y-91837340D01* +X159977940Y-92192862D01* +X160157626Y-92519708D01* +X160397373Y-92805429D01* +X160688052Y-93039140D01* +X161018589Y-93211941D01* +X161376396Y-93317249D01* +X161747842Y-93351053D01* +X162118780Y-93312066D01* +X162475081Y-93201773D01* +X162803174Y-93024374D01* +X163090561Y-92786626D01* +X163326296Y-92497587D01* +X163501400Y-92168264D01* +X163609203Y-91811201D01* +X163645600Y-91440000D01* +X163644855Y-91386639D01* +X163598108Y-91016599D01* +X163480377Y-90662686D01* +X163296146Y-90338380D01* +X163052432Y-90056035D01* +X162758519Y-89826405D01* +X162425601Y-89658236D01* +X162066359Y-89557934D01* +X161694477Y-89529319D01* +X161324119Y-89573482D01* +X160969393Y-89688739D01* +X160643809Y-89870702D01* +X160359770Y-90112438D01* +X160128093Y-90404741D01* +X159957604Y-90736477D01* +X159854797Y-91095010D01* +X159823586Y-91466683D01* +X137685243Y-91466683D01* +X137682410Y-91437923D01* +X137660803Y-91366693D01* +X137625714Y-91301047D01* +X137578493Y-91243507D01* +X137520953Y-91196286D01* +X137455307Y-91161197D01* +X137384077Y-91139590D01* +X137310000Y-91132294D01* +X137010000Y-91132294D01* +X136935923Y-91139590D01* +X136864693Y-91161197D01* +X136799047Y-91196286D01* +X136741507Y-91243507D01* +X136694286Y-91301047D01* +X136659197Y-91366693D01* +X136637590Y-91437923D01* +X136630294Y-91512000D01* +X136419706Y-91512000D01* +X136412410Y-91437923D01* +X136390803Y-91366693D01* +X136355714Y-91301047D01* +X136308493Y-91243507D01* +X136278644Y-91219011D01* +X136317674Y-91160597D01* +X136354054Y-91072769D01* +X136372600Y-90979532D01* +X136372600Y-90884468D01* +X136354054Y-90791231D01* +X136317674Y-90703403D01* +X136264860Y-90624360D01* +X136197640Y-90557140D01* +X136118597Y-90504326D01* +X136030769Y-90467946D01* +X135937532Y-90449400D01* +X135842468Y-90449400D01* +X135749231Y-90467946D01* +X135661403Y-90504326D01* +X135582360Y-90557140D01* +X135515140Y-90624360D01* +X135462326Y-90703403D01* +X135425946Y-90791231D01* +X135407400Y-90884468D01* +X135407400Y-90979532D01* +X135425946Y-91072769D01* +X135462326Y-91160597D01* +X135501356Y-91219011D01* +X135471507Y-91243507D01* +X135424286Y-91301047D01* +X135389197Y-91366693D01* +X135367590Y-91437923D01* +X135360294Y-91512000D01* +X135149706Y-91512000D01* +X135142410Y-91437923D01* +X135120803Y-91366693D01* +X135085714Y-91301047D01* +X135038493Y-91243507D01* +X135008644Y-91219011D01* +X135047674Y-91160597D01* +X135084054Y-91072769D01* +X135102600Y-90979532D01* +X135102600Y-90884468D01* +X135084054Y-90791231D01* +X135047674Y-90703403D01* +X134994860Y-90624360D01* +X134927640Y-90557140D01* +X134848597Y-90504326D01* +X134760769Y-90467946D01* +X134667532Y-90449400D01* +X134572468Y-90449400D01* +X134479231Y-90467946D01* +X134391403Y-90504326D01* +X134312360Y-90557140D01* +X134245140Y-90624360D01* +X134192326Y-90703403D01* +X134155946Y-90791231D01* +X134137400Y-90884468D01* +X134137400Y-90979532D01* +X134155946Y-91072769D01* +X134192326Y-91160597D01* +X134231356Y-91219011D01* +X134201507Y-91243507D01* +X134154286Y-91301047D01* +X134119197Y-91366693D01* +X134097590Y-91437923D01* +X134090294Y-91512000D01* +X133879706Y-91512000D01* +X133872410Y-91437923D01* +X133850803Y-91366693D01* +X133815714Y-91301047D01* +X133768493Y-91243507D01* +X133738644Y-91219011D01* +X133777674Y-91160597D01* +X133814054Y-91072769D01* +X133832600Y-90979532D01* +X133832600Y-90884468D01* +X133814054Y-90791231D01* +X133777674Y-90703403D01* +X133724860Y-90624360D01* +X133657640Y-90557140D01* +X133578597Y-90504326D01* +X133490769Y-90467946D01* +X133397532Y-90449400D01* +X133302468Y-90449400D01* +X133209231Y-90467946D01* +X133121403Y-90504326D01* +X133042360Y-90557140D01* +X132975140Y-90624360D01* +X132922326Y-90703403D01* +X132885946Y-90791231D01* +X132867400Y-90884468D01* +X132867400Y-90979532D01* +X132885946Y-91072769D01* +X132922326Y-91160597D01* +X132961356Y-91219011D01* +X132931507Y-91243507D01* +X132884286Y-91301047D01* +X132849197Y-91366693D01* +X132827590Y-91437923D01* +X132820294Y-91512000D01* +X132609706Y-91512000D01* +X132602410Y-91437923D01* +X132580803Y-91366693D01* +X132545714Y-91301047D01* +X132498493Y-91243507D01* +X132468644Y-91219011D01* +X132507674Y-91160597D01* +X132544054Y-91072769D01* +X132562600Y-90979532D01* +X132562600Y-90884468D01* +X132544054Y-90791231D01* +X132507674Y-90703403D01* +X132454860Y-90624360D01* +X132387640Y-90557140D01* +X132308597Y-90504326D01* +X132220769Y-90467946D01* +X132127532Y-90449400D01* +X132032468Y-90449400D01* +X131939231Y-90467946D01* +X131851403Y-90504326D01* +X131772360Y-90557140D01* +X131705140Y-90624360D01* +X131652326Y-90703403D01* +X131615946Y-90791231D01* +X131597400Y-90884468D01* +X131597400Y-90979532D01* +X131615946Y-91072769D01* +X131652326Y-91160597D01* +X131691356Y-91219011D01* +X131661507Y-91243507D01* +X131614286Y-91301047D01* +X131579197Y-91366693D01* +X131557590Y-91437923D01* +X131550294Y-91512000D01* +X131339706Y-91512000D01* +X131332410Y-91437923D01* +X131310803Y-91366693D01* +X131275714Y-91301047D01* +X131228493Y-91243507D01* +X131198644Y-91219011D01* +X131237674Y-91160597D01* +X131274054Y-91072769D01* +X131292600Y-90979532D01* +X131292600Y-90884468D01* +X131274054Y-90791231D01* +X131237674Y-90703403D01* +X131184860Y-90624360D01* +X131117640Y-90557140D01* +X131038597Y-90504326D01* +X130950769Y-90467946D01* +X130857532Y-90449400D01* +X130762468Y-90449400D01* +X130669231Y-90467946D01* +X130581403Y-90504326D01* +X130502360Y-90557140D01* +X130435140Y-90624360D01* +X130382326Y-90703403D01* +X130345946Y-90791231D01* +X130327400Y-90884468D01* +X130327400Y-90979532D01* +X130345946Y-91072769D01* +X130382326Y-91160597D01* +X130421356Y-91219011D01* +X130391507Y-91243507D01* +X130344286Y-91301047D01* +X130309197Y-91366693D01* +X130287590Y-91437923D01* +X130280294Y-91512000D01* +X128799706Y-91512000D01* +X128792410Y-91437923D01* +X128770803Y-91366693D01* +X128735714Y-91301047D01* +X128688493Y-91243507D01* +X128630953Y-91196286D01* +X128565307Y-91161197D01* +X128494077Y-91139590D01* +X128420000Y-91132294D01* +X128120000Y-91132294D01* +X128045923Y-91139590D01* +X127974693Y-91161197D01* +X127909047Y-91196286D01* +X127851507Y-91243507D01* +X127804286Y-91301047D01* +X127769197Y-91366693D01* +X127747590Y-91437923D01* +X127740294Y-91512000D01* +X127529706Y-91512000D01* +X127522410Y-91437923D01* +X127500803Y-91366693D01* +X127465714Y-91301047D01* +X127418493Y-91243507D01* +X127388644Y-91219011D01* +X127427674Y-91160597D01* +X127464054Y-91072769D01* +X127482600Y-90979532D01* +X127482600Y-90884468D01* +X127464054Y-90791231D01* +X127427674Y-90703403D01* +X127374860Y-90624360D01* +X127307640Y-90557140D01* +X127228597Y-90504326D01* +X127140769Y-90467946D01* +X127047532Y-90449400D01* +X126952468Y-90449400D01* +X126859231Y-90467946D01* +X126771403Y-90504326D01* +X126692360Y-90557140D01* +X126625140Y-90624360D01* +X126572326Y-90703403D01* +X126535946Y-90791231D01* +X126517400Y-90884468D01* +X126517400Y-90979532D01* +X126535946Y-91072769D01* +X126572326Y-91160597D01* +X126611356Y-91219011D01* +X126581507Y-91243507D01* +X126534286Y-91301047D01* +X126499197Y-91366693D01* +X126477590Y-91437923D01* +X126470294Y-91512000D01* +X126259706Y-91512000D01* +X126252410Y-91437923D01* +X126230803Y-91366693D01* +X126195714Y-91301047D01* +X126148493Y-91243507D01* +X126118644Y-91219011D01* +X126157674Y-91160597D01* +X126194054Y-91072769D01* +X126212600Y-90979532D01* +X126212600Y-90884468D01* +X126194054Y-90791231D01* +X126157674Y-90703403D01* +X126104860Y-90624360D01* +X126037640Y-90557140D01* +X125958597Y-90504326D01* +X125870769Y-90467946D01* +X125777532Y-90449400D01* +X125682468Y-90449400D01* +X125589231Y-90467946D01* +X125501403Y-90504326D01* +X125422360Y-90557140D01* +X125355140Y-90624360D01* +X125302326Y-90703403D01* +X125265946Y-90791231D01* +X125247400Y-90884468D01* +X125247400Y-90979532D01* +X125265946Y-91072769D01* +X125302326Y-91160597D01* +X125341356Y-91219011D01* +X125311507Y-91243507D01* +X125264286Y-91301047D01* +X125229197Y-91366693D01* +X125207590Y-91437923D01* +X125200294Y-91512000D01* +X124989706Y-91512000D01* +X124982410Y-91437923D01* +X124960803Y-91366693D01* +X124925714Y-91301047D01* +X124878493Y-91243507D01* +X124820953Y-91196286D01* +X124755307Y-91161197D01* +X124684077Y-91139590D01* +X124610000Y-91132294D01* +X124310000Y-91132294D01* +X124235923Y-91139590D01* +X124164693Y-91161197D01* +X124129800Y-91179848D01* +X124129800Y-89788251D01* +X124664944Y-89253108D01* +X124676568Y-89243568D01* +X124714658Y-89197157D01* +X124742960Y-89144206D01* +X124760389Y-89086751D01* +X124764800Y-89041966D01* +X124764800Y-89041958D01* +X124766273Y-89027000D01* +X124764800Y-89012042D01* +X124764800Y-88157729D01* +X124820953Y-88127714D01* +X124878493Y-88080493D01* +X124925714Y-88022953D01* +X124960803Y-87957307D01* +X124982410Y-87886077D01* +X124989706Y-87812000D01* +X124989706Y-84112000D01* +X125200294Y-84112000D01* +X125200294Y-87812000D01* +X125207590Y-87886077D01* +X125229197Y-87957307D01* +X125264286Y-88022953D01* +X125311507Y-88080493D01* +X125369047Y-88127714D01* +X125425200Y-88157729D01* +X125425200Y-88650242D01* +X125422360Y-88652140D01* +X125355140Y-88719360D01* +X125302326Y-88798403D01* +X125265946Y-88886231D01* +X125247400Y-88979468D01* +X125247400Y-89074532D01* +X125265946Y-89167769D01* +X125302326Y-89255597D01* +X125355140Y-89334640D01* +X125422360Y-89401860D01* +X125501403Y-89454674D01* +X125589231Y-89491054D01* +X125682468Y-89509600D01* +X125777532Y-89509600D01* +X125870769Y-89491054D01* +X125958597Y-89454674D01* +X126037640Y-89401860D01* +X126104860Y-89334640D01* +X126157674Y-89255597D01* +X126194054Y-89167769D01* +X126212600Y-89074532D01* +X126212600Y-88979468D01* +X126194054Y-88886231D01* +X126157674Y-88798403D01* +X126104860Y-88719360D01* +X126037640Y-88652140D01* +X126034800Y-88650242D01* +X126034800Y-88157729D01* +X126090953Y-88127714D01* +X126148493Y-88080493D01* +X126195714Y-88022953D01* +X126230803Y-87957307D01* +X126252410Y-87886077D01* +X126259706Y-87812000D01* +X126259706Y-84112000D01* +X126252410Y-84037923D01* +X126230803Y-83966693D01* +X126228295Y-83962000D01* +X126470294Y-83962000D01* +X126471219Y-85525745D01* +X126470294Y-86462000D01* +X126471176Y-86470958D01* +X126470294Y-87962000D01* +X126474708Y-88006813D01* +X126487779Y-88049905D01* +X126509006Y-88089618D01* +X126537573Y-88124427D01* +X126572382Y-88152994D01* +X126612095Y-88174221D01* +X126655187Y-88187292D01* +X126700000Y-88191706D01* +X126869050Y-88190600D01* +X126926200Y-88133450D01* +X126926200Y-83790550D01* +X127073800Y-83790550D01* +X127073800Y-88133450D01* +X127130950Y-88190600D01* +X127300000Y-88191706D01* +X127344813Y-88187292D01* +X127387905Y-88174221D01* +X127427618Y-88152994D01* +X127462427Y-88124427D01* +X127490994Y-88089618D01* +X127512221Y-88049905D01* +X127525292Y-88006813D01* +X127529706Y-87962000D01* +X127528824Y-86470958D01* +X127529706Y-86462000D01* +X127528781Y-85525745D01* +X127529617Y-84112000D01* +X127740294Y-84112000D01* +X127740294Y-87812000D01* +X127747590Y-87886077D01* +X127769197Y-87957307D01* +X127804286Y-88022953D01* +X127851507Y-88080493D01* +X127881356Y-88104989D01* +X127842326Y-88163403D01* +X127805946Y-88251231D01* +X127787400Y-88344468D01* +X127787400Y-88439532D01* +X127805946Y-88532769D01* +X127842326Y-88620597D01* +X127895140Y-88699640D01* +X127962360Y-88766860D01* +X128041403Y-88819674D01* +X128129231Y-88856054D01* +X128222468Y-88874600D01* +X128317532Y-88874600D01* +X128410769Y-88856054D01* +X128498597Y-88819674D01* +X128577640Y-88766860D01* +X128644860Y-88699640D01* +X128697674Y-88620597D01* +X128734054Y-88532769D01* +X128752600Y-88439532D01* +X128752600Y-88344468D01* +X128734054Y-88251231D01* +X128697674Y-88163403D01* +X128658644Y-88104989D01* +X128688493Y-88080493D01* +X128735714Y-88022953D01* +X128770803Y-87957307D01* +X128792410Y-87886077D01* +X128799706Y-87812000D01* +X128799706Y-84112000D01* +X130280294Y-84112000D01* +X130280294Y-87812000D01* +X130287590Y-87886077D01* +X130309197Y-87957307D01* +X130344286Y-88022953D01* +X130391507Y-88080493D01* +X130421356Y-88104989D01* +X130382326Y-88163403D01* +X130345946Y-88251231D01* +X130327400Y-88344468D01* +X130327400Y-88439532D01* +X130345946Y-88532769D01* +X130382326Y-88620597D01* +X130435140Y-88699640D01* +X130502360Y-88766860D01* +X130581403Y-88819674D01* +X130669231Y-88856054D01* +X130762468Y-88874600D01* +X130857532Y-88874600D01* +X130950769Y-88856054D01* +X131038597Y-88819674D01* +X131117640Y-88766860D01* +X131184860Y-88699640D01* +X131237674Y-88620597D01* +X131274054Y-88532769D01* +X131292600Y-88439532D01* +X131292600Y-88344468D01* +X131274054Y-88251231D01* +X131237674Y-88163403D01* +X131198644Y-88104989D01* +X131228493Y-88080493D01* +X131275714Y-88022953D01* +X131310803Y-87957307D01* +X131332410Y-87886077D01* +X131339706Y-87812000D01* +X131339706Y-84112000D01* +X131550294Y-84112000D01* +X131550294Y-87812000D01* +X131557590Y-87886077D01* +X131579197Y-87957307D01* +X131614286Y-88022953D01* +X131661507Y-88080493D01* +X131691356Y-88104989D01* +X131652326Y-88163403D01* +X131615946Y-88251231D01* +X131597400Y-88344468D01* +X131597400Y-88439532D01* +X131615946Y-88532769D01* +X131652326Y-88620597D01* +X131705140Y-88699640D01* +X131772360Y-88766860D01* +X131851403Y-88819674D01* +X131939231Y-88856054D01* +X132032468Y-88874600D01* +X132127532Y-88874600D01* +X132220769Y-88856054D01* +X132308597Y-88819674D01* +X132387640Y-88766860D01* +X132454860Y-88699640D01* +X132507674Y-88620597D01* +X132544054Y-88532769D01* +X132562600Y-88439532D01* +X132562600Y-88344468D01* +X132544054Y-88251231D01* +X132507674Y-88163403D01* +X132468644Y-88104989D01* +X132498493Y-88080493D01* +X132545714Y-88022953D01* +X132580803Y-87957307D01* +X132602410Y-87886077D01* +X132609706Y-87812000D01* +X132609706Y-84112000D01* +X132820294Y-84112000D01* +X132820294Y-87812000D01* +X132827590Y-87886077D01* +X132849197Y-87957307D01* +X132884286Y-88022953D01* +X132931507Y-88080493D01* +X132961356Y-88104989D01* +X132922326Y-88163403D01* +X132885946Y-88251231D01* +X132867400Y-88344468D01* +X132867400Y-88439532D01* +X132885946Y-88532769D01* +X132922326Y-88620597D01* +X132975140Y-88699640D01* +X133042360Y-88766860D01* +X133121403Y-88819674D01* +X133209231Y-88856054D01* +X133302468Y-88874600D01* +X133397532Y-88874600D01* +X133490769Y-88856054D01* +X133578597Y-88819674D01* +X133657640Y-88766860D01* +X133724860Y-88699640D01* +X133777674Y-88620597D01* +X133814054Y-88532769D01* +X133832600Y-88439532D01* +X133832600Y-88344468D01* +X133814054Y-88251231D01* +X133777674Y-88163403D01* +X133738644Y-88104989D01* +X133768493Y-88080493D01* +X133815714Y-88022953D01* +X133850803Y-87957307D01* +X133872410Y-87886077D01* +X133879706Y-87812000D01* +X133879706Y-84112000D01* +X134090294Y-84112000D01* +X134090294Y-87812000D01* +X134097590Y-87886077D01* +X134119197Y-87957307D01* +X134154286Y-88022953D01* +X134201507Y-88080493D01* +X134231356Y-88104989D01* +X134192326Y-88163403D01* +X134155946Y-88251231D01* +X134137400Y-88344468D01* +X134137400Y-88439532D01* +X134155946Y-88532769D01* +X134192326Y-88620597D01* +X134245140Y-88699640D01* +X134312360Y-88766860D01* +X134391403Y-88819674D01* +X134479231Y-88856054D01* +X134572468Y-88874600D01* +X134667532Y-88874600D01* +X134760769Y-88856054D01* +X134848597Y-88819674D01* +X134927640Y-88766860D01* +X134994860Y-88699640D01* +X135047674Y-88620597D01* +X135084054Y-88532769D01* +X135102600Y-88439532D01* +X135102600Y-88344468D01* +X135084054Y-88251231D01* +X135047674Y-88163403D01* +X135008644Y-88104989D01* +X135038493Y-88080493D01* +X135085714Y-88022953D01* +X135120803Y-87957307D01* +X135142410Y-87886077D01* +X135149706Y-87812000D01* +X135149706Y-84112000D01* +X135360294Y-84112000D01* +X135360294Y-87812000D01* +X135367590Y-87886077D01* +X135389197Y-87957307D01* +X135424286Y-88022953D01* +X135471507Y-88080493D01* +X135501356Y-88104989D01* +X135462326Y-88163403D01* +X135425946Y-88251231D01* +X135407400Y-88344468D01* +X135407400Y-88439532D01* +X135425946Y-88532769D01* +X135462326Y-88620597D01* +X135515140Y-88699640D01* +X135582360Y-88766860D01* +X135661403Y-88819674D01* +X135749231Y-88856054D01* +X135842468Y-88874600D01* +X135937532Y-88874600D01* +X136030769Y-88856054D01* +X136118597Y-88819674D01* +X136197640Y-88766860D01* +X136264860Y-88699640D01* +X136317674Y-88620597D01* +X136354054Y-88532769D01* +X136372600Y-88439532D01* +X136372600Y-88344468D01* +X136354054Y-88251231D01* +X136317674Y-88163403D01* +X136278644Y-88104989D01* +X136308493Y-88080493D01* +X136355714Y-88022953D01* +X136390803Y-87957307D01* +X136412410Y-87886077D01* +X136419706Y-87812000D01* +X136419706Y-84112000D01* +X136412410Y-84037923D01* +X136390803Y-83966693D01* +X136388295Y-83962000D01* +X136630294Y-83962000D01* +X136631219Y-85525745D01* +X136630294Y-86462000D01* +X136631176Y-86470958D01* +X136630294Y-87962000D01* +X136634708Y-88006813D01* +X136647779Y-88049905D01* +X136669006Y-88089618D01* +X136697573Y-88124427D01* +X136732382Y-88152994D01* +X136772095Y-88174221D01* +X136815187Y-88187292D01* +X136860000Y-88191706D01* +X137029050Y-88190600D01* +X137086200Y-88133450D01* +X137086200Y-83790550D01* +X137233800Y-83790550D01* +X137233800Y-88133450D01* +X137290950Y-88190600D01* +X137460000Y-88191706D01* +X137504813Y-88187292D01* +X137547905Y-88174221D01* +X137587618Y-88152994D01* +X137622427Y-88124427D01* +X137650994Y-88089618D01* +X137672221Y-88049905D01* +X137685292Y-88006813D01* +X137689706Y-87962000D01* +X137688824Y-86470958D01* +X137689706Y-86462000D01* +X137688781Y-85525745D01* +X137689706Y-83962000D01* +X137685292Y-83917187D01* +X137672221Y-83874095D01* +X137650994Y-83834382D01* +X137622427Y-83799573D01* +X137587618Y-83771006D01* +X137547905Y-83749779D01* +X137504813Y-83736708D01* +X137460000Y-83732294D01* +X137290950Y-83733400D01* +X137233800Y-83790550D01* +X137086200Y-83790550D01* +X137029050Y-83733400D01* +X136860000Y-83732294D01* +X136815187Y-83736708D01* +X136772095Y-83749779D01* +X136732382Y-83771006D01* +X136697573Y-83799573D01* +X136669006Y-83834382D01* +X136647779Y-83874095D01* +X136634708Y-83917187D01* +X136630294Y-83962000D01* +X136388295Y-83962000D01* +X136355714Y-83901047D01* +X136308493Y-83843507D01* +X136250953Y-83796286D01* +X136185307Y-83761197D01* +X136114077Y-83739590D01* +X136040000Y-83732294D01* +X135740000Y-83732294D01* +X135665923Y-83739590D01* +X135594693Y-83761197D01* +X135529047Y-83796286D01* +X135471507Y-83843507D01* +X135424286Y-83901047D01* +X135389197Y-83966693D01* +X135367590Y-84037923D01* +X135360294Y-84112000D01* +X135149706Y-84112000D01* +X135142410Y-84037923D01* +X135120803Y-83966693D01* +X135085714Y-83901047D01* +X135038493Y-83843507D01* +X134980953Y-83796286D01* +X134915307Y-83761197D01* +X134844077Y-83739590D01* +X134770000Y-83732294D01* +X134470000Y-83732294D01* +X134395923Y-83739590D01* +X134324693Y-83761197D01* +X134259047Y-83796286D01* +X134201507Y-83843507D01* +X134154286Y-83901047D01* +X134119197Y-83966693D01* +X134097590Y-84037923D01* +X134090294Y-84112000D01* +X133879706Y-84112000D01* +X133872410Y-84037923D01* +X133850803Y-83966693D01* +X133815714Y-83901047D01* +X133768493Y-83843507D01* +X133710953Y-83796286D01* +X133645307Y-83761197D01* +X133574077Y-83739590D01* +X133500000Y-83732294D01* +X133200000Y-83732294D01* +X133125923Y-83739590D01* +X133054693Y-83761197D01* +X132989047Y-83796286D01* +X132931507Y-83843507D01* +X132884286Y-83901047D01* +X132849197Y-83966693D01* +X132827590Y-84037923D01* +X132820294Y-84112000D01* +X132609706Y-84112000D01* +X132602410Y-84037923D01* +X132580803Y-83966693D01* +X132545714Y-83901047D01* +X132498493Y-83843507D01* +X132440953Y-83796286D01* +X132375307Y-83761197D01* +X132304077Y-83739590D01* +X132230000Y-83732294D01* +X131930000Y-83732294D01* +X131855923Y-83739590D01* +X131784693Y-83761197D01* +X131719047Y-83796286D01* +X131661507Y-83843507D01* +X131614286Y-83901047D01* +X131579197Y-83966693D01* +X131557590Y-84037923D01* +X131550294Y-84112000D01* +X131339706Y-84112000D01* +X131332410Y-84037923D01* +X131310803Y-83966693D01* +X131275714Y-83901047D01* +X131228493Y-83843507D01* +X131170953Y-83796286D01* +X131105307Y-83761197D01* +X131034077Y-83739590D01* +X130960000Y-83732294D01* +X130660000Y-83732294D01* +X130585923Y-83739590D01* +X130514693Y-83761197D01* +X130449047Y-83796286D01* +X130391507Y-83843507D01* +X130344286Y-83901047D01* +X130309197Y-83966693D01* +X130287590Y-84037923D01* +X130280294Y-84112000D01* +X128799706Y-84112000D01* +X128792410Y-84037923D01* +X128770803Y-83966693D01* +X128735714Y-83901047D01* +X128688493Y-83843507D01* +X128630953Y-83796286D01* +X128565307Y-83761197D01* +X128494077Y-83739590D01* +X128420000Y-83732294D01* +X128120000Y-83732294D01* +X128045923Y-83739590D01* +X127974693Y-83761197D01* +X127909047Y-83796286D01* +X127851507Y-83843507D01* +X127804286Y-83901047D01* +X127769197Y-83966693D01* +X127747590Y-84037923D01* +X127740294Y-84112000D01* +X127529617Y-84112000D01* +X127529706Y-83962000D01* +X127525292Y-83917187D01* +X127512221Y-83874095D01* +X127490994Y-83834382D01* +X127462427Y-83799573D01* +X127427618Y-83771006D01* +X127387905Y-83749779D01* +X127344813Y-83736708D01* +X127300000Y-83732294D01* +X127130950Y-83733400D01* +X127073800Y-83790550D01* +X126926200Y-83790550D01* +X126869050Y-83733400D01* +X126700000Y-83732294D01* +X126655187Y-83736708D01* +X126612095Y-83749779D01* +X126572382Y-83771006D01* +X126537573Y-83799573D01* +X126509006Y-83834382D01* +X126487779Y-83874095D01* +X126474708Y-83917187D01* +X126470294Y-83962000D01* +X126228295Y-83962000D01* +X126195714Y-83901047D01* +X126148493Y-83843507D01* +X126090953Y-83796286D01* +X126025307Y-83761197D01* +X125954077Y-83739590D01* +X125880000Y-83732294D01* +X125580000Y-83732294D01* +X125505923Y-83739590D01* +X125434693Y-83761197D01* +X125369047Y-83796286D01* +X125311507Y-83843507D01* +X125264286Y-83901047D01* +X125229197Y-83966693D01* +X125207590Y-84037923D01* +X125200294Y-84112000D01* +X124989706Y-84112000D01* +X124982410Y-84037923D01* +X124960803Y-83966693D01* +X124925714Y-83901047D01* +X124878493Y-83843507D01* +X124820953Y-83796286D01* +X124755307Y-83761197D01* +X124684077Y-83739590D01* +X124610000Y-83732294D01* +X124310000Y-83732294D01* +X124235923Y-83739590D01* +X124164693Y-83761197D01* +X124099047Y-83796286D01* +X124041507Y-83843507D01* +X123994286Y-83901047D01* +X123959197Y-83966693D01* +X123937590Y-84037923D01* +X123930294Y-84112000D01* +X123930294Y-87812000D01* +X123937590Y-87886077D01* +X123959197Y-87957307D01* +X123994286Y-88022953D01* +X124041507Y-88080493D01* +X124099047Y-88127714D01* +X124155201Y-88157729D01* +X124155201Y-88900747D01* +X123620061Y-89435888D01* +X123608432Y-89445432D01* +X123570342Y-89491844D01* +X123550312Y-89529319D01* +X123542040Y-89544795D01* +X123531920Y-89578157D01* +X123524611Y-89602250D01* +X123520200Y-89647035D01* +X123520200Y-89647042D01* +X123518727Y-89662000D01* +X123520200Y-89676958D01* +X123520200Y-91179848D01* +X123485307Y-91161197D01* +X123414077Y-91139590D01* +X123340000Y-91132294D01* +X123040000Y-91132294D01* +X122965923Y-91139590D01* +X122894693Y-91161197D01* +X122859800Y-91179848D01* +X122859800Y-89788251D01* +X123394944Y-89253108D01* +X123406568Y-89243568D01* +X123444658Y-89197157D01* +X123472960Y-89144206D01* +X123490389Y-89086751D01* +X123494800Y-89041966D01* +X123494800Y-89041958D01* +X123496273Y-89027000D01* +X123494800Y-89012042D01* +X123494800Y-88157729D01* +X123550953Y-88127714D01* +X123608493Y-88080493D01* +X123655714Y-88022953D01* +X123690803Y-87957307D01* +X123712410Y-87886077D01* +X123719706Y-87812000D01* +X123719706Y-84112000D01* +X123712410Y-84037923D01* +X123690803Y-83966693D01* +X123655714Y-83901047D01* +X123608493Y-83843507D01* +X123550953Y-83796286D01* +X123485307Y-83761197D01* +X123414077Y-83739590D01* +X123340000Y-83732294D01* +X123040000Y-83732294D01* +X122965923Y-83739590D01* +X122894693Y-83761197D01* +X122829047Y-83796286D01* +X122771507Y-83843507D01* +X122724286Y-83901047D01* +X122689197Y-83966693D01* +X122667590Y-84037923D01* +X122660294Y-84112000D01* +X122660294Y-87812000D01* +X122667590Y-87886077D01* +X122689197Y-87957307D01* +X122724286Y-88022953D01* +X122771507Y-88080493D01* +X122829047Y-88127714D01* +X122885201Y-88157729D01* +X122885201Y-88900747D01* +X122350061Y-89435888D01* +X122338432Y-89445432D01* +X122300342Y-89491844D01* +X122280312Y-89529319D01* +X122272040Y-89544795D01* +X122261920Y-89578157D01* +X122254611Y-89602250D01* +X122250200Y-89647035D01* +X122250200Y-89647042D01* +X122248727Y-89662000D01* +X122250200Y-89676958D01* +X122250200Y-91179848D01* +X122215307Y-91161197D01* +X122144077Y-91139590D01* +X122070000Y-91132294D01* +X121770000Y-91132294D01* +X121695923Y-91139590D01* +X121624693Y-91161197D01* +X121559047Y-91196286D01* +X121501507Y-91243507D01* +X121454286Y-91301047D01* +X121419197Y-91366693D01* +X121397590Y-91437923D01* +X121390294Y-91512000D01* +X121390294Y-93591061D01* +X121328754Y-93549941D01* +X121214356Y-93502556D01* +X121092912Y-93478400D01* +X120969088Y-93478400D01* +X120873566Y-93497400D01* +X120166315Y-93497400D01* +X120165542Y-93496458D01* +X120090955Y-93435246D01* +X120005859Y-93389761D01* +X119913525Y-93361752D01* +X119817500Y-93352294D01* +X118942500Y-93352294D01* +X118846475Y-93361752D01* +X118754141Y-93389761D01* +X118669045Y-93435246D01* +X118594458Y-93496458D01* +X118593685Y-93497400D01* +X118267434Y-93497400D01* +X118171912Y-93478400D01* +X118048088Y-93478400D01* +X117926644Y-93502556D01* +X117812246Y-93549941D01* +X117709291Y-93618734D01* +X117621734Y-93706291D01* +X117552941Y-93809246D01* +X117505556Y-93923644D01* +X117481400Y-94045088D01* +X111363963Y-94045088D01* +X112471118Y-92937934D01* +X112474468Y-92938600D01* +X112569532Y-92938600D01* +X112662769Y-92920054D01* +X112750597Y-92883674D01* +X112829640Y-92830860D01* +X112896860Y-92763640D01* +X112949674Y-92684597D01* +X112986054Y-92596769D01* +X113004600Y-92503532D01* +X113004600Y-92408468D01* +X112986054Y-92315231D01* +X112949674Y-92227403D01* +X112896860Y-92148360D01* +X112829640Y-92081140D01* +X112750597Y-92028326D01* +X112662769Y-91991946D01* +X112569532Y-91973400D01* +X112474468Y-91973400D01* +X112381231Y-91991946D01* +X112293403Y-92028326D01* +X112214360Y-92081140D01* +X112147140Y-92148360D01* +X112094326Y-92227403D01* +X112057946Y-92315231D01* +X112039400Y-92408468D01* +X112039400Y-92503532D01* +X112040066Y-92506882D01* +X108458749Y-96088200D01* +X93471252Y-96088200D01* +X93014800Y-95631749D01* +X93014800Y-95557729D01* +X93070953Y-95527714D01* +X93128493Y-95480493D01* +X93175714Y-95422953D01* +X93210803Y-95357307D01* +X93232410Y-95286077D01* +X93239706Y-95212000D01* +X93239706Y-91512000D01* +X93450294Y-91512000D01* +X93450294Y-95212000D01* +X93457590Y-95286077D01* +X93479197Y-95357307D01* +X93514286Y-95422953D01* +X93561507Y-95480493D01* +X93619047Y-95527714D01* +X93684693Y-95562803D01* +X93755923Y-95584410D01* +X93830000Y-95591706D01* +X94130000Y-95591706D01* +X94204077Y-95584410D01* +X94275307Y-95562803D01* +X94340953Y-95527714D01* +X94398493Y-95480493D01* +X94445714Y-95422953D01* +X94480803Y-95357307D01* +X94502410Y-95286077D01* +X94509706Y-95212000D01* +X94509706Y-91512000D01* +X95990294Y-91512000D01* +X95990294Y-95212000D01* +X95997590Y-95286077D01* +X96019197Y-95357307D01* +X96054286Y-95422953D01* +X96101507Y-95480493D01* +X96159047Y-95527714D01* +X96224693Y-95562803D01* +X96295923Y-95584410D01* +X96370000Y-95591706D01* +X96670000Y-95591706D01* +X96744077Y-95584410D01* +X96815307Y-95562803D01* +X96880953Y-95527714D01* +X96938493Y-95480493D01* +X96985714Y-95422953D01* +X97020803Y-95357307D01* +X97042410Y-95286077D01* +X97049706Y-95212000D01* +X97049706Y-91512000D01* +X97260294Y-91512000D01* +X97260294Y-95212000D01* +X97267590Y-95286077D01* +X97289197Y-95357307D01* +X97324286Y-95422953D01* +X97371507Y-95480493D01* +X97429047Y-95527714D01* +X97494693Y-95562803D01* +X97565923Y-95584410D01* +X97640000Y-95591706D01* +X97940000Y-95591706D01* +X98014077Y-95584410D01* +X98085307Y-95562803D01* +X98150953Y-95527714D01* +X98208493Y-95480493D01* +X98255714Y-95422953D01* +X98290803Y-95357307D01* +X98312410Y-95286077D01* +X98319706Y-95212000D01* +X98319706Y-91512000D01* +X98530294Y-91512000D01* +X98530294Y-95212000D01* +X98537590Y-95286077D01* +X98559197Y-95357307D01* +X98594286Y-95422953D01* +X98641507Y-95480493D01* +X98699047Y-95527714D01* +X98764693Y-95562803D01* +X98835923Y-95584410D01* +X98910000Y-95591706D01* +X99210000Y-95591706D01* +X99284077Y-95584410D01* +X99355307Y-95562803D01* +X99420953Y-95527714D01* +X99478493Y-95480493D01* +X99525714Y-95422953D01* +X99560803Y-95357307D01* +X99582410Y-95286077D01* +X99589706Y-95212000D01* +X99589706Y-91512000D01* +X99800294Y-91512000D01* +X99800294Y-95212000D01* +X99807590Y-95286077D01* +X99829197Y-95357307D01* +X99864286Y-95422953D01* +X99911507Y-95480493D01* +X99969047Y-95527714D01* +X100034693Y-95562803D01* +X100105923Y-95584410D01* +X100180000Y-95591706D01* +X100480000Y-95591706D01* +X100554077Y-95584410D01* +X100625307Y-95562803D01* +X100690953Y-95527714D01* +X100748493Y-95480493D01* +X100795714Y-95422953D01* +X100830803Y-95357307D01* +X100852410Y-95286077D01* +X100859706Y-95212000D01* +X100859706Y-91512000D01* +X101070294Y-91512000D01* +X101070294Y-95212000D01* +X101077590Y-95286077D01* +X101099197Y-95357307D01* +X101134286Y-95422953D01* +X101181507Y-95480493D01* +X101239047Y-95527714D01* +X101304693Y-95562803D01* +X101375923Y-95584410D01* +X101450000Y-95591706D01* +X101750000Y-95591706D01* +X101824077Y-95584410D01* +X101895307Y-95562803D01* +X101960953Y-95527714D01* +X102018493Y-95480493D01* +X102065714Y-95422953D01* +X102100803Y-95357307D01* +X102122410Y-95286077D01* +X102129706Y-95212000D01* +X102129706Y-91512000D01* +X102340294Y-91512000D01* +X102340294Y-95212000D01* +X102347590Y-95286077D01* +X102369197Y-95357307D01* +X102404286Y-95422953D01* +X102451507Y-95480493D01* +X102509047Y-95527714D01* +X102574693Y-95562803D01* +X102645923Y-95584410D01* +X102720000Y-95591706D01* +X103020000Y-95591706D01* +X103094077Y-95584410D01* +X103165307Y-95562803D01* +X103230953Y-95527714D01* +X103288493Y-95480493D01* +X103335714Y-95422953D01* +X103370803Y-95357307D01* +X103392410Y-95286077D01* +X103399706Y-95212000D01* +X103399706Y-94622939D01* +X103461246Y-94664059D01* +X103575644Y-94711444D01* +X103697088Y-94735600D01* +X103820912Y-94735600D01* +X103916434Y-94716600D01* +X104623685Y-94716600D01* +X104624458Y-94717542D01* +X104699045Y-94778754D01* +X104784141Y-94824239D01* +X104876475Y-94852248D01* +X104927401Y-94857264D01* +X104927401Y-94948463D01* +X104927400Y-94948468D01* +X104927400Y-95043532D01* +X104932050Y-95066910D01* +X104934384Y-95090606D01* +X104941296Y-95113393D01* +X104945946Y-95136769D01* +X104955065Y-95158784D01* +X104961979Y-95181577D01* +X104973208Y-95202585D01* +X104982326Y-95224597D01* +X104995564Y-95244410D01* +X105006792Y-95265415D01* +X105021899Y-95283823D01* +X105035140Y-95303640D01* +X105051993Y-95320493D01* +X105067100Y-95338901D01* +X105085508Y-95354008D01* +X105102360Y-95370860D01* +X105122176Y-95384100D01* +X105140586Y-95399209D01* +X105161593Y-95410438D01* +X105181403Y-95423674D01* +X105203411Y-95432790D01* +X105224424Y-95444022D01* +X105247222Y-95450938D01* +X105269231Y-95460054D01* +X105292600Y-95464702D01* +X105315395Y-95471617D01* +X105339100Y-95473952D01* +X105362468Y-95478600D01* +X105386293Y-95478600D01* +X105410000Y-95480935D01* +X105433707Y-95478600D01* +X105457532Y-95478600D01* +X105480899Y-95473952D01* +X105504606Y-95471617D01* +X105527403Y-95464702D01* +X105550769Y-95460054D01* +X105572775Y-95450939D01* +X105595577Y-95444022D01* +X105616594Y-95432788D01* +X105638597Y-95423674D01* +X105658403Y-95410440D01* +X105679415Y-95399209D01* +X105697829Y-95384097D01* +X105717640Y-95370860D01* +X105734488Y-95354012D01* +X105752901Y-95338901D01* +X105768012Y-95320488D01* +X105784860Y-95303640D01* +X105798097Y-95283829D01* +X105813209Y-95265415D01* +X105824440Y-95244403D01* +X105837674Y-95224597D01* +X105846788Y-95202594D01* +X105858022Y-95181577D01* +X105864939Y-95158775D01* +X105874054Y-95136769D01* +X105878702Y-95113403D01* +X105885617Y-95090606D01* +X105887952Y-95066900D01* +X105892600Y-95043532D01* +X105892600Y-94857264D01* +X105943525Y-94852248D01* +X106035859Y-94824239D01* +X106120955Y-94778754D01* +X106195542Y-94717542D01* +X106196315Y-94716600D01* +X106522566Y-94716600D01* +X106618088Y-94735600D01* +X106741912Y-94735600D01* +X106863356Y-94711444D01* +X106977754Y-94664059D01* +X107080709Y-94595266D01* +X107168266Y-94507709D01* +X107237059Y-94404754D01* +X107284444Y-94290356D01* +X107308600Y-94168912D01* +X107308600Y-94045088D01* +X107284444Y-93923644D01* +X107237059Y-93809246D01* +X107168266Y-93706291D01* +X107080709Y-93618734D01* +X106977754Y-93549941D01* +X106863356Y-93502556D01* +X106741912Y-93478400D01* +X106618088Y-93478400D01* +X106522566Y-93497400D01* +X106196315Y-93497400D01* +X106195542Y-93496458D01* +X106120955Y-93435246D01* +X106035859Y-93389761D01* +X105943525Y-93361752D01* +X105847500Y-93352294D01* +X104972500Y-93352294D01* +X104876475Y-93361752D01* +X104784141Y-93389761D01* +X104699045Y-93435246D01* +X104624458Y-93496458D01* +X104623685Y-93497400D01* +X103916434Y-93497400D01* +X103820912Y-93478400D01* +X103697088Y-93478400D01* +X103575644Y-93502556D01* +X103461246Y-93549941D01* +X103399706Y-93591061D01* +X103399706Y-92932000D01* +X104480294Y-92932000D01* +X104484708Y-92976813D01* +X104497779Y-93019905D01* +X104519006Y-93059618D01* +X104547573Y-93094427D01* +X104582382Y-93122994D01* +X104622095Y-93144221D01* +X104665187Y-93157292D01* +X104710000Y-93161706D01* +X105279050Y-93160600D01* +X105336200Y-93103450D01* +X105336200Y-92480800D01* +X105483800Y-92480800D01* +X105483800Y-93103450D01* +X105540950Y-93160600D01* +X106110000Y-93161706D01* +X106154813Y-93157292D01* +X106197905Y-93144221D01* +X106237618Y-93122994D01* +X106272427Y-93094427D01* +X106300994Y-93059618D01* +X106322221Y-93019905D01* +X106335292Y-92976813D01* +X106339706Y-92932000D01* +X106338600Y-92537950D01* +X106281450Y-92480800D01* +X105483800Y-92480800D01* +X105336200Y-92480800D01* +X104538550Y-92480800D01* +X104481400Y-92537950D01* +X104480294Y-92932000D01* +X103399706Y-92932000D01* +X103399706Y-91882000D01* +X104480294Y-91882000D01* +X104481400Y-92276050D01* +X104538550Y-92333200D01* +X105336200Y-92333200D01* +X105336200Y-91710550D01* +X105483800Y-91710550D01* +X105483800Y-92333200D01* +X106281450Y-92333200D01* +X106338600Y-92276050D01* +X106339706Y-91882000D01* +X106335292Y-91837187D01* +X106322221Y-91794095D01* +X106300994Y-91754382D01* +X106272427Y-91719573D01* +X106237618Y-91691006D01* +X106197905Y-91669779D01* +X106154813Y-91656708D01* +X106110000Y-91652294D01* +X105540950Y-91653400D01* +X105483800Y-91710550D01* +X105336200Y-91710550D01* +X105279050Y-91653400D01* +X104710000Y-91652294D01* +X104665187Y-91656708D01* +X104622095Y-91669779D01* +X104582382Y-91691006D01* +X104547573Y-91719573D01* +X104519006Y-91754382D01* +X104497779Y-91794095D01* +X104484708Y-91837187D01* +X104480294Y-91882000D01* +X103399706Y-91882000D01* +X103399706Y-91512000D01* +X103392410Y-91437923D01* +X103370803Y-91366693D01* +X103335714Y-91301047D01* +X103288493Y-91243507D01* +X103230953Y-91196286D01* +X103165307Y-91161197D01* +X103094077Y-91139590D01* +X103020000Y-91132294D01* +X102720000Y-91132294D01* +X102645923Y-91139590D01* +X102574693Y-91161197D01* +X102509047Y-91196286D01* +X102451507Y-91243507D01* +X102404286Y-91301047D01* +X102369197Y-91366693D01* +X102347590Y-91437923D01* +X102340294Y-91512000D01* +X102129706Y-91512000D01* +X102122410Y-91437923D01* +X102100803Y-91366693D01* +X102065714Y-91301047D01* +X102018493Y-91243507D01* +X101988644Y-91219011D01* +X102027674Y-91160597D01* +X102064054Y-91072769D01* +X102082600Y-90979532D01* +X102082600Y-90884468D01* +X102064054Y-90791231D01* +X102027674Y-90703403D01* +X101974860Y-90624360D01* +X101907640Y-90557140D01* +X101828597Y-90504326D01* +X101740769Y-90467946D01* +X101647532Y-90449400D01* +X101552468Y-90449400D01* +X101459231Y-90467946D01* +X101371403Y-90504326D01* +X101292360Y-90557140D01* +X101225140Y-90624360D01* +X101172326Y-90703403D01* +X101135946Y-90791231D01* +X101117400Y-90884468D01* +X101117400Y-90979532D01* +X101135946Y-91072769D01* +X101172326Y-91160597D01* +X101211356Y-91219011D01* +X101181507Y-91243507D01* +X101134286Y-91301047D01* +X101099197Y-91366693D01* +X101077590Y-91437923D01* +X101070294Y-91512000D01* +X100859706Y-91512000D01* +X100852410Y-91437923D01* +X100830803Y-91366693D01* +X100795714Y-91301047D01* +X100748493Y-91243507D01* +X100718644Y-91219011D01* +X100757674Y-91160597D01* +X100794054Y-91072769D01* +X100812600Y-90979532D01* +X100812600Y-90884468D01* +X100794054Y-90791231D01* +X100757674Y-90703403D01* +X100704860Y-90624360D01* +X100637640Y-90557140D01* +X100558597Y-90504326D01* +X100470769Y-90467946D01* +X100377532Y-90449400D01* +X100282468Y-90449400D01* +X100189231Y-90467946D01* +X100101403Y-90504326D01* +X100022360Y-90557140D01* +X99955140Y-90624360D01* +X99902326Y-90703403D01* +X99865946Y-90791231D01* +X99847400Y-90884468D01* +X99847400Y-90979532D01* +X99865946Y-91072769D01* +X99902326Y-91160597D01* +X99941356Y-91219011D01* +X99911507Y-91243507D01* +X99864286Y-91301047D01* +X99829197Y-91366693D01* +X99807590Y-91437923D01* +X99800294Y-91512000D01* +X99589706Y-91512000D01* +X99582410Y-91437923D01* +X99560803Y-91366693D01* +X99525714Y-91301047D01* +X99478493Y-91243507D01* +X99448644Y-91219011D01* +X99487674Y-91160597D01* +X99524054Y-91072769D01* +X99542600Y-90979532D01* +X99542600Y-90884468D01* +X99524054Y-90791231D01* +X99487674Y-90703403D01* +X99434860Y-90624360D01* +X99367640Y-90557140D01* +X99288597Y-90504326D01* +X99200769Y-90467946D01* +X99107532Y-90449400D01* +X99012468Y-90449400D01* +X98919231Y-90467946D01* +X98831403Y-90504326D01* +X98752360Y-90557140D01* +X98685140Y-90624360D01* +X98632326Y-90703403D01* +X98595946Y-90791231D01* +X98577400Y-90884468D01* +X98577400Y-90979532D01* +X98595946Y-91072769D01* +X98632326Y-91160597D01* +X98671356Y-91219011D01* +X98641507Y-91243507D01* +X98594286Y-91301047D01* +X98559197Y-91366693D01* +X98537590Y-91437923D01* +X98530294Y-91512000D01* +X98319706Y-91512000D01* +X98312410Y-91437923D01* +X98290803Y-91366693D01* +X98255714Y-91301047D01* +X98208493Y-91243507D01* +X98178644Y-91219011D01* +X98217674Y-91160597D01* +X98254054Y-91072769D01* +X98272600Y-90979532D01* +X98272600Y-90884468D01* +X98254054Y-90791231D01* +X98217674Y-90703403D01* +X98164860Y-90624360D01* +X98097640Y-90557140D01* +X98018597Y-90504326D01* +X97930769Y-90467946D01* +X97837532Y-90449400D01* +X97742468Y-90449400D01* +X97649231Y-90467946D01* +X97561403Y-90504326D01* +X97482360Y-90557140D01* +X97415140Y-90624360D01* +X97362326Y-90703403D01* +X97325946Y-90791231D01* +X97307400Y-90884468D01* +X97307400Y-90979532D01* +X97325946Y-91072769D01* +X97362326Y-91160597D01* +X97401356Y-91219011D01* +X97371507Y-91243507D01* +X97324286Y-91301047D01* +X97289197Y-91366693D01* +X97267590Y-91437923D01* +X97260294Y-91512000D01* +X97049706Y-91512000D01* +X97042410Y-91437923D01* +X97020803Y-91366693D01* +X96985714Y-91301047D01* +X96938493Y-91243507D01* +X96908644Y-91219011D01* +X96947674Y-91160597D01* +X96984054Y-91072769D01* +X97002600Y-90979532D01* +X97002600Y-90884468D01* +X96984054Y-90791231D01* +X96947674Y-90703403D01* +X96894860Y-90624360D01* +X96827640Y-90557140D01* +X96748597Y-90504326D01* +X96660769Y-90467946D01* +X96567532Y-90449400D01* +X96472468Y-90449400D01* +X96379231Y-90467946D01* +X96291403Y-90504326D01* +X96212360Y-90557140D01* +X96145140Y-90624360D01* +X96092326Y-90703403D01* +X96055946Y-90791231D01* +X96037400Y-90884468D01* +X96037400Y-90979532D01* +X96055946Y-91072769D01* +X96092326Y-91160597D01* +X96131356Y-91219011D01* +X96101507Y-91243507D01* +X96054286Y-91301047D01* +X96019197Y-91366693D01* +X95997590Y-91437923D01* +X95990294Y-91512000D01* +X94509706Y-91512000D01* +X94502410Y-91437923D01* +X94480803Y-91366693D01* +X94445714Y-91301047D01* +X94398493Y-91243507D01* +X94340953Y-91196286D01* +X94275307Y-91161197D01* +X94204077Y-91139590D01* +X94130000Y-91132294D01* +X93830000Y-91132294D01* +X93755923Y-91139590D01* +X93684693Y-91161197D01* +X93619047Y-91196286D01* +X93561507Y-91243507D01* +X93514286Y-91301047D01* +X93479197Y-91366693D01* +X93457590Y-91437923D01* +X93450294Y-91512000D01* +X93239706Y-91512000D01* +X93232410Y-91437923D01* +X93210803Y-91366693D01* +X93175714Y-91301047D01* +X93128493Y-91243507D01* +X93070953Y-91196286D01* +X93005307Y-91161197D01* +X92934077Y-91139590D01* +X92860000Y-91132294D01* +X92560000Y-91132294D01* +X92485923Y-91139590D01* +X92414693Y-91161197D01* +X92349047Y-91196286D01* +X92291507Y-91243507D01* +X92244286Y-91301047D01* +X92209197Y-91366693D01* +X92187590Y-91437923D01* +X92180294Y-91512000D01* +X91969706Y-91512000D01* +X91962410Y-91437923D01* +X91940803Y-91366693D01* +X91905714Y-91301047D01* +X91858493Y-91243507D01* +X91800953Y-91196286D01* +X91744800Y-91166271D01* +X91744800Y-91058251D01* +X92455252Y-90347800D01* +X94742749Y-90347800D01* +X94769888Y-90374939D01* +X94779432Y-90386568D01* +X94825843Y-90424658D01* +X94878792Y-90452959D01* +X94878794Y-90452960D01* +X94936248Y-90470389D01* +X94941889Y-90470945D01* +X94981034Y-90474800D01* +X94981041Y-90474800D01* +X94995999Y-90476273D01* +X95010957Y-90474800D01* +X95489042Y-90474800D01* +X95504000Y-90476273D01* +X95518958Y-90474800D01* +X95518966Y-90474800D01* +X95563751Y-90470389D01* +X95621206Y-90452960D01* +X95674157Y-90424658D01* +X95720568Y-90386568D01* +X95730112Y-90374939D01* +X95820751Y-90284300D01* +X104188542Y-90284300D01* +X104203500Y-90285773D01* +X104218458Y-90284300D01* +X104218466Y-90284300D01* +X104263251Y-90279889D01* +X104320706Y-90262460D01* +X104373657Y-90234158D01* +X104420068Y-90196068D01* +X104429612Y-90184439D01* +X104901252Y-89712800D01* +X111506749Y-89712800D01* +X114795582Y-93001634D01* +X114761326Y-93052903D01* +X114724946Y-93140731D01* +X114706400Y-93233968D01* +X114706400Y-93329032D01* +X114724946Y-93422269D01* +X114761326Y-93510097D01* +X114814140Y-93589140D01* +X114881360Y-93656360D01* +X114960403Y-93709174D01* +X115048231Y-93745554D01* +X115141468Y-93764100D01* +X115236532Y-93764100D01* +X115329769Y-93745554D01* +X115417597Y-93709174D01* +X115496640Y-93656360D01* +X115563860Y-93589140D01* +X115616674Y-93510097D01* +X115653054Y-93422269D01* +X115671600Y-93329032D01* +X115671600Y-93233968D01* +X115653054Y-93140731D01* +X115616674Y-93052903D01* +X115563860Y-92973860D01* +X115522000Y-92932000D01* +X118450294Y-92932000D01* +X118454708Y-92976813D01* +X118467779Y-93019905D01* +X118489006Y-93059618D01* +X118517573Y-93094427D01* +X118552382Y-93122994D01* +X118592095Y-93144221D01* +X118635187Y-93157292D01* +X118680000Y-93161706D01* +X119249050Y-93160600D01* +X119306200Y-93103450D01* +X119306200Y-92480800D01* +X119453800Y-92480800D01* +X119453800Y-93103450D01* +X119510950Y-93160600D01* +X120080000Y-93161706D01* +X120124813Y-93157292D01* +X120167905Y-93144221D01* +X120207618Y-93122994D01* +X120242427Y-93094427D01* +X120270994Y-93059618D01* +X120292221Y-93019905D01* +X120305292Y-92976813D01* +X120309706Y-92932000D01* +X120308600Y-92537950D01* +X120251450Y-92480800D01* +X119453800Y-92480800D01* +X119306200Y-92480800D01* +X118508550Y-92480800D01* +X118451400Y-92537950D01* +X118450294Y-92932000D01* +X115522000Y-92932000D01* +X115496640Y-92906640D01* +X115488456Y-92901172D01* +X115474093Y-92853826D01* +X115471960Y-92846794D01* +X115443658Y-92793843D01* +X115405568Y-92747432D01* +X115393944Y-92737892D01* +X114538052Y-91882000D01* +X118450294Y-91882000D01* +X118451400Y-92276050D01* +X118508550Y-92333200D01* +X119306200Y-92333200D01* +X119306200Y-91710550D01* +X119453800Y-91710550D01* +X119453800Y-92333200D01* +X120251450Y-92333200D01* +X120308600Y-92276050D01* +X120309706Y-91882000D01* +X120305292Y-91837187D01* +X120292221Y-91794095D01* +X120270994Y-91754382D01* +X120242427Y-91719573D01* +X120207618Y-91691006D01* +X120167905Y-91669779D01* +X120124813Y-91656708D01* +X120080000Y-91652294D01* +X119510950Y-91653400D01* +X119453800Y-91710550D01* +X119306200Y-91710550D01* +X119249050Y-91653400D01* +X118680000Y-91652294D01* +X118635187Y-91656708D01* +X118592095Y-91669779D01* +X118552382Y-91691006D01* +X118517573Y-91719573D01* +X118489006Y-91754382D01* +X118467779Y-91794095D01* +X118454708Y-91837187D01* +X118450294Y-91882000D01* +X114538052Y-91882000D01* +X111859112Y-89203061D01* +X111849568Y-89191432D01* +X111803157Y-89153342D01* +X111750206Y-89125040D01* +X111692751Y-89107611D01* +X111647966Y-89103200D01* +X111647958Y-89103200D01* +X111633000Y-89101727D01* +X111618042Y-89103200D01* +X104789957Y-89103200D01* +X104774999Y-89101727D01* +X104760041Y-89103200D01* +X104760034Y-89103200D01* +X104720889Y-89107055D01* +X104715248Y-89107611D01* +X104704591Y-89110844D01* +X104657794Y-89125040D01* +X104604843Y-89153342D01* +X104558432Y-89191432D01* +X104548892Y-89203056D01* +X104077249Y-89674700D01* +X95709458Y-89674700D01* +X95694500Y-89673227D01* +X95679542Y-89674700D01* +X95679534Y-89674700D01* +X95639903Y-89678603D01* +X95634748Y-89679111D01* +X95577294Y-89696540D01* +X95524343Y-89724842D01* +X95477932Y-89762932D01* +X95468388Y-89774561D01* +X95377749Y-89865200D01* +X95122251Y-89865200D01* +X95095112Y-89838061D01* +X95085568Y-89826432D01* +X95039157Y-89788342D01* +X94986206Y-89760040D01* +X94928751Y-89742611D01* +X94883966Y-89738200D01* +X94883958Y-89738200D01* +X94869000Y-89736727D01* +X94854042Y-89738200D01* +X92343958Y-89738200D01* +X92329000Y-89736727D01* +X92314042Y-89738200D01* +X92314034Y-89738200D01* +X92274403Y-89742103D01* +X92269248Y-89742611D01* +X92258591Y-89745844D01* +X92211794Y-89760040D01* +X92158843Y-89788342D01* +X92112432Y-89826432D01* +X92102892Y-89838056D01* +X91235061Y-90705888D01* +X91223432Y-90715432D01* +X91185342Y-90761844D01* +X91157040Y-90814795D01* +X91139611Y-90872250D01* +X91135200Y-90917035D01* +X91135200Y-90917042D01* +X91133727Y-90932000D01* +X91135200Y-90946958D01* +X91135200Y-91166271D01* +X91079047Y-91196286D01* +X91021507Y-91243507D01* +X90974286Y-91301047D01* +X90939197Y-91366693D01* +X90917590Y-91437923D01* +X90910294Y-91512000D01* +X90699706Y-91512000D01* +X90692410Y-91437923D01* +X90670803Y-91366693D01* +X90635714Y-91301047D01* +X90588493Y-91243507D01* +X90530953Y-91196286D01* +X90465307Y-91161197D01* +X90394077Y-91139590D01* +X90320000Y-91132294D01* +X90020000Y-91132294D01* +X89945923Y-91139590D01* +X89874693Y-91161197D01* +X89839800Y-91179848D01* +X89839800Y-90042251D01* +X90374944Y-89507108D01* +X90386568Y-89497568D01* +X90424658Y-89451157D01* +X90452960Y-89398206D01* +X90470389Y-89340751D01* +X90474800Y-89295966D01* +X90474800Y-89295958D01* +X90476273Y-89281000D01* +X90474800Y-89266042D01* +X90474800Y-88157729D01* +X90530953Y-88127714D01* +X90588493Y-88080493D01* +X90635714Y-88022953D01* +X90670803Y-87957307D01* +X90692410Y-87886077D01* +X90699706Y-87812000D01* +X90699706Y-84112000D01* +X90910294Y-84112000D01* +X90910294Y-87812000D01* +X90917590Y-87886077D01* +X90939197Y-87957307D01* +X90974286Y-88022953D01* +X91021507Y-88080493D01* +X91051356Y-88104989D01* +X91012326Y-88163403D01* +X90975946Y-88251231D01* +X90957400Y-88344468D01* +X90957400Y-88439532D01* +X90975946Y-88532769D01* +X91012326Y-88620597D01* +X91065140Y-88699640D01* +X91132360Y-88766860D01* +X91211403Y-88819674D01* +X91299231Y-88856054D01* +X91392468Y-88874600D01* +X91487532Y-88874600D01* +X91490882Y-88873934D01* +X92102892Y-89485944D01* +X92112432Y-89497568D01* +X92158843Y-89535658D01* +X92200520Y-89557934D01* +X92211794Y-89563960D01* +X92269248Y-89581389D01* +X92274403Y-89581897D01* +X92314034Y-89585800D01* +X92314042Y-89585800D01* +X92329000Y-89587273D01* +X92343958Y-89585800D01* +X94854042Y-89585800D01* +X94869000Y-89587273D01* +X94883958Y-89585800D01* +X94883966Y-89585800D01* +X94928751Y-89581389D01* +X94986206Y-89563960D01* +X95039157Y-89535658D01* +X95085568Y-89497568D01* +X95095112Y-89485939D01* +X95122251Y-89458800D01* +X95377749Y-89458800D01* +X95404888Y-89485939D01* +X95414432Y-89497568D01* +X95460843Y-89535658D01* +X95502520Y-89557934D01* +X95513794Y-89563960D01* +X95571248Y-89581389D01* +X95576889Y-89581945D01* +X95616034Y-89585800D01* +X95616041Y-89585800D01* +X95630999Y-89587273D01* +X95645957Y-89585800D01* +X103363042Y-89585800D01* +X103378000Y-89587273D01* +X103392958Y-89585800D01* +X103392966Y-89585800D01* +X103437751Y-89581389D01* +X103495206Y-89563960D01* +X103548157Y-89535658D01* +X103594568Y-89497568D01* +X103604112Y-89485939D01* +X104139252Y-88950800D01* +X119507749Y-88950800D01* +X120676066Y-90119118D01* +X120675400Y-90122468D01* +X120675400Y-90217532D01* +X120693946Y-90310769D01* +X120730326Y-90398597D01* +X120783140Y-90477640D01* +X120850360Y-90544860D01* +X120929403Y-90597674D01* +X121017231Y-90634054D01* +X121110468Y-90652600D01* +X121205532Y-90652600D01* +X121298769Y-90634054D01* +X121386597Y-90597674D01* +X121465640Y-90544860D01* +X121532860Y-90477640D01* +X121585674Y-90398597D01* +X121622054Y-90310769D01* +X121640600Y-90217532D01* +X121640600Y-90122468D01* +X121622054Y-90029231D01* +X121585674Y-89941403D01* +X121532860Y-89862360D01* +X121465640Y-89795140D01* +X121386597Y-89742326D01* +X121298769Y-89705946D01* +X121205532Y-89687400D01* +X121110468Y-89687400D01* +X121107118Y-89688066D01* +X119860112Y-88441061D01* +X119850568Y-88429432D01* +X119804157Y-88391342D01* +X119751206Y-88363040D01* +X119693751Y-88345611D01* +X119648966Y-88341200D01* +X119648958Y-88341200D01* +X119634000Y-88339727D01* +X119619042Y-88341200D01* +X104027958Y-88341200D01* +X104013000Y-88339727D01* +X103998042Y-88341200D01* +X103998034Y-88341200D01* +X103953249Y-88345611D01* +X103895794Y-88363040D01* +X103842843Y-88391342D01* +X103796432Y-88429432D01* +X103786892Y-88441056D01* +X103251749Y-88976200D01* +X95757251Y-88976200D01* +X95730112Y-88949061D01* +X95720568Y-88937432D01* +X95674157Y-88899342D01* +X95621206Y-88871040D01* +X95563751Y-88853611D01* +X95518966Y-88849200D01* +X95518958Y-88849200D01* +X95504000Y-88847727D01* +X95489042Y-88849200D01* +X95010957Y-88849200D01* +X94995999Y-88847727D01* +X94981041Y-88849200D01* +X94981034Y-88849200D01* +X94941889Y-88853055D01* +X94936248Y-88853611D01* +X94906722Y-88862568D01* +X94878794Y-88871040D01* +X94825843Y-88899342D01* +X94779432Y-88937432D01* +X94769888Y-88949061D01* +X94742749Y-88976200D01* +X92455252Y-88976200D01* +X91921934Y-88442882D01* +X91922600Y-88439532D01* +X91922600Y-88344468D01* +X91904054Y-88251231D01* +X91867674Y-88163403D01* +X91828644Y-88104989D01* +X91858493Y-88080493D01* +X91905714Y-88022953D01* +X91940803Y-87957307D01* +X91962410Y-87886077D01* +X91969706Y-87812000D01* +X91969706Y-84112000D01* +X91962410Y-84037923D01* +X91940803Y-83966693D01* +X91938295Y-83962000D01* +X92180294Y-83962000D01* +X92181219Y-85525745D01* +X92180294Y-86462000D01* +X92181176Y-86470958D01* +X92180294Y-87962000D01* +X92184708Y-88006813D01* +X92197779Y-88049905D01* +X92219006Y-88089618D01* +X92247573Y-88124427D01* +X92282382Y-88152994D01* +X92322095Y-88174221D01* +X92365187Y-88187292D01* +X92410000Y-88191706D01* +X92579050Y-88190600D01* +X92636200Y-88133450D01* +X92636200Y-83790550D01* +X92783800Y-83790550D01* +X92783800Y-88133450D01* +X92840950Y-88190600D01* +X93010000Y-88191706D01* +X93054813Y-88187292D01* +X93097905Y-88174221D01* +X93137618Y-88152994D01* +X93172427Y-88124427D01* +X93200994Y-88089618D01* +X93222221Y-88049905D01* +X93235292Y-88006813D01* +X93239706Y-87962000D01* +X93238824Y-86470958D01* +X93239706Y-86462000D01* +X93238781Y-85525745D01* +X93239617Y-84112000D01* +X93450294Y-84112000D01* +X93450294Y-87812000D01* +X93457590Y-87886077D01* +X93479197Y-87957307D01* +X93514286Y-88022953D01* +X93561507Y-88080493D01* +X93591356Y-88104989D01* +X93552326Y-88163403D01* +X93515946Y-88251231D01* +X93497400Y-88344468D01* +X93497400Y-88439532D01* +X93515946Y-88532769D01* +X93552326Y-88620597D01* +X93605140Y-88699640D01* +X93672360Y-88766860D01* +X93751403Y-88819674D01* +X93839231Y-88856054D01* +X93932468Y-88874600D01* +X94027532Y-88874600D01* +X94120769Y-88856054D01* +X94208597Y-88819674D01* +X94287640Y-88766860D01* +X94354860Y-88699640D01* +X94407674Y-88620597D01* +X94444054Y-88532769D01* +X94462600Y-88439532D01* +X94462600Y-88344468D01* +X94444054Y-88251231D01* +X94407674Y-88163403D01* +X94368644Y-88104989D01* +X94398493Y-88080493D01* +X94445714Y-88022953D01* +X94480803Y-87957307D01* +X94502410Y-87886077D01* +X94509706Y-87812000D01* +X94509706Y-84112000D01* +X95990294Y-84112000D01* +X95990294Y-87812000D01* +X95997590Y-87886077D01* +X96019197Y-87957307D01* +X96054286Y-88022953D01* +X96101507Y-88080493D01* +X96131356Y-88104989D01* +X96092326Y-88163403D01* +X96055946Y-88251231D01* +X96037400Y-88344468D01* +X96037400Y-88439532D01* +X96055946Y-88532769D01* +X96092326Y-88620597D01* +X96145140Y-88699640D01* +X96212360Y-88766860D01* +X96291403Y-88819674D01* +X96379231Y-88856054D01* +X96472468Y-88874600D01* +X96567532Y-88874600D01* +X96660769Y-88856054D01* +X96748597Y-88819674D01* +X96827640Y-88766860D01* +X96894860Y-88699640D01* +X96947674Y-88620597D01* +X96984054Y-88532769D01* +X97002600Y-88439532D01* +X97002600Y-88344468D01* +X96984054Y-88251231D01* +X96947674Y-88163403D01* +X96908644Y-88104989D01* +X96938493Y-88080493D01* +X96985714Y-88022953D01* +X97020803Y-87957307D01* +X97042410Y-87886077D01* +X97049706Y-87812000D01* +X97049706Y-84112000D01* +X97260294Y-84112000D01* +X97260294Y-87812000D01* +X97267590Y-87886077D01* +X97289197Y-87957307D01* +X97324286Y-88022953D01* +X97371507Y-88080493D01* +X97401356Y-88104989D01* +X97362326Y-88163403D01* +X97325946Y-88251231D01* +X97307400Y-88344468D01* +X97307400Y-88439532D01* +X97325946Y-88532769D01* +X97362326Y-88620597D01* +X97415140Y-88699640D01* +X97482360Y-88766860D01* +X97561403Y-88819674D01* +X97649231Y-88856054D01* +X97742468Y-88874600D01* +X97837532Y-88874600D01* +X97930769Y-88856054D01* +X98018597Y-88819674D01* +X98097640Y-88766860D01* +X98164860Y-88699640D01* +X98217674Y-88620597D01* +X98254054Y-88532769D01* +X98272600Y-88439532D01* +X98272600Y-88344468D01* +X98254054Y-88251231D01* +X98217674Y-88163403D01* +X98178644Y-88104989D01* +X98208493Y-88080493D01* +X98255714Y-88022953D01* +X98290803Y-87957307D01* +X98312410Y-87886077D01* +X98319706Y-87812000D01* +X98319706Y-84112000D01* +X98530294Y-84112000D01* +X98530294Y-87812000D01* +X98537590Y-87886077D01* +X98559197Y-87957307D01* +X98594286Y-88022953D01* +X98641507Y-88080493D01* +X98671356Y-88104989D01* +X98632326Y-88163403D01* +X98595946Y-88251231D01* +X98577400Y-88344468D01* +X98577400Y-88439532D01* +X98595946Y-88532769D01* +X98632326Y-88620597D01* +X98685140Y-88699640D01* +X98752360Y-88766860D01* +X98831403Y-88819674D01* +X98919231Y-88856054D01* +X99012468Y-88874600D01* +X99107532Y-88874600D01* +X99200769Y-88856054D01* +X99288597Y-88819674D01* +X99367640Y-88766860D01* +X99434860Y-88699640D01* +X99487674Y-88620597D01* +X99524054Y-88532769D01* +X99542600Y-88439532D01* +X99542600Y-88344468D01* +X99524054Y-88251231D01* +X99487674Y-88163403D01* +X99448644Y-88104989D01* +X99478493Y-88080493D01* +X99525714Y-88022953D01* +X99560803Y-87957307D01* +X99582410Y-87886077D01* +X99589706Y-87812000D01* +X99589706Y-84112000D01* +X99800294Y-84112000D01* +X99800294Y-87812000D01* +X99807590Y-87886077D01* +X99829197Y-87957307D01* +X99864286Y-88022953D01* +X99911507Y-88080493D01* +X99941356Y-88104989D01* +X99902326Y-88163403D01* +X99865946Y-88251231D01* +X99847400Y-88344468D01* +X99847400Y-88439532D01* +X99865946Y-88532769D01* +X99902326Y-88620597D01* +X99955140Y-88699640D01* +X100022360Y-88766860D01* +X100101403Y-88819674D01* +X100189231Y-88856054D01* +X100282468Y-88874600D01* +X100377532Y-88874600D01* +X100470769Y-88856054D01* +X100558597Y-88819674D01* +X100637640Y-88766860D01* +X100704860Y-88699640D01* +X100757674Y-88620597D01* +X100794054Y-88532769D01* +X100812600Y-88439532D01* +X100812600Y-88344468D01* +X100794054Y-88251231D01* +X100757674Y-88163403D01* +X100718644Y-88104989D01* +X100748493Y-88080493D01* +X100795714Y-88022953D01* +X100830803Y-87957307D01* +X100852410Y-87886077D01* +X100859706Y-87812000D01* +X100859706Y-84112000D01* +X101070294Y-84112000D01* +X101070294Y-87812000D01* +X101077590Y-87886077D01* +X101099197Y-87957307D01* +X101134286Y-88022953D01* +X101181507Y-88080493D01* +X101211356Y-88104989D01* +X101172326Y-88163403D01* +X101135946Y-88251231D01* +X101117400Y-88344468D01* +X101117400Y-88439532D01* +X101135946Y-88532769D01* +X101172326Y-88620597D01* +X101225140Y-88699640D01* +X101292360Y-88766860D01* +X101371403Y-88819674D01* +X101459231Y-88856054D01* +X101552468Y-88874600D01* +X101647532Y-88874600D01* +X101740769Y-88856054D01* +X101828597Y-88819674D01* +X101907640Y-88766860D01* +X101974860Y-88699640D01* +X102027674Y-88620597D01* +X102064054Y-88532769D01* +X102082600Y-88439532D01* +X102082600Y-88344468D01* +X102064054Y-88251231D01* +X102027674Y-88163403D01* +X101988644Y-88104989D01* +X102018493Y-88080493D01* +X102065714Y-88022953D01* +X102100803Y-87957307D01* +X102122410Y-87886077D01* +X102129706Y-87812000D01* +X102129706Y-84112000D01* +X102122410Y-84037923D01* +X102100803Y-83966693D01* +X102098295Y-83962000D01* +X102340294Y-83962000D01* +X102341219Y-85525745D01* +X102340294Y-86462000D01* +X102341176Y-86470958D01* +X102340294Y-87962000D01* +X102344708Y-88006813D01* +X102357779Y-88049905D01* +X102379006Y-88089618D01* +X102407573Y-88124427D01* +X102442382Y-88152994D01* +X102482095Y-88174221D01* +X102525187Y-88187292D01* +X102570000Y-88191706D01* +X102739050Y-88190600D01* +X102796200Y-88133450D01* +X102796200Y-83790550D01* +X102943800Y-83790550D01* +X102943800Y-88133450D01* +X103000950Y-88190600D01* +X103170000Y-88191706D01* +X103214813Y-88187292D01* +X103257905Y-88174221D01* +X103297618Y-88152994D01* +X103332427Y-88124427D01* +X103360994Y-88089618D01* +X103382221Y-88049905D01* +X103395292Y-88006813D01* +X103399706Y-87962000D01* +X103398824Y-86470958D01* +X103399706Y-86462000D01* +X103398781Y-85525745D01* +X103399706Y-83962000D01* +X121390294Y-83962000D01* +X121391219Y-85525745D01* +X121390294Y-86462000D01* +X121391176Y-86470958D01* +X121390294Y-87962000D01* +X121394708Y-88006813D01* +X121407779Y-88049905D01* +X121429006Y-88089618D01* +X121457573Y-88124427D01* +X121492382Y-88152994D01* +X121532095Y-88174221D01* +X121575187Y-88187292D01* +X121620000Y-88191706D01* +X121789050Y-88190600D01* +X121846200Y-88133450D01* +X121846200Y-83790550D01* +X121993800Y-83790550D01* +X121993800Y-88133450D01* +X122050950Y-88190600D01* +X122220000Y-88191706D01* +X122264813Y-88187292D01* +X122307905Y-88174221D01* +X122347618Y-88152994D01* +X122382427Y-88124427D01* +X122410994Y-88089618D01* +X122432221Y-88049905D01* +X122445292Y-88006813D01* +X122449706Y-87962000D01* +X122448824Y-86470958D01* +X122449706Y-86462000D01* +X122448781Y-85525745D01* +X122449706Y-83962000D01* +X122445292Y-83917187D01* +X122432221Y-83874095D01* +X122410994Y-83834382D01* +X122382427Y-83799573D01* +X122347618Y-83771006D01* +X122307905Y-83749779D01* +X122264813Y-83736708D01* +X122220000Y-83732294D01* +X122050950Y-83733400D01* +X121993800Y-83790550D01* +X121846200Y-83790550D01* +X121789050Y-83733400D01* +X121620000Y-83732294D01* +X121575187Y-83736708D01* +X121532095Y-83749779D01* +X121492382Y-83771006D01* +X121457573Y-83799573D01* +X121429006Y-83834382D01* +X121407779Y-83874095D01* +X121394708Y-83917187D01* +X121390294Y-83962000D01* +X103399706Y-83962000D01* +X103395292Y-83917187D01* +X103382221Y-83874095D01* +X103360994Y-83834382D01* +X103332427Y-83799573D01* +X103297618Y-83771006D01* +X103257905Y-83749779D01* +X103214813Y-83736708D01* +X103170000Y-83732294D01* +X103000950Y-83733400D01* +X102943800Y-83790550D01* +X102796200Y-83790550D01* +X102739050Y-83733400D01* +X102570000Y-83732294D01* +X102525187Y-83736708D01* +X102482095Y-83749779D01* +X102442382Y-83771006D01* +X102407573Y-83799573D01* +X102379006Y-83834382D01* +X102357779Y-83874095D01* +X102344708Y-83917187D01* +X102340294Y-83962000D01* +X102098295Y-83962000D01* +X102065714Y-83901047D01* +X102018493Y-83843507D01* +X101960953Y-83796286D01* +X101895307Y-83761197D01* +X101824077Y-83739590D01* +X101750000Y-83732294D01* +X101450000Y-83732294D01* +X101375923Y-83739590D01* +X101304693Y-83761197D01* +X101239047Y-83796286D01* +X101181507Y-83843507D01* +X101134286Y-83901047D01* +X101099197Y-83966693D01* +X101077590Y-84037923D01* +X101070294Y-84112000D01* +X100859706Y-84112000D01* +X100852410Y-84037923D01* +X100830803Y-83966693D01* +X100795714Y-83901047D01* +X100748493Y-83843507D01* +X100690953Y-83796286D01* +X100625307Y-83761197D01* +X100554077Y-83739590D01* +X100480000Y-83732294D01* +X100180000Y-83732294D01* +X100105923Y-83739590D01* +X100034693Y-83761197D01* +X99969047Y-83796286D01* +X99911507Y-83843507D01* +X99864286Y-83901047D01* +X99829197Y-83966693D01* +X99807590Y-84037923D01* +X99800294Y-84112000D01* +X99589706Y-84112000D01* +X99582410Y-84037923D01* +X99560803Y-83966693D01* +X99525714Y-83901047D01* +X99478493Y-83843507D01* +X99420953Y-83796286D01* +X99355307Y-83761197D01* +X99284077Y-83739590D01* +X99210000Y-83732294D01* +X98910000Y-83732294D01* +X98835923Y-83739590D01* +X98764693Y-83761197D01* +X98699047Y-83796286D01* +X98641507Y-83843507D01* +X98594286Y-83901047D01* +X98559197Y-83966693D01* +X98537590Y-84037923D01* +X98530294Y-84112000D01* +X98319706Y-84112000D01* +X98312410Y-84037923D01* +X98290803Y-83966693D01* +X98255714Y-83901047D01* +X98208493Y-83843507D01* +X98150953Y-83796286D01* +X98085307Y-83761197D01* +X98014077Y-83739590D01* +X97940000Y-83732294D01* +X97640000Y-83732294D01* +X97565923Y-83739590D01* +X97494693Y-83761197D01* +X97429047Y-83796286D01* +X97371507Y-83843507D01* +X97324286Y-83901047D01* +X97289197Y-83966693D01* +X97267590Y-84037923D01* +X97260294Y-84112000D01* +X97049706Y-84112000D01* +X97042410Y-84037923D01* +X97020803Y-83966693D01* +X96985714Y-83901047D01* +X96938493Y-83843507D01* +X96880953Y-83796286D01* +X96815307Y-83761197D01* +X96744077Y-83739590D01* +X96670000Y-83732294D01* +X96370000Y-83732294D01* +X96295923Y-83739590D01* +X96224693Y-83761197D01* +X96159047Y-83796286D01* +X96101507Y-83843507D01* +X96054286Y-83901047D01* +X96019197Y-83966693D01* +X95997590Y-84037923D01* +X95990294Y-84112000D01* +X94509706Y-84112000D01* +X94502410Y-84037923D01* +X94480803Y-83966693D01* +X94445714Y-83901047D01* +X94398493Y-83843507D01* +X94340953Y-83796286D01* +X94275307Y-83761197D01* +X94204077Y-83739590D01* +X94130000Y-83732294D01* +X93830000Y-83732294D01* +X93755923Y-83739590D01* +X93684693Y-83761197D01* +X93619047Y-83796286D01* +X93561507Y-83843507D01* +X93514286Y-83901047D01* +X93479197Y-83966693D01* +X93457590Y-84037923D01* +X93450294Y-84112000D01* +X93239617Y-84112000D01* +X93239706Y-83962000D01* +X93235292Y-83917187D01* +X93222221Y-83874095D01* +X93200994Y-83834382D01* +X93172427Y-83799573D01* +X93137618Y-83771006D01* +X93097905Y-83749779D01* +X93054813Y-83736708D01* +X93010000Y-83732294D01* +X92840950Y-83733400D01* +X92783800Y-83790550D01* +X92636200Y-83790550D01* +X92579050Y-83733400D01* +X92410000Y-83732294D01* +X92365187Y-83736708D01* +X92322095Y-83749779D01* +X92282382Y-83771006D01* +X92247573Y-83799573D01* +X92219006Y-83834382D01* +X92197779Y-83874095D01* +X92184708Y-83917187D01* +X92180294Y-83962000D01* +X91938295Y-83962000D01* +X91905714Y-83901047D01* +X91858493Y-83843507D01* +X91800953Y-83796286D01* +X91735307Y-83761197D01* +X91664077Y-83739590D01* +X91590000Y-83732294D01* +X91290000Y-83732294D01* +X91215923Y-83739590D01* +X91144693Y-83761197D01* +X91079047Y-83796286D01* +X91021507Y-83843507D01* +X90974286Y-83901047D01* +X90939197Y-83966693D01* +X90917590Y-84037923D01* +X90910294Y-84112000D01* +X90699706Y-84112000D01* +X90692410Y-84037923D01* +X90670803Y-83966693D01* +X90635714Y-83901047D01* +X90588493Y-83843507D01* +X90530953Y-83796286D01* +X90465307Y-83761197D01* +X90394077Y-83739590D01* +X90320000Y-83732294D01* +X90020000Y-83732294D01* +X89945923Y-83739590D01* +X89874693Y-83761197D01* +X89809047Y-83796286D01* +X89751507Y-83843507D01* +X89704286Y-83901047D01* +X89669197Y-83966693D01* +X89647590Y-84037923D01* +X89640294Y-84112000D01* +X89640294Y-87812000D01* +X89647590Y-87886077D01* +X89669197Y-87957307D01* +X89704286Y-88022953D01* +X89751507Y-88080493D01* +X89809047Y-88127714D01* +X89865201Y-88157729D01* +X89865201Y-89154747D01* +X89330061Y-89689888D01* +X89318432Y-89699432D01* +X89280342Y-89745844D01* +X89252040Y-89798795D01* +X89234611Y-89856250D01* +X89230200Y-89901035D01* +X89230200Y-89901042D01* +X89228727Y-89916000D01* +X89230200Y-89930958D01* +X89230200Y-91179848D01* +X89195307Y-91161197D01* +X89124077Y-91139590D01* +X89050000Y-91132294D01* +X88750000Y-91132294D01* +X88675923Y-91139590D01* +X88604693Y-91161197D01* +X88569800Y-91179848D01* +X88569800Y-90042251D01* +X89104945Y-89507107D01* +X89116568Y-89497568D01* +X89154658Y-89451157D01* +X89182960Y-89398206D01* +X89200389Y-89340751D01* +X89204800Y-89295966D01* +X89204800Y-89295958D01* +X89206273Y-89281000D01* +X89204800Y-89266042D01* +X89204800Y-88157729D01* +X89260953Y-88127714D01* +X89318493Y-88080493D01* +X89365714Y-88022953D01* +X89400803Y-87957307D01* +X89422410Y-87886077D01* +X89429706Y-87812000D01* +X89429706Y-84112000D01* +X89422410Y-84037923D01* +X89400803Y-83966693D01* +X89365714Y-83901047D01* +X89318493Y-83843507D01* +X89260953Y-83796286D01* +X89195307Y-83761197D01* +X89124077Y-83739590D01* +X89050000Y-83732294D01* +X88750000Y-83732294D01* +X88675923Y-83739590D01* +X88604693Y-83761197D01* +X88539047Y-83796286D01* +X88481507Y-83843507D01* +X88434286Y-83901047D01* +X88399197Y-83966693D01* +X88377590Y-84037923D01* +X88370294Y-84112000D01* +X88370294Y-87812000D01* +X88377590Y-87886077D01* +X88399197Y-87957307D01* +X88434286Y-88022953D01* +X88481507Y-88080493D01* +X88539047Y-88127714D01* +X88595200Y-88157729D01* +X88595200Y-89154748D01* +X88060056Y-89689892D01* +X88048433Y-89699432D01* +X88010343Y-89745843D01* +X88006308Y-89753392D01* +X87982040Y-89798795D01* +X87964611Y-89856249D01* +X87958727Y-89916000D01* +X87960201Y-89930968D01* +X87960201Y-91179848D01* +X87925307Y-91161197D01* +X87854077Y-91139590D01* +X87780000Y-91132294D01* +X87480000Y-91132294D01* +X87405923Y-91139590D01* +X87334693Y-91161197D01* +X87269047Y-91196286D01* +X87211507Y-91243507D01* +X87164286Y-91301047D01* +X87129197Y-91366693D01* +X87107590Y-91437923D01* +X87100294Y-91512000D01* +X87100294Y-93591061D01* +X87038754Y-93549941D01* +X86924356Y-93502556D01* +X86802912Y-93478400D01* +X86679088Y-93478400D01* +X86583566Y-93497400D01* +X85876315Y-93497400D01* +X85875542Y-93496458D01* +X85800955Y-93435246D01* +X85715859Y-93389761D01* +X85623525Y-93361752D01* +X85527500Y-93352294D01* +X84652500Y-93352294D01* +X84556475Y-93361752D01* +X84464141Y-93389761D01* +X84379045Y-93435246D01* +X84304458Y-93496458D01* +X84303685Y-93497400D01* +X83977434Y-93497400D01* +X83881912Y-93478400D01* +X83758088Y-93478400D01* +X83636644Y-93502556D01* +X83522246Y-93549941D01* +X83419291Y-93618734D01* +X83331734Y-93706291D01* +X83262941Y-93809246D01* +X83215556Y-93923644D01* +X83191400Y-94045088D01* +X76528600Y-94045088D01* +X76528600Y-91466683D01* +X77654586Y-91466683D01* +X77696162Y-91837340D01* +X77808940Y-92192862D01* +X77988626Y-92519708D01* +X78228373Y-92805429D01* +X78519052Y-93039140D01* +X78849589Y-93211941D01* +X79207396Y-93317249D01* +X79578842Y-93351053D01* +X79949780Y-93312066D01* +X80306081Y-93201773D01* +X80634174Y-93024374D01* +X80745834Y-92932000D01* +X84160294Y-92932000D01* +X84164708Y-92976813D01* +X84177779Y-93019905D01* +X84199006Y-93059618D01* +X84227573Y-93094427D01* +X84262382Y-93122994D01* +X84302095Y-93144221D01* +X84345187Y-93157292D01* +X84390000Y-93161706D01* +X84959050Y-93160600D01* +X85016200Y-93103450D01* +X85016200Y-92480800D01* +X85163800Y-92480800D01* +X85163800Y-93103450D01* +X85220950Y-93160600D01* +X85790000Y-93161706D01* +X85834813Y-93157292D01* +X85877905Y-93144221D01* +X85917618Y-93122994D01* +X85952427Y-93094427D01* +X85980994Y-93059618D01* +X86002221Y-93019905D01* +X86015292Y-92976813D01* +X86019706Y-92932000D01* +X86018600Y-92537950D01* +X85961450Y-92480800D01* +X85163800Y-92480800D01* +X85016200Y-92480800D01* +X84218550Y-92480800D01* +X84161400Y-92537950D01* +X84160294Y-92932000D01* +X80745834Y-92932000D01* +X80921561Y-92786626D01* +X81157296Y-92497587D01* +X81332400Y-92168264D01* +X81418827Y-91882000D01* +X84160294Y-91882000D01* +X84161400Y-92276050D01* +X84218550Y-92333200D01* +X85016200Y-92333200D01* +X85016200Y-91710550D01* +X85163800Y-91710550D01* +X85163800Y-92333200D01* +X85961450Y-92333200D01* +X86018600Y-92276050D01* +X86019706Y-91882000D01* +X86015292Y-91837187D01* +X86002221Y-91794095D01* +X85980994Y-91754382D01* +X85952427Y-91719573D01* +X85917618Y-91691006D01* +X85877905Y-91669779D01* +X85834813Y-91656708D01* +X85790000Y-91652294D01* +X85220950Y-91653400D01* +X85163800Y-91710550D01* +X85016200Y-91710550D01* +X84959050Y-91653400D01* +X84390000Y-91652294D01* +X84345187Y-91656708D01* +X84302095Y-91669779D01* +X84262382Y-91691006D01* +X84227573Y-91719573D01* +X84199006Y-91754382D01* +X84177779Y-91794095D01* +X84164708Y-91837187D01* +X84160294Y-91882000D01* +X81418827Y-91882000D01* +X81440203Y-91811201D01* +X81476600Y-91440000D01* +X81475855Y-91386639D01* +X81429108Y-91016599D01* +X81311377Y-90662686D01* +X81127146Y-90338380D01* +X80883432Y-90056035D01* +X80589519Y-89826405D01* +X80256601Y-89658236D01* +X79897359Y-89557934D01* +X79525477Y-89529319D01* +X79155119Y-89573482D01* +X78800393Y-89688739D01* +X78474809Y-89870702D01* +X78190770Y-90112438D01* +X77959093Y-90404741D01* +X77788604Y-90736477D01* +X77685797Y-91095010D01* +X77654586Y-91466683D01* +X76528600Y-91466683D01* +X76528600Y-83962000D01* +X87100294Y-83962000D01* +X87101219Y-85525745D01* +X87100294Y-86462000D01* +X87101176Y-86470958D01* +X87100294Y-87962000D01* +X87104708Y-88006813D01* +X87117779Y-88049905D01* +X87139006Y-88089618D01* +X87167573Y-88124427D01* +X87202382Y-88152994D01* +X87242095Y-88174221D01* +X87285187Y-88187292D01* +X87330000Y-88191706D01* +X87499050Y-88190600D01* +X87556200Y-88133450D01* +X87556200Y-83790550D01* +X87703800Y-83790550D01* +X87703800Y-88133450D01* +X87760950Y-88190600D01* +X87930000Y-88191706D01* +X87974813Y-88187292D01* +X88017905Y-88174221D01* +X88057618Y-88152994D01* +X88092427Y-88124427D01* +X88120994Y-88089618D01* +X88142221Y-88049905D01* +X88155292Y-88006813D01* +X88159706Y-87962000D01* +X88158824Y-86470958D01* +X88159706Y-86462000D01* +X88158781Y-85525745D01* +X88159706Y-83962000D01* +X88155292Y-83917187D01* +X88142221Y-83874095D01* +X88120994Y-83834382D01* +X88092427Y-83799573D01* +X88057618Y-83771006D01* +X88017905Y-83749779D01* +X87974813Y-83736708D01* +X87930000Y-83732294D01* +X87760950Y-83733400D01* +X87703800Y-83790550D01* +X87556200Y-83790550D01* +X87499050Y-83733400D01* +X87330000Y-83732294D01* +X87285187Y-83736708D01* +X87242095Y-83749779D01* +X87202382Y-83771006D01* +X87167573Y-83799573D01* +X87139006Y-83834382D01* +X87117779Y-83874095D01* +X87104708Y-83917187D01* +X87100294Y-83962000D01* +X76528600Y-83962000D01* +X76528600Y-82878600D01* +X164771400Y-82878600D01* +X164771401Y-101271400D01* +X164771401Y-101271400D01* +G37* +X164771401Y-101271400D02* +X78560600Y-101271400D01* +X78560600Y-99250500D01* +X82701294Y-99250500D01* +X82701294Y-100393500D01* +X82714249Y-100525031D01* +X82752615Y-100651507D01* +X82814918Y-100768069D01* +X82898764Y-100870236D01* +X83000931Y-100954082D01* +X83117493Y-101016385D01* +X83243969Y-101054751D01* +X83375500Y-101067706D01* +X84264500Y-101067706D01* +X84396031Y-101054751D01* +X84522507Y-101016385D01* +X84639069Y-100954082D01* +X84741236Y-100870236D01* +X84825082Y-100768069D01* +X84887385Y-100651507D01* +X84925751Y-100525031D01* +X84938706Y-100393500D01* +X84938706Y-99250500D01* +X85241294Y-99250500D01* +X85241294Y-100393500D01* +X85254249Y-100525031D01* +X85292615Y-100651507D01* +X85354918Y-100768069D01* +X85438764Y-100870236D01* +X85540931Y-100954082D01* +X85657493Y-101016385D01* +X85783969Y-101054751D01* +X85915500Y-101067706D01* +X86804500Y-101067706D01* +X86936031Y-101054751D01* +X87062507Y-101016385D01* +X87179069Y-100954082D01* +X87281236Y-100870236D01* +X87365082Y-100768069D01* +X87427385Y-100651507D01* +X87465751Y-100525031D01* +X87478706Y-100393500D01* +X87478706Y-99250500D01* +X87465751Y-99118969D01* +X87427385Y-98992493D01* +X87365082Y-98875931D01* +X87281236Y-98773764D01* +X87179069Y-98689918D01* +X87062507Y-98627615D01* +X86952707Y-98594308D01* +X86917059Y-98508246D01* +X86848266Y-98405291D01* +X86760709Y-98317734D01* +X86657754Y-98248941D01* +X86543356Y-98201556D01* +X86421912Y-98177400D01* +X86298088Y-98177400D01* +X86176644Y-98201556D01* +X86062246Y-98248941D01* +X85959291Y-98317734D01* +X85871734Y-98405291D01* +X85802941Y-98508246D01* +X85767293Y-98594308D01* +X85657493Y-98627615D01* +X85540931Y-98689918D01* +X85438764Y-98773764D01* +X85354918Y-98875931D01* +X85292615Y-98992493D01* +X85254249Y-99118969D01* +X85241294Y-99250500D01* +X84938706Y-99250500D01* +X84925751Y-99118969D01* +X84887385Y-98992493D01* +X84825082Y-98875931D01* +X84741236Y-98773764D01* +X84639069Y-98689918D01* +X84522507Y-98627615D01* +X84412707Y-98594308D01* +X84377059Y-98508246D01* +X84308266Y-98405291D01* +X84220709Y-98317734D01* +X84117754Y-98248941D01* +X84003356Y-98201556D01* +X83881912Y-98177400D01* +X83758088Y-98177400D01* +X83636644Y-98201556D01* +X83522246Y-98248941D01* +X83419291Y-98317734D01* +X83331734Y-98405291D01* +X83262941Y-98508246D01* +X83227293Y-98594308D01* +X83117493Y-98627615D01* +X83000931Y-98689918D01* +X82898764Y-98773764D01* +X82814918Y-98875931D01* +X82752615Y-98992493D01* +X82714249Y-99118969D01* +X82701294Y-99250500D01* +X78560600Y-99250500D01* +X78560600Y-97265865D01* +X78559136Y-97251000D01* +X78559208Y-97240692D01* +X78558760Y-97236126D01* +X78525079Y-96915672D01* +X78519080Y-96886446D01* +X78513509Y-96857242D01* +X78512185Y-96852858D01* +X78512184Y-96852851D01* +X78512181Y-96852845D01* +X78416900Y-96545042D01* +X78405368Y-96517608D01* +X78394203Y-96489973D01* +X78392049Y-96485922D01* +X78238793Y-96202483D01* +X78222151Y-96177810D01* +X78205832Y-96152871D01* +X78202932Y-96149316D01* +X77997542Y-95901042D01* +X77976410Y-95880057D01* +X77955571Y-95858777D01* +X77952036Y-95855852D01* +X77702334Y-95652200D01* +X77677513Y-95635709D01* +X77652954Y-95618893D01* +X77648918Y-95616711D01* +X77364416Y-95465438D01* +X77336876Y-95454086D01* +X77309505Y-95442355D01* +X77305130Y-95441001D01* +X77305124Y-95440999D01* +X77305118Y-95440998D01* +X76996656Y-95347868D01* +X76967429Y-95342081D01* +X76938310Y-95335891D01* +X76933747Y-95335411D01* +X76613066Y-95303968D01* +X76613056Y-95303968D01* +X76597135Y-95302400D01* +X76528600Y-95302400D01* +X76528600Y-94045088D01* +X83191400Y-94045088D01* +X83191400Y-94168912D01* +X83215556Y-94290356D01* +X83262941Y-94404754D01* +X83331734Y-94507709D01* +X83419291Y-94595266D01* +X83522246Y-94664059D01* +X83636644Y-94711444D01* +X83758088Y-94735600D01* +X83881912Y-94735600D01* +X83977434Y-94716600D01* +X84303685Y-94716600D01* +X84304458Y-94717542D01* +X84379045Y-94778754D01* +X84464141Y-94824239D01* +X84480401Y-94829171D01* +X84480401Y-95092561D01* +X84461400Y-95188088D01* +X84461400Y-95311912D01* +X84485556Y-95433356D01* +X84532941Y-95547754D01* +X84601734Y-95650709D01* +X84689291Y-95738266D01* +X84792246Y-95807059D01* +X84906644Y-95854444D01* +X85028088Y-95878600D01* +X85151912Y-95878600D01* +X85273356Y-95854444D01* +X85387754Y-95807059D01* +X85490709Y-95738266D01* +X85578266Y-95650709D01* +X85647059Y-95547754D01* +X85694444Y-95433356D01* +X85718600Y-95311912D01* +X85718600Y-95188088D01* +X85699600Y-95092566D01* +X85699600Y-94829171D01* +X85715859Y-94824239D01* +X85800955Y-94778754D01* +X85875542Y-94717542D01* +X85876315Y-94716600D01* +X86583566Y-94716600D01* +X86679088Y-94735600D01* +X86802912Y-94735600D01* +X86924356Y-94711444D01* +X87038754Y-94664059D01* +X87100294Y-94622939D01* +X87100294Y-95212000D01* +X87107590Y-95286077D01* +X87129197Y-95357307D01* +X87164286Y-95422953D01* +X87211507Y-95480493D01* +X87269047Y-95527714D01* +X87334693Y-95562803D01* +X87405923Y-95584410D01* +X87480000Y-95591706D01* +X87780000Y-95591706D01* +X87854077Y-95584410D01* +X87925307Y-95562803D01* +X87960200Y-95544152D01* +X87960200Y-95616042D01* +X87958727Y-95631000D01* +X87960200Y-95645958D01* +X87960200Y-95645965D01* +X87961858Y-95662796D01* +X87964611Y-95690751D01* +X87974127Y-95722121D01* +X87982040Y-95748205D01* +X88010342Y-95801156D01* +X88048432Y-95847568D01* +X88060061Y-95857112D01* +X88595201Y-96392253D01* +X88595200Y-98253649D01* +X88499291Y-98317734D01* +X88411734Y-98405291D01* +X88342941Y-98508246D01* +X88307293Y-98594308D01* +X88197493Y-98627615D01* +X88080931Y-98689918D01* +X87978764Y-98773764D01* +X87894918Y-98875931D01* +X87832615Y-98992493D01* +X87794249Y-99118969D01* +X87781294Y-99250500D01* +X87781294Y-100393500D01* +X87794249Y-100525031D01* +X87832615Y-100651507D01* +X87894918Y-100768069D01* +X87978764Y-100870236D01* +X88080931Y-100954082D01* +X88197493Y-101016385D01* +X88323969Y-101054751D01* +X88455500Y-101067706D01* +X89344500Y-101067706D01* +X89476031Y-101054751D01* +X89602507Y-101016385D01* +X89719069Y-100954082D01* +X89821236Y-100870236D01* +X89905082Y-100768069D01* +X89967385Y-100651507D01* +X90005751Y-100525031D01* +X90018706Y-100393500D01* +X90018706Y-99250500D01* +X90321294Y-99250500D01* +X90321294Y-100393500D01* +X90334249Y-100525031D01* +X90372615Y-100651507D01* +X90434918Y-100768069D01* +X90518764Y-100870236D01* +X90620931Y-100954082D01* +X90737493Y-101016385D01* +X90863969Y-101054751D01* +X90995500Y-101067706D01* +X91884500Y-101067706D01* +X92016031Y-101054751D01* +X92142507Y-101016385D01* +X92259069Y-100954082D01* +X92361236Y-100870236D01* +X92445082Y-100768069D01* +X92507385Y-100651507D01* +X92545751Y-100525031D01* +X92558706Y-100393500D01* +X92558706Y-99250500D01* +X92861294Y-99250500D01* +X92861294Y-100393500D01* +X92874249Y-100525031D01* +X92912615Y-100651507D01* +X92974918Y-100768069D01* +X93058764Y-100870236D01* +X93160931Y-100954082D01* +X93277493Y-101016385D01* +X93403969Y-101054751D01* +X93535500Y-101067706D01* +X94424500Y-101067706D01* +X94556031Y-101054751D01* +X94682507Y-101016385D01* +X94799069Y-100954082D01* +X94901236Y-100870236D01* +X94985082Y-100768069D01* +X95047385Y-100651507D01* +X95085751Y-100525031D01* +X95098706Y-100393500D01* +X95098706Y-99250500D01* +X95085751Y-99118969D01* +X95047385Y-98992493D01* +X94985082Y-98875931D01* +X94901236Y-98773764D01* +X94799069Y-98689918D01* +X94682507Y-98627615D01* +X94572707Y-98594308D01* +X94537059Y-98508246D01* +X94468266Y-98405291D01* +X94380709Y-98317734D01* +X94277754Y-98248941D01* +X94163356Y-98201556D01* +X94041912Y-98177400D01* +X93918088Y-98177400D01* +X93796644Y-98201556D01* +X93682246Y-98248941D01* +X93579291Y-98317734D01* +X93491734Y-98405291D01* +X93422941Y-98508246D01* +X93387293Y-98594308D01* +X93277493Y-98627615D01* +X93160931Y-98689918D01* +X93058764Y-98773764D01* +X92974918Y-98875931D01* +X92912615Y-98992493D01* +X92874249Y-99118969D01* +X92861294Y-99250500D01* +X92558706Y-99250500D01* +X92545751Y-99118969D01* +X92507385Y-98992493D01* +X92445082Y-98875931D01* +X92361236Y-98773764D01* +X92259069Y-98689918D01* +X92142507Y-98627615D01* +X92032707Y-98594308D01* +X91997059Y-98508246D01* +X91928266Y-98405291D01* +X91840709Y-98317734D01* +X91737754Y-98248941D01* +X91623356Y-98201556D01* +X91501912Y-98177400D01* +X91378088Y-98177400D01* +X91256644Y-98201556D01* +X91142246Y-98248941D01* +X91039291Y-98317734D01* +X90951734Y-98405291D01* +X90882941Y-98508246D01* +X90847293Y-98594308D01* +X90737493Y-98627615D01* +X90620931Y-98689918D01* +X90518764Y-98773764D01* +X90434918Y-98875931D01* +X90372615Y-98992493D01* +X90334249Y-99118969D01* +X90321294Y-99250500D01* +X90018706Y-99250500D01* +X90005751Y-99118969D01* +X89967385Y-98992493D01* +X89905082Y-98875931D01* +X89821236Y-98773764D01* +X89719069Y-98689918D01* +X89602507Y-98627615D01* +X89492707Y-98594308D01* +X89457059Y-98508246D01* +X89388266Y-98405291D01* +X89300709Y-98317734D01* +X89204800Y-98253649D01* +X89204800Y-96493851D01* +X90705891Y-97994943D01* +X90715432Y-98006568D01* +X90761843Y-98044658D01* +X90814792Y-98072959D01* +X90814794Y-98072960D01* +X90872248Y-98090389D01* +X90877403Y-98090897D01* +X90917034Y-98094800D01* +X90917042Y-98094800D01* +X90932000Y-98096273D01* +X90946958Y-98094800D01* +X95377749Y-98094800D01* +X95888902Y-98605953D01* +X95817493Y-98627615D01* +X95700931Y-98689918D01* +X95598764Y-98773764D01* +X95514918Y-98875931D01* +X95452615Y-98992493D01* +X95414249Y-99118969D01* +X95401294Y-99250500D01* +X95401294Y-100393500D01* +X95414249Y-100525031D01* +X95452615Y-100651507D01* +X95514918Y-100768069D01* +X95598764Y-100870236D01* +X95700931Y-100954082D01* +X95817493Y-101016385D01* +X95943969Y-101054751D01* +X96075500Y-101067706D01* +X96964500Y-101067706D01* +X97096031Y-101054751D01* +X97222507Y-101016385D01* +X97339069Y-100954082D01* +X97441236Y-100870236D01* +X97525082Y-100768069D01* +X97587385Y-100651507D01* +X97625751Y-100525031D01* +X97638706Y-100393500D01* +X97638706Y-99250500D01* +X97941294Y-99250500D01* +X97941294Y-100393500D01* +X97954249Y-100525031D01* +X97992615Y-100651507D01* +X98054918Y-100768069D01* +X98138764Y-100870236D01* +X98240931Y-100954082D01* +X98357493Y-101016385D01* +X98483969Y-101054751D01* +X98615500Y-101067706D01* +X99504500Y-101067706D01* +X99636031Y-101054751D01* +X99762507Y-101016385D01* +X99879069Y-100954082D01* +X99981236Y-100870236D01* +X100065082Y-100768069D01* +X100127385Y-100651507D01* +X100165751Y-100525031D01* +X100178706Y-100393500D01* +X100178706Y-99250500D01* +X100481294Y-99250500D01* +X100481294Y-100393500D01* +X100494249Y-100525031D01* +X100532615Y-100651507D01* +X100594918Y-100768069D01* +X100678764Y-100870236D01* +X100780931Y-100954082D01* +X100897493Y-101016385D01* +X101023969Y-101054751D01* +X101155500Y-101067706D01* +X102044500Y-101067706D01* +X102176031Y-101054751D01* +X102302507Y-101016385D01* +X102419069Y-100954082D01* +X102521236Y-100870236D01* +X102547691Y-100838000D01* +X103021294Y-100838000D01* +X103025708Y-100882813D01* +X103038779Y-100925905D01* +X103060006Y-100965618D01* +X103088573Y-101000427D01* +X103123382Y-101028994D01* +X103163095Y-101050221D01* +X103206187Y-101063292D01* +X103251000Y-101067706D01* +X104009050Y-101066600D01* +X104066200Y-101009450D01* +X104066200Y-99895800D01* +X104213800Y-99895800D01* +X104213800Y-101009450D01* +X104270950Y-101066600D01* +X105029000Y-101067706D01* +X105073813Y-101063292D01* +X105116905Y-101050221D01* +X105156618Y-101028994D01* +X105191427Y-101000427D01* +X105219994Y-100965618D01* +X105241221Y-100925905D01* +X105254292Y-100882813D01* +X105258706Y-100838000D01* +X105257600Y-99952950D01* +X105200450Y-99895800D01* +X104213800Y-99895800D01* +X104066200Y-99895800D01* +X103079550Y-99895800D01* +X103022400Y-99952950D01* +X103021294Y-100838000D01* +X102547691Y-100838000D01* +X102605082Y-100768069D01* +X102667385Y-100651507D01* +X102705751Y-100525031D01* +X102718706Y-100393500D01* +X102718706Y-99250500D01* +X102705751Y-99118969D01* +X102667385Y-98992493D01* +X102605082Y-98875931D01* +X102547692Y-98806000D01* +X103021294Y-98806000D01* +X103022400Y-99691050D01* +X103079550Y-99748200D01* +X104066200Y-99748200D01* +X104066200Y-99728200D01* +X104213800Y-99728200D01* +X104213800Y-99748200D01* +X105200450Y-99748200D01* +X105257600Y-99691050D01* +X105258706Y-98806000D01* +X105254292Y-98761187D01* +X105241221Y-98718095D01* +X105219994Y-98678382D01* +X105191427Y-98643573D01* +X105156618Y-98615006D01* +X105116905Y-98593779D01* +X105073813Y-98580708D01* +X105029000Y-98576294D01* +X104725300Y-98576737D01* +X104702998Y-98519637D01* +X104690888Y-98496978D01* +X104595046Y-98455323D01* +X104473264Y-98577105D01* +X104270950Y-98577400D01* +X104213802Y-98634548D01* +X104213802Y-98627829D01* +X104490677Y-98350954D01* +X104449022Y-98255112D01* +X104335611Y-98205410D01* +X104214683Y-98178789D01* +X104090885Y-98176271D01* +X103968975Y-98197953D01* +X103853637Y-98243002D01* +X103830978Y-98255112D01* +X103789323Y-98350954D01* +X104066198Y-98627829D01* +X104066198Y-98634548D01* +X104009050Y-98577400D01* +X103806736Y-98577105D01* +X103684954Y-98455323D01* +X103589112Y-98496978D01* +X103554158Y-98576736D01* +X103251000Y-98576294D01* +X103206187Y-98580708D01* +X103163095Y-98593779D01* +X103123382Y-98615006D01* +X103088573Y-98643573D01* +X103060006Y-98678382D01* +X103038779Y-98718095D01* +X103025708Y-98761187D01* +X103021294Y-98806000D01* +X102547692Y-98806000D01* +X102521236Y-98773764D01* +X102419069Y-98689918D01* +X102302507Y-98627615D01* +X102192707Y-98594308D01* +X102157059Y-98508246D01* +X102088266Y-98405291D01* +X102000709Y-98317734D01* +X101897754Y-98248941D01* +X101783356Y-98201556D01* +X101661912Y-98177400D01* +X101538088Y-98177400D01* +X101416644Y-98201556D01* +X101302246Y-98248941D01* +X101199291Y-98317734D01* +X101111734Y-98405291D01* +X101042941Y-98508246D01* +X101007293Y-98594308D01* +X100897493Y-98627615D01* +X100780931Y-98689918D01* +X100678764Y-98773764D01* +X100594918Y-98875931D01* +X100532615Y-98992493D01* +X100494249Y-99118969D01* +X100481294Y-99250500D01* +X100178706Y-99250500D01* +X100165751Y-99118969D01* +X100127385Y-98992493D01* +X100065082Y-98875931D01* +X99981236Y-98773764D01* +X99879069Y-98689918D01* +X99762507Y-98627615D01* +X99652707Y-98594308D01* +X99617059Y-98508246D01* +X99548266Y-98405291D01* +X99460709Y-98317734D01* +X99357754Y-98248941D01* +X99243356Y-98201556D01* +X99121912Y-98177400D01* +X98998088Y-98177400D01* +X98876644Y-98201556D01* +X98762246Y-98248941D01* +X98659291Y-98317734D01* +X98571734Y-98405291D01* +X98502941Y-98508246D01* +X98467293Y-98594308D01* +X98357493Y-98627615D01* +X98240931Y-98689918D01* +X98138764Y-98773764D01* +X98054918Y-98875931D01* +X97992615Y-98992493D01* +X97954249Y-99118969D01* +X97941294Y-99250500D01* +X97638706Y-99250500D01* +X97625751Y-99118969D01* +X97587385Y-98992493D01* +X97525082Y-98875931D01* +X97441236Y-98773764D01* +X97339069Y-98689918D01* +X97222507Y-98627615D01* +X97112707Y-98594308D01* +X97077059Y-98508246D01* +X97008266Y-98405291D01* +X96920709Y-98317734D01* +X96817754Y-98248941D01* +X96703356Y-98201556D01* +X96581912Y-98177400D01* +X96458088Y-98177400D01* +X96344955Y-98199903D01* +X95858851Y-97713800D01* +X105156749Y-97713800D01* +X106048902Y-98605953D01* +X105977493Y-98627615D01* +X105860931Y-98689918D01* +X105758764Y-98773764D01* +X105674918Y-98875931D01* +X105612615Y-98992493D01* +X105574249Y-99118969D01* +X105561294Y-99250500D01* +X105561294Y-100393500D01* +X105574249Y-100525031D01* +X105612615Y-100651507D01* +X105674918Y-100768069D01* +X105758764Y-100870236D01* +X105860931Y-100954082D01* +X105977493Y-101016385D01* +X106103969Y-101054751D01* +X106235500Y-101067706D01* +X107124500Y-101067706D01* +X107256031Y-101054751D01* +X107382507Y-101016385D01* +X107499069Y-100954082D01* +X107601236Y-100870236D01* +X107685082Y-100768069D01* +X107747385Y-100651507D01* +X107785751Y-100525031D01* +X107798706Y-100393500D01* +X107798706Y-99250500D01* +X108101294Y-99250500D01* +X108101294Y-100393500D01* +X108114249Y-100525031D01* +X108152615Y-100651507D01* +X108214918Y-100768069D01* +X108298764Y-100870236D01* +X108400931Y-100954082D01* +X108517493Y-101016385D01* +X108643969Y-101054751D01* +X108775500Y-101067706D01* +X109664500Y-101067706D01* +X109796031Y-101054751D01* +X109922507Y-101016385D01* +X110039069Y-100954082D01* +X110141236Y-100870236D01* +X110225082Y-100768069D01* +X110287385Y-100651507D01* +X110325751Y-100525031D01* +X110338706Y-100393500D01* +X110338706Y-99250500D01* +X110641294Y-99250500D01* +X110641294Y-100393500D01* +X110654249Y-100525031D01* +X110692615Y-100651507D01* +X110754918Y-100768069D01* +X110838764Y-100870236D01* +X110940931Y-100954082D01* +X111057493Y-101016385D01* +X111183969Y-101054751D01* +X111315500Y-101067706D01* +X112204500Y-101067706D01* +X112336031Y-101054751D01* +X112462507Y-101016385D01* +X112579069Y-100954082D01* +X112681236Y-100870236D01* +X112765082Y-100768069D01* +X112827385Y-100651507D01* +X112865751Y-100525031D01* +X112878706Y-100393500D01* +X112878706Y-99250500D01* +X112865751Y-99118969D01* +X112827385Y-98992493D01* +X112765082Y-98875931D01* +X112681236Y-98773764D01* +X112579069Y-98689918D01* +X112462507Y-98627615D01* +X112352707Y-98594308D01* +X112317059Y-98508246D01* +X112248266Y-98405291D01* +X112160709Y-98317734D01* +X112057754Y-98248941D01* +X111943356Y-98201556D01* +X111821912Y-98177400D01* +X111698088Y-98177400D01* +X111576644Y-98201556D01* +X111462246Y-98248941D01* +X111359291Y-98317734D01* +X111271734Y-98405291D01* +X111202941Y-98508246D01* +X111167293Y-98594308D01* +X111057493Y-98627615D01* +X110940931Y-98689918D01* +X110838764Y-98773764D01* +X110754918Y-98875931D01* +X110692615Y-98992493D01* +X110654249Y-99118969D01* +X110641294Y-99250500D01* +X110338706Y-99250500D01* +X110325751Y-99118969D01* +X110287385Y-98992493D01* +X110225082Y-98875931D01* +X110141236Y-98773764D01* +X110039069Y-98689918D01* +X109922507Y-98627615D01* +X109812707Y-98594308D01* +X109777059Y-98508246D01* +X109708266Y-98405291D01* +X109620709Y-98317734D01* +X109517754Y-98248941D01* +X109403356Y-98201556D01* +X109281912Y-98177400D01* +X109158088Y-98177400D01* +X109036644Y-98201556D01* +X108922246Y-98248941D01* +X108819291Y-98317734D01* +X108731734Y-98405291D01* +X108662941Y-98508246D01* +X108627293Y-98594308D01* +X108517493Y-98627615D01* +X108400931Y-98689918D01* +X108298764Y-98773764D01* +X108214918Y-98875931D01* +X108152615Y-98992493D01* +X108114249Y-99118969D01* +X108101294Y-99250500D01* +X107798706Y-99250500D01* +X107785751Y-99118969D01* +X107747385Y-98992493D01* +X107685082Y-98875931D01* +X107601236Y-98773764D01* +X107499069Y-98689918D01* +X107382507Y-98627615D01* +X107272707Y-98594308D01* +X107237059Y-98508246D01* +X107168266Y-98405291D01* +X107080709Y-98317734D01* +X106977754Y-98248941D01* +X106863356Y-98201556D01* +X106741912Y-98177400D01* +X106618088Y-98177400D01* +X106504955Y-98199903D01* +X105637851Y-97332800D01* +X112395749Y-97332800D01* +X113668902Y-98605953D01* +X113597493Y-98627615D01* +X113480931Y-98689918D01* +X113378764Y-98773764D01* +X113294918Y-98875931D01* +X113232615Y-98992493D01* +X113194249Y-99118969D01* +X113181294Y-99250500D01* +X113181294Y-100393500D01* +X113194249Y-100525031D01* +X113232615Y-100651507D01* +X113294918Y-100768069D01* +X113378764Y-100870236D01* +X113480931Y-100954082D01* +X113597493Y-101016385D01* +X113723969Y-101054751D01* +X113855500Y-101067706D01* +X114744500Y-101067706D01* +X114876031Y-101054751D01* +X115002507Y-101016385D01* +X115119069Y-100954082D01* +X115221236Y-100870236D01* +X115305082Y-100768069D01* +X115367385Y-100651507D01* +X115405751Y-100525031D01* +X115418706Y-100393500D01* +X115418706Y-99250500D01* +X115721294Y-99250500D01* +X115721294Y-100393500D01* +X115734249Y-100525031D01* +X115772615Y-100651507D01* +X115834918Y-100768069D01* +X115918764Y-100870236D01* +X116020931Y-100954082D01* +X116137493Y-101016385D01* +X116263969Y-101054751D01* +X116395500Y-101067706D01* +X117284500Y-101067706D01* +X117416031Y-101054751D01* +X117542507Y-101016385D01* +X117659069Y-100954082D01* +X117761236Y-100870236D01* +X117845082Y-100768069D01* +X117907385Y-100651507D01* +X117945751Y-100525031D01* +X117958706Y-100393500D01* +X117958706Y-99250500D01* +X118261294Y-99250500D01* +X118261294Y-100393500D01* +X118274249Y-100525031D01* +X118312615Y-100651507D01* +X118374918Y-100768069D01* +X118458764Y-100870236D01* +X118560931Y-100954082D01* +X118677493Y-101016385D01* +X118803969Y-101054751D01* +X118935500Y-101067706D01* +X119824500Y-101067706D01* +X119956031Y-101054751D01* +X120082507Y-101016385D01* +X120199069Y-100954082D01* +X120301236Y-100870236D01* +X120385082Y-100768069D01* +X120447385Y-100651507D01* +X120485751Y-100525031D01* +X120498706Y-100393500D01* +X120498706Y-99250500D01* +X120485751Y-99118969D01* +X120447385Y-98992493D01* +X120385082Y-98875931D01* +X120301236Y-98773764D01* +X120199069Y-98689918D01* +X120082507Y-98627615D01* +X119972707Y-98594308D01* +X119937059Y-98508246D01* +X119868266Y-98405291D01* +X119780709Y-98317734D01* +X119677754Y-98248941D01* +X119563356Y-98201556D01* +X119441912Y-98177400D01* +X119318088Y-98177400D01* +X119196644Y-98201556D01* +X119082246Y-98248941D01* +X118979291Y-98317734D01* +X118891734Y-98405291D01* +X118822941Y-98508246D01* +X118787293Y-98594308D01* +X118677493Y-98627615D01* +X118560931Y-98689918D01* +X118458764Y-98773764D01* +X118374918Y-98875931D01* +X118312615Y-98992493D01* +X118274249Y-99118969D01* +X118261294Y-99250500D01* +X117958706Y-99250500D01* +X117945751Y-99118969D01* +X117907385Y-98992493D01* +X117845082Y-98875931D01* +X117761236Y-98773764D01* +X117659069Y-98689918D01* +X117542507Y-98627615D01* +X117432707Y-98594308D01* +X117397059Y-98508246D01* +X117328266Y-98405291D01* +X117240709Y-98317734D01* +X117137754Y-98248941D01* +X117023356Y-98201556D01* +X116901912Y-98177400D01* +X116778088Y-98177400D01* +X116656644Y-98201556D01* +X116542246Y-98248941D01* +X116439291Y-98317734D01* +X116351734Y-98405291D01* +X116282941Y-98508246D01* +X116247293Y-98594308D01* +X116137493Y-98627615D01* +X116020931Y-98689918D01* +X115918764Y-98773764D01* +X115834918Y-98875931D01* +X115772615Y-98992493D01* +X115734249Y-99118969D01* +X115721294Y-99250500D01* +X115418706Y-99250500D01* +X115405751Y-99118969D01* +X115367385Y-98992493D01* +X115305082Y-98875931D01* +X115221236Y-98773764D01* +X115119069Y-98689918D01* +X115002507Y-98627615D01* +X114892707Y-98594308D01* +X114857059Y-98508246D01* +X114788266Y-98405291D01* +X114700709Y-98317734D01* +X114597754Y-98248941D01* +X114483356Y-98201556D01* +X114361912Y-98177400D01* +X114238088Y-98177400D01* +X114124955Y-98199903D01* +X112748112Y-96823061D01* +X112738568Y-96811432D01* +X112692157Y-96773342D01* +X112639206Y-96745040D01* +X112581751Y-96727611D01* +X112536966Y-96723200D01* +X112536958Y-96723200D01* +X112522000Y-96721727D01* +X112507042Y-96723200D01* +X91566252Y-96723200D01* +X90474800Y-95631749D01* +X90474800Y-95557729D01* +X90530953Y-95527714D01* +X90588493Y-95480493D01* +X90635714Y-95422953D01* +X90670803Y-95357307D01* +X90692410Y-95286077D01* +X90699706Y-95212000D01* +X90699706Y-91512000D01* +X90910294Y-91512000D01* +X90910294Y-95212000D01* +X90917590Y-95286077D01* +X90939197Y-95357307D01* +X90974286Y-95422953D01* +X91021507Y-95480493D01* +X91079047Y-95527714D01* +X91144693Y-95562803D01* +X91215923Y-95584410D01* +X91290000Y-95591706D01* +X91590000Y-95591706D01* +X91664077Y-95584410D01* +X91735307Y-95562803D01* +X91800953Y-95527714D01* +X91858493Y-95480493D01* +X91905714Y-95422953D01* +X91940803Y-95357307D01* +X91962410Y-95286077D01* +X91969706Y-95212000D01* +X91969706Y-91512000D01* +X92180294Y-91512000D01* +X92180294Y-95212000D01* +X92187590Y-95286077D01* +X92209197Y-95357307D01* +X92244286Y-95422953D01* +X92291507Y-95480493D01* +X92349047Y-95527714D01* +X92405200Y-95557729D01* +X92405200Y-95743042D01* +X92403727Y-95758000D01* +X92405200Y-95772958D01* +X92405200Y-95772965D01* +X92407977Y-95801156D01* +X92409611Y-95817751D01* +X92423201Y-95862549D01* +X92427040Y-95875205D01* +X92455342Y-95928156D01* +X92493432Y-95974568D01* +X92505061Y-95984112D01* +X93118892Y-96597944D01* +X93128432Y-96609568D01* +X93174843Y-96647658D01* +X93227794Y-96675960D01* +X93285249Y-96693389D01* +X93330034Y-96697800D01* +X93330042Y-96697800D01* +X93345000Y-96699273D01* +X93359958Y-96697800D01* +X108570042Y-96697800D01* +X108585000Y-96699273D01* +X108599958Y-96697800D01* +X108599966Y-96697800D01* +X108644751Y-96693389D01* +X108702206Y-96675960D01* +X108755157Y-96647658D01* +X108801568Y-96609568D01* +X108811112Y-96597939D01* +X111363963Y-94045088D01* +X117481400Y-94045088D01* +X117481400Y-94168912D01* +X117505556Y-94290356D01* +X117552941Y-94404754D01* +X117621734Y-94507709D01* +X117709291Y-94595266D01* +X117812246Y-94664059D01* +X117926644Y-94711444D01* +X118048088Y-94735600D01* +X118171912Y-94735600D01* +X118267434Y-94716600D01* +X118593685Y-94716600D01* +X118594458Y-94717542D01* +X118669045Y-94778754D01* +X118754141Y-94824239D01* +X118770401Y-94829171D01* +X118770401Y-95092561D01* +X118751400Y-95188088D01* +X118751400Y-95311912D01* +X118775556Y-95433356D01* +X118822941Y-95547754D01* +X118891734Y-95650709D01* +X118979291Y-95738266D01* +X119082246Y-95807059D01* +X119196644Y-95854444D01* +X119318088Y-95878600D01* +X119441912Y-95878600D01* +X119563356Y-95854444D01* +X119677754Y-95807059D01* +X119780709Y-95738266D01* +X119868266Y-95650709D01* +X119937059Y-95547754D01* +X119984444Y-95433356D01* +X120008600Y-95311912D01* +X120008600Y-95188088D01* +X119989600Y-95092566D01* +X119989600Y-94829171D01* +X120005859Y-94824239D01* +X120090955Y-94778754D01* +X120165542Y-94717542D01* +X120166315Y-94716600D01* +X120873566Y-94716600D01* +X120969088Y-94735600D01* +X121092912Y-94735600D01* +X121214356Y-94711444D01* +X121328754Y-94664059D01* +X121390294Y-94622939D01* +X121390294Y-95212000D01* +X121397590Y-95286077D01* +X121419197Y-95357307D01* +X121454286Y-95422953D01* +X121501507Y-95480493D01* +X121559047Y-95527714D01* +X121624693Y-95562803D01* +X121695923Y-95584410D01* +X121770000Y-95591706D01* +X122070000Y-95591706D01* +X122144077Y-95584410D01* +X122215307Y-95562803D01* +X122250201Y-95544152D01* +X122250201Y-95631747D01* +X121715061Y-96166888D01* +X121703432Y-96176432D01* +X121665342Y-96222844D01* +X121641924Y-96266658D01* +X121637040Y-96275795D01* +X121621314Y-96327637D01* +X121619611Y-96333250D01* +X121615200Y-96378035D01* +X121615200Y-96378042D01* +X121613727Y-96393000D01* +X121615200Y-96407958D01* +X121615201Y-98253649D01* +X121519291Y-98317734D01* +X121431734Y-98405291D01* +X121362941Y-98508246D01* +X121327293Y-98594308D01* +X121217493Y-98627615D01* +X121100931Y-98689918D01* +X120998764Y-98773764D01* +X120914918Y-98875931D01* +X120852615Y-98992493D01* +X120814249Y-99118969D01* +X120801294Y-99250500D01* +X120801294Y-100393500D01* +X120814249Y-100525031D01* +X120852615Y-100651507D01* +X120914918Y-100768069D01* +X120998764Y-100870236D01* +X121100931Y-100954082D01* +X121217493Y-101016385D01* +X121343969Y-101054751D01* +X121475500Y-101067706D01* +X122364500Y-101067706D01* +X122496031Y-101054751D01* +X122622507Y-101016385D01* +X122739069Y-100954082D01* +X122841236Y-100870236D01* +X122925082Y-100768069D01* +X122987385Y-100651507D01* +X123025751Y-100525031D01* +X123038706Y-100393500D01* +X123038706Y-99250500D01* +X123341294Y-99250500D01* +X123341294Y-100393500D01* +X123354249Y-100525031D01* +X123392615Y-100651507D01* +X123454918Y-100768069D01* +X123538764Y-100870236D01* +X123640931Y-100954082D01* +X123757493Y-101016385D01* +X123883969Y-101054751D01* +X124015500Y-101067706D01* +X124904500Y-101067706D01* +X125036031Y-101054751D01* +X125162507Y-101016385D01* +X125279069Y-100954082D01* +X125381236Y-100870236D01* +X125465082Y-100768069D01* +X125527385Y-100651507D01* +X125565751Y-100525031D01* +X125578706Y-100393500D01* +X125578706Y-99250500D01* +X125881294Y-99250500D01* +X125881294Y-100393500D01* +X125894249Y-100525031D01* +X125932615Y-100651507D01* +X125994918Y-100768069D01* +X126078764Y-100870236D01* +X126180931Y-100954082D01* +X126297493Y-101016385D01* +X126423969Y-101054751D01* +X126555500Y-101067706D01* +X127444500Y-101067706D01* +X127576031Y-101054751D01* +X127702507Y-101016385D01* +X127819069Y-100954082D01* +X127921236Y-100870236D01* +X128005082Y-100768069D01* +X128067385Y-100651507D01* +X128105751Y-100525031D01* +X128118706Y-100393500D01* +X128118706Y-99250500D01* +X128421294Y-99250500D01* +X128421294Y-100393500D01* +X128434249Y-100525031D01* +X128472615Y-100651507D01* +X128534918Y-100768069D01* +X128618764Y-100870236D01* +X128720931Y-100954082D01* +X128837493Y-101016385D01* +X128963969Y-101054751D01* +X129095500Y-101067706D01* +X129984500Y-101067706D01* +X130116031Y-101054751D01* +X130242507Y-101016385D01* +X130359069Y-100954082D01* +X130461236Y-100870236D01* +X130545082Y-100768069D01* +X130607385Y-100651507D01* +X130645751Y-100525031D01* +X130658706Y-100393500D01* +X130658706Y-99250500D01* +X130645751Y-99118969D01* +X130607385Y-98992493D01* +X130545082Y-98875931D01* +X130461236Y-98773764D01* +X130359069Y-98689918D01* +X130242507Y-98627615D01* +X130132707Y-98594308D01* +X130097059Y-98508246D01* +X130028266Y-98405291D01* +X129940709Y-98317734D01* +X129837754Y-98248941D01* +X129723356Y-98201556D01* +X129601912Y-98177400D01* +X129478088Y-98177400D01* +X129356644Y-98201556D01* +X129242246Y-98248941D01* +X129139291Y-98317734D01* +X129051734Y-98405291D01* +X128982941Y-98508246D01* +X128947293Y-98594308D01* +X128837493Y-98627615D01* +X128720931Y-98689918D01* +X128618764Y-98773764D01* +X128534918Y-98875931D01* +X128472615Y-98992493D01* +X128434249Y-99118969D01* +X128421294Y-99250500D01* +X128118706Y-99250500D01* +X128105751Y-99118969D01* +X128067385Y-98992493D01* +X128005082Y-98875931D01* +X127921236Y-98773764D01* +X127819069Y-98689918D01* +X127702507Y-98627615D01* +X127592707Y-98594308D01* +X127557059Y-98508246D01* +X127488266Y-98405291D01* +X127400709Y-98317734D01* +X127297754Y-98248941D01* +X127183356Y-98201556D01* +X127061912Y-98177400D01* +X126938088Y-98177400D01* +X126816644Y-98201556D01* +X126702246Y-98248941D01* +X126599291Y-98317734D01* +X126511734Y-98405291D01* +X126442941Y-98508246D01* +X126407293Y-98594308D01* +X126297493Y-98627615D01* +X126180931Y-98689918D01* +X126078764Y-98773764D01* +X125994918Y-98875931D01* +X125932615Y-98992493D01* +X125894249Y-99118969D01* +X125881294Y-99250500D01* +X125578706Y-99250500D01* +X125565751Y-99118969D01* +X125527385Y-98992493D01* +X125465082Y-98875931D01* +X125381236Y-98773764D01* +X125279069Y-98689918D01* +X125162507Y-98627615D01* +X125052707Y-98594308D01* +X125017059Y-98508246D01* +X124948266Y-98405291D01* +X124860709Y-98317734D01* +X124757754Y-98248941D01* +X124643356Y-98201556D01* +X124521912Y-98177400D01* +X124398088Y-98177400D01* +X124276644Y-98201556D01* +X124162246Y-98248941D01* +X124059291Y-98317734D01* +X123971734Y-98405291D01* +X123902941Y-98508246D01* +X123867293Y-98594308D01* +X123757493Y-98627615D01* +X123640931Y-98689918D01* +X123538764Y-98773764D01* +X123454918Y-98875931D01* +X123392615Y-98992493D01* +X123354249Y-99118969D01* +X123341294Y-99250500D01* +X123038706Y-99250500D01* +X123025751Y-99118969D01* +X122987385Y-98992493D01* +X122925082Y-98875931D01* +X122841236Y-98773764D01* +X122739069Y-98689918D01* +X122622507Y-98627615D01* +X122512707Y-98594308D01* +X122477059Y-98508246D01* +X122408266Y-98405291D01* +X122320709Y-98317734D01* +X122224800Y-98253649D01* +X122224800Y-96519251D01* +X122759944Y-95984108D01* +X122771568Y-95974568D01* +X122809658Y-95928157D01* +X122837960Y-95875206D01* +X122855389Y-95817751D01* +X122859800Y-95772966D01* +X122859800Y-95772958D01* +X122861273Y-95758000D01* +X122859800Y-95743042D01* +X122859800Y-95544152D01* +X122885201Y-95557729D01* +X122885201Y-96251032D01* +X122883727Y-96266000D01* +X122889611Y-96325751D01* +X122907040Y-96383205D01* +X122909900Y-96388555D01* +X122935343Y-96436157D01* +X122973433Y-96482568D01* +X122985057Y-96492108D01* +X124487891Y-97994943D01* +X124497432Y-98006568D01* +X124543843Y-98044658D01* +X124596794Y-98072960D01* +X124636819Y-98085102D01* +X124654248Y-98090389D01* +X124659889Y-98090945D01* +X124699034Y-98094800D01* +X124699041Y-98094800D01* +X124713999Y-98096273D01* +X124728957Y-98094800D01* +X130937749Y-98094800D01* +X131448902Y-98605953D01* +X131377493Y-98627615D01* +X131260931Y-98689918D01* +X131158764Y-98773764D01* +X131074918Y-98875931D01* +X131012615Y-98992493D01* +X130974249Y-99118969D01* +X130961294Y-99250500D01* +X130961294Y-100393500D01* +X130974249Y-100525031D01* +X131012615Y-100651507D01* +X131074918Y-100768069D01* +X131158764Y-100870236D01* +X131260931Y-100954082D01* +X131377493Y-101016385D01* +X131503969Y-101054751D01* +X131635500Y-101067706D01* +X132524500Y-101067706D01* +X132656031Y-101054751D01* +X132782507Y-101016385D01* +X132899069Y-100954082D01* +X133001236Y-100870236D01* +X133085082Y-100768069D01* +X133147385Y-100651507D01* +X133185751Y-100525031D01* +X133198706Y-100393500D01* +X133198706Y-99250500D01* +X133501294Y-99250500D01* +X133501294Y-100393500D01* +X133514249Y-100525031D01* +X133552615Y-100651507D01* +X133614918Y-100768069D01* +X133698764Y-100870236D01* +X133800931Y-100954082D01* +X133917493Y-101016385D01* +X134043969Y-101054751D01* +X134175500Y-101067706D01* +X135064500Y-101067706D01* +X135196031Y-101054751D01* +X135322507Y-101016385D01* +X135439069Y-100954082D01* +X135541236Y-100870236D01* +X135567691Y-100838000D01* +X136041294Y-100838000D01* +X136045708Y-100882813D01* +X136058779Y-100925905D01* +X136080006Y-100965618D01* +X136108573Y-101000427D01* +X136143382Y-101028994D01* +X136183095Y-101050221D01* +X136226187Y-101063292D01* +X136271000Y-101067706D01* +X137029050Y-101066600D01* +X137086200Y-101009450D01* +X137086200Y-99895800D01* +X137233800Y-99895800D01* +X137233800Y-101009450D01* +X137290950Y-101066600D01* +X138049000Y-101067706D01* +X138093813Y-101063292D01* +X138136905Y-101050221D01* +X138176618Y-101028994D01* +X138211427Y-101000427D01* +X138239994Y-100965618D01* +X138261221Y-100925905D01* +X138274292Y-100882813D01* +X138278706Y-100838000D01* +X138277600Y-99952950D01* +X138220450Y-99895800D01* +X137233800Y-99895800D01* +X137086200Y-99895800D01* +X136099550Y-99895800D01* +X136042400Y-99952950D01* +X136041294Y-100838000D01* +X135567691Y-100838000D01* +X135625082Y-100768069D01* +X135687385Y-100651507D01* +X135725751Y-100525031D01* +X135738706Y-100393500D01* +X135738706Y-99250500D01* +X135725751Y-99118969D01* +X135687385Y-98992493D01* +X135625082Y-98875931D01* +X135567692Y-98806000D01* +X136041294Y-98806000D01* +X136042400Y-99691050D01* +X136099550Y-99748200D01* +X137086200Y-99748200D01* +X137086200Y-99728200D01* +X137233800Y-99728200D01* +X137233800Y-99748200D01* +X138220450Y-99748200D01* +X138277600Y-99691050D01* +X138278706Y-98806000D01* +X138274292Y-98761187D01* +X138261221Y-98718095D01* +X138239994Y-98678382D01* +X138211427Y-98643573D01* +X138176618Y-98615006D01* +X138136905Y-98593779D01* +X138093813Y-98580708D01* +X138049000Y-98576294D01* +X137745300Y-98576737D01* +X137722998Y-98519637D01* +X137710888Y-98496978D01* +X137615046Y-98455323D01* +X137493264Y-98577105D01* +X137290950Y-98577400D01* +X137233802Y-98634548D01* +X137233802Y-98627829D01* +X137510677Y-98350954D01* +X137469022Y-98255112D01* +X137355611Y-98205410D01* +X137234683Y-98178789D01* +X137110885Y-98176271D01* +X136988975Y-98197953D01* +X136873637Y-98243002D01* +X136850978Y-98255112D01* +X136809323Y-98350954D01* +X137086198Y-98627829D01* +X137086198Y-98634548D01* +X137029050Y-98577400D01* +X136826736Y-98577105D01* +X136704954Y-98455323D01* +X136609112Y-98496978D01* +X136574158Y-98576736D01* +X136271000Y-98576294D01* +X136226187Y-98580708D01* +X136183095Y-98593779D01* +X136143382Y-98615006D01* +X136108573Y-98643573D01* +X136080006Y-98678382D01* +X136058779Y-98718095D01* +X136045708Y-98761187D01* +X136041294Y-98806000D01* +X135567692Y-98806000D01* +X135541236Y-98773764D01* +X135439069Y-98689918D01* +X135322507Y-98627615D01* +X135212707Y-98594308D01* +X135177059Y-98508246D01* +X135108266Y-98405291D01* +X135020709Y-98317734D01* +X134917754Y-98248941D01* +X134803356Y-98201556D01* +X134681912Y-98177400D01* +X134558088Y-98177400D01* +X134436644Y-98201556D01* +X134322246Y-98248941D01* +X134219291Y-98317734D01* +X134131734Y-98405291D01* +X134062941Y-98508246D01* +X134027293Y-98594308D01* +X133917493Y-98627615D01* +X133800931Y-98689918D01* +X133698764Y-98773764D01* +X133614918Y-98875931D01* +X133552615Y-98992493D01* +X133514249Y-99118969D01* +X133501294Y-99250500D01* +X133198706Y-99250500D01* +X133185751Y-99118969D01* +X133147385Y-98992493D01* +X133085082Y-98875931D01* +X133001236Y-98773764D01* +X132899069Y-98689918D01* +X132782507Y-98627615D01* +X132672707Y-98594308D01* +X132637059Y-98508246D01* +X132568266Y-98405291D01* +X132480709Y-98317734D01* +X132377754Y-98248941D01* +X132263356Y-98201556D01* +X132141912Y-98177400D01* +X132018088Y-98177400D01* +X131904955Y-98199903D01* +X131290112Y-97585061D01* +X131280568Y-97573432D01* +X131234157Y-97535342D01* +X131181206Y-97507040D01* +X131123751Y-97489611D01* +X131078966Y-97485200D01* +X131078958Y-97485200D01* +X131064000Y-97483727D01* +X131049042Y-97485200D01* +X124840252Y-97485200D01* +X123494800Y-96139749D01* +X123494800Y-95557729D01* +X123520201Y-95544152D01* +X123520201Y-95997032D01* +X123518727Y-96012000D01* +X123524611Y-96071751D01* +X123542040Y-96129205D01* +X123550401Y-96144847D01* +X123570343Y-96182157D01* +X123608433Y-96228568D01* +X123620057Y-96238108D01* +X124741891Y-97359943D01* +X124751432Y-97371568D01* +X124797843Y-97409658D01* +X124850794Y-97437960D01* +X124908248Y-97455389D01* +X124913889Y-97455945D01* +X124953034Y-97459800D01* +X124953041Y-97459800D01* +X124967999Y-97461273D01* +X124982957Y-97459800D01* +X137922749Y-97459800D01* +X139068902Y-98605953D01* +X138997493Y-98627615D01* +X138880931Y-98689918D01* +X138778764Y-98773764D01* +X138694918Y-98875931D01* +X138632615Y-98992493D01* +X138594249Y-99118969D01* +X138581294Y-99250500D01* +X138581294Y-100393500D01* +X138594249Y-100525031D01* +X138632615Y-100651507D01* +X138694918Y-100768069D01* +X138778764Y-100870236D01* +X138880931Y-100954082D01* +X138997493Y-101016385D01* +X139123969Y-101054751D01* +X139255500Y-101067706D01* +X140144500Y-101067706D01* +X140276031Y-101054751D01* +X140402507Y-101016385D01* +X140519069Y-100954082D01* +X140621236Y-100870236D01* +X140705082Y-100768069D01* +X140767385Y-100651507D01* +X140805751Y-100525031D01* +X140818706Y-100393500D01* +X140818706Y-99250500D01* +X141121294Y-99250500D01* +X141121294Y-100393500D01* +X141134249Y-100525031D01* +X141172615Y-100651507D01* +X141234918Y-100768069D01* +X141318764Y-100870236D01* +X141420931Y-100954082D01* +X141537493Y-101016385D01* +X141663969Y-101054751D01* +X141795500Y-101067706D01* +X142684500Y-101067706D01* +X142816031Y-101054751D01* +X142942507Y-101016385D01* +X143059069Y-100954082D01* +X143161236Y-100870236D01* +X143245082Y-100768069D01* +X143307385Y-100651507D01* +X143345751Y-100525031D01* +X143358706Y-100393500D01* +X143358706Y-99250500D01* +X143345751Y-99118969D01* +X143307385Y-98992493D01* +X143245082Y-98875931D01* +X143161236Y-98773764D01* +X143059069Y-98689918D01* +X142942507Y-98627615D01* +X142832707Y-98594308D01* +X142797059Y-98508246D01* +X142728266Y-98405291D01* +X142640709Y-98317734D01* +X142537754Y-98248941D01* +X142423356Y-98201556D01* +X142301912Y-98177400D01* +X142178088Y-98177400D01* +X142056644Y-98201556D01* +X141942246Y-98248941D01* +X141839291Y-98317734D01* +X141751734Y-98405291D01* +X141682941Y-98508246D01* +X141647293Y-98594308D01* +X141537493Y-98627615D01* +X141420931Y-98689918D01* +X141318764Y-98773764D01* +X141234918Y-98875931D01* +X141172615Y-98992493D01* +X141134249Y-99118969D01* +X141121294Y-99250500D01* +X140818706Y-99250500D01* +X140805751Y-99118969D01* +X140767385Y-98992493D01* +X140705082Y-98875931D01* +X140621236Y-98773764D01* +X140519069Y-98689918D01* +X140402507Y-98627615D01* +X140292707Y-98594308D01* +X140257059Y-98508246D01* +X140188266Y-98405291D01* +X140100709Y-98317734D01* +X139997754Y-98248941D01* +X139883356Y-98201556D01* +X139761912Y-98177400D01* +X139638088Y-98177400D01* +X139524955Y-98199903D01* +X138275112Y-96950061D01* +X138265568Y-96938432D01* +X138219157Y-96900342D01* +X138166206Y-96872040D01* +X138108751Y-96854611D01* +X138063966Y-96850200D01* +X138063958Y-96850200D01* +X138049000Y-96848727D01* +X138034042Y-96850200D01* +X125094252Y-96850200D01* +X124129800Y-95885749D01* +X124129800Y-95544152D01* +X124155201Y-95557729D01* +X124155201Y-95743032D01* +X124153727Y-95758000D01* +X124159611Y-95817751D01* +X124177040Y-95875205D01* +X124182979Y-95886316D01* +X124205343Y-95928157D01* +X124223596Y-95950397D01* +X124231149Y-95959600D01* +X124243433Y-95974568D01* +X124255057Y-95984108D01* +X124995891Y-96724943D01* +X125005432Y-96736568D01* +X125051843Y-96774658D01* +X125102796Y-96801892D01* +X125104794Y-96802960D01* +X125162248Y-96820389D01* +X125167403Y-96820897D01* +X125207034Y-96824800D01* +X125207042Y-96824800D01* +X125222000Y-96826273D01* +X125236958Y-96824800D01* +X142367749Y-96824800D01* +X144148902Y-98605953D01* +X144077493Y-98627615D01* +X143960931Y-98689918D01* +X143858764Y-98773764D01* +X143774918Y-98875931D01* +X143712615Y-98992493D01* +X143674249Y-99118969D01* +X143661294Y-99250500D01* +X143661294Y-100393500D01* +X143674249Y-100525031D01* +X143712615Y-100651507D01* +X143774918Y-100768069D01* +X143858764Y-100870236D01* +X143960931Y-100954082D01* +X144077493Y-101016385D01* +X144203969Y-101054751D01* +X144335500Y-101067706D01* +X145224500Y-101067706D01* +X145356031Y-101054751D01* +X145482507Y-101016385D01* +X145599069Y-100954082D01* +X145701236Y-100870236D01* +X145785082Y-100768069D01* +X145847385Y-100651507D01* +X145885751Y-100525031D01* +X145898706Y-100393500D01* +X145898706Y-99250500D01* +X146201294Y-99250500D01* +X146201294Y-100393500D01* +X146214249Y-100525031D01* +X146252615Y-100651507D01* +X146314918Y-100768069D01* +X146398764Y-100870236D01* +X146500931Y-100954082D01* +X146617493Y-101016385D01* +X146743969Y-101054751D01* +X146875500Y-101067706D01* +X147764500Y-101067706D01* +X147896031Y-101054751D01* +X148022507Y-101016385D01* +X148139069Y-100954082D01* +X148241236Y-100870236D01* +X148325082Y-100768069D01* +X148387385Y-100651507D01* +X148425751Y-100525031D01* +X148438706Y-100393500D01* +X148438706Y-99250500D01* +X148741294Y-99250500D01* +X148741294Y-100393500D01* +X148754249Y-100525031D01* +X148792615Y-100651507D01* +X148854918Y-100768069D01* +X148938764Y-100870236D01* +X149040931Y-100954082D01* +X149157493Y-101016385D01* +X149283969Y-101054751D01* +X149415500Y-101067706D01* +X150304500Y-101067706D01* +X150436031Y-101054751D01* +X150562507Y-101016385D01* +X150679069Y-100954082D01* +X150781236Y-100870236D01* +X150865082Y-100768069D01* +X150927385Y-100651507D01* +X150965751Y-100525031D01* +X150978706Y-100393500D01* +X150978706Y-99250500D01* +X151281294Y-99250500D01* +X151281294Y-100393500D01* +X151294249Y-100525031D01* +X151332615Y-100651507D01* +X151394918Y-100768069D01* +X151478764Y-100870236D01* +X151580931Y-100954082D01* +X151697493Y-101016385D01* +X151823969Y-101054751D01* +X151955500Y-101067706D01* +X152844500Y-101067706D01* +X152976031Y-101054751D01* +X153102507Y-101016385D01* +X153219069Y-100954082D01* +X153321236Y-100870236D01* +X153405082Y-100768069D01* +X153467385Y-100651507D01* +X153505751Y-100525031D01* +X153518706Y-100393500D01* +X153518706Y-99250500D01* +X153821294Y-99250500D01* +X153821294Y-100393500D01* +X153834249Y-100525031D01* +X153872615Y-100651507D01* +X153934918Y-100768069D01* +X154018764Y-100870236D01* +X154120931Y-100954082D01* +X154237493Y-101016385D01* +X154363969Y-101054751D01* +X154495500Y-101067706D01* +X155384500Y-101067706D01* +X155516031Y-101054751D01* +X155642507Y-101016385D01* +X155759069Y-100954082D01* +X155861236Y-100870236D01* +X155945082Y-100768069D01* +X156007385Y-100651507D01* +X156045751Y-100525031D01* +X156058706Y-100393500D01* +X156058706Y-99250500D01* +X156045751Y-99118969D01* +X156007385Y-98992493D01* +X155945082Y-98875931D01* +X155861236Y-98773764D01* +X155759069Y-98689918D01* +X155642507Y-98627615D01* +X155532707Y-98594308D01* +X155497059Y-98508246D01* +X155428266Y-98405291D01* +X155340709Y-98317734D01* +X155237754Y-98248941D01* +X155123356Y-98201556D01* +X155001912Y-98177400D01* +X154878088Y-98177400D01* +X154756644Y-98201556D01* +X154642246Y-98248941D01* +X154539291Y-98317734D01* +X154451734Y-98405291D01* +X154382941Y-98508246D01* +X154347293Y-98594308D01* +X154237493Y-98627615D01* +X154120931Y-98689918D01* +X154018764Y-98773764D01* +X153934918Y-98875931D01* +X153872615Y-98992493D01* +X153834249Y-99118969D01* +X153821294Y-99250500D01* +X153518706Y-99250500D01* +X153505751Y-99118969D01* +X153467385Y-98992493D01* +X153405082Y-98875931D01* +X153321236Y-98773764D01* +X153219069Y-98689918D01* +X153102507Y-98627615D01* +X152992707Y-98594308D01* +X152957059Y-98508246D01* +X152888266Y-98405291D01* +X152800709Y-98317734D01* +X152697754Y-98248941D01* +X152583356Y-98201556D01* +X152461912Y-98177400D01* +X152338088Y-98177400D01* +X152216644Y-98201556D01* +X152102246Y-98248941D01* +X151999291Y-98317734D01* +X151911734Y-98405291D01* +X151842941Y-98508246D01* +X151807293Y-98594308D01* +X151697493Y-98627615D01* +X151580931Y-98689918D01* +X151478764Y-98773764D01* +X151394918Y-98875931D01* +X151332615Y-98992493D01* +X151294249Y-99118969D01* +X151281294Y-99250500D01* +X150978706Y-99250500D01* +X150965751Y-99118969D01* +X150927385Y-98992493D01* +X150865082Y-98875931D01* +X150781236Y-98773764D01* +X150679069Y-98689918D01* +X150562507Y-98627615D01* +X150452707Y-98594308D01* +X150417059Y-98508246D01* +X150348266Y-98405291D01* +X150260709Y-98317734D01* +X150157754Y-98248941D01* +X150043356Y-98201556D01* +X149921912Y-98177400D01* +X149798088Y-98177400D01* +X149676644Y-98201556D01* +X149562246Y-98248941D01* +X149459291Y-98317734D01* +X149371734Y-98405291D01* +X149302941Y-98508246D01* +X149267293Y-98594308D01* +X149157493Y-98627615D01* +X149040931Y-98689918D01* +X148938764Y-98773764D01* +X148854918Y-98875931D01* +X148792615Y-98992493D01* +X148754249Y-99118969D01* +X148741294Y-99250500D01* +X148438706Y-99250500D01* +X148425751Y-99118969D01* +X148387385Y-98992493D01* +X148325082Y-98875931D01* +X148241236Y-98773764D01* +X148139069Y-98689918D01* +X148022507Y-98627615D01* +X147912707Y-98594308D01* +X147877059Y-98508246D01* +X147808266Y-98405291D01* +X147720709Y-98317734D01* +X147617754Y-98248941D01* +X147503356Y-98201556D01* +X147381912Y-98177400D01* +X147258088Y-98177400D01* +X147136644Y-98201556D01* +X147022246Y-98248941D01* +X146919291Y-98317734D01* +X146831734Y-98405291D01* +X146762941Y-98508246D01* +X146727293Y-98594308D01* +X146617493Y-98627615D01* +X146500931Y-98689918D01* +X146398764Y-98773764D01* +X146314918Y-98875931D01* +X146252615Y-98992493D01* +X146214249Y-99118969D01* +X146201294Y-99250500D01* +X145898706Y-99250500D01* +X145885751Y-99118969D01* +X145847385Y-98992493D01* +X145785082Y-98875931D01* +X145701236Y-98773764D01* +X145599069Y-98689918D01* +X145482507Y-98627615D01* +X145372707Y-98594308D01* +X145337059Y-98508246D01* +X145268266Y-98405291D01* +X145180709Y-98317734D01* +X145077754Y-98248941D01* +X144963356Y-98201556D01* +X144841912Y-98177400D01* +X144718088Y-98177400D01* +X144604955Y-98199903D01* +X142720112Y-96315061D01* +X142710568Y-96303432D01* +X142664157Y-96265342D01* +X142611206Y-96237040D01* +X142553751Y-96219611D01* +X142508966Y-96215200D01* +X142508958Y-96215200D01* +X142494000Y-96213727D01* +X142479042Y-96215200D01* +X125348252Y-96215200D01* +X124764800Y-95631749D01* +X124764800Y-95557729D01* +X124820953Y-95527714D01* +X124878493Y-95480493D01* +X124925714Y-95422953D01* +X124960803Y-95357307D01* +X124982410Y-95286077D01* +X124989706Y-95212000D01* +X124989706Y-91512000D01* +X125200294Y-91512000D01* +X125200294Y-95212000D01* +X125207590Y-95286077D01* +X125229197Y-95357307D01* +X125264286Y-95422953D01* +X125311507Y-95480493D01* +X125369047Y-95527714D01* +X125434693Y-95562803D01* +X125505923Y-95584410D01* +X125580000Y-95591706D01* +X125880000Y-95591706D01* +X125954077Y-95584410D01* +X126025307Y-95562803D01* +X126090953Y-95527714D01* +X126148493Y-95480493D01* +X126195714Y-95422953D01* +X126230803Y-95357307D01* +X126252410Y-95286077D01* +X126259706Y-95212000D01* +X126259706Y-91512000D01* +X126470294Y-91512000D01* +X126470294Y-95212000D01* +X126477590Y-95286077D01* +X126499197Y-95357307D01* +X126534286Y-95422953D01* +X126581507Y-95480493D01* +X126639047Y-95527714D01* +X126704693Y-95562803D01* +X126775923Y-95584410D01* +X126850000Y-95591706D01* +X127150000Y-95591706D01* +X127224077Y-95584410D01* +X127295307Y-95562803D01* +X127360953Y-95527714D01* +X127418493Y-95480493D01* +X127465714Y-95422953D01* +X127500803Y-95357307D01* +X127522410Y-95286077D01* +X127529706Y-95212000D01* +X127529706Y-91512000D01* +X127740294Y-91512000D01* +X127740294Y-95212000D01* +X127747590Y-95286077D01* +X127769197Y-95357307D01* +X127804286Y-95422953D01* +X127851507Y-95480493D01* +X127909047Y-95527714D01* +X127974693Y-95562803D01* +X128045923Y-95584410D01* +X128120000Y-95591706D01* +X128420000Y-95591706D01* +X128494077Y-95584410D01* +X128565307Y-95562803D01* +X128630953Y-95527714D01* +X128688493Y-95480493D01* +X128735714Y-95422953D01* +X128770803Y-95357307D01* +X128792410Y-95286077D01* +X128799706Y-95212000D01* +X128799706Y-91512000D01* +X130280294Y-91512000D01* +X130280294Y-95212000D01* +X130287590Y-95286077D01* +X130309197Y-95357307D01* +X130344286Y-95422953D01* +X130391507Y-95480493D01* +X130449047Y-95527714D01* +X130514693Y-95562803D01* +X130585923Y-95584410D01* +X130660000Y-95591706D01* +X130960000Y-95591706D01* +X131034077Y-95584410D01* +X131105307Y-95562803D01* +X131170953Y-95527714D01* +X131228493Y-95480493D01* +X131275714Y-95422953D01* +X131310803Y-95357307D01* +X131332410Y-95286077D01* +X131339706Y-95212000D01* +X131339706Y-91512000D01* +X131550294Y-91512000D01* +X131550294Y-95212000D01* +X131557590Y-95286077D01* +X131579197Y-95357307D01* +X131614286Y-95422953D01* +X131661507Y-95480493D01* +X131719047Y-95527714D01* +X131784693Y-95562803D01* +X131855923Y-95584410D01* +X131930000Y-95591706D01* +X132230000Y-95591706D01* +X132304077Y-95584410D01* +X132375307Y-95562803D01* +X132440953Y-95527714D01* +X132498493Y-95480493D01* +X132545714Y-95422953D01* +X132580803Y-95357307D01* +X132602410Y-95286077D01* +X132609706Y-95212000D01* +X132609706Y-91512000D01* +X132820294Y-91512000D01* +X132820294Y-95212000D01* +X132827590Y-95286077D01* +X132849197Y-95357307D01* +X132884286Y-95422953D01* +X132931507Y-95480493D01* +X132989047Y-95527714D01* +X133054693Y-95562803D01* +X133125923Y-95584410D01* +X133200000Y-95591706D01* +X133500000Y-95591706D01* +X133574077Y-95584410D01* +X133645307Y-95562803D01* +X133710953Y-95527714D01* +X133768493Y-95480493D01* +X133815714Y-95422953D01* +X133850803Y-95357307D01* +X133872410Y-95286077D01* +X133879706Y-95212000D01* +X133879706Y-91512000D01* +X134090294Y-91512000D01* +X134090294Y-95212000D01* +X134097590Y-95286077D01* +X134119197Y-95357307D01* +X134154286Y-95422953D01* +X134201507Y-95480493D01* +X134259047Y-95527714D01* +X134324693Y-95562803D01* +X134395923Y-95584410D01* +X134470000Y-95591706D01* +X134770000Y-95591706D01* +X134844077Y-95584410D01* +X134915307Y-95562803D01* +X134980953Y-95527714D01* +X135038493Y-95480493D01* +X135085714Y-95422953D01* +X135120803Y-95357307D01* +X135142410Y-95286077D01* +X135149706Y-95212000D01* +X135149706Y-91512000D01* +X135360294Y-91512000D01* +X135360294Y-95212000D01* +X135367590Y-95286077D01* +X135389197Y-95357307D01* +X135424286Y-95422953D01* +X135471507Y-95480493D01* +X135529047Y-95527714D01* +X135594693Y-95562803D01* +X135665923Y-95584410D01* +X135740000Y-95591706D01* +X136040000Y-95591706D01* +X136114077Y-95584410D01* +X136185307Y-95562803D01* +X136250953Y-95527714D01* +X136308493Y-95480493D01* +X136355714Y-95422953D01* +X136390803Y-95357307D01* +X136412410Y-95286077D01* +X136419706Y-95212000D01* +X136419706Y-91512000D01* +X136630294Y-91512000D01* +X136630294Y-95212000D01* +X136637590Y-95286077D01* +X136659197Y-95357307D01* +X136694286Y-95422953D01* +X136741507Y-95480493D01* +X136799047Y-95527714D01* +X136864693Y-95562803D01* +X136935923Y-95584410D01* +X137010000Y-95591706D01* +X137310000Y-95591706D01* +X137384077Y-95584410D01* +X137455307Y-95562803D01* +X137520953Y-95527714D01* +X137578493Y-95480493D01* +X137625714Y-95422953D01* +X137660803Y-95357307D01* +X137682410Y-95286077D01* +X137689706Y-95212000D01* +X137689706Y-94622939D01* +X137751246Y-94664059D01* +X137865644Y-94711444D01* +X137987088Y-94735600D01* +X138110912Y-94735600D01* +X138206434Y-94716600D01* +X138913685Y-94716600D01* +X138914458Y-94717542D01* +X138989045Y-94778754D01* +X139074141Y-94824239D01* +X139090401Y-94829171D01* +X139090401Y-95092561D01* +X139071400Y-95188088D01* +X139071400Y-95311912D01* +X139095556Y-95433356D01* +X139142941Y-95547754D01* +X139211734Y-95650709D01* +X139299291Y-95738266D01* +X139402246Y-95807059D01* +X139516644Y-95854444D01* +X139638088Y-95878600D01* +X139761912Y-95878600D01* +X139883356Y-95854444D01* +X139997754Y-95807059D01* +X140100709Y-95738266D01* +X140188266Y-95650709D01* +X140257059Y-95547754D01* +X140304444Y-95433356D01* +X140328600Y-95311912D01* +X140328600Y-95188088D01* +X140309600Y-95092566D01* +X140309600Y-94829171D01* +X140325859Y-94824239D01* +X140410955Y-94778754D01* +X140485542Y-94717542D01* +X140486315Y-94716600D01* +X140812566Y-94716600D01* +X140908088Y-94735600D01* +X141031912Y-94735600D01* +X141153356Y-94711444D01* +X141267754Y-94664059D01* +X141370709Y-94595266D01* +X141458266Y-94507709D01* +X141527059Y-94404754D01* +X141574444Y-94290356D01* +X141598600Y-94168912D01* +X141598600Y-94107000D01* +X155578359Y-94107000D01* +X155581400Y-94137875D01* +X155581400Y-94168912D01* +X155587455Y-94199355D01* +X155590496Y-94230227D01* +X155599500Y-94259909D01* +X155605556Y-94290356D01* +X155617436Y-94319038D01* +X155626440Y-94348719D01* +X155641061Y-94376072D01* +X155652941Y-94404754D01* +X155670189Y-94430567D01* +X155684810Y-94457921D01* +X155704489Y-94481900D01* +X155721734Y-94507709D01* +X155743679Y-94529654D01* +X155763362Y-94553638D01* +X155787346Y-94573321D01* +X155809291Y-94595266D01* +X155835100Y-94612511D01* +X155859079Y-94632190D01* +X155886433Y-94646811D01* +X155912246Y-94664059D01* +X155940928Y-94675939D01* +X155968281Y-94690560D01* +X155997962Y-94699564D01* +X156026644Y-94711444D01* +X156057091Y-94717500D01* +X156086773Y-94726504D01* +X156117645Y-94729545D01* +X156148088Y-94735600D01* +X156716462Y-94735600D01* +X156769045Y-94778754D01* +X156827942Y-94810235D01* +X156758470Y-94894888D01* +X156678279Y-95044916D01* +X156628897Y-95207705D01* +X156616401Y-95334580D01* +X156616400Y-98726463D01* +X156558764Y-98773764D01* +X156474918Y-98875931D01* +X156412615Y-98992493D01* +X156374249Y-99118969D01* +X156361294Y-99250500D01* +X156361294Y-100393500D01* +X156374249Y-100525031D01* +X156412615Y-100651507D01* +X156474918Y-100768069D01* +X156558764Y-100870236D01* +X156660931Y-100954082D01* +X156777493Y-101016385D01* +X156903969Y-101054751D01* +X157035500Y-101067706D01* +X157924500Y-101067706D01* +X158056031Y-101054751D01* +X158182507Y-101016385D01* +X158299069Y-100954082D01* +X158401236Y-100870236D01* +X158485082Y-100768069D01* +X158547385Y-100651507D01* +X158585751Y-100525031D01* +X158598706Y-100393500D01* +X158598706Y-99250500D01* +X158585751Y-99118969D01* +X158547385Y-98992493D01* +X158485082Y-98875931D01* +X158401236Y-98773764D01* +X158343600Y-98726464D01* +X158343600Y-95334580D01* +X158331104Y-95207705D01* +X158281722Y-95044916D01* +X158201531Y-94894888D01* +X158132058Y-94810235D01* +X158190955Y-94778754D01* +X158243538Y-94735600D01* +X158811912Y-94735600D01* +X158842355Y-94729545D01* +X158873227Y-94726504D01* +X158902909Y-94717500D01* +X158933356Y-94711444D01* +X158962038Y-94699564D01* +X158991719Y-94690560D01* +X159019072Y-94675939D01* +X159047754Y-94664059D01* +X159073567Y-94646811D01* +X159100921Y-94632190D01* +X159124900Y-94612511D01* +X159150709Y-94595266D01* +X159172654Y-94573321D01* +X159196638Y-94553638D01* +X159216321Y-94529654D01* +X159238266Y-94507709D01* +X159255511Y-94481900D01* +X159275190Y-94457921D01* +X159289811Y-94430567D01* +X159307059Y-94404754D01* +X159318939Y-94376072D01* +X159333560Y-94348719D01* +X159342564Y-94319038D01* +X159354444Y-94290356D01* +X159360500Y-94259909D01* +X159369504Y-94230227D01* +X159372545Y-94199355D01* +X159378600Y-94168912D01* +X159378600Y-94137875D01* +X159381641Y-94107000D01* +X159378600Y-94076125D01* +X159378600Y-94045088D01* +X159372545Y-94014645D01* +X159369504Y-93983773D01* +X159360500Y-93954091D01* +X159354444Y-93923644D01* +X159342564Y-93894962D01* +X159333560Y-93865281D01* +X159318939Y-93837928D01* +X159307059Y-93809246D01* +X159289811Y-93783433D01* +X159275190Y-93756079D01* +X159255511Y-93732100D01* +X159238266Y-93706291D01* +X159216321Y-93684346D01* +X159196638Y-93660362D01* +X159172654Y-93640679D01* +X159150709Y-93618734D01* +X159124900Y-93601489D01* +X159100921Y-93581810D01* +X159073567Y-93567189D01* +X159047754Y-93549941D01* +X159019072Y-93538061D01* +X158991719Y-93523440D01* +X158962038Y-93514436D01* +X158933356Y-93502556D01* +X158902909Y-93496500D01* +X158873227Y-93487496D01* +X158842355Y-93484455D01* +X158811912Y-93478400D01* +X158243538Y-93478400D01* +X158190955Y-93435246D01* +X158105859Y-93389761D01* +X158013525Y-93361752D01* +X157917500Y-93352294D01* +X157042500Y-93352294D01* +X156946475Y-93361752D01* +X156854141Y-93389761D01* +X156769045Y-93435246D01* +X156716462Y-93478400D01* +X156148088Y-93478400D01* +X156117645Y-93484455D01* +X156086773Y-93487496D01* +X156057091Y-93496500D01* +X156026644Y-93502556D01* +X155997962Y-93514436D01* +X155968281Y-93523440D01* +X155940928Y-93538061D01* +X155912246Y-93549941D01* +X155886433Y-93567189D01* +X155859079Y-93581810D01* +X155835100Y-93601489D01* +X155809291Y-93618734D01* +X155787346Y-93640679D01* +X155763362Y-93660362D01* +X155743679Y-93684346D01* +X155721734Y-93706291D01* +X155704489Y-93732100D01* +X155684810Y-93756079D01* +X155670189Y-93783433D01* +X155652941Y-93809246D01* +X155641061Y-93837928D01* +X155626440Y-93865281D01* +X155617436Y-93894962D01* +X155605556Y-93923644D01* +X155599500Y-93954091D01* +X155590496Y-93983773D01* +X155587455Y-94014645D01* +X155581400Y-94045088D01* +X155581400Y-94076125D01* +X155578359Y-94107000D01* +X141598600Y-94107000D01* +X141598600Y-94045088D01* +X141574444Y-93923644D01* +X141527059Y-93809246D01* +X141458266Y-93706291D01* +X141370709Y-93618734D01* +X141267754Y-93549941D01* +X141153356Y-93502556D01* +X141031912Y-93478400D01* +X140908088Y-93478400D01* +X140812566Y-93497400D01* +X140486315Y-93497400D01* +X140485542Y-93496458D01* +X140410955Y-93435246D01* +X140325859Y-93389761D01* +X140233525Y-93361752D01* +X140137500Y-93352294D01* +X139262500Y-93352294D01* +X139166475Y-93361752D01* +X139074141Y-93389761D01* +X138989045Y-93435246D01* +X138914458Y-93496458D01* +X138913685Y-93497400D01* +X138206434Y-93497400D01* +X138110912Y-93478400D01* +X137987088Y-93478400D01* +X137865644Y-93502556D01* +X137751246Y-93549941D01* +X137689706Y-93591061D01* +X137689706Y-92932000D01* +X138770294Y-92932000D01* +X138774708Y-92976813D01* +X138787779Y-93019905D01* +X138809006Y-93059618D01* +X138837573Y-93094427D01* +X138872382Y-93122994D01* +X138912095Y-93144221D01* +X138955187Y-93157292D01* +X139000000Y-93161706D01* +X139569050Y-93160600D01* +X139626200Y-93103450D01* +X139626200Y-92480800D01* +X139773800Y-92480800D01* +X139773800Y-93103450D01* +X139830950Y-93160600D01* +X140400000Y-93161706D01* +X140444813Y-93157292D01* +X140487905Y-93144221D01* +X140527618Y-93122994D01* +X140562427Y-93094427D01* +X140590994Y-93059618D01* +X140612221Y-93019905D01* +X140625292Y-92976813D01* +X140629706Y-92932000D01* +X156550294Y-92932000D01* +X156554708Y-92976813D01* +X156567779Y-93019905D01* +X156589006Y-93059618D01* +X156617573Y-93094427D01* +X156652382Y-93122994D01* +X156692095Y-93144221D01* +X156735187Y-93157292D01* +X156780000Y-93161706D01* +X157349050Y-93160600D01* +X157406200Y-93103450D01* +X157406200Y-92480800D01* +X157553800Y-92480800D01* +X157553800Y-93103450D01* +X157610950Y-93160600D01* +X158180000Y-93161706D01* +X158224813Y-93157292D01* +X158267905Y-93144221D01* +X158307618Y-93122994D01* +X158342427Y-93094427D01* +X158370994Y-93059618D01* +X158392221Y-93019905D01* +X158405292Y-92976813D01* +X158409706Y-92932000D01* +X158408600Y-92537950D01* +X158351450Y-92480800D01* +X157553800Y-92480800D01* +X157406200Y-92480800D01* +X156608550Y-92480800D01* +X156551400Y-92537950D01* +X156550294Y-92932000D01* +X140629706Y-92932000D01* +X140628600Y-92537950D01* +X140571450Y-92480800D01* +X139773800Y-92480800D01* +X139626200Y-92480800D01* +X138828550Y-92480800D01* +X138771400Y-92537950D01* +X138770294Y-92932000D01* +X137689706Y-92932000D01* +X137689706Y-91882000D01* +X138770294Y-91882000D01* +X138771400Y-92276050D01* +X138828550Y-92333200D01* +X139626200Y-92333200D01* +X139626200Y-91710550D01* +X139773800Y-91710550D01* +X139773800Y-92333200D01* +X140571450Y-92333200D01* +X140628600Y-92276050D01* +X140629706Y-91882000D01* +X156550294Y-91882000D01* +X156551400Y-92276050D01* +X156608550Y-92333200D01* +X157406200Y-92333200D01* +X157406200Y-91710550D01* +X157553800Y-91710550D01* +X157553800Y-92333200D01* +X158351450Y-92333200D01* +X158408600Y-92276050D01* +X158409706Y-91882000D01* +X158405292Y-91837187D01* +X158392221Y-91794095D01* +X158370994Y-91754382D01* +X158342427Y-91719573D01* +X158307618Y-91691006D01* +X158267905Y-91669779D01* +X158224813Y-91656708D01* +X158180000Y-91652294D01* +X157610950Y-91653400D01* +X157553800Y-91710550D01* +X157406200Y-91710550D01* +X157349050Y-91653400D01* +X156780000Y-91652294D01* +X156735187Y-91656708D01* +X156692095Y-91669779D01* +X156652382Y-91691006D01* +X156617573Y-91719573D01* +X156589006Y-91754382D01* +X156567779Y-91794095D01* +X156554708Y-91837187D01* +X156550294Y-91882000D01* +X140629706Y-91882000D01* +X140625292Y-91837187D01* +X140612221Y-91794095D01* +X140590994Y-91754382D01* +X140562427Y-91719573D01* +X140527618Y-91691006D01* +X140487905Y-91669779D01* +X140444813Y-91656708D01* +X140400000Y-91652294D01* +X139830950Y-91653400D01* +X139773800Y-91710550D01* +X139626200Y-91710550D01* +X139569050Y-91653400D01* +X139000000Y-91652294D01* +X138955187Y-91656708D01* +X138912095Y-91669779D01* +X138872382Y-91691006D01* +X138837573Y-91719573D01* +X138809006Y-91754382D01* +X138787779Y-91794095D01* +X138774708Y-91837187D01* +X138770294Y-91882000D01* +X137689706Y-91882000D01* +X137689706Y-91512000D01* +X137685243Y-91466683D01* +X159823586Y-91466683D01* +X159865162Y-91837340D01* +X159977940Y-92192862D01* +X160157626Y-92519708D01* +X160397373Y-92805429D01* +X160688052Y-93039140D01* +X161018589Y-93211941D01* +X161376396Y-93317249D01* +X161747842Y-93351053D01* +X162118780Y-93312066D01* +X162475081Y-93201773D01* +X162803174Y-93024374D01* +X163090561Y-92786626D01* +X163326296Y-92497587D01* +X163501400Y-92168264D01* +X163609203Y-91811201D01* +X163645600Y-91440000D01* +X163644855Y-91386639D01* +X163598108Y-91016599D01* +X163480377Y-90662686D01* +X163296146Y-90338380D01* +X163052432Y-90056035D01* +X162758519Y-89826405D01* +X162425601Y-89658236D01* +X162066359Y-89557934D01* +X161694477Y-89529319D01* +X161324119Y-89573482D01* +X160969393Y-89688739D01* +X160643809Y-89870702D01* +X160359770Y-90112438D01* +X160128093Y-90404741D01* +X159957604Y-90736477D01* +X159854797Y-91095010D01* +X159823586Y-91466683D01* +X137685243Y-91466683D01* +X137682410Y-91437923D01* +X137660803Y-91366693D01* +X137625714Y-91301047D01* +X137578493Y-91243507D01* +X137520953Y-91196286D01* +X137455307Y-91161197D01* +X137384077Y-91139590D01* +X137310000Y-91132294D01* +X137010000Y-91132294D01* +X136935923Y-91139590D01* +X136864693Y-91161197D01* +X136799047Y-91196286D01* +X136741507Y-91243507D01* +X136694286Y-91301047D01* +X136659197Y-91366693D01* +X136637590Y-91437923D01* +X136630294Y-91512000D01* +X136419706Y-91512000D01* +X136412410Y-91437923D01* +X136390803Y-91366693D01* +X136355714Y-91301047D01* +X136308493Y-91243507D01* +X136278644Y-91219011D01* +X136317674Y-91160597D01* +X136354054Y-91072769D01* +X136372600Y-90979532D01* +X136372600Y-90884468D01* +X136354054Y-90791231D01* +X136317674Y-90703403D01* +X136264860Y-90624360D01* +X136197640Y-90557140D01* +X136118597Y-90504326D01* +X136030769Y-90467946D01* +X135937532Y-90449400D01* +X135842468Y-90449400D01* +X135749231Y-90467946D01* +X135661403Y-90504326D01* +X135582360Y-90557140D01* +X135515140Y-90624360D01* +X135462326Y-90703403D01* +X135425946Y-90791231D01* +X135407400Y-90884468D01* +X135407400Y-90979532D01* +X135425946Y-91072769D01* +X135462326Y-91160597D01* +X135501356Y-91219011D01* +X135471507Y-91243507D01* +X135424286Y-91301047D01* +X135389197Y-91366693D01* +X135367590Y-91437923D01* +X135360294Y-91512000D01* +X135149706Y-91512000D01* +X135142410Y-91437923D01* +X135120803Y-91366693D01* +X135085714Y-91301047D01* +X135038493Y-91243507D01* +X135008644Y-91219011D01* +X135047674Y-91160597D01* +X135084054Y-91072769D01* +X135102600Y-90979532D01* +X135102600Y-90884468D01* +X135084054Y-90791231D01* +X135047674Y-90703403D01* +X134994860Y-90624360D01* +X134927640Y-90557140D01* +X134848597Y-90504326D01* +X134760769Y-90467946D01* +X134667532Y-90449400D01* +X134572468Y-90449400D01* +X134479231Y-90467946D01* +X134391403Y-90504326D01* +X134312360Y-90557140D01* +X134245140Y-90624360D01* +X134192326Y-90703403D01* +X134155946Y-90791231D01* +X134137400Y-90884468D01* +X134137400Y-90979532D01* +X134155946Y-91072769D01* +X134192326Y-91160597D01* +X134231356Y-91219011D01* +X134201507Y-91243507D01* +X134154286Y-91301047D01* +X134119197Y-91366693D01* +X134097590Y-91437923D01* +X134090294Y-91512000D01* +X133879706Y-91512000D01* +X133872410Y-91437923D01* +X133850803Y-91366693D01* +X133815714Y-91301047D01* +X133768493Y-91243507D01* +X133738644Y-91219011D01* +X133777674Y-91160597D01* +X133814054Y-91072769D01* +X133832600Y-90979532D01* +X133832600Y-90884468D01* +X133814054Y-90791231D01* +X133777674Y-90703403D01* +X133724860Y-90624360D01* +X133657640Y-90557140D01* +X133578597Y-90504326D01* +X133490769Y-90467946D01* +X133397532Y-90449400D01* +X133302468Y-90449400D01* +X133209231Y-90467946D01* +X133121403Y-90504326D01* +X133042360Y-90557140D01* +X132975140Y-90624360D01* +X132922326Y-90703403D01* +X132885946Y-90791231D01* +X132867400Y-90884468D01* +X132867400Y-90979532D01* +X132885946Y-91072769D01* +X132922326Y-91160597D01* +X132961356Y-91219011D01* +X132931507Y-91243507D01* +X132884286Y-91301047D01* +X132849197Y-91366693D01* +X132827590Y-91437923D01* +X132820294Y-91512000D01* +X132609706Y-91512000D01* +X132602410Y-91437923D01* +X132580803Y-91366693D01* +X132545714Y-91301047D01* +X132498493Y-91243507D01* +X132468644Y-91219011D01* +X132507674Y-91160597D01* +X132544054Y-91072769D01* +X132562600Y-90979532D01* +X132562600Y-90884468D01* +X132544054Y-90791231D01* +X132507674Y-90703403D01* +X132454860Y-90624360D01* +X132387640Y-90557140D01* +X132308597Y-90504326D01* +X132220769Y-90467946D01* +X132127532Y-90449400D01* +X132032468Y-90449400D01* +X131939231Y-90467946D01* +X131851403Y-90504326D01* +X131772360Y-90557140D01* +X131705140Y-90624360D01* +X131652326Y-90703403D01* +X131615946Y-90791231D01* +X131597400Y-90884468D01* +X131597400Y-90979532D01* +X131615946Y-91072769D01* +X131652326Y-91160597D01* +X131691356Y-91219011D01* +X131661507Y-91243507D01* +X131614286Y-91301047D01* +X131579197Y-91366693D01* +X131557590Y-91437923D01* +X131550294Y-91512000D01* +X131339706Y-91512000D01* +X131332410Y-91437923D01* +X131310803Y-91366693D01* +X131275714Y-91301047D01* +X131228493Y-91243507D01* +X131198644Y-91219011D01* +X131237674Y-91160597D01* +X131274054Y-91072769D01* +X131292600Y-90979532D01* +X131292600Y-90884468D01* +X131274054Y-90791231D01* +X131237674Y-90703403D01* +X131184860Y-90624360D01* +X131117640Y-90557140D01* +X131038597Y-90504326D01* +X130950769Y-90467946D01* +X130857532Y-90449400D01* +X130762468Y-90449400D01* +X130669231Y-90467946D01* +X130581403Y-90504326D01* +X130502360Y-90557140D01* +X130435140Y-90624360D01* +X130382326Y-90703403D01* +X130345946Y-90791231D01* +X130327400Y-90884468D01* +X130327400Y-90979532D01* +X130345946Y-91072769D01* +X130382326Y-91160597D01* +X130421356Y-91219011D01* +X130391507Y-91243507D01* +X130344286Y-91301047D01* +X130309197Y-91366693D01* +X130287590Y-91437923D01* +X130280294Y-91512000D01* +X128799706Y-91512000D01* +X128792410Y-91437923D01* +X128770803Y-91366693D01* +X128735714Y-91301047D01* +X128688493Y-91243507D01* +X128630953Y-91196286D01* +X128565307Y-91161197D01* +X128494077Y-91139590D01* +X128420000Y-91132294D01* +X128120000Y-91132294D01* +X128045923Y-91139590D01* +X127974693Y-91161197D01* +X127909047Y-91196286D01* +X127851507Y-91243507D01* +X127804286Y-91301047D01* +X127769197Y-91366693D01* +X127747590Y-91437923D01* +X127740294Y-91512000D01* +X127529706Y-91512000D01* +X127522410Y-91437923D01* +X127500803Y-91366693D01* +X127465714Y-91301047D01* +X127418493Y-91243507D01* +X127388644Y-91219011D01* +X127427674Y-91160597D01* +X127464054Y-91072769D01* +X127482600Y-90979532D01* +X127482600Y-90884468D01* +X127464054Y-90791231D01* +X127427674Y-90703403D01* +X127374860Y-90624360D01* +X127307640Y-90557140D01* +X127228597Y-90504326D01* +X127140769Y-90467946D01* +X127047532Y-90449400D01* +X126952468Y-90449400D01* +X126859231Y-90467946D01* +X126771403Y-90504326D01* +X126692360Y-90557140D01* +X126625140Y-90624360D01* +X126572326Y-90703403D01* +X126535946Y-90791231D01* +X126517400Y-90884468D01* +X126517400Y-90979532D01* +X126535946Y-91072769D01* +X126572326Y-91160597D01* +X126611356Y-91219011D01* +X126581507Y-91243507D01* +X126534286Y-91301047D01* +X126499197Y-91366693D01* +X126477590Y-91437923D01* +X126470294Y-91512000D01* +X126259706Y-91512000D01* +X126252410Y-91437923D01* +X126230803Y-91366693D01* +X126195714Y-91301047D01* +X126148493Y-91243507D01* +X126118644Y-91219011D01* +X126157674Y-91160597D01* +X126194054Y-91072769D01* +X126212600Y-90979532D01* +X126212600Y-90884468D01* +X126194054Y-90791231D01* +X126157674Y-90703403D01* +X126104860Y-90624360D01* +X126037640Y-90557140D01* +X125958597Y-90504326D01* +X125870769Y-90467946D01* +X125777532Y-90449400D01* +X125682468Y-90449400D01* +X125589231Y-90467946D01* +X125501403Y-90504326D01* +X125422360Y-90557140D01* +X125355140Y-90624360D01* +X125302326Y-90703403D01* +X125265946Y-90791231D01* +X125247400Y-90884468D01* +X125247400Y-90979532D01* +X125265946Y-91072769D01* +X125302326Y-91160597D01* +X125341356Y-91219011D01* +X125311507Y-91243507D01* +X125264286Y-91301047D01* +X125229197Y-91366693D01* +X125207590Y-91437923D01* +X125200294Y-91512000D01* +X124989706Y-91512000D01* +X124982410Y-91437923D01* +X124960803Y-91366693D01* +X124925714Y-91301047D01* +X124878493Y-91243507D01* +X124820953Y-91196286D01* +X124755307Y-91161197D01* +X124684077Y-91139590D01* +X124610000Y-91132294D01* +X124310000Y-91132294D01* +X124235923Y-91139590D01* +X124164693Y-91161197D01* +X124129800Y-91179848D01* +X124129800Y-89788251D01* +X124664944Y-89253108D01* +X124676568Y-89243568D01* +X124714658Y-89197157D01* +X124742960Y-89144206D01* +X124760389Y-89086751D01* +X124764800Y-89041966D01* +X124764800Y-89041958D01* +X124766273Y-89027000D01* +X124764800Y-89012042D01* +X124764800Y-88157729D01* +X124820953Y-88127714D01* +X124878493Y-88080493D01* +X124925714Y-88022953D01* +X124960803Y-87957307D01* +X124982410Y-87886077D01* +X124989706Y-87812000D01* +X124989706Y-84112000D01* +X125200294Y-84112000D01* +X125200294Y-87812000D01* +X125207590Y-87886077D01* +X125229197Y-87957307D01* +X125264286Y-88022953D01* +X125311507Y-88080493D01* +X125369047Y-88127714D01* +X125425200Y-88157729D01* +X125425200Y-88650242D01* +X125422360Y-88652140D01* +X125355140Y-88719360D01* +X125302326Y-88798403D01* +X125265946Y-88886231D01* +X125247400Y-88979468D01* +X125247400Y-89074532D01* +X125265946Y-89167769D01* +X125302326Y-89255597D01* +X125355140Y-89334640D01* +X125422360Y-89401860D01* +X125501403Y-89454674D01* +X125589231Y-89491054D01* +X125682468Y-89509600D01* +X125777532Y-89509600D01* +X125870769Y-89491054D01* +X125958597Y-89454674D01* +X126037640Y-89401860D01* +X126104860Y-89334640D01* +X126157674Y-89255597D01* +X126194054Y-89167769D01* +X126212600Y-89074532D01* +X126212600Y-88979468D01* +X126194054Y-88886231D01* +X126157674Y-88798403D01* +X126104860Y-88719360D01* +X126037640Y-88652140D01* +X126034800Y-88650242D01* +X126034800Y-88157729D01* +X126090953Y-88127714D01* +X126148493Y-88080493D01* +X126195714Y-88022953D01* +X126230803Y-87957307D01* +X126252410Y-87886077D01* +X126259706Y-87812000D01* +X126259706Y-84112000D01* +X126252410Y-84037923D01* +X126230803Y-83966693D01* +X126228295Y-83962000D01* +X126470294Y-83962000D01* +X126471219Y-85525745D01* +X126470294Y-86462000D01* +X126471176Y-86470958D01* +X126470294Y-87962000D01* +X126474708Y-88006813D01* +X126487779Y-88049905D01* +X126509006Y-88089618D01* +X126537573Y-88124427D01* +X126572382Y-88152994D01* +X126612095Y-88174221D01* +X126655187Y-88187292D01* +X126700000Y-88191706D01* +X126869050Y-88190600D01* +X126926200Y-88133450D01* +X126926200Y-83790550D01* +X127073800Y-83790550D01* +X127073800Y-88133450D01* +X127130950Y-88190600D01* +X127300000Y-88191706D01* +X127344813Y-88187292D01* +X127387905Y-88174221D01* +X127427618Y-88152994D01* +X127462427Y-88124427D01* +X127490994Y-88089618D01* +X127512221Y-88049905D01* +X127525292Y-88006813D01* +X127529706Y-87962000D01* +X127528824Y-86470958D01* +X127529706Y-86462000D01* +X127528781Y-85525745D01* +X127529617Y-84112000D01* +X127740294Y-84112000D01* +X127740294Y-87812000D01* +X127747590Y-87886077D01* +X127769197Y-87957307D01* +X127804286Y-88022953D01* +X127851507Y-88080493D01* +X127881356Y-88104989D01* +X127842326Y-88163403D01* +X127805946Y-88251231D01* +X127787400Y-88344468D01* +X127787400Y-88439532D01* +X127805946Y-88532769D01* +X127842326Y-88620597D01* +X127895140Y-88699640D01* +X127962360Y-88766860D01* +X128041403Y-88819674D01* +X128129231Y-88856054D01* +X128222468Y-88874600D01* +X128317532Y-88874600D01* +X128410769Y-88856054D01* +X128498597Y-88819674D01* +X128577640Y-88766860D01* +X128644860Y-88699640D01* +X128697674Y-88620597D01* +X128734054Y-88532769D01* +X128752600Y-88439532D01* +X128752600Y-88344468D01* +X128734054Y-88251231D01* +X128697674Y-88163403D01* +X128658644Y-88104989D01* +X128688493Y-88080493D01* +X128735714Y-88022953D01* +X128770803Y-87957307D01* +X128792410Y-87886077D01* +X128799706Y-87812000D01* +X128799706Y-84112000D01* +X130280294Y-84112000D01* +X130280294Y-87812000D01* +X130287590Y-87886077D01* +X130309197Y-87957307D01* +X130344286Y-88022953D01* +X130391507Y-88080493D01* +X130421356Y-88104989D01* +X130382326Y-88163403D01* +X130345946Y-88251231D01* +X130327400Y-88344468D01* +X130327400Y-88439532D01* +X130345946Y-88532769D01* +X130382326Y-88620597D01* +X130435140Y-88699640D01* +X130502360Y-88766860D01* +X130581403Y-88819674D01* +X130669231Y-88856054D01* +X130762468Y-88874600D01* +X130857532Y-88874600D01* +X130950769Y-88856054D01* +X131038597Y-88819674D01* +X131117640Y-88766860D01* +X131184860Y-88699640D01* +X131237674Y-88620597D01* +X131274054Y-88532769D01* +X131292600Y-88439532D01* +X131292600Y-88344468D01* +X131274054Y-88251231D01* +X131237674Y-88163403D01* +X131198644Y-88104989D01* +X131228493Y-88080493D01* +X131275714Y-88022953D01* +X131310803Y-87957307D01* +X131332410Y-87886077D01* +X131339706Y-87812000D01* +X131339706Y-84112000D01* +X131550294Y-84112000D01* +X131550294Y-87812000D01* +X131557590Y-87886077D01* +X131579197Y-87957307D01* +X131614286Y-88022953D01* +X131661507Y-88080493D01* +X131691356Y-88104989D01* +X131652326Y-88163403D01* +X131615946Y-88251231D01* +X131597400Y-88344468D01* +X131597400Y-88439532D01* +X131615946Y-88532769D01* +X131652326Y-88620597D01* +X131705140Y-88699640D01* +X131772360Y-88766860D01* +X131851403Y-88819674D01* +X131939231Y-88856054D01* +X132032468Y-88874600D01* +X132127532Y-88874600D01* +X132220769Y-88856054D01* +X132308597Y-88819674D01* +X132387640Y-88766860D01* +X132454860Y-88699640D01* +X132507674Y-88620597D01* +X132544054Y-88532769D01* +X132562600Y-88439532D01* +X132562600Y-88344468D01* +X132544054Y-88251231D01* +X132507674Y-88163403D01* +X132468644Y-88104989D01* +X132498493Y-88080493D01* +X132545714Y-88022953D01* +X132580803Y-87957307D01* +X132602410Y-87886077D01* +X132609706Y-87812000D01* +X132609706Y-84112000D01* +X132820294Y-84112000D01* +X132820294Y-87812000D01* +X132827590Y-87886077D01* +X132849197Y-87957307D01* +X132884286Y-88022953D01* +X132931507Y-88080493D01* +X132961356Y-88104989D01* +X132922326Y-88163403D01* +X132885946Y-88251231D01* +X132867400Y-88344468D01* +X132867400Y-88439532D01* +X132885946Y-88532769D01* +X132922326Y-88620597D01* +X132975140Y-88699640D01* +X133042360Y-88766860D01* +X133121403Y-88819674D01* +X133209231Y-88856054D01* +X133302468Y-88874600D01* +X133397532Y-88874600D01* +X133490769Y-88856054D01* +X133578597Y-88819674D01* +X133657640Y-88766860D01* +X133724860Y-88699640D01* +X133777674Y-88620597D01* +X133814054Y-88532769D01* +X133832600Y-88439532D01* +X133832600Y-88344468D01* +X133814054Y-88251231D01* +X133777674Y-88163403D01* +X133738644Y-88104989D01* +X133768493Y-88080493D01* +X133815714Y-88022953D01* +X133850803Y-87957307D01* +X133872410Y-87886077D01* +X133879706Y-87812000D01* +X133879706Y-84112000D01* +X134090294Y-84112000D01* +X134090294Y-87812000D01* +X134097590Y-87886077D01* +X134119197Y-87957307D01* +X134154286Y-88022953D01* +X134201507Y-88080493D01* +X134231356Y-88104989D01* +X134192326Y-88163403D01* +X134155946Y-88251231D01* +X134137400Y-88344468D01* +X134137400Y-88439532D01* +X134155946Y-88532769D01* +X134192326Y-88620597D01* +X134245140Y-88699640D01* +X134312360Y-88766860D01* +X134391403Y-88819674D01* +X134479231Y-88856054D01* +X134572468Y-88874600D01* +X134667532Y-88874600D01* +X134760769Y-88856054D01* +X134848597Y-88819674D01* +X134927640Y-88766860D01* +X134994860Y-88699640D01* +X135047674Y-88620597D01* +X135084054Y-88532769D01* +X135102600Y-88439532D01* +X135102600Y-88344468D01* +X135084054Y-88251231D01* +X135047674Y-88163403D01* +X135008644Y-88104989D01* +X135038493Y-88080493D01* +X135085714Y-88022953D01* +X135120803Y-87957307D01* +X135142410Y-87886077D01* +X135149706Y-87812000D01* +X135149706Y-84112000D01* +X135360294Y-84112000D01* +X135360294Y-87812000D01* +X135367590Y-87886077D01* +X135389197Y-87957307D01* +X135424286Y-88022953D01* +X135471507Y-88080493D01* +X135501356Y-88104989D01* +X135462326Y-88163403D01* +X135425946Y-88251231D01* +X135407400Y-88344468D01* +X135407400Y-88439532D01* +X135425946Y-88532769D01* +X135462326Y-88620597D01* +X135515140Y-88699640D01* +X135582360Y-88766860D01* +X135661403Y-88819674D01* +X135749231Y-88856054D01* +X135842468Y-88874600D01* +X135937532Y-88874600D01* +X136030769Y-88856054D01* +X136118597Y-88819674D01* +X136197640Y-88766860D01* +X136264860Y-88699640D01* +X136317674Y-88620597D01* +X136354054Y-88532769D01* +X136372600Y-88439532D01* +X136372600Y-88344468D01* +X136354054Y-88251231D01* +X136317674Y-88163403D01* +X136278644Y-88104989D01* +X136308493Y-88080493D01* +X136355714Y-88022953D01* +X136390803Y-87957307D01* +X136412410Y-87886077D01* +X136419706Y-87812000D01* +X136419706Y-84112000D01* +X136412410Y-84037923D01* +X136390803Y-83966693D01* +X136388295Y-83962000D01* +X136630294Y-83962000D01* +X136631219Y-85525745D01* +X136630294Y-86462000D01* +X136631176Y-86470958D01* +X136630294Y-87962000D01* +X136634708Y-88006813D01* +X136647779Y-88049905D01* +X136669006Y-88089618D01* +X136697573Y-88124427D01* +X136732382Y-88152994D01* +X136772095Y-88174221D01* +X136815187Y-88187292D01* +X136860000Y-88191706D01* +X137029050Y-88190600D01* +X137086200Y-88133450D01* +X137086200Y-83790550D01* +X137233800Y-83790550D01* +X137233800Y-88133450D01* +X137290950Y-88190600D01* +X137460000Y-88191706D01* +X137504813Y-88187292D01* +X137547905Y-88174221D01* +X137587618Y-88152994D01* +X137622427Y-88124427D01* +X137650994Y-88089618D01* +X137672221Y-88049905D01* +X137685292Y-88006813D01* +X137689706Y-87962000D01* +X137688824Y-86470958D01* +X137689706Y-86462000D01* +X137688781Y-85525745D01* +X137689706Y-83962000D01* +X137685292Y-83917187D01* +X137672221Y-83874095D01* +X137650994Y-83834382D01* +X137622427Y-83799573D01* +X137587618Y-83771006D01* +X137547905Y-83749779D01* +X137504813Y-83736708D01* +X137460000Y-83732294D01* +X137290950Y-83733400D01* +X137233800Y-83790550D01* +X137086200Y-83790550D01* +X137029050Y-83733400D01* +X136860000Y-83732294D01* +X136815187Y-83736708D01* +X136772095Y-83749779D01* +X136732382Y-83771006D01* +X136697573Y-83799573D01* +X136669006Y-83834382D01* +X136647779Y-83874095D01* +X136634708Y-83917187D01* +X136630294Y-83962000D01* +X136388295Y-83962000D01* +X136355714Y-83901047D01* +X136308493Y-83843507D01* +X136250953Y-83796286D01* +X136185307Y-83761197D01* +X136114077Y-83739590D01* +X136040000Y-83732294D01* +X135740000Y-83732294D01* +X135665923Y-83739590D01* +X135594693Y-83761197D01* +X135529047Y-83796286D01* +X135471507Y-83843507D01* +X135424286Y-83901047D01* +X135389197Y-83966693D01* +X135367590Y-84037923D01* +X135360294Y-84112000D01* +X135149706Y-84112000D01* +X135142410Y-84037923D01* +X135120803Y-83966693D01* +X135085714Y-83901047D01* +X135038493Y-83843507D01* +X134980953Y-83796286D01* +X134915307Y-83761197D01* +X134844077Y-83739590D01* +X134770000Y-83732294D01* +X134470000Y-83732294D01* +X134395923Y-83739590D01* +X134324693Y-83761197D01* +X134259047Y-83796286D01* +X134201507Y-83843507D01* +X134154286Y-83901047D01* +X134119197Y-83966693D01* +X134097590Y-84037923D01* +X134090294Y-84112000D01* +X133879706Y-84112000D01* +X133872410Y-84037923D01* +X133850803Y-83966693D01* +X133815714Y-83901047D01* +X133768493Y-83843507D01* +X133710953Y-83796286D01* +X133645307Y-83761197D01* +X133574077Y-83739590D01* +X133500000Y-83732294D01* +X133200000Y-83732294D01* +X133125923Y-83739590D01* +X133054693Y-83761197D01* +X132989047Y-83796286D01* +X132931507Y-83843507D01* +X132884286Y-83901047D01* +X132849197Y-83966693D01* +X132827590Y-84037923D01* +X132820294Y-84112000D01* +X132609706Y-84112000D01* +X132602410Y-84037923D01* +X132580803Y-83966693D01* +X132545714Y-83901047D01* +X132498493Y-83843507D01* +X132440953Y-83796286D01* +X132375307Y-83761197D01* +X132304077Y-83739590D01* +X132230000Y-83732294D01* +X131930000Y-83732294D01* +X131855923Y-83739590D01* +X131784693Y-83761197D01* +X131719047Y-83796286D01* +X131661507Y-83843507D01* +X131614286Y-83901047D01* +X131579197Y-83966693D01* +X131557590Y-84037923D01* +X131550294Y-84112000D01* +X131339706Y-84112000D01* +X131332410Y-84037923D01* +X131310803Y-83966693D01* +X131275714Y-83901047D01* +X131228493Y-83843507D01* +X131170953Y-83796286D01* +X131105307Y-83761197D01* +X131034077Y-83739590D01* +X130960000Y-83732294D01* +X130660000Y-83732294D01* +X130585923Y-83739590D01* +X130514693Y-83761197D01* +X130449047Y-83796286D01* +X130391507Y-83843507D01* +X130344286Y-83901047D01* +X130309197Y-83966693D01* +X130287590Y-84037923D01* +X130280294Y-84112000D01* +X128799706Y-84112000D01* +X128792410Y-84037923D01* +X128770803Y-83966693D01* +X128735714Y-83901047D01* +X128688493Y-83843507D01* +X128630953Y-83796286D01* +X128565307Y-83761197D01* +X128494077Y-83739590D01* +X128420000Y-83732294D01* +X128120000Y-83732294D01* +X128045923Y-83739590D01* +X127974693Y-83761197D01* +X127909047Y-83796286D01* +X127851507Y-83843507D01* +X127804286Y-83901047D01* +X127769197Y-83966693D01* +X127747590Y-84037923D01* +X127740294Y-84112000D01* +X127529617Y-84112000D01* +X127529706Y-83962000D01* +X127525292Y-83917187D01* +X127512221Y-83874095D01* +X127490994Y-83834382D01* +X127462427Y-83799573D01* +X127427618Y-83771006D01* +X127387905Y-83749779D01* +X127344813Y-83736708D01* +X127300000Y-83732294D01* +X127130950Y-83733400D01* +X127073800Y-83790550D01* +X126926200Y-83790550D01* +X126869050Y-83733400D01* +X126700000Y-83732294D01* +X126655187Y-83736708D01* +X126612095Y-83749779D01* +X126572382Y-83771006D01* +X126537573Y-83799573D01* +X126509006Y-83834382D01* +X126487779Y-83874095D01* +X126474708Y-83917187D01* +X126470294Y-83962000D01* +X126228295Y-83962000D01* +X126195714Y-83901047D01* +X126148493Y-83843507D01* +X126090953Y-83796286D01* +X126025307Y-83761197D01* +X125954077Y-83739590D01* +X125880000Y-83732294D01* +X125580000Y-83732294D01* +X125505923Y-83739590D01* +X125434693Y-83761197D01* +X125369047Y-83796286D01* +X125311507Y-83843507D01* +X125264286Y-83901047D01* +X125229197Y-83966693D01* +X125207590Y-84037923D01* +X125200294Y-84112000D01* +X124989706Y-84112000D01* +X124982410Y-84037923D01* +X124960803Y-83966693D01* +X124925714Y-83901047D01* +X124878493Y-83843507D01* +X124820953Y-83796286D01* +X124755307Y-83761197D01* +X124684077Y-83739590D01* +X124610000Y-83732294D01* +X124310000Y-83732294D01* +X124235923Y-83739590D01* +X124164693Y-83761197D01* +X124099047Y-83796286D01* +X124041507Y-83843507D01* +X123994286Y-83901047D01* +X123959197Y-83966693D01* +X123937590Y-84037923D01* +X123930294Y-84112000D01* +X123930294Y-87812000D01* +X123937590Y-87886077D01* +X123959197Y-87957307D01* +X123994286Y-88022953D01* +X124041507Y-88080493D01* +X124099047Y-88127714D01* +X124155201Y-88157729D01* +X124155201Y-88900747D01* +X123620061Y-89435888D01* +X123608432Y-89445432D01* +X123570342Y-89491844D01* +X123550312Y-89529319D01* +X123542040Y-89544795D01* +X123531920Y-89578157D01* +X123524611Y-89602250D01* +X123520200Y-89647035D01* +X123520200Y-89647042D01* +X123518727Y-89662000D01* +X123520200Y-89676958D01* +X123520200Y-91179848D01* +X123485307Y-91161197D01* +X123414077Y-91139590D01* +X123340000Y-91132294D01* +X123040000Y-91132294D01* +X122965923Y-91139590D01* +X122894693Y-91161197D01* +X122859800Y-91179848D01* +X122859800Y-89788251D01* +X123394944Y-89253108D01* +X123406568Y-89243568D01* +X123444658Y-89197157D01* +X123472960Y-89144206D01* +X123490389Y-89086751D01* +X123494800Y-89041966D01* +X123494800Y-89041958D01* +X123496273Y-89027000D01* +X123494800Y-89012042D01* +X123494800Y-88157729D01* +X123550953Y-88127714D01* +X123608493Y-88080493D01* +X123655714Y-88022953D01* +X123690803Y-87957307D01* +X123712410Y-87886077D01* +X123719706Y-87812000D01* +X123719706Y-84112000D01* +X123712410Y-84037923D01* +X123690803Y-83966693D01* +X123655714Y-83901047D01* +X123608493Y-83843507D01* +X123550953Y-83796286D01* +X123485307Y-83761197D01* +X123414077Y-83739590D01* +X123340000Y-83732294D01* +X123040000Y-83732294D01* +X122965923Y-83739590D01* +X122894693Y-83761197D01* +X122829047Y-83796286D01* +X122771507Y-83843507D01* +X122724286Y-83901047D01* +X122689197Y-83966693D01* +X122667590Y-84037923D01* +X122660294Y-84112000D01* +X122660294Y-87812000D01* +X122667590Y-87886077D01* +X122689197Y-87957307D01* +X122724286Y-88022953D01* +X122771507Y-88080493D01* +X122829047Y-88127714D01* +X122885201Y-88157729D01* +X122885201Y-88900747D01* +X122350061Y-89435888D01* +X122338432Y-89445432D01* +X122300342Y-89491844D01* +X122280312Y-89529319D01* +X122272040Y-89544795D01* +X122261920Y-89578157D01* +X122254611Y-89602250D01* +X122250200Y-89647035D01* +X122250200Y-89647042D01* +X122248727Y-89662000D01* +X122250200Y-89676958D01* +X122250200Y-91179848D01* +X122215307Y-91161197D01* +X122144077Y-91139590D01* +X122070000Y-91132294D01* +X121770000Y-91132294D01* +X121695923Y-91139590D01* +X121624693Y-91161197D01* +X121559047Y-91196286D01* +X121501507Y-91243507D01* +X121454286Y-91301047D01* +X121419197Y-91366693D01* +X121397590Y-91437923D01* +X121390294Y-91512000D01* +X121390294Y-93591061D01* +X121328754Y-93549941D01* +X121214356Y-93502556D01* +X121092912Y-93478400D01* +X120969088Y-93478400D01* +X120873566Y-93497400D01* +X120166315Y-93497400D01* +X120165542Y-93496458D01* +X120090955Y-93435246D01* +X120005859Y-93389761D01* +X119913525Y-93361752D01* +X119817500Y-93352294D01* +X118942500Y-93352294D01* +X118846475Y-93361752D01* +X118754141Y-93389761D01* +X118669045Y-93435246D01* +X118594458Y-93496458D01* +X118593685Y-93497400D01* +X118267434Y-93497400D01* +X118171912Y-93478400D01* +X118048088Y-93478400D01* +X117926644Y-93502556D01* +X117812246Y-93549941D01* +X117709291Y-93618734D01* +X117621734Y-93706291D01* +X117552941Y-93809246D01* +X117505556Y-93923644D01* +X117481400Y-94045088D01* +X111363963Y-94045088D01* +X112471118Y-92937934D01* +X112474468Y-92938600D01* +X112569532Y-92938600D01* +X112662769Y-92920054D01* +X112750597Y-92883674D01* +X112829640Y-92830860D01* +X112896860Y-92763640D01* +X112949674Y-92684597D01* +X112986054Y-92596769D01* +X113004600Y-92503532D01* +X113004600Y-92408468D01* +X112986054Y-92315231D01* +X112949674Y-92227403D01* +X112896860Y-92148360D01* +X112829640Y-92081140D01* +X112750597Y-92028326D01* +X112662769Y-91991946D01* +X112569532Y-91973400D01* +X112474468Y-91973400D01* +X112381231Y-91991946D01* +X112293403Y-92028326D01* +X112214360Y-92081140D01* +X112147140Y-92148360D01* +X112094326Y-92227403D01* +X112057946Y-92315231D01* +X112039400Y-92408468D01* +X112039400Y-92503532D01* +X112040066Y-92506882D01* +X108458749Y-96088200D01* +X93471252Y-96088200D01* +X93014800Y-95631749D01* +X93014800Y-95557729D01* +X93070953Y-95527714D01* +X93128493Y-95480493D01* +X93175714Y-95422953D01* +X93210803Y-95357307D01* +X93232410Y-95286077D01* +X93239706Y-95212000D01* +X93239706Y-91512000D01* +X93450294Y-91512000D01* +X93450294Y-95212000D01* +X93457590Y-95286077D01* +X93479197Y-95357307D01* +X93514286Y-95422953D01* +X93561507Y-95480493D01* +X93619047Y-95527714D01* +X93684693Y-95562803D01* +X93755923Y-95584410D01* +X93830000Y-95591706D01* +X94130000Y-95591706D01* +X94204077Y-95584410D01* +X94275307Y-95562803D01* +X94340953Y-95527714D01* +X94398493Y-95480493D01* +X94445714Y-95422953D01* +X94480803Y-95357307D01* +X94502410Y-95286077D01* +X94509706Y-95212000D01* +X94509706Y-91512000D01* +X95990294Y-91512000D01* +X95990294Y-95212000D01* +X95997590Y-95286077D01* +X96019197Y-95357307D01* +X96054286Y-95422953D01* +X96101507Y-95480493D01* +X96159047Y-95527714D01* +X96224693Y-95562803D01* +X96295923Y-95584410D01* +X96370000Y-95591706D01* +X96670000Y-95591706D01* +X96744077Y-95584410D01* +X96815307Y-95562803D01* +X96880953Y-95527714D01* +X96938493Y-95480493D01* +X96985714Y-95422953D01* +X97020803Y-95357307D01* +X97042410Y-95286077D01* +X97049706Y-95212000D01* +X97049706Y-91512000D01* +X97260294Y-91512000D01* +X97260294Y-95212000D01* +X97267590Y-95286077D01* +X97289197Y-95357307D01* +X97324286Y-95422953D01* +X97371507Y-95480493D01* +X97429047Y-95527714D01* +X97494693Y-95562803D01* +X97565923Y-95584410D01* +X97640000Y-95591706D01* +X97940000Y-95591706D01* +X98014077Y-95584410D01* +X98085307Y-95562803D01* +X98150953Y-95527714D01* +X98208493Y-95480493D01* +X98255714Y-95422953D01* +X98290803Y-95357307D01* +X98312410Y-95286077D01* +X98319706Y-95212000D01* +X98319706Y-91512000D01* +X98530294Y-91512000D01* +X98530294Y-95212000D01* +X98537590Y-95286077D01* +X98559197Y-95357307D01* +X98594286Y-95422953D01* +X98641507Y-95480493D01* +X98699047Y-95527714D01* +X98764693Y-95562803D01* +X98835923Y-95584410D01* +X98910000Y-95591706D01* +X99210000Y-95591706D01* +X99284077Y-95584410D01* +X99355307Y-95562803D01* +X99420953Y-95527714D01* +X99478493Y-95480493D01* +X99525714Y-95422953D01* +X99560803Y-95357307D01* +X99582410Y-95286077D01* +X99589706Y-95212000D01* +X99589706Y-91512000D01* +X99800294Y-91512000D01* +X99800294Y-95212000D01* +X99807590Y-95286077D01* +X99829197Y-95357307D01* +X99864286Y-95422953D01* +X99911507Y-95480493D01* +X99969047Y-95527714D01* +X100034693Y-95562803D01* +X100105923Y-95584410D01* +X100180000Y-95591706D01* +X100480000Y-95591706D01* +X100554077Y-95584410D01* +X100625307Y-95562803D01* +X100690953Y-95527714D01* +X100748493Y-95480493D01* +X100795714Y-95422953D01* +X100830803Y-95357307D01* +X100852410Y-95286077D01* +X100859706Y-95212000D01* +X100859706Y-91512000D01* +X101070294Y-91512000D01* +X101070294Y-95212000D01* +X101077590Y-95286077D01* +X101099197Y-95357307D01* +X101134286Y-95422953D01* +X101181507Y-95480493D01* +X101239047Y-95527714D01* +X101304693Y-95562803D01* +X101375923Y-95584410D01* +X101450000Y-95591706D01* +X101750000Y-95591706D01* +X101824077Y-95584410D01* +X101895307Y-95562803D01* +X101960953Y-95527714D01* +X102018493Y-95480493D01* +X102065714Y-95422953D01* +X102100803Y-95357307D01* +X102122410Y-95286077D01* +X102129706Y-95212000D01* +X102129706Y-91512000D01* +X102340294Y-91512000D01* +X102340294Y-95212000D01* +X102347590Y-95286077D01* +X102369197Y-95357307D01* +X102404286Y-95422953D01* +X102451507Y-95480493D01* +X102509047Y-95527714D01* +X102574693Y-95562803D01* +X102645923Y-95584410D01* +X102720000Y-95591706D01* +X103020000Y-95591706D01* +X103094077Y-95584410D01* +X103165307Y-95562803D01* +X103230953Y-95527714D01* +X103288493Y-95480493D01* +X103335714Y-95422953D01* +X103370803Y-95357307D01* +X103392410Y-95286077D01* +X103399706Y-95212000D01* +X103399706Y-94622939D01* +X103461246Y-94664059D01* +X103575644Y-94711444D01* +X103697088Y-94735600D01* +X103820912Y-94735600D01* +X103916434Y-94716600D01* +X104623685Y-94716600D01* +X104624458Y-94717542D01* +X104699045Y-94778754D01* +X104784141Y-94824239D01* +X104876475Y-94852248D01* +X104927401Y-94857264D01* +X104927401Y-94948463D01* +X104927400Y-94948468D01* +X104927400Y-95043532D01* +X104932050Y-95066910D01* +X104934384Y-95090606D01* +X104941296Y-95113393D01* +X104945946Y-95136769D01* +X104955065Y-95158784D01* +X104961979Y-95181577D01* +X104973208Y-95202585D01* +X104982326Y-95224597D01* +X104995564Y-95244410D01* +X105006792Y-95265415D01* +X105021899Y-95283823D01* +X105035140Y-95303640D01* +X105051993Y-95320493D01* +X105067100Y-95338901D01* +X105085508Y-95354008D01* +X105102360Y-95370860D01* +X105122176Y-95384100D01* +X105140586Y-95399209D01* +X105161593Y-95410438D01* +X105181403Y-95423674D01* +X105203411Y-95432790D01* +X105224424Y-95444022D01* +X105247222Y-95450938D01* +X105269231Y-95460054D01* +X105292600Y-95464702D01* +X105315395Y-95471617D01* +X105339100Y-95473952D01* +X105362468Y-95478600D01* +X105386293Y-95478600D01* +X105410000Y-95480935D01* +X105433707Y-95478600D01* +X105457532Y-95478600D01* +X105480899Y-95473952D01* +X105504606Y-95471617D01* +X105527403Y-95464702D01* +X105550769Y-95460054D01* +X105572775Y-95450939D01* +X105595577Y-95444022D01* +X105616594Y-95432788D01* +X105638597Y-95423674D01* +X105658403Y-95410440D01* +X105679415Y-95399209D01* +X105697829Y-95384097D01* +X105717640Y-95370860D01* +X105734488Y-95354012D01* +X105752901Y-95338901D01* +X105768012Y-95320488D01* +X105784860Y-95303640D01* +X105798097Y-95283829D01* +X105813209Y-95265415D01* +X105824440Y-95244403D01* +X105837674Y-95224597D01* +X105846788Y-95202594D01* +X105858022Y-95181577D01* +X105864939Y-95158775D01* +X105874054Y-95136769D01* +X105878702Y-95113403D01* +X105885617Y-95090606D01* +X105887952Y-95066900D01* +X105892600Y-95043532D01* +X105892600Y-94857264D01* +X105943525Y-94852248D01* +X106035859Y-94824239D01* +X106120955Y-94778754D01* +X106195542Y-94717542D01* +X106196315Y-94716600D01* +X106522566Y-94716600D01* +X106618088Y-94735600D01* +X106741912Y-94735600D01* +X106863356Y-94711444D01* +X106977754Y-94664059D01* +X107080709Y-94595266D01* +X107168266Y-94507709D01* +X107237059Y-94404754D01* +X107284444Y-94290356D01* +X107308600Y-94168912D01* +X107308600Y-94045088D01* +X107284444Y-93923644D01* +X107237059Y-93809246D01* +X107168266Y-93706291D01* +X107080709Y-93618734D01* +X106977754Y-93549941D01* +X106863356Y-93502556D01* +X106741912Y-93478400D01* +X106618088Y-93478400D01* +X106522566Y-93497400D01* +X106196315Y-93497400D01* +X106195542Y-93496458D01* +X106120955Y-93435246D01* +X106035859Y-93389761D01* +X105943525Y-93361752D01* +X105847500Y-93352294D01* +X104972500Y-93352294D01* +X104876475Y-93361752D01* +X104784141Y-93389761D01* +X104699045Y-93435246D01* +X104624458Y-93496458D01* +X104623685Y-93497400D01* +X103916434Y-93497400D01* +X103820912Y-93478400D01* +X103697088Y-93478400D01* +X103575644Y-93502556D01* +X103461246Y-93549941D01* +X103399706Y-93591061D01* +X103399706Y-92932000D01* +X104480294Y-92932000D01* +X104484708Y-92976813D01* +X104497779Y-93019905D01* +X104519006Y-93059618D01* +X104547573Y-93094427D01* +X104582382Y-93122994D01* +X104622095Y-93144221D01* +X104665187Y-93157292D01* +X104710000Y-93161706D01* +X105279050Y-93160600D01* +X105336200Y-93103450D01* +X105336200Y-92480800D01* +X105483800Y-92480800D01* +X105483800Y-93103450D01* +X105540950Y-93160600D01* +X106110000Y-93161706D01* +X106154813Y-93157292D01* +X106197905Y-93144221D01* +X106237618Y-93122994D01* +X106272427Y-93094427D01* +X106300994Y-93059618D01* +X106322221Y-93019905D01* +X106335292Y-92976813D01* +X106339706Y-92932000D01* +X106338600Y-92537950D01* +X106281450Y-92480800D01* +X105483800Y-92480800D01* +X105336200Y-92480800D01* +X104538550Y-92480800D01* +X104481400Y-92537950D01* +X104480294Y-92932000D01* +X103399706Y-92932000D01* +X103399706Y-91882000D01* +X104480294Y-91882000D01* +X104481400Y-92276050D01* +X104538550Y-92333200D01* +X105336200Y-92333200D01* +X105336200Y-91710550D01* +X105483800Y-91710550D01* +X105483800Y-92333200D01* +X106281450Y-92333200D01* +X106338600Y-92276050D01* +X106339706Y-91882000D01* +X106335292Y-91837187D01* +X106322221Y-91794095D01* +X106300994Y-91754382D01* +X106272427Y-91719573D01* +X106237618Y-91691006D01* +X106197905Y-91669779D01* +X106154813Y-91656708D01* +X106110000Y-91652294D01* +X105540950Y-91653400D01* +X105483800Y-91710550D01* +X105336200Y-91710550D01* +X105279050Y-91653400D01* +X104710000Y-91652294D01* +X104665187Y-91656708D01* +X104622095Y-91669779D01* +X104582382Y-91691006D01* +X104547573Y-91719573D01* +X104519006Y-91754382D01* +X104497779Y-91794095D01* +X104484708Y-91837187D01* +X104480294Y-91882000D01* +X103399706Y-91882000D01* +X103399706Y-91512000D01* +X103392410Y-91437923D01* +X103370803Y-91366693D01* +X103335714Y-91301047D01* +X103288493Y-91243507D01* +X103230953Y-91196286D01* +X103165307Y-91161197D01* +X103094077Y-91139590D01* +X103020000Y-91132294D01* +X102720000Y-91132294D01* +X102645923Y-91139590D01* +X102574693Y-91161197D01* +X102509047Y-91196286D01* +X102451507Y-91243507D01* +X102404286Y-91301047D01* +X102369197Y-91366693D01* +X102347590Y-91437923D01* +X102340294Y-91512000D01* +X102129706Y-91512000D01* +X102122410Y-91437923D01* +X102100803Y-91366693D01* +X102065714Y-91301047D01* +X102018493Y-91243507D01* +X101988644Y-91219011D01* +X102027674Y-91160597D01* +X102064054Y-91072769D01* +X102082600Y-90979532D01* +X102082600Y-90884468D01* +X102064054Y-90791231D01* +X102027674Y-90703403D01* +X101974860Y-90624360D01* +X101907640Y-90557140D01* +X101828597Y-90504326D01* +X101740769Y-90467946D01* +X101647532Y-90449400D01* +X101552468Y-90449400D01* +X101459231Y-90467946D01* +X101371403Y-90504326D01* +X101292360Y-90557140D01* +X101225140Y-90624360D01* +X101172326Y-90703403D01* +X101135946Y-90791231D01* +X101117400Y-90884468D01* +X101117400Y-90979532D01* +X101135946Y-91072769D01* +X101172326Y-91160597D01* +X101211356Y-91219011D01* +X101181507Y-91243507D01* +X101134286Y-91301047D01* +X101099197Y-91366693D01* +X101077590Y-91437923D01* +X101070294Y-91512000D01* +X100859706Y-91512000D01* +X100852410Y-91437923D01* +X100830803Y-91366693D01* +X100795714Y-91301047D01* +X100748493Y-91243507D01* +X100718644Y-91219011D01* +X100757674Y-91160597D01* +X100794054Y-91072769D01* +X100812600Y-90979532D01* +X100812600Y-90884468D01* +X100794054Y-90791231D01* +X100757674Y-90703403D01* +X100704860Y-90624360D01* +X100637640Y-90557140D01* +X100558597Y-90504326D01* +X100470769Y-90467946D01* +X100377532Y-90449400D01* +X100282468Y-90449400D01* +X100189231Y-90467946D01* +X100101403Y-90504326D01* +X100022360Y-90557140D01* +X99955140Y-90624360D01* +X99902326Y-90703403D01* +X99865946Y-90791231D01* +X99847400Y-90884468D01* +X99847400Y-90979532D01* +X99865946Y-91072769D01* +X99902326Y-91160597D01* +X99941356Y-91219011D01* +X99911507Y-91243507D01* +X99864286Y-91301047D01* +X99829197Y-91366693D01* +X99807590Y-91437923D01* +X99800294Y-91512000D01* +X99589706Y-91512000D01* +X99582410Y-91437923D01* +X99560803Y-91366693D01* +X99525714Y-91301047D01* +X99478493Y-91243507D01* +X99448644Y-91219011D01* +X99487674Y-91160597D01* +X99524054Y-91072769D01* +X99542600Y-90979532D01* +X99542600Y-90884468D01* +X99524054Y-90791231D01* +X99487674Y-90703403D01* +X99434860Y-90624360D01* +X99367640Y-90557140D01* +X99288597Y-90504326D01* +X99200769Y-90467946D01* +X99107532Y-90449400D01* +X99012468Y-90449400D01* +X98919231Y-90467946D01* +X98831403Y-90504326D01* +X98752360Y-90557140D01* +X98685140Y-90624360D01* +X98632326Y-90703403D01* +X98595946Y-90791231D01* +X98577400Y-90884468D01* +X98577400Y-90979532D01* +X98595946Y-91072769D01* +X98632326Y-91160597D01* +X98671356Y-91219011D01* +X98641507Y-91243507D01* +X98594286Y-91301047D01* +X98559197Y-91366693D01* +X98537590Y-91437923D01* +X98530294Y-91512000D01* +X98319706Y-91512000D01* +X98312410Y-91437923D01* +X98290803Y-91366693D01* +X98255714Y-91301047D01* +X98208493Y-91243507D01* +X98178644Y-91219011D01* +X98217674Y-91160597D01* +X98254054Y-91072769D01* +X98272600Y-90979532D01* +X98272600Y-90884468D01* +X98254054Y-90791231D01* +X98217674Y-90703403D01* +X98164860Y-90624360D01* +X98097640Y-90557140D01* +X98018597Y-90504326D01* +X97930769Y-90467946D01* +X97837532Y-90449400D01* +X97742468Y-90449400D01* +X97649231Y-90467946D01* +X97561403Y-90504326D01* +X97482360Y-90557140D01* +X97415140Y-90624360D01* +X97362326Y-90703403D01* +X97325946Y-90791231D01* +X97307400Y-90884468D01* +X97307400Y-90979532D01* +X97325946Y-91072769D01* +X97362326Y-91160597D01* +X97401356Y-91219011D01* +X97371507Y-91243507D01* +X97324286Y-91301047D01* +X97289197Y-91366693D01* +X97267590Y-91437923D01* +X97260294Y-91512000D01* +X97049706Y-91512000D01* +X97042410Y-91437923D01* +X97020803Y-91366693D01* +X96985714Y-91301047D01* +X96938493Y-91243507D01* +X96908644Y-91219011D01* +X96947674Y-91160597D01* +X96984054Y-91072769D01* +X97002600Y-90979532D01* +X97002600Y-90884468D01* +X96984054Y-90791231D01* +X96947674Y-90703403D01* +X96894860Y-90624360D01* +X96827640Y-90557140D01* +X96748597Y-90504326D01* +X96660769Y-90467946D01* +X96567532Y-90449400D01* +X96472468Y-90449400D01* +X96379231Y-90467946D01* +X96291403Y-90504326D01* +X96212360Y-90557140D01* +X96145140Y-90624360D01* +X96092326Y-90703403D01* +X96055946Y-90791231D01* +X96037400Y-90884468D01* +X96037400Y-90979532D01* +X96055946Y-91072769D01* +X96092326Y-91160597D01* +X96131356Y-91219011D01* +X96101507Y-91243507D01* +X96054286Y-91301047D01* +X96019197Y-91366693D01* +X95997590Y-91437923D01* +X95990294Y-91512000D01* +X94509706Y-91512000D01* +X94502410Y-91437923D01* +X94480803Y-91366693D01* +X94445714Y-91301047D01* +X94398493Y-91243507D01* +X94340953Y-91196286D01* +X94275307Y-91161197D01* +X94204077Y-91139590D01* +X94130000Y-91132294D01* +X93830000Y-91132294D01* +X93755923Y-91139590D01* +X93684693Y-91161197D01* +X93619047Y-91196286D01* +X93561507Y-91243507D01* +X93514286Y-91301047D01* +X93479197Y-91366693D01* +X93457590Y-91437923D01* +X93450294Y-91512000D01* +X93239706Y-91512000D01* +X93232410Y-91437923D01* +X93210803Y-91366693D01* +X93175714Y-91301047D01* +X93128493Y-91243507D01* +X93070953Y-91196286D01* +X93005307Y-91161197D01* +X92934077Y-91139590D01* +X92860000Y-91132294D01* +X92560000Y-91132294D01* +X92485923Y-91139590D01* +X92414693Y-91161197D01* +X92349047Y-91196286D01* +X92291507Y-91243507D01* +X92244286Y-91301047D01* +X92209197Y-91366693D01* +X92187590Y-91437923D01* +X92180294Y-91512000D01* +X91969706Y-91512000D01* +X91962410Y-91437923D01* +X91940803Y-91366693D01* +X91905714Y-91301047D01* +X91858493Y-91243507D01* +X91800953Y-91196286D01* +X91744800Y-91166271D01* +X91744800Y-91058251D01* +X92455252Y-90347800D01* +X94742749Y-90347800D01* +X94769888Y-90374939D01* +X94779432Y-90386568D01* +X94825843Y-90424658D01* +X94878792Y-90452959D01* +X94878794Y-90452960D01* +X94936248Y-90470389D01* +X94941889Y-90470945D01* +X94981034Y-90474800D01* +X94981041Y-90474800D01* +X94995999Y-90476273D01* +X95010957Y-90474800D01* +X95489042Y-90474800D01* +X95504000Y-90476273D01* +X95518958Y-90474800D01* +X95518966Y-90474800D01* +X95563751Y-90470389D01* +X95621206Y-90452960D01* +X95674157Y-90424658D01* +X95720568Y-90386568D01* +X95730112Y-90374939D01* +X95820751Y-90284300D01* +X104188542Y-90284300D01* +X104203500Y-90285773D01* +X104218458Y-90284300D01* +X104218466Y-90284300D01* +X104263251Y-90279889D01* +X104320706Y-90262460D01* +X104373657Y-90234158D01* +X104420068Y-90196068D01* +X104429612Y-90184439D01* +X104901252Y-89712800D01* +X111506749Y-89712800D01* +X114795582Y-93001634D01* +X114761326Y-93052903D01* +X114724946Y-93140731D01* +X114706400Y-93233968D01* +X114706400Y-93329032D01* +X114724946Y-93422269D01* +X114761326Y-93510097D01* +X114814140Y-93589140D01* +X114881360Y-93656360D01* +X114960403Y-93709174D01* +X115048231Y-93745554D01* +X115141468Y-93764100D01* +X115236532Y-93764100D01* +X115329769Y-93745554D01* +X115417597Y-93709174D01* +X115496640Y-93656360D01* +X115563860Y-93589140D01* +X115616674Y-93510097D01* +X115653054Y-93422269D01* +X115671600Y-93329032D01* +X115671600Y-93233968D01* +X115653054Y-93140731D01* +X115616674Y-93052903D01* +X115563860Y-92973860D01* +X115522000Y-92932000D01* +X118450294Y-92932000D01* +X118454708Y-92976813D01* +X118467779Y-93019905D01* +X118489006Y-93059618D01* +X118517573Y-93094427D01* +X118552382Y-93122994D01* +X118592095Y-93144221D01* +X118635187Y-93157292D01* +X118680000Y-93161706D01* +X119249050Y-93160600D01* +X119306200Y-93103450D01* +X119306200Y-92480800D01* +X119453800Y-92480800D01* +X119453800Y-93103450D01* +X119510950Y-93160600D01* +X120080000Y-93161706D01* +X120124813Y-93157292D01* +X120167905Y-93144221D01* +X120207618Y-93122994D01* +X120242427Y-93094427D01* +X120270994Y-93059618D01* +X120292221Y-93019905D01* +X120305292Y-92976813D01* +X120309706Y-92932000D01* +X120308600Y-92537950D01* +X120251450Y-92480800D01* +X119453800Y-92480800D01* +X119306200Y-92480800D01* +X118508550Y-92480800D01* +X118451400Y-92537950D01* +X118450294Y-92932000D01* +X115522000Y-92932000D01* +X115496640Y-92906640D01* +X115488456Y-92901172D01* +X115474093Y-92853826D01* +X115471960Y-92846794D01* +X115443658Y-92793843D01* +X115405568Y-92747432D01* +X115393944Y-92737892D01* +X114538052Y-91882000D01* +X118450294Y-91882000D01* +X118451400Y-92276050D01* +X118508550Y-92333200D01* +X119306200Y-92333200D01* +X119306200Y-91710550D01* +X119453800Y-91710550D01* +X119453800Y-92333200D01* +X120251450Y-92333200D01* +X120308600Y-92276050D01* +X120309706Y-91882000D01* +X120305292Y-91837187D01* +X120292221Y-91794095D01* +X120270994Y-91754382D01* +X120242427Y-91719573D01* +X120207618Y-91691006D01* +X120167905Y-91669779D01* +X120124813Y-91656708D01* +X120080000Y-91652294D01* +X119510950Y-91653400D01* +X119453800Y-91710550D01* +X119306200Y-91710550D01* +X119249050Y-91653400D01* +X118680000Y-91652294D01* +X118635187Y-91656708D01* +X118592095Y-91669779D01* +X118552382Y-91691006D01* +X118517573Y-91719573D01* +X118489006Y-91754382D01* +X118467779Y-91794095D01* +X118454708Y-91837187D01* +X118450294Y-91882000D01* +X114538052Y-91882000D01* +X111859112Y-89203061D01* +X111849568Y-89191432D01* +X111803157Y-89153342D01* +X111750206Y-89125040D01* +X111692751Y-89107611D01* +X111647966Y-89103200D01* +X111647958Y-89103200D01* +X111633000Y-89101727D01* +X111618042Y-89103200D01* +X104789957Y-89103200D01* +X104774999Y-89101727D01* +X104760041Y-89103200D01* +X104760034Y-89103200D01* +X104720889Y-89107055D01* +X104715248Y-89107611D01* +X104704591Y-89110844D01* +X104657794Y-89125040D01* +X104604843Y-89153342D01* +X104558432Y-89191432D01* +X104548892Y-89203056D01* +X104077249Y-89674700D01* +X95709458Y-89674700D01* +X95694500Y-89673227D01* +X95679542Y-89674700D01* +X95679534Y-89674700D01* +X95639903Y-89678603D01* +X95634748Y-89679111D01* +X95577294Y-89696540D01* +X95524343Y-89724842D01* +X95477932Y-89762932D01* +X95468388Y-89774561D01* +X95377749Y-89865200D01* +X95122251Y-89865200D01* +X95095112Y-89838061D01* +X95085568Y-89826432D01* +X95039157Y-89788342D01* +X94986206Y-89760040D01* +X94928751Y-89742611D01* +X94883966Y-89738200D01* +X94883958Y-89738200D01* +X94869000Y-89736727D01* +X94854042Y-89738200D01* +X92343958Y-89738200D01* +X92329000Y-89736727D01* +X92314042Y-89738200D01* +X92314034Y-89738200D01* +X92274403Y-89742103D01* +X92269248Y-89742611D01* +X92258591Y-89745844D01* +X92211794Y-89760040D01* +X92158843Y-89788342D01* +X92112432Y-89826432D01* +X92102892Y-89838056D01* +X91235061Y-90705888D01* +X91223432Y-90715432D01* +X91185342Y-90761844D01* +X91157040Y-90814795D01* +X91139611Y-90872250D01* +X91135200Y-90917035D01* +X91135200Y-90917042D01* +X91133727Y-90932000D01* +X91135200Y-90946958D01* +X91135200Y-91166271D01* +X91079047Y-91196286D01* +X91021507Y-91243507D01* +X90974286Y-91301047D01* +X90939197Y-91366693D01* +X90917590Y-91437923D01* +X90910294Y-91512000D01* +X90699706Y-91512000D01* +X90692410Y-91437923D01* +X90670803Y-91366693D01* +X90635714Y-91301047D01* +X90588493Y-91243507D01* +X90530953Y-91196286D01* +X90465307Y-91161197D01* +X90394077Y-91139590D01* +X90320000Y-91132294D01* +X90020000Y-91132294D01* +X89945923Y-91139590D01* +X89874693Y-91161197D01* +X89839800Y-91179848D01* +X89839800Y-90042251D01* +X90374944Y-89507108D01* +X90386568Y-89497568D01* +X90424658Y-89451157D01* +X90452960Y-89398206D01* +X90470389Y-89340751D01* +X90474800Y-89295966D01* +X90474800Y-89295958D01* +X90476273Y-89281000D01* +X90474800Y-89266042D01* +X90474800Y-88157729D01* +X90530953Y-88127714D01* +X90588493Y-88080493D01* +X90635714Y-88022953D01* +X90670803Y-87957307D01* +X90692410Y-87886077D01* +X90699706Y-87812000D01* +X90699706Y-84112000D01* +X90910294Y-84112000D01* +X90910294Y-87812000D01* +X90917590Y-87886077D01* +X90939197Y-87957307D01* +X90974286Y-88022953D01* +X91021507Y-88080493D01* +X91051356Y-88104989D01* +X91012326Y-88163403D01* +X90975946Y-88251231D01* +X90957400Y-88344468D01* +X90957400Y-88439532D01* +X90975946Y-88532769D01* +X91012326Y-88620597D01* +X91065140Y-88699640D01* +X91132360Y-88766860D01* +X91211403Y-88819674D01* +X91299231Y-88856054D01* +X91392468Y-88874600D01* +X91487532Y-88874600D01* +X91490882Y-88873934D01* +X92102892Y-89485944D01* +X92112432Y-89497568D01* +X92158843Y-89535658D01* +X92200520Y-89557934D01* +X92211794Y-89563960D01* +X92269248Y-89581389D01* +X92274403Y-89581897D01* +X92314034Y-89585800D01* +X92314042Y-89585800D01* +X92329000Y-89587273D01* +X92343958Y-89585800D01* +X94854042Y-89585800D01* +X94869000Y-89587273D01* +X94883958Y-89585800D01* +X94883966Y-89585800D01* +X94928751Y-89581389D01* +X94986206Y-89563960D01* +X95039157Y-89535658D01* +X95085568Y-89497568D01* +X95095112Y-89485939D01* +X95122251Y-89458800D01* +X95377749Y-89458800D01* +X95404888Y-89485939D01* +X95414432Y-89497568D01* +X95460843Y-89535658D01* +X95502520Y-89557934D01* +X95513794Y-89563960D01* +X95571248Y-89581389D01* +X95576889Y-89581945D01* +X95616034Y-89585800D01* +X95616041Y-89585800D01* +X95630999Y-89587273D01* +X95645957Y-89585800D01* +X103363042Y-89585800D01* +X103378000Y-89587273D01* +X103392958Y-89585800D01* +X103392966Y-89585800D01* +X103437751Y-89581389D01* +X103495206Y-89563960D01* +X103548157Y-89535658D01* +X103594568Y-89497568D01* +X103604112Y-89485939D01* +X104139252Y-88950800D01* +X119507749Y-88950800D01* +X120676066Y-90119118D01* +X120675400Y-90122468D01* +X120675400Y-90217532D01* +X120693946Y-90310769D01* +X120730326Y-90398597D01* +X120783140Y-90477640D01* +X120850360Y-90544860D01* +X120929403Y-90597674D01* +X121017231Y-90634054D01* +X121110468Y-90652600D01* +X121205532Y-90652600D01* +X121298769Y-90634054D01* +X121386597Y-90597674D01* +X121465640Y-90544860D01* +X121532860Y-90477640D01* +X121585674Y-90398597D01* +X121622054Y-90310769D01* +X121640600Y-90217532D01* +X121640600Y-90122468D01* +X121622054Y-90029231D01* +X121585674Y-89941403D01* +X121532860Y-89862360D01* +X121465640Y-89795140D01* +X121386597Y-89742326D01* +X121298769Y-89705946D01* +X121205532Y-89687400D01* +X121110468Y-89687400D01* +X121107118Y-89688066D01* +X119860112Y-88441061D01* +X119850568Y-88429432D01* +X119804157Y-88391342D01* +X119751206Y-88363040D01* +X119693751Y-88345611D01* +X119648966Y-88341200D01* +X119648958Y-88341200D01* +X119634000Y-88339727D01* +X119619042Y-88341200D01* +X104027958Y-88341200D01* +X104013000Y-88339727D01* +X103998042Y-88341200D01* +X103998034Y-88341200D01* +X103953249Y-88345611D01* +X103895794Y-88363040D01* +X103842843Y-88391342D01* +X103796432Y-88429432D01* +X103786892Y-88441056D01* +X103251749Y-88976200D01* +X95757251Y-88976200D01* +X95730112Y-88949061D01* +X95720568Y-88937432D01* +X95674157Y-88899342D01* +X95621206Y-88871040D01* +X95563751Y-88853611D01* +X95518966Y-88849200D01* +X95518958Y-88849200D01* +X95504000Y-88847727D01* +X95489042Y-88849200D01* +X95010957Y-88849200D01* +X94995999Y-88847727D01* +X94981041Y-88849200D01* +X94981034Y-88849200D01* +X94941889Y-88853055D01* +X94936248Y-88853611D01* +X94906722Y-88862568D01* +X94878794Y-88871040D01* +X94825843Y-88899342D01* +X94779432Y-88937432D01* +X94769888Y-88949061D01* +X94742749Y-88976200D01* +X92455252Y-88976200D01* +X91921934Y-88442882D01* +X91922600Y-88439532D01* +X91922600Y-88344468D01* +X91904054Y-88251231D01* +X91867674Y-88163403D01* +X91828644Y-88104989D01* +X91858493Y-88080493D01* +X91905714Y-88022953D01* +X91940803Y-87957307D01* +X91962410Y-87886077D01* +X91969706Y-87812000D01* +X91969706Y-84112000D01* +X91962410Y-84037923D01* +X91940803Y-83966693D01* +X91938295Y-83962000D01* +X92180294Y-83962000D01* +X92181219Y-85525745D01* +X92180294Y-86462000D01* +X92181176Y-86470958D01* +X92180294Y-87962000D01* +X92184708Y-88006813D01* +X92197779Y-88049905D01* +X92219006Y-88089618D01* +X92247573Y-88124427D01* +X92282382Y-88152994D01* +X92322095Y-88174221D01* +X92365187Y-88187292D01* +X92410000Y-88191706D01* +X92579050Y-88190600D01* +X92636200Y-88133450D01* +X92636200Y-83790550D01* +X92783800Y-83790550D01* +X92783800Y-88133450D01* +X92840950Y-88190600D01* +X93010000Y-88191706D01* +X93054813Y-88187292D01* +X93097905Y-88174221D01* +X93137618Y-88152994D01* +X93172427Y-88124427D01* +X93200994Y-88089618D01* +X93222221Y-88049905D01* +X93235292Y-88006813D01* +X93239706Y-87962000D01* +X93238824Y-86470958D01* +X93239706Y-86462000D01* +X93238781Y-85525745D01* +X93239617Y-84112000D01* +X93450294Y-84112000D01* +X93450294Y-87812000D01* +X93457590Y-87886077D01* +X93479197Y-87957307D01* +X93514286Y-88022953D01* +X93561507Y-88080493D01* +X93591356Y-88104989D01* +X93552326Y-88163403D01* +X93515946Y-88251231D01* +X93497400Y-88344468D01* +X93497400Y-88439532D01* +X93515946Y-88532769D01* +X93552326Y-88620597D01* +X93605140Y-88699640D01* +X93672360Y-88766860D01* +X93751403Y-88819674D01* +X93839231Y-88856054D01* +X93932468Y-88874600D01* +X94027532Y-88874600D01* +X94120769Y-88856054D01* +X94208597Y-88819674D01* +X94287640Y-88766860D01* +X94354860Y-88699640D01* +X94407674Y-88620597D01* +X94444054Y-88532769D01* +X94462600Y-88439532D01* +X94462600Y-88344468D01* +X94444054Y-88251231D01* +X94407674Y-88163403D01* +X94368644Y-88104989D01* +X94398493Y-88080493D01* +X94445714Y-88022953D01* +X94480803Y-87957307D01* +X94502410Y-87886077D01* +X94509706Y-87812000D01* +X94509706Y-84112000D01* +X95990294Y-84112000D01* +X95990294Y-87812000D01* +X95997590Y-87886077D01* +X96019197Y-87957307D01* +X96054286Y-88022953D01* +X96101507Y-88080493D01* +X96131356Y-88104989D01* +X96092326Y-88163403D01* +X96055946Y-88251231D01* +X96037400Y-88344468D01* +X96037400Y-88439532D01* +X96055946Y-88532769D01* +X96092326Y-88620597D01* +X96145140Y-88699640D01* +X96212360Y-88766860D01* +X96291403Y-88819674D01* +X96379231Y-88856054D01* +X96472468Y-88874600D01* +X96567532Y-88874600D01* +X96660769Y-88856054D01* +X96748597Y-88819674D01* +X96827640Y-88766860D01* +X96894860Y-88699640D01* +X96947674Y-88620597D01* +X96984054Y-88532769D01* +X97002600Y-88439532D01* +X97002600Y-88344468D01* +X96984054Y-88251231D01* +X96947674Y-88163403D01* +X96908644Y-88104989D01* +X96938493Y-88080493D01* +X96985714Y-88022953D01* +X97020803Y-87957307D01* +X97042410Y-87886077D01* +X97049706Y-87812000D01* +X97049706Y-84112000D01* +X97260294Y-84112000D01* +X97260294Y-87812000D01* +X97267590Y-87886077D01* +X97289197Y-87957307D01* +X97324286Y-88022953D01* +X97371507Y-88080493D01* +X97401356Y-88104989D01* +X97362326Y-88163403D01* +X97325946Y-88251231D01* +X97307400Y-88344468D01* +X97307400Y-88439532D01* +X97325946Y-88532769D01* +X97362326Y-88620597D01* +X97415140Y-88699640D01* +X97482360Y-88766860D01* +X97561403Y-88819674D01* +X97649231Y-88856054D01* +X97742468Y-88874600D01* +X97837532Y-88874600D01* +X97930769Y-88856054D01* +X98018597Y-88819674D01* +X98097640Y-88766860D01* +X98164860Y-88699640D01* +X98217674Y-88620597D01* +X98254054Y-88532769D01* +X98272600Y-88439532D01* +X98272600Y-88344468D01* +X98254054Y-88251231D01* +X98217674Y-88163403D01* +X98178644Y-88104989D01* +X98208493Y-88080493D01* +X98255714Y-88022953D01* +X98290803Y-87957307D01* +X98312410Y-87886077D01* +X98319706Y-87812000D01* +X98319706Y-84112000D01* +X98530294Y-84112000D01* +X98530294Y-87812000D01* +X98537590Y-87886077D01* +X98559197Y-87957307D01* +X98594286Y-88022953D01* +X98641507Y-88080493D01* +X98671356Y-88104989D01* +X98632326Y-88163403D01* +X98595946Y-88251231D01* +X98577400Y-88344468D01* +X98577400Y-88439532D01* +X98595946Y-88532769D01* +X98632326Y-88620597D01* +X98685140Y-88699640D01* +X98752360Y-88766860D01* +X98831403Y-88819674D01* +X98919231Y-88856054D01* +X99012468Y-88874600D01* +X99107532Y-88874600D01* +X99200769Y-88856054D01* +X99288597Y-88819674D01* +X99367640Y-88766860D01* +X99434860Y-88699640D01* +X99487674Y-88620597D01* +X99524054Y-88532769D01* +X99542600Y-88439532D01* +X99542600Y-88344468D01* +X99524054Y-88251231D01* +X99487674Y-88163403D01* +X99448644Y-88104989D01* +X99478493Y-88080493D01* +X99525714Y-88022953D01* +X99560803Y-87957307D01* +X99582410Y-87886077D01* +X99589706Y-87812000D01* +X99589706Y-84112000D01* +X99800294Y-84112000D01* +X99800294Y-87812000D01* +X99807590Y-87886077D01* +X99829197Y-87957307D01* +X99864286Y-88022953D01* +X99911507Y-88080493D01* +X99941356Y-88104989D01* +X99902326Y-88163403D01* +X99865946Y-88251231D01* +X99847400Y-88344468D01* +X99847400Y-88439532D01* +X99865946Y-88532769D01* +X99902326Y-88620597D01* +X99955140Y-88699640D01* +X100022360Y-88766860D01* +X100101403Y-88819674D01* +X100189231Y-88856054D01* +X100282468Y-88874600D01* +X100377532Y-88874600D01* +X100470769Y-88856054D01* +X100558597Y-88819674D01* +X100637640Y-88766860D01* +X100704860Y-88699640D01* +X100757674Y-88620597D01* +X100794054Y-88532769D01* +X100812600Y-88439532D01* +X100812600Y-88344468D01* +X100794054Y-88251231D01* +X100757674Y-88163403D01* +X100718644Y-88104989D01* +X100748493Y-88080493D01* +X100795714Y-88022953D01* +X100830803Y-87957307D01* +X100852410Y-87886077D01* +X100859706Y-87812000D01* +X100859706Y-84112000D01* +X101070294Y-84112000D01* +X101070294Y-87812000D01* +X101077590Y-87886077D01* +X101099197Y-87957307D01* +X101134286Y-88022953D01* +X101181507Y-88080493D01* +X101211356Y-88104989D01* +X101172326Y-88163403D01* +X101135946Y-88251231D01* +X101117400Y-88344468D01* +X101117400Y-88439532D01* +X101135946Y-88532769D01* +X101172326Y-88620597D01* +X101225140Y-88699640D01* +X101292360Y-88766860D01* +X101371403Y-88819674D01* +X101459231Y-88856054D01* +X101552468Y-88874600D01* +X101647532Y-88874600D01* +X101740769Y-88856054D01* +X101828597Y-88819674D01* +X101907640Y-88766860D01* +X101974860Y-88699640D01* +X102027674Y-88620597D01* +X102064054Y-88532769D01* +X102082600Y-88439532D01* +X102082600Y-88344468D01* +X102064054Y-88251231D01* +X102027674Y-88163403D01* +X101988644Y-88104989D01* +X102018493Y-88080493D01* +X102065714Y-88022953D01* +X102100803Y-87957307D01* +X102122410Y-87886077D01* +X102129706Y-87812000D01* +X102129706Y-84112000D01* +X102122410Y-84037923D01* +X102100803Y-83966693D01* +X102098295Y-83962000D01* +X102340294Y-83962000D01* +X102341219Y-85525745D01* +X102340294Y-86462000D01* +X102341176Y-86470958D01* +X102340294Y-87962000D01* +X102344708Y-88006813D01* +X102357779Y-88049905D01* +X102379006Y-88089618D01* +X102407573Y-88124427D01* +X102442382Y-88152994D01* +X102482095Y-88174221D01* +X102525187Y-88187292D01* +X102570000Y-88191706D01* +X102739050Y-88190600D01* +X102796200Y-88133450D01* +X102796200Y-83790550D01* +X102943800Y-83790550D01* +X102943800Y-88133450D01* +X103000950Y-88190600D01* +X103170000Y-88191706D01* +X103214813Y-88187292D01* +X103257905Y-88174221D01* +X103297618Y-88152994D01* +X103332427Y-88124427D01* +X103360994Y-88089618D01* +X103382221Y-88049905D01* +X103395292Y-88006813D01* +X103399706Y-87962000D01* +X103398824Y-86470958D01* +X103399706Y-86462000D01* +X103398781Y-85525745D01* +X103399706Y-83962000D01* +X121390294Y-83962000D01* +X121391219Y-85525745D01* +X121390294Y-86462000D01* +X121391176Y-86470958D01* +X121390294Y-87962000D01* +X121394708Y-88006813D01* +X121407779Y-88049905D01* +X121429006Y-88089618D01* +X121457573Y-88124427D01* +X121492382Y-88152994D01* +X121532095Y-88174221D01* +X121575187Y-88187292D01* +X121620000Y-88191706D01* +X121789050Y-88190600D01* +X121846200Y-88133450D01* +X121846200Y-83790550D01* +X121993800Y-83790550D01* +X121993800Y-88133450D01* +X122050950Y-88190600D01* +X122220000Y-88191706D01* +X122264813Y-88187292D01* +X122307905Y-88174221D01* +X122347618Y-88152994D01* +X122382427Y-88124427D01* +X122410994Y-88089618D01* +X122432221Y-88049905D01* +X122445292Y-88006813D01* +X122449706Y-87962000D01* +X122448824Y-86470958D01* +X122449706Y-86462000D01* +X122448781Y-85525745D01* +X122449706Y-83962000D01* +X122445292Y-83917187D01* +X122432221Y-83874095D01* +X122410994Y-83834382D01* +X122382427Y-83799573D01* +X122347618Y-83771006D01* +X122307905Y-83749779D01* +X122264813Y-83736708D01* +X122220000Y-83732294D01* +X122050950Y-83733400D01* +X121993800Y-83790550D01* +X121846200Y-83790550D01* +X121789050Y-83733400D01* +X121620000Y-83732294D01* +X121575187Y-83736708D01* +X121532095Y-83749779D01* +X121492382Y-83771006D01* +X121457573Y-83799573D01* +X121429006Y-83834382D01* +X121407779Y-83874095D01* +X121394708Y-83917187D01* +X121390294Y-83962000D01* +X103399706Y-83962000D01* +X103395292Y-83917187D01* +X103382221Y-83874095D01* +X103360994Y-83834382D01* +X103332427Y-83799573D01* +X103297618Y-83771006D01* +X103257905Y-83749779D01* +X103214813Y-83736708D01* +X103170000Y-83732294D01* +X103000950Y-83733400D01* +X102943800Y-83790550D01* +X102796200Y-83790550D01* +X102739050Y-83733400D01* +X102570000Y-83732294D01* +X102525187Y-83736708D01* +X102482095Y-83749779D01* +X102442382Y-83771006D01* +X102407573Y-83799573D01* +X102379006Y-83834382D01* +X102357779Y-83874095D01* +X102344708Y-83917187D01* +X102340294Y-83962000D01* +X102098295Y-83962000D01* +X102065714Y-83901047D01* +X102018493Y-83843507D01* +X101960953Y-83796286D01* +X101895307Y-83761197D01* +X101824077Y-83739590D01* +X101750000Y-83732294D01* +X101450000Y-83732294D01* +X101375923Y-83739590D01* +X101304693Y-83761197D01* +X101239047Y-83796286D01* +X101181507Y-83843507D01* +X101134286Y-83901047D01* +X101099197Y-83966693D01* +X101077590Y-84037923D01* +X101070294Y-84112000D01* +X100859706Y-84112000D01* +X100852410Y-84037923D01* +X100830803Y-83966693D01* +X100795714Y-83901047D01* +X100748493Y-83843507D01* +X100690953Y-83796286D01* +X100625307Y-83761197D01* +X100554077Y-83739590D01* +X100480000Y-83732294D01* +X100180000Y-83732294D01* +X100105923Y-83739590D01* +X100034693Y-83761197D01* +X99969047Y-83796286D01* +X99911507Y-83843507D01* +X99864286Y-83901047D01* +X99829197Y-83966693D01* +X99807590Y-84037923D01* +X99800294Y-84112000D01* +X99589706Y-84112000D01* +X99582410Y-84037923D01* +X99560803Y-83966693D01* +X99525714Y-83901047D01* +X99478493Y-83843507D01* +X99420953Y-83796286D01* +X99355307Y-83761197D01* +X99284077Y-83739590D01* +X99210000Y-83732294D01* +X98910000Y-83732294D01* +X98835923Y-83739590D01* +X98764693Y-83761197D01* +X98699047Y-83796286D01* +X98641507Y-83843507D01* +X98594286Y-83901047D01* +X98559197Y-83966693D01* +X98537590Y-84037923D01* +X98530294Y-84112000D01* +X98319706Y-84112000D01* +X98312410Y-84037923D01* +X98290803Y-83966693D01* +X98255714Y-83901047D01* +X98208493Y-83843507D01* +X98150953Y-83796286D01* +X98085307Y-83761197D01* +X98014077Y-83739590D01* +X97940000Y-83732294D01* +X97640000Y-83732294D01* +X97565923Y-83739590D01* +X97494693Y-83761197D01* +X97429047Y-83796286D01* +X97371507Y-83843507D01* +X97324286Y-83901047D01* +X97289197Y-83966693D01* +X97267590Y-84037923D01* +X97260294Y-84112000D01* +X97049706Y-84112000D01* +X97042410Y-84037923D01* +X97020803Y-83966693D01* +X96985714Y-83901047D01* +X96938493Y-83843507D01* +X96880953Y-83796286D01* +X96815307Y-83761197D01* +X96744077Y-83739590D01* +X96670000Y-83732294D01* +X96370000Y-83732294D01* +X96295923Y-83739590D01* +X96224693Y-83761197D01* +X96159047Y-83796286D01* +X96101507Y-83843507D01* +X96054286Y-83901047D01* +X96019197Y-83966693D01* +X95997590Y-84037923D01* +X95990294Y-84112000D01* +X94509706Y-84112000D01* +X94502410Y-84037923D01* +X94480803Y-83966693D01* +X94445714Y-83901047D01* +X94398493Y-83843507D01* +X94340953Y-83796286D01* +X94275307Y-83761197D01* +X94204077Y-83739590D01* +X94130000Y-83732294D01* +X93830000Y-83732294D01* +X93755923Y-83739590D01* +X93684693Y-83761197D01* +X93619047Y-83796286D01* +X93561507Y-83843507D01* +X93514286Y-83901047D01* +X93479197Y-83966693D01* +X93457590Y-84037923D01* +X93450294Y-84112000D01* +X93239617Y-84112000D01* +X93239706Y-83962000D01* +X93235292Y-83917187D01* +X93222221Y-83874095D01* +X93200994Y-83834382D01* +X93172427Y-83799573D01* +X93137618Y-83771006D01* +X93097905Y-83749779D01* +X93054813Y-83736708D01* +X93010000Y-83732294D01* +X92840950Y-83733400D01* +X92783800Y-83790550D01* +X92636200Y-83790550D01* +X92579050Y-83733400D01* +X92410000Y-83732294D01* +X92365187Y-83736708D01* +X92322095Y-83749779D01* +X92282382Y-83771006D01* +X92247573Y-83799573D01* +X92219006Y-83834382D01* +X92197779Y-83874095D01* +X92184708Y-83917187D01* +X92180294Y-83962000D01* +X91938295Y-83962000D01* +X91905714Y-83901047D01* +X91858493Y-83843507D01* +X91800953Y-83796286D01* +X91735307Y-83761197D01* +X91664077Y-83739590D01* +X91590000Y-83732294D01* +X91290000Y-83732294D01* +X91215923Y-83739590D01* +X91144693Y-83761197D01* +X91079047Y-83796286D01* +X91021507Y-83843507D01* +X90974286Y-83901047D01* +X90939197Y-83966693D01* +X90917590Y-84037923D01* +X90910294Y-84112000D01* +X90699706Y-84112000D01* +X90692410Y-84037923D01* +X90670803Y-83966693D01* +X90635714Y-83901047D01* +X90588493Y-83843507D01* +X90530953Y-83796286D01* +X90465307Y-83761197D01* +X90394077Y-83739590D01* +X90320000Y-83732294D01* +X90020000Y-83732294D01* +X89945923Y-83739590D01* +X89874693Y-83761197D01* +X89809047Y-83796286D01* +X89751507Y-83843507D01* +X89704286Y-83901047D01* +X89669197Y-83966693D01* +X89647590Y-84037923D01* +X89640294Y-84112000D01* +X89640294Y-87812000D01* +X89647590Y-87886077D01* +X89669197Y-87957307D01* +X89704286Y-88022953D01* +X89751507Y-88080493D01* +X89809047Y-88127714D01* +X89865201Y-88157729D01* +X89865201Y-89154747D01* +X89330061Y-89689888D01* +X89318432Y-89699432D01* +X89280342Y-89745844D01* +X89252040Y-89798795D01* +X89234611Y-89856250D01* +X89230200Y-89901035D01* +X89230200Y-89901042D01* +X89228727Y-89916000D01* +X89230200Y-89930958D01* +X89230200Y-91179848D01* +X89195307Y-91161197D01* +X89124077Y-91139590D01* +X89050000Y-91132294D01* +X88750000Y-91132294D01* +X88675923Y-91139590D01* +X88604693Y-91161197D01* +X88569800Y-91179848D01* +X88569800Y-90042251D01* +X89104945Y-89507107D01* +X89116568Y-89497568D01* +X89154658Y-89451157D01* +X89182960Y-89398206D01* +X89200389Y-89340751D01* +X89204800Y-89295966D01* +X89204800Y-89295958D01* +X89206273Y-89281000D01* +X89204800Y-89266042D01* +X89204800Y-88157729D01* +X89260953Y-88127714D01* +X89318493Y-88080493D01* +X89365714Y-88022953D01* +X89400803Y-87957307D01* +X89422410Y-87886077D01* +X89429706Y-87812000D01* +X89429706Y-84112000D01* +X89422410Y-84037923D01* +X89400803Y-83966693D01* +X89365714Y-83901047D01* +X89318493Y-83843507D01* +X89260953Y-83796286D01* +X89195307Y-83761197D01* +X89124077Y-83739590D01* +X89050000Y-83732294D01* +X88750000Y-83732294D01* +X88675923Y-83739590D01* +X88604693Y-83761197D01* +X88539047Y-83796286D01* +X88481507Y-83843507D01* +X88434286Y-83901047D01* +X88399197Y-83966693D01* +X88377590Y-84037923D01* +X88370294Y-84112000D01* +X88370294Y-87812000D01* +X88377590Y-87886077D01* +X88399197Y-87957307D01* +X88434286Y-88022953D01* +X88481507Y-88080493D01* +X88539047Y-88127714D01* +X88595200Y-88157729D01* +X88595200Y-89154748D01* +X88060056Y-89689892D01* +X88048433Y-89699432D01* +X88010343Y-89745843D01* +X88006308Y-89753392D01* +X87982040Y-89798795D01* +X87964611Y-89856249D01* +X87958727Y-89916000D01* +X87960201Y-89930968D01* +X87960201Y-91179848D01* +X87925307Y-91161197D01* +X87854077Y-91139590D01* +X87780000Y-91132294D01* +X87480000Y-91132294D01* +X87405923Y-91139590D01* +X87334693Y-91161197D01* +X87269047Y-91196286D01* +X87211507Y-91243507D01* +X87164286Y-91301047D01* +X87129197Y-91366693D01* +X87107590Y-91437923D01* +X87100294Y-91512000D01* +X87100294Y-93591061D01* +X87038754Y-93549941D01* +X86924356Y-93502556D01* +X86802912Y-93478400D01* +X86679088Y-93478400D01* +X86583566Y-93497400D01* +X85876315Y-93497400D01* +X85875542Y-93496458D01* +X85800955Y-93435246D01* +X85715859Y-93389761D01* +X85623525Y-93361752D01* +X85527500Y-93352294D01* +X84652500Y-93352294D01* +X84556475Y-93361752D01* +X84464141Y-93389761D01* +X84379045Y-93435246D01* +X84304458Y-93496458D01* +X84303685Y-93497400D01* +X83977434Y-93497400D01* +X83881912Y-93478400D01* +X83758088Y-93478400D01* +X83636644Y-93502556D01* +X83522246Y-93549941D01* +X83419291Y-93618734D01* +X83331734Y-93706291D01* +X83262941Y-93809246D01* +X83215556Y-93923644D01* +X83191400Y-94045088D01* +X76528600Y-94045088D01* +X76528600Y-91466683D01* +X77654586Y-91466683D01* +X77696162Y-91837340D01* +X77808940Y-92192862D01* +X77988626Y-92519708D01* +X78228373Y-92805429D01* +X78519052Y-93039140D01* +X78849589Y-93211941D01* +X79207396Y-93317249D01* +X79578842Y-93351053D01* +X79949780Y-93312066D01* +X80306081Y-93201773D01* +X80634174Y-93024374D01* +X80745834Y-92932000D01* +X84160294Y-92932000D01* +X84164708Y-92976813D01* +X84177779Y-93019905D01* +X84199006Y-93059618D01* +X84227573Y-93094427D01* +X84262382Y-93122994D01* +X84302095Y-93144221D01* +X84345187Y-93157292D01* +X84390000Y-93161706D01* +X84959050Y-93160600D01* +X85016200Y-93103450D01* +X85016200Y-92480800D01* +X85163800Y-92480800D01* +X85163800Y-93103450D01* +X85220950Y-93160600D01* +X85790000Y-93161706D01* +X85834813Y-93157292D01* +X85877905Y-93144221D01* +X85917618Y-93122994D01* +X85952427Y-93094427D01* +X85980994Y-93059618D01* +X86002221Y-93019905D01* +X86015292Y-92976813D01* +X86019706Y-92932000D01* +X86018600Y-92537950D01* +X85961450Y-92480800D01* +X85163800Y-92480800D01* +X85016200Y-92480800D01* +X84218550Y-92480800D01* +X84161400Y-92537950D01* +X84160294Y-92932000D01* +X80745834Y-92932000D01* +X80921561Y-92786626D01* +X81157296Y-92497587D01* +X81332400Y-92168264D01* +X81418827Y-91882000D01* +X84160294Y-91882000D01* +X84161400Y-92276050D01* +X84218550Y-92333200D01* +X85016200Y-92333200D01* +X85016200Y-91710550D01* +X85163800Y-91710550D01* +X85163800Y-92333200D01* +X85961450Y-92333200D01* +X86018600Y-92276050D01* +X86019706Y-91882000D01* +X86015292Y-91837187D01* +X86002221Y-91794095D01* +X85980994Y-91754382D01* +X85952427Y-91719573D01* +X85917618Y-91691006D01* +X85877905Y-91669779D01* +X85834813Y-91656708D01* +X85790000Y-91652294D01* +X85220950Y-91653400D01* +X85163800Y-91710550D01* +X85016200Y-91710550D01* +X84959050Y-91653400D01* +X84390000Y-91652294D01* +X84345187Y-91656708D01* +X84302095Y-91669779D01* +X84262382Y-91691006D01* +X84227573Y-91719573D01* +X84199006Y-91754382D01* +X84177779Y-91794095D01* +X84164708Y-91837187D01* +X84160294Y-91882000D01* +X81418827Y-91882000D01* +X81440203Y-91811201D01* +X81476600Y-91440000D01* +X81475855Y-91386639D01* +X81429108Y-91016599D01* +X81311377Y-90662686D01* +X81127146Y-90338380D01* +X80883432Y-90056035D01* +X80589519Y-89826405D01* +X80256601Y-89658236D01* +X79897359Y-89557934D01* +X79525477Y-89529319D01* +X79155119Y-89573482D01* +X78800393Y-89688739D01* +X78474809Y-89870702D01* +X78190770Y-90112438D01* +X77959093Y-90404741D01* +X77788604Y-90736477D01* +X77685797Y-91095010D01* +X77654586Y-91466683D01* +X76528600Y-91466683D01* +X76528600Y-83962000D01* +X87100294Y-83962000D01* +X87101219Y-85525745D01* +X87100294Y-86462000D01* +X87101176Y-86470958D01* +X87100294Y-87962000D01* +X87104708Y-88006813D01* +X87117779Y-88049905D01* +X87139006Y-88089618D01* +X87167573Y-88124427D01* +X87202382Y-88152994D01* +X87242095Y-88174221D01* +X87285187Y-88187292D01* +X87330000Y-88191706D01* +X87499050Y-88190600D01* +X87556200Y-88133450D01* +X87556200Y-83790550D01* +X87703800Y-83790550D01* +X87703800Y-88133450D01* +X87760950Y-88190600D01* +X87930000Y-88191706D01* +X87974813Y-88187292D01* +X88017905Y-88174221D01* +X88057618Y-88152994D01* +X88092427Y-88124427D01* +X88120994Y-88089618D01* +X88142221Y-88049905D01* +X88155292Y-88006813D01* +X88159706Y-87962000D01* +X88158824Y-86470958D01* +X88159706Y-86462000D01* +X88158781Y-85525745D01* +X88159706Y-83962000D01* +X88155292Y-83917187D01* +X88142221Y-83874095D01* +X88120994Y-83834382D01* +X88092427Y-83799573D01* +X88057618Y-83771006D01* +X88017905Y-83749779D01* +X87974813Y-83736708D01* +X87930000Y-83732294D01* +X87760950Y-83733400D01* +X87703800Y-83790550D01* +X87556200Y-83790550D01* +X87499050Y-83733400D01* +X87330000Y-83732294D01* +X87285187Y-83736708D01* +X87242095Y-83749779D01* +X87202382Y-83771006D01* +X87167573Y-83799573D01* +X87139006Y-83834382D01* +X87117779Y-83874095D01* +X87104708Y-83917187D01* +X87100294Y-83962000D01* +X76528600Y-83962000D01* +X76528600Y-82878600D01* +X164771400Y-82878600D01* +X164771401Y-101271400D01* +M02* diff --git a/gerber/simm-30-4mb-F_Mask.gts b/gerber/simm-30-4mb-F_Mask.gts new file mode 100644 index 0000000..280f5b2 --- /dev/null +++ b/gerber/simm-30-4mb-F_Mask.gts @@ -0,0 +1,4644 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Soldermask,Top* +G04 #@! TF.FilePolarity,Negative* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +G04 APERTURE END LIST* +D10* +G36* +X157618902Y-98348100D02* +G01* +X157705565Y-98383997D01* +X157705569Y-98383999D01* +X157705570Y-98384000D01* +X157744563Y-98410054D01* +X157783560Y-98436111D01* +X157849889Y-98502440D01* +X157902003Y-98580435D01* +X157937900Y-98667098D01* +X157940370Y-98679514D01* +X157943980Y-98691417D01* +X157949848Y-98702396D01* +X157957745Y-98712018D01* +X157967367Y-98719915D01* +X157978345Y-98725783D01* +X157990257Y-98729397D01* +X157996422Y-98730311D01* +X158082244Y-98738764D01* +X158166768Y-98764403D01* +X158244660Y-98806038D01* +X158312933Y-98862067D01* +X158368962Y-98930340D01* +X158410597Y-99008232D01* +X158436236Y-99092756D01* +X158445200Y-99183767D01* +X158445200Y-100460233D01* +X158436236Y-100551244D01* +X158410597Y-100635768D01* +X158368962Y-100713660D01* +X158312933Y-100781933D01* +X158244660Y-100837962D01* +X158166768Y-100879597D01* +X158082244Y-100905236D01* +X157991233Y-100914200D01* +X156968767Y-100914200D01* +X156877756Y-100905236D01* +X156793232Y-100879597D01* +X156715340Y-100837962D01* +X156647067Y-100781933D01* +X156591038Y-100713660D01* +X156549403Y-100635768D01* +X156523764Y-100551244D01* +X156514800Y-100460233D01* +X156514800Y-99183767D01* +X156523764Y-99092756D01* +X156549403Y-99008232D01* +X156591038Y-98930340D01* +X156647067Y-98862067D01* +X156715340Y-98806038D01* +X156793232Y-98764403D01* +X156877756Y-98738764D01* +X156963578Y-98730311D01* +X156975787Y-98727883D01* +X156987287Y-98723119D01* +X156997637Y-98716203D01* +X157006439Y-98707401D01* +X157013355Y-98697051D01* +X157018119Y-98685551D01* +X157019629Y-98679523D01* +X157022100Y-98667098D01* +X157057997Y-98580435D01* +X157110111Y-98502440D01* +X157176440Y-98436111D01* +X157215437Y-98410054D01* +X157254430Y-98384000D01* +X157254431Y-98383999D01* +X157254435Y-98383997D01* +X157341098Y-98348100D01* +X157433098Y-98329800D01* +X157526902Y-98329800D01* +X157618902Y-98348100D01* +X157618902Y-98348100D01* +G37* +G36* +X155078902Y-98348100D02* +G01* +X155165565Y-98383997D01* +X155165569Y-98383999D01* +X155165570Y-98384000D01* +X155204563Y-98410054D01* +X155243560Y-98436111D01* +X155309889Y-98502440D01* +X155362003Y-98580435D01* +X155397900Y-98667098D01* +X155400370Y-98679514D01* +X155403980Y-98691417D01* +X155409848Y-98702396D01* +X155417745Y-98712018D01* +X155427367Y-98719915D01* +X155438345Y-98725783D01* +X155450257Y-98729397D01* +X155456422Y-98730311D01* +X155542244Y-98738764D01* +X155626768Y-98764403D01* +X155704660Y-98806038D01* +X155772933Y-98862067D01* +X155828962Y-98930340D01* +X155870597Y-99008232D01* +X155896236Y-99092756D01* +X155905200Y-99183767D01* +X155905200Y-100460233D01* +X155896236Y-100551244D01* +X155870597Y-100635768D01* +X155828962Y-100713660D01* +X155772933Y-100781933D01* +X155704660Y-100837962D01* +X155626768Y-100879597D01* +X155542244Y-100905236D01* +X155451233Y-100914200D01* +X154428767Y-100914200D01* +X154337756Y-100905236D01* +X154253232Y-100879597D01* +X154175340Y-100837962D01* +X154107067Y-100781933D01* +X154051038Y-100713660D01* +X154009403Y-100635768D01* +X153983764Y-100551244D01* +X153974800Y-100460233D01* +X153974800Y-99183767D01* +X153983764Y-99092756D01* +X154009403Y-99008232D01* +X154051038Y-98930340D01* +X154107067Y-98862067D01* +X154175340Y-98806038D01* +X154253232Y-98764403D01* +X154337756Y-98738764D01* +X154423578Y-98730311D01* +X154435787Y-98727883D01* +X154447287Y-98723119D01* +X154457637Y-98716203D01* +X154466439Y-98707401D01* +X154473355Y-98697051D01* +X154478119Y-98685551D01* +X154479629Y-98679523D01* +X154482100Y-98667098D01* +X154517997Y-98580435D01* +X154570111Y-98502440D01* +X154636440Y-98436111D01* +X154675437Y-98410054D01* +X154714430Y-98384000D01* +X154714431Y-98383999D01* +X154714435Y-98383997D01* +X154801098Y-98348100D01* +X154893098Y-98329800D01* +X154986902Y-98329800D01* +X155078902Y-98348100D01* +X155078902Y-98348100D01* +G37* +G36* +X152538902Y-98348100D02* +G01* +X152625565Y-98383997D01* +X152625569Y-98383999D01* +X152625570Y-98384000D01* +X152664563Y-98410054D01* +X152703560Y-98436111D01* +X152769889Y-98502440D01* +X152822003Y-98580435D01* +X152857900Y-98667098D01* +X152860370Y-98679514D01* +X152863980Y-98691417D01* +X152869848Y-98702396D01* +X152877745Y-98712018D01* +X152887367Y-98719915D01* +X152898345Y-98725783D01* +X152910257Y-98729397D01* +X152916422Y-98730311D01* +X153002244Y-98738764D01* +X153086768Y-98764403D01* +X153164660Y-98806038D01* +X153232933Y-98862067D01* +X153288962Y-98930340D01* +X153330597Y-99008232D01* +X153356236Y-99092756D01* +X153365200Y-99183767D01* +X153365200Y-100460233D01* +X153356236Y-100551244D01* +X153330597Y-100635768D01* +X153288962Y-100713660D01* +X153232933Y-100781933D01* +X153164660Y-100837962D01* +X153086768Y-100879597D01* +X153002244Y-100905236D01* +X152911233Y-100914200D01* +X151888767Y-100914200D01* +X151797756Y-100905236D01* +X151713232Y-100879597D01* +X151635340Y-100837962D01* +X151567067Y-100781933D01* +X151511038Y-100713660D01* +X151469403Y-100635768D01* +X151443764Y-100551244D01* +X151434800Y-100460233D01* +X151434800Y-99183767D01* +X151443764Y-99092756D01* +X151469403Y-99008232D01* +X151511038Y-98930340D01* +X151567067Y-98862067D01* +X151635340Y-98806038D01* +X151713232Y-98764403D01* +X151797756Y-98738764D01* +X151883578Y-98730311D01* +X151895787Y-98727883D01* +X151907287Y-98723119D01* +X151917637Y-98716203D01* +X151926439Y-98707401D01* +X151933355Y-98697051D01* +X151938119Y-98685551D01* +X151939629Y-98679523D01* +X151942100Y-98667098D01* +X151977997Y-98580435D01* +X152030111Y-98502440D01* +X152096440Y-98436111D01* +X152135437Y-98410054D01* +X152174430Y-98384000D01* +X152174431Y-98383999D01* +X152174435Y-98383997D01* +X152261098Y-98348100D01* +X152353098Y-98329800D01* +X152446902Y-98329800D01* +X152538902Y-98348100D01* +X152538902Y-98348100D01* +G37* +G36* +X149998902Y-98348100D02* +G01* +X150085565Y-98383997D01* +X150085569Y-98383999D01* +X150085570Y-98384000D01* +X150124563Y-98410054D01* +X150163560Y-98436111D01* +X150229889Y-98502440D01* +X150282003Y-98580435D01* +X150317900Y-98667098D01* +X150320370Y-98679514D01* +X150323980Y-98691417D01* +X150329848Y-98702396D01* +X150337745Y-98712018D01* +X150347367Y-98719915D01* +X150358345Y-98725783D01* +X150370257Y-98729397D01* +X150376422Y-98730311D01* +X150462244Y-98738764D01* +X150546768Y-98764403D01* +X150624660Y-98806038D01* +X150692933Y-98862067D01* +X150748962Y-98930340D01* +X150790597Y-99008232D01* +X150816236Y-99092756D01* +X150825200Y-99183767D01* +X150825200Y-100460233D01* +X150816236Y-100551244D01* +X150790597Y-100635768D01* +X150748962Y-100713660D01* +X150692933Y-100781933D01* +X150624660Y-100837962D01* +X150546768Y-100879597D01* +X150462244Y-100905236D01* +X150371233Y-100914200D01* +X149348767Y-100914200D01* +X149257756Y-100905236D01* +X149173232Y-100879597D01* +X149095340Y-100837962D01* +X149027067Y-100781933D01* +X148971038Y-100713660D01* +X148929403Y-100635768D01* +X148903764Y-100551244D01* +X148894800Y-100460233D01* +X148894800Y-99183767D01* +X148903764Y-99092756D01* +X148929403Y-99008232D01* +X148971038Y-98930340D01* +X149027067Y-98862067D01* +X149095340Y-98806038D01* +X149173232Y-98764403D01* +X149257756Y-98738764D01* +X149343578Y-98730311D01* +X149355787Y-98727883D01* +X149367287Y-98723119D01* +X149377637Y-98716203D01* +X149386439Y-98707401D01* +X149393355Y-98697051D01* +X149398119Y-98685551D01* +X149399629Y-98679523D01* +X149402100Y-98667098D01* +X149437997Y-98580435D01* +X149490111Y-98502440D01* +X149556440Y-98436111D01* +X149595437Y-98410054D01* +X149634430Y-98384000D01* +X149634431Y-98383999D01* +X149634435Y-98383997D01* +X149721098Y-98348100D01* +X149813098Y-98329800D01* +X149906902Y-98329800D01* +X149998902Y-98348100D01* +X149998902Y-98348100D01* +G37* +G36* +X147458902Y-98348100D02* +G01* +X147545565Y-98383997D01* +X147545569Y-98383999D01* +X147545570Y-98384000D01* +X147584563Y-98410054D01* +X147623560Y-98436111D01* +X147689889Y-98502440D01* +X147742003Y-98580435D01* +X147777900Y-98667098D01* +X147780370Y-98679514D01* +X147783980Y-98691417D01* +X147789848Y-98702396D01* +X147797745Y-98712018D01* +X147807367Y-98719915D01* +X147818345Y-98725783D01* +X147830257Y-98729397D01* +X147836422Y-98730311D01* +X147922244Y-98738764D01* +X148006768Y-98764403D01* +X148084660Y-98806038D01* +X148152933Y-98862067D01* +X148208962Y-98930340D01* +X148250597Y-99008232D01* +X148276236Y-99092756D01* +X148285200Y-99183767D01* +X148285200Y-100460233D01* +X148276236Y-100551244D01* +X148250597Y-100635768D01* +X148208962Y-100713660D01* +X148152933Y-100781933D01* +X148084660Y-100837962D01* +X148006768Y-100879597D01* +X147922244Y-100905236D01* +X147831233Y-100914200D01* +X146808767Y-100914200D01* +X146717756Y-100905236D01* +X146633232Y-100879597D01* +X146555340Y-100837962D01* +X146487067Y-100781933D01* +X146431038Y-100713660D01* +X146389403Y-100635768D01* +X146363764Y-100551244D01* +X146354800Y-100460233D01* +X146354800Y-99183767D01* +X146363764Y-99092756D01* +X146389403Y-99008232D01* +X146431038Y-98930340D01* +X146487067Y-98862067D01* +X146555340Y-98806038D01* +X146633232Y-98764403D01* +X146717756Y-98738764D01* +X146803578Y-98730311D01* +X146815787Y-98727883D01* +X146827287Y-98723119D01* +X146837637Y-98716203D01* +X146846439Y-98707401D01* +X146853355Y-98697051D01* +X146858119Y-98685551D01* +X146859629Y-98679523D01* +X146862100Y-98667098D01* +X146897997Y-98580435D01* +X146950111Y-98502440D01* +X147016440Y-98436111D01* +X147055437Y-98410054D01* +X147094430Y-98384000D01* +X147094431Y-98383999D01* +X147094435Y-98383997D01* +X147181098Y-98348100D01* +X147273098Y-98329800D01* +X147366902Y-98329800D01* +X147458902Y-98348100D01* +X147458902Y-98348100D01* +G37* +G36* +X144918902Y-98348100D02* +G01* +X145005565Y-98383997D01* +X145005569Y-98383999D01* +X145005570Y-98384000D01* +X145044563Y-98410054D01* +X145083560Y-98436111D01* +X145149889Y-98502440D01* +X145202003Y-98580435D01* +X145237900Y-98667098D01* +X145240370Y-98679514D01* +X145243980Y-98691417D01* +X145249848Y-98702396D01* +X145257745Y-98712018D01* +X145267367Y-98719915D01* +X145278345Y-98725783D01* +X145290257Y-98729397D01* +X145296422Y-98730311D01* +X145382244Y-98738764D01* +X145466768Y-98764403D01* +X145544660Y-98806038D01* +X145612933Y-98862067D01* +X145668962Y-98930340D01* +X145710597Y-99008232D01* +X145736236Y-99092756D01* +X145745200Y-99183767D01* +X145745200Y-100460233D01* +X145736236Y-100551244D01* +X145710597Y-100635768D01* +X145668962Y-100713660D01* +X145612933Y-100781933D01* +X145544660Y-100837962D01* +X145466768Y-100879597D01* +X145382244Y-100905236D01* +X145291233Y-100914200D01* +X144268767Y-100914200D01* +X144177756Y-100905236D01* +X144093232Y-100879597D01* +X144015340Y-100837962D01* +X143947067Y-100781933D01* +X143891038Y-100713660D01* +X143849403Y-100635768D01* +X143823764Y-100551244D01* +X143814800Y-100460233D01* +X143814800Y-99183767D01* +X143823764Y-99092756D01* +X143849403Y-99008232D01* +X143891038Y-98930340D01* +X143947067Y-98862067D01* +X144015340Y-98806038D01* +X144093232Y-98764403D01* +X144177756Y-98738764D01* +X144263578Y-98730311D01* +X144275787Y-98727883D01* +X144287287Y-98723119D01* +X144297637Y-98716203D01* +X144306439Y-98707401D01* +X144313355Y-98697051D01* +X144318119Y-98685551D01* +X144319629Y-98679523D01* +X144322100Y-98667098D01* +X144357997Y-98580435D01* +X144410111Y-98502440D01* +X144476440Y-98436111D01* +X144515437Y-98410054D01* +X144554430Y-98384000D01* +X144554431Y-98383999D01* +X144554435Y-98383997D01* +X144641098Y-98348100D01* +X144733098Y-98329800D01* +X144826902Y-98329800D01* +X144918902Y-98348100D01* +X144918902Y-98348100D01* +G37* +G36* +X142378902Y-98348100D02* +G01* +X142465565Y-98383997D01* +X142465569Y-98383999D01* +X142465570Y-98384000D01* +X142504563Y-98410054D01* +X142543560Y-98436111D01* +X142609889Y-98502440D01* +X142662003Y-98580435D01* +X142697900Y-98667098D01* +X142700370Y-98679514D01* +X142703980Y-98691417D01* +X142709848Y-98702396D01* +X142717745Y-98712018D01* +X142727367Y-98719915D01* +X142738345Y-98725783D01* +X142750257Y-98729397D01* +X142756422Y-98730311D01* +X142842244Y-98738764D01* +X142926768Y-98764403D01* +X143004660Y-98806038D01* +X143072933Y-98862067D01* +X143128962Y-98930340D01* +X143170597Y-99008232D01* +X143196236Y-99092756D01* +X143205200Y-99183767D01* +X143205200Y-100460233D01* +X143196236Y-100551244D01* +X143170597Y-100635768D01* +X143128962Y-100713660D01* +X143072933Y-100781933D01* +X143004660Y-100837962D01* +X142926768Y-100879597D01* +X142842244Y-100905236D01* +X142751233Y-100914200D01* +X141728767Y-100914200D01* +X141637756Y-100905236D01* +X141553232Y-100879597D01* +X141475340Y-100837962D01* +X141407067Y-100781933D01* +X141351038Y-100713660D01* +X141309403Y-100635768D01* +X141283764Y-100551244D01* +X141274800Y-100460233D01* +X141274800Y-99183767D01* +X141283764Y-99092756D01* +X141309403Y-99008232D01* +X141351038Y-98930340D01* +X141407067Y-98862067D01* +X141475340Y-98806038D01* +X141553232Y-98764403D01* +X141637756Y-98738764D01* +X141723578Y-98730311D01* +X141735787Y-98727883D01* +X141747287Y-98723119D01* +X141757637Y-98716203D01* +X141766439Y-98707401D01* +X141773355Y-98697051D01* +X141778119Y-98685551D01* +X141779629Y-98679523D01* +X141782100Y-98667098D01* +X141817997Y-98580435D01* +X141870111Y-98502440D01* +X141936440Y-98436111D01* +X141975437Y-98410054D01* +X142014430Y-98384000D01* +X142014431Y-98383999D01* +X142014435Y-98383997D01* +X142101098Y-98348100D01* +X142193098Y-98329800D01* +X142286902Y-98329800D01* +X142378902Y-98348100D01* +X142378902Y-98348100D01* +G37* +G36* +X139838902Y-98348100D02* +G01* +X139925565Y-98383997D01* +X139925569Y-98383999D01* +X139925570Y-98384000D01* +X139964563Y-98410054D01* +X140003560Y-98436111D01* +X140069889Y-98502440D01* +X140122003Y-98580435D01* +X140157900Y-98667098D01* +X140160370Y-98679514D01* +X140163980Y-98691417D01* +X140169848Y-98702396D01* +X140177745Y-98712018D01* +X140187367Y-98719915D01* +X140198345Y-98725783D01* +X140210257Y-98729397D01* +X140216422Y-98730311D01* +X140302244Y-98738764D01* +X140386768Y-98764403D01* +X140464660Y-98806038D01* +X140532933Y-98862067D01* +X140588962Y-98930340D01* +X140630597Y-99008232D01* +X140656236Y-99092756D01* +X140665200Y-99183767D01* +X140665200Y-100460233D01* +X140656236Y-100551244D01* +X140630597Y-100635768D01* +X140588962Y-100713660D01* +X140532933Y-100781933D01* +X140464660Y-100837962D01* +X140386768Y-100879597D01* +X140302244Y-100905236D01* +X140211233Y-100914200D01* +X139188767Y-100914200D01* +X139097756Y-100905236D01* +X139013232Y-100879597D01* +X138935340Y-100837962D01* +X138867067Y-100781933D01* +X138811038Y-100713660D01* +X138769403Y-100635768D01* +X138743764Y-100551244D01* +X138734800Y-100460233D01* +X138734800Y-99183767D01* +X138743764Y-99092756D01* +X138769403Y-99008232D01* +X138811038Y-98930340D01* +X138867067Y-98862067D01* +X138935340Y-98806038D01* +X139013232Y-98764403D01* +X139097756Y-98738764D01* +X139183578Y-98730311D01* +X139195787Y-98727883D01* +X139207287Y-98723119D01* +X139217637Y-98716203D01* +X139226439Y-98707401D01* +X139233355Y-98697051D01* +X139238119Y-98685551D01* +X139239629Y-98679523D01* +X139242100Y-98667098D01* +X139277997Y-98580435D01* +X139330111Y-98502440D01* +X139396440Y-98436111D01* +X139435437Y-98410054D01* +X139474430Y-98384000D01* +X139474431Y-98383999D01* +X139474435Y-98383997D01* +X139561098Y-98348100D01* +X139653098Y-98329800D01* +X139746902Y-98329800D01* +X139838902Y-98348100D01* +X139838902Y-98348100D01* +G37* +G36* +X137298902Y-98348100D02* +G01* +X137385565Y-98383997D01* +X137385569Y-98383999D01* +X137385570Y-98384000D01* +X137424563Y-98410054D01* +X137463560Y-98436111D01* +X137529889Y-98502440D01* +X137582003Y-98580435D01* +X137617900Y-98667098D01* +X137620370Y-98679514D01* +X137623980Y-98691417D01* +X137629848Y-98702396D01* +X137637745Y-98712018D01* +X137647367Y-98719915D01* +X137658345Y-98725783D01* +X137670257Y-98729397D01* +X137676422Y-98730311D01* +X137762244Y-98738764D01* +X137846768Y-98764403D01* +X137924660Y-98806038D01* +X137992933Y-98862067D01* +X138048962Y-98930340D01* +X138090597Y-99008232D01* +X138116236Y-99092756D01* +X138125200Y-99183767D01* +X138125200Y-100460233D01* +X138116236Y-100551244D01* +X138090597Y-100635768D01* +X138048962Y-100713660D01* +X137992933Y-100781933D01* +X137924660Y-100837962D01* +X137846768Y-100879597D01* +X137762244Y-100905236D01* +X137671233Y-100914200D01* +X136648767Y-100914200D01* +X136557756Y-100905236D01* +X136473232Y-100879597D01* +X136395340Y-100837962D01* +X136327067Y-100781933D01* +X136271038Y-100713660D01* +X136229403Y-100635768D01* +X136203764Y-100551244D01* +X136194800Y-100460233D01* +X136194800Y-99183767D01* +X136203764Y-99092756D01* +X136229403Y-99008232D01* +X136271038Y-98930340D01* +X136327067Y-98862067D01* +X136395340Y-98806038D01* +X136473232Y-98764403D01* +X136557756Y-98738764D01* +X136643578Y-98730311D01* +X136655787Y-98727883D01* +X136667287Y-98723119D01* +X136677637Y-98716203D01* +X136686439Y-98707401D01* +X136693355Y-98697051D01* +X136698119Y-98685551D01* +X136699629Y-98679523D01* +X136702100Y-98667098D01* +X136737997Y-98580435D01* +X136790111Y-98502440D01* +X136856440Y-98436111D01* +X136895437Y-98410054D01* +X136934430Y-98384000D01* +X136934431Y-98383999D01* +X136934435Y-98383997D01* +X137021098Y-98348100D01* +X137113098Y-98329800D01* +X137206902Y-98329800D01* +X137298902Y-98348100D01* +X137298902Y-98348100D01* +G37* +G36* +X134758902Y-98348100D02* +G01* +X134845565Y-98383997D01* +X134845569Y-98383999D01* +X134845570Y-98384000D01* +X134884563Y-98410054D01* +X134923560Y-98436111D01* +X134989889Y-98502440D01* +X135042003Y-98580435D01* +X135077900Y-98667098D01* +X135080370Y-98679514D01* +X135083980Y-98691417D01* +X135089848Y-98702396D01* +X135097745Y-98712018D01* +X135107367Y-98719915D01* +X135118345Y-98725783D01* +X135130257Y-98729397D01* +X135136422Y-98730311D01* +X135222244Y-98738764D01* +X135306768Y-98764403D01* +X135384660Y-98806038D01* +X135452933Y-98862067D01* +X135508962Y-98930340D01* +X135550597Y-99008232D01* +X135576236Y-99092756D01* +X135585200Y-99183767D01* +X135585200Y-100460233D01* +X135576236Y-100551244D01* +X135550597Y-100635768D01* +X135508962Y-100713660D01* +X135452933Y-100781933D01* +X135384660Y-100837962D01* +X135306768Y-100879597D01* +X135222244Y-100905236D01* +X135131233Y-100914200D01* +X134108767Y-100914200D01* +X134017756Y-100905236D01* +X133933232Y-100879597D01* +X133855340Y-100837962D01* +X133787067Y-100781933D01* +X133731038Y-100713660D01* +X133689403Y-100635768D01* +X133663764Y-100551244D01* +X133654800Y-100460233D01* +X133654800Y-99183767D01* +X133663764Y-99092756D01* +X133689403Y-99008232D01* +X133731038Y-98930340D01* +X133787067Y-98862067D01* +X133855340Y-98806038D01* +X133933232Y-98764403D01* +X134017756Y-98738764D01* +X134103578Y-98730311D01* +X134115787Y-98727883D01* +X134127287Y-98723119D01* +X134137637Y-98716203D01* +X134146439Y-98707401D01* +X134153355Y-98697051D01* +X134158119Y-98685551D01* +X134159629Y-98679523D01* +X134162100Y-98667098D01* +X134197997Y-98580435D01* +X134250111Y-98502440D01* +X134316440Y-98436111D01* +X134355437Y-98410054D01* +X134394430Y-98384000D01* +X134394431Y-98383999D01* +X134394435Y-98383997D01* +X134481098Y-98348100D01* +X134573098Y-98329800D01* +X134666902Y-98329800D01* +X134758902Y-98348100D01* +X134758902Y-98348100D01* +G37* +G36* +X132218902Y-98348100D02* +G01* +X132305565Y-98383997D01* +X132305569Y-98383999D01* +X132305570Y-98384000D01* +X132344563Y-98410054D01* +X132383560Y-98436111D01* +X132449889Y-98502440D01* +X132502003Y-98580435D01* +X132537900Y-98667098D01* +X132540370Y-98679514D01* +X132543980Y-98691417D01* +X132549848Y-98702396D01* +X132557745Y-98712018D01* +X132567367Y-98719915D01* +X132578345Y-98725783D01* +X132590257Y-98729397D01* +X132596422Y-98730311D01* +X132682244Y-98738764D01* +X132766768Y-98764403D01* +X132844660Y-98806038D01* +X132912933Y-98862067D01* +X132968962Y-98930340D01* +X133010597Y-99008232D01* +X133036236Y-99092756D01* +X133045200Y-99183767D01* +X133045200Y-100460233D01* +X133036236Y-100551244D01* +X133010597Y-100635768D01* +X132968962Y-100713660D01* +X132912933Y-100781933D01* +X132844660Y-100837962D01* +X132766768Y-100879597D01* +X132682244Y-100905236D01* +X132591233Y-100914200D01* +X131568767Y-100914200D01* +X131477756Y-100905236D01* +X131393232Y-100879597D01* +X131315340Y-100837962D01* +X131247067Y-100781933D01* +X131191038Y-100713660D01* +X131149403Y-100635768D01* +X131123764Y-100551244D01* +X131114800Y-100460233D01* +X131114800Y-99183767D01* +X131123764Y-99092756D01* +X131149403Y-99008232D01* +X131191038Y-98930340D01* +X131247067Y-98862067D01* +X131315340Y-98806038D01* +X131393232Y-98764403D01* +X131477756Y-98738764D01* +X131563578Y-98730311D01* +X131575787Y-98727883D01* +X131587287Y-98723119D01* +X131597637Y-98716203D01* +X131606439Y-98707401D01* +X131613355Y-98697051D01* +X131618119Y-98685551D01* +X131619629Y-98679523D01* +X131622100Y-98667098D01* +X131657997Y-98580435D01* +X131710111Y-98502440D01* +X131776440Y-98436111D01* +X131815437Y-98410054D01* +X131854430Y-98384000D01* +X131854431Y-98383999D01* +X131854435Y-98383997D01* +X131941098Y-98348100D01* +X132033098Y-98329800D01* +X132126902Y-98329800D01* +X132218902Y-98348100D01* +X132218902Y-98348100D01* +G37* +G36* +X129678902Y-98348100D02* +G01* +X129765565Y-98383997D01* +X129765569Y-98383999D01* +X129765570Y-98384000D01* +X129804563Y-98410054D01* +X129843560Y-98436111D01* +X129909889Y-98502440D01* +X129962003Y-98580435D01* +X129997900Y-98667098D01* +X130000370Y-98679514D01* +X130003980Y-98691417D01* +X130009848Y-98702396D01* +X130017745Y-98712018D01* +X130027367Y-98719915D01* +X130038345Y-98725783D01* +X130050257Y-98729397D01* +X130056422Y-98730311D01* +X130142244Y-98738764D01* +X130226768Y-98764403D01* +X130304660Y-98806038D01* +X130372933Y-98862067D01* +X130428962Y-98930340D01* +X130470597Y-99008232D01* +X130496236Y-99092756D01* +X130505200Y-99183767D01* +X130505200Y-100460233D01* +X130496236Y-100551244D01* +X130470597Y-100635768D01* +X130428962Y-100713660D01* +X130372933Y-100781933D01* +X130304660Y-100837962D01* +X130226768Y-100879597D01* +X130142244Y-100905236D01* +X130051233Y-100914200D01* +X129028767Y-100914200D01* +X128937756Y-100905236D01* +X128853232Y-100879597D01* +X128775340Y-100837962D01* +X128707067Y-100781933D01* +X128651038Y-100713660D01* +X128609403Y-100635768D01* +X128583764Y-100551244D01* +X128574800Y-100460233D01* +X128574800Y-99183767D01* +X128583764Y-99092756D01* +X128609403Y-99008232D01* +X128651038Y-98930340D01* +X128707067Y-98862067D01* +X128775340Y-98806038D01* +X128853232Y-98764403D01* +X128937756Y-98738764D01* +X129023578Y-98730311D01* +X129035787Y-98727883D01* +X129047287Y-98723119D01* +X129057637Y-98716203D01* +X129066439Y-98707401D01* +X129073355Y-98697051D01* +X129078119Y-98685551D01* +X129079629Y-98679523D01* +X129082100Y-98667098D01* +X129117997Y-98580435D01* +X129170111Y-98502440D01* +X129236440Y-98436111D01* +X129275437Y-98410054D01* +X129314430Y-98384000D01* +X129314431Y-98383999D01* +X129314435Y-98383997D01* +X129401098Y-98348100D01* +X129493098Y-98329800D01* +X129586902Y-98329800D01* +X129678902Y-98348100D01* +X129678902Y-98348100D01* +G37* +G36* +X127138902Y-98348100D02* +G01* +X127225565Y-98383997D01* +X127225569Y-98383999D01* +X127225570Y-98384000D01* +X127264563Y-98410054D01* +X127303560Y-98436111D01* +X127369889Y-98502440D01* +X127422003Y-98580435D01* +X127457900Y-98667098D01* +X127460370Y-98679514D01* +X127463980Y-98691417D01* +X127469848Y-98702396D01* +X127477745Y-98712018D01* +X127487367Y-98719915D01* +X127498345Y-98725783D01* +X127510257Y-98729397D01* +X127516422Y-98730311D01* +X127602244Y-98738764D01* +X127686768Y-98764403D01* +X127764660Y-98806038D01* +X127832933Y-98862067D01* +X127888962Y-98930340D01* +X127930597Y-99008232D01* +X127956236Y-99092756D01* +X127965200Y-99183767D01* +X127965200Y-100460233D01* +X127956236Y-100551244D01* +X127930597Y-100635768D01* +X127888962Y-100713660D01* +X127832933Y-100781933D01* +X127764660Y-100837962D01* +X127686768Y-100879597D01* +X127602244Y-100905236D01* +X127511233Y-100914200D01* +X126488767Y-100914200D01* +X126397756Y-100905236D01* +X126313232Y-100879597D01* +X126235340Y-100837962D01* +X126167067Y-100781933D01* +X126111038Y-100713660D01* +X126069403Y-100635768D01* +X126043764Y-100551244D01* +X126034800Y-100460233D01* +X126034800Y-99183767D01* +X126043764Y-99092756D01* +X126069403Y-99008232D01* +X126111038Y-98930340D01* +X126167067Y-98862067D01* +X126235340Y-98806038D01* +X126313232Y-98764403D01* +X126397756Y-98738764D01* +X126483578Y-98730311D01* +X126495787Y-98727883D01* +X126507287Y-98723119D01* +X126517637Y-98716203D01* +X126526439Y-98707401D01* +X126533355Y-98697051D01* +X126538119Y-98685551D01* +X126539629Y-98679523D01* +X126542100Y-98667098D01* +X126577997Y-98580435D01* +X126630111Y-98502440D01* +X126696440Y-98436111D01* +X126735437Y-98410054D01* +X126774430Y-98384000D01* +X126774431Y-98383999D01* +X126774435Y-98383997D01* +X126861098Y-98348100D01* +X126953098Y-98329800D01* +X127046902Y-98329800D01* +X127138902Y-98348100D01* +X127138902Y-98348100D01* +G37* +G36* +X124598902Y-98348100D02* +G01* +X124685565Y-98383997D01* +X124685569Y-98383999D01* +X124685570Y-98384000D01* +X124724563Y-98410054D01* +X124763560Y-98436111D01* +X124829889Y-98502440D01* +X124882003Y-98580435D01* +X124917900Y-98667098D01* +X124920370Y-98679514D01* +X124923980Y-98691417D01* +X124929848Y-98702396D01* +X124937745Y-98712018D01* +X124947367Y-98719915D01* +X124958345Y-98725783D01* +X124970257Y-98729397D01* +X124976422Y-98730311D01* +X125062244Y-98738764D01* +X125146768Y-98764403D01* +X125224660Y-98806038D01* +X125292933Y-98862067D01* +X125348962Y-98930340D01* +X125390597Y-99008232D01* +X125416236Y-99092756D01* +X125425200Y-99183767D01* +X125425200Y-100460233D01* +X125416236Y-100551244D01* +X125390597Y-100635768D01* +X125348962Y-100713660D01* +X125292933Y-100781933D01* +X125224660Y-100837962D01* +X125146768Y-100879597D01* +X125062244Y-100905236D01* +X124971233Y-100914200D01* +X123948767Y-100914200D01* +X123857756Y-100905236D01* +X123773232Y-100879597D01* +X123695340Y-100837962D01* +X123627067Y-100781933D01* +X123571038Y-100713660D01* +X123529403Y-100635768D01* +X123503764Y-100551244D01* +X123494800Y-100460233D01* +X123494800Y-99183767D01* +X123503764Y-99092756D01* +X123529403Y-99008232D01* +X123571038Y-98930340D01* +X123627067Y-98862067D01* +X123695340Y-98806038D01* +X123773232Y-98764403D01* +X123857756Y-98738764D01* +X123943578Y-98730311D01* +X123955787Y-98727883D01* +X123967287Y-98723119D01* +X123977637Y-98716203D01* +X123986439Y-98707401D01* +X123993355Y-98697051D01* +X123998119Y-98685551D01* +X123999629Y-98679523D01* +X124002100Y-98667098D01* +X124037997Y-98580435D01* +X124090111Y-98502440D01* +X124156440Y-98436111D01* +X124195437Y-98410054D01* +X124234430Y-98384000D01* +X124234431Y-98383999D01* +X124234435Y-98383997D01* +X124321098Y-98348100D01* +X124413098Y-98329800D01* +X124506902Y-98329800D01* +X124598902Y-98348100D01* +X124598902Y-98348100D01* +G37* +G36* +X122058902Y-98348100D02* +G01* +X122145565Y-98383997D01* +X122145569Y-98383999D01* +X122145570Y-98384000D01* +X122184563Y-98410054D01* +X122223560Y-98436111D01* +X122289889Y-98502440D01* +X122342003Y-98580435D01* +X122377900Y-98667098D01* +X122380370Y-98679514D01* +X122383980Y-98691417D01* +X122389848Y-98702396D01* +X122397745Y-98712018D01* +X122407367Y-98719915D01* +X122418345Y-98725783D01* +X122430257Y-98729397D01* +X122436422Y-98730311D01* +X122522244Y-98738764D01* +X122606768Y-98764403D01* +X122684660Y-98806038D01* +X122752933Y-98862067D01* +X122808962Y-98930340D01* +X122850597Y-99008232D01* +X122876236Y-99092756D01* +X122885200Y-99183767D01* +X122885200Y-100460233D01* +X122876236Y-100551244D01* +X122850597Y-100635768D01* +X122808962Y-100713660D01* +X122752933Y-100781933D01* +X122684660Y-100837962D01* +X122606768Y-100879597D01* +X122522244Y-100905236D01* +X122431233Y-100914200D01* +X121408767Y-100914200D01* +X121317756Y-100905236D01* +X121233232Y-100879597D01* +X121155340Y-100837962D01* +X121087067Y-100781933D01* +X121031038Y-100713660D01* +X120989403Y-100635768D01* +X120963764Y-100551244D01* +X120954800Y-100460233D01* +X120954800Y-99183767D01* +X120963764Y-99092756D01* +X120989403Y-99008232D01* +X121031038Y-98930340D01* +X121087067Y-98862067D01* +X121155340Y-98806038D01* +X121233232Y-98764403D01* +X121317756Y-98738764D01* +X121403578Y-98730311D01* +X121415787Y-98727883D01* +X121427287Y-98723119D01* +X121437637Y-98716203D01* +X121446439Y-98707401D01* +X121453355Y-98697051D01* +X121458119Y-98685551D01* +X121459629Y-98679523D01* +X121462100Y-98667098D01* +X121497997Y-98580435D01* +X121550111Y-98502440D01* +X121616440Y-98436111D01* +X121655437Y-98410054D01* +X121694430Y-98384000D01* +X121694431Y-98383999D01* +X121694435Y-98383997D01* +X121781098Y-98348100D01* +X121873098Y-98329800D01* +X121966902Y-98329800D01* +X122058902Y-98348100D01* +X122058902Y-98348100D01* +G37* +G36* +X119518902Y-98348100D02* +G01* +X119605565Y-98383997D01* +X119605569Y-98383999D01* +X119605570Y-98384000D01* +X119644563Y-98410054D01* +X119683560Y-98436111D01* +X119749889Y-98502440D01* +X119802003Y-98580435D01* +X119837900Y-98667098D01* +X119840370Y-98679514D01* +X119843980Y-98691417D01* +X119849848Y-98702396D01* +X119857745Y-98712018D01* +X119867367Y-98719915D01* +X119878345Y-98725783D01* +X119890257Y-98729397D01* +X119896422Y-98730311D01* +X119982244Y-98738764D01* +X120066768Y-98764403D01* +X120144660Y-98806038D01* +X120212933Y-98862067D01* +X120268962Y-98930340D01* +X120310597Y-99008232D01* +X120336236Y-99092756D01* +X120345200Y-99183767D01* +X120345200Y-100460233D01* +X120336236Y-100551244D01* +X120310597Y-100635768D01* +X120268962Y-100713660D01* +X120212933Y-100781933D01* +X120144660Y-100837962D01* +X120066768Y-100879597D01* +X119982244Y-100905236D01* +X119891233Y-100914200D01* +X118868767Y-100914200D01* +X118777756Y-100905236D01* +X118693232Y-100879597D01* +X118615340Y-100837962D01* +X118547067Y-100781933D01* +X118491038Y-100713660D01* +X118449403Y-100635768D01* +X118423764Y-100551244D01* +X118414800Y-100460233D01* +X118414800Y-99183767D01* +X118423764Y-99092756D01* +X118449403Y-99008232D01* +X118491038Y-98930340D01* +X118547067Y-98862067D01* +X118615340Y-98806038D01* +X118693232Y-98764403D01* +X118777756Y-98738764D01* +X118863578Y-98730311D01* +X118875787Y-98727883D01* +X118887287Y-98723119D01* +X118897637Y-98716203D01* +X118906439Y-98707401D01* +X118913355Y-98697051D01* +X118918119Y-98685551D01* +X118919629Y-98679523D01* +X118922100Y-98667098D01* +X118957997Y-98580435D01* +X119010111Y-98502440D01* +X119076440Y-98436111D01* +X119115437Y-98410054D01* +X119154430Y-98384000D01* +X119154431Y-98383999D01* +X119154435Y-98383997D01* +X119241098Y-98348100D01* +X119333098Y-98329800D01* +X119426902Y-98329800D01* +X119518902Y-98348100D01* +X119518902Y-98348100D01* +G37* +G36* +X116978902Y-98348100D02* +G01* +X117065565Y-98383997D01* +X117065569Y-98383999D01* +X117065570Y-98384000D01* +X117104563Y-98410054D01* +X117143560Y-98436111D01* +X117209889Y-98502440D01* +X117262003Y-98580435D01* +X117297900Y-98667098D01* +X117300370Y-98679514D01* +X117303980Y-98691417D01* +X117309848Y-98702396D01* +X117317745Y-98712018D01* +X117327367Y-98719915D01* +X117338345Y-98725783D01* +X117350257Y-98729397D01* +X117356422Y-98730311D01* +X117442244Y-98738764D01* +X117526768Y-98764403D01* +X117604660Y-98806038D01* +X117672933Y-98862067D01* +X117728962Y-98930340D01* +X117770597Y-99008232D01* +X117796236Y-99092756D01* +X117805200Y-99183767D01* +X117805200Y-100460233D01* +X117796236Y-100551244D01* +X117770597Y-100635768D01* +X117728962Y-100713660D01* +X117672933Y-100781933D01* +X117604660Y-100837962D01* +X117526768Y-100879597D01* +X117442244Y-100905236D01* +X117351233Y-100914200D01* +X116328767Y-100914200D01* +X116237756Y-100905236D01* +X116153232Y-100879597D01* +X116075340Y-100837962D01* +X116007067Y-100781933D01* +X115951038Y-100713660D01* +X115909403Y-100635768D01* +X115883764Y-100551244D01* +X115874800Y-100460233D01* +X115874800Y-99183767D01* +X115883764Y-99092756D01* +X115909403Y-99008232D01* +X115951038Y-98930340D01* +X116007067Y-98862067D01* +X116075340Y-98806038D01* +X116153232Y-98764403D01* +X116237756Y-98738764D01* +X116323578Y-98730311D01* +X116335787Y-98727883D01* +X116347287Y-98723119D01* +X116357637Y-98716203D01* +X116366439Y-98707401D01* +X116373355Y-98697051D01* +X116378119Y-98685551D01* +X116379629Y-98679523D01* +X116382100Y-98667098D01* +X116417997Y-98580435D01* +X116470111Y-98502440D01* +X116536440Y-98436111D01* +X116575437Y-98410054D01* +X116614430Y-98384000D01* +X116614431Y-98383999D01* +X116614435Y-98383997D01* +X116701098Y-98348100D01* +X116793098Y-98329800D01* +X116886902Y-98329800D01* +X116978902Y-98348100D01* +X116978902Y-98348100D01* +G37* +G36* +X114438902Y-98348100D02* +G01* +X114525565Y-98383997D01* +X114525569Y-98383999D01* +X114525570Y-98384000D01* +X114564563Y-98410054D01* +X114603560Y-98436111D01* +X114669889Y-98502440D01* +X114722003Y-98580435D01* +X114757900Y-98667098D01* +X114760370Y-98679514D01* +X114763980Y-98691417D01* +X114769848Y-98702396D01* +X114777745Y-98712018D01* +X114787367Y-98719915D01* +X114798345Y-98725783D01* +X114810257Y-98729397D01* +X114816422Y-98730311D01* +X114902244Y-98738764D01* +X114986768Y-98764403D01* +X115064660Y-98806038D01* +X115132933Y-98862067D01* +X115188962Y-98930340D01* +X115230597Y-99008232D01* +X115256236Y-99092756D01* +X115265200Y-99183767D01* +X115265200Y-100460233D01* +X115256236Y-100551244D01* +X115230597Y-100635768D01* +X115188962Y-100713660D01* +X115132933Y-100781933D01* +X115064660Y-100837962D01* +X114986768Y-100879597D01* +X114902244Y-100905236D01* +X114811233Y-100914200D01* +X113788767Y-100914200D01* +X113697756Y-100905236D01* +X113613232Y-100879597D01* +X113535340Y-100837962D01* +X113467067Y-100781933D01* +X113411038Y-100713660D01* +X113369403Y-100635768D01* +X113343764Y-100551244D01* +X113334800Y-100460233D01* +X113334800Y-99183767D01* +X113343764Y-99092756D01* +X113369403Y-99008232D01* +X113411038Y-98930340D01* +X113467067Y-98862067D01* +X113535340Y-98806038D01* +X113613232Y-98764403D01* +X113697756Y-98738764D01* +X113783578Y-98730311D01* +X113795787Y-98727883D01* +X113807287Y-98723119D01* +X113817637Y-98716203D01* +X113826439Y-98707401D01* +X113833355Y-98697051D01* +X113838119Y-98685551D01* +X113839629Y-98679523D01* +X113842100Y-98667098D01* +X113877997Y-98580435D01* +X113930111Y-98502440D01* +X113996440Y-98436111D01* +X114035437Y-98410054D01* +X114074430Y-98384000D01* +X114074431Y-98383999D01* +X114074435Y-98383997D01* +X114161098Y-98348100D01* +X114253098Y-98329800D01* +X114346902Y-98329800D01* +X114438902Y-98348100D01* +X114438902Y-98348100D01* +G37* +G36* +X111898902Y-98348100D02* +G01* +X111985565Y-98383997D01* +X111985569Y-98383999D01* +X111985570Y-98384000D01* +X112024563Y-98410054D01* +X112063560Y-98436111D01* +X112129889Y-98502440D01* +X112182003Y-98580435D01* +X112217900Y-98667098D01* +X112220370Y-98679514D01* +X112223980Y-98691417D01* +X112229848Y-98702396D01* +X112237745Y-98712018D01* +X112247367Y-98719915D01* +X112258345Y-98725783D01* +X112270257Y-98729397D01* +X112276422Y-98730311D01* +X112362244Y-98738764D01* +X112446768Y-98764403D01* +X112524660Y-98806038D01* +X112592933Y-98862067D01* +X112648962Y-98930340D01* +X112690597Y-99008232D01* +X112716236Y-99092756D01* +X112725200Y-99183767D01* +X112725200Y-100460233D01* +X112716236Y-100551244D01* +X112690597Y-100635768D01* +X112648962Y-100713660D01* +X112592933Y-100781933D01* +X112524660Y-100837962D01* +X112446768Y-100879597D01* +X112362244Y-100905236D01* +X112271233Y-100914200D01* +X111248767Y-100914200D01* +X111157756Y-100905236D01* +X111073232Y-100879597D01* +X110995340Y-100837962D01* +X110927067Y-100781933D01* +X110871038Y-100713660D01* +X110829403Y-100635768D01* +X110803764Y-100551244D01* +X110794800Y-100460233D01* +X110794800Y-99183767D01* +X110803764Y-99092756D01* +X110829403Y-99008232D01* +X110871038Y-98930340D01* +X110927067Y-98862067D01* +X110995340Y-98806038D01* +X111073232Y-98764403D01* +X111157756Y-98738764D01* +X111243578Y-98730311D01* +X111255787Y-98727883D01* +X111267287Y-98723119D01* +X111277637Y-98716203D01* +X111286439Y-98707401D01* +X111293355Y-98697051D01* +X111298119Y-98685551D01* +X111299629Y-98679523D01* +X111302100Y-98667098D01* +X111337997Y-98580435D01* +X111390111Y-98502440D01* +X111456440Y-98436111D01* +X111495437Y-98410054D01* +X111534430Y-98384000D01* +X111534431Y-98383999D01* +X111534435Y-98383997D01* +X111621098Y-98348100D01* +X111713098Y-98329800D01* +X111806902Y-98329800D01* +X111898902Y-98348100D01* +X111898902Y-98348100D01* +G37* +G36* +X109358902Y-98348100D02* +G01* +X109445565Y-98383997D01* +X109445569Y-98383999D01* +X109445570Y-98384000D01* +X109484563Y-98410054D01* +X109523560Y-98436111D01* +X109589889Y-98502440D01* +X109642003Y-98580435D01* +X109677900Y-98667098D01* +X109680370Y-98679514D01* +X109683980Y-98691417D01* +X109689848Y-98702396D01* +X109697745Y-98712018D01* +X109707367Y-98719915D01* +X109718345Y-98725783D01* +X109730257Y-98729397D01* +X109736422Y-98730311D01* +X109822244Y-98738764D01* +X109906768Y-98764403D01* +X109984660Y-98806038D01* +X110052933Y-98862067D01* +X110108962Y-98930340D01* +X110150597Y-99008232D01* +X110176236Y-99092756D01* +X110185200Y-99183767D01* +X110185200Y-100460233D01* +X110176236Y-100551244D01* +X110150597Y-100635768D01* +X110108962Y-100713660D01* +X110052933Y-100781933D01* +X109984660Y-100837962D01* +X109906768Y-100879597D01* +X109822244Y-100905236D01* +X109731233Y-100914200D01* +X108708767Y-100914200D01* +X108617756Y-100905236D01* +X108533232Y-100879597D01* +X108455340Y-100837962D01* +X108387067Y-100781933D01* +X108331038Y-100713660D01* +X108289403Y-100635768D01* +X108263764Y-100551244D01* +X108254800Y-100460233D01* +X108254800Y-99183767D01* +X108263764Y-99092756D01* +X108289403Y-99008232D01* +X108331038Y-98930340D01* +X108387067Y-98862067D01* +X108455340Y-98806038D01* +X108533232Y-98764403D01* +X108617756Y-98738764D01* +X108703578Y-98730311D01* +X108715787Y-98727883D01* +X108727287Y-98723119D01* +X108737637Y-98716203D01* +X108746439Y-98707401D01* +X108753355Y-98697051D01* +X108758119Y-98685551D01* +X108759629Y-98679523D01* +X108762100Y-98667098D01* +X108797997Y-98580435D01* +X108850111Y-98502440D01* +X108916440Y-98436111D01* +X108955437Y-98410054D01* +X108994430Y-98384000D01* +X108994431Y-98383999D01* +X108994435Y-98383997D01* +X109081098Y-98348100D01* +X109173098Y-98329800D01* +X109266902Y-98329800D01* +X109358902Y-98348100D01* +X109358902Y-98348100D01* +G37* +G36* +X106818902Y-98348100D02* +G01* +X106905565Y-98383997D01* +X106905569Y-98383999D01* +X106905570Y-98384000D01* +X106944563Y-98410054D01* +X106983560Y-98436111D01* +X107049889Y-98502440D01* +X107102003Y-98580435D01* +X107137900Y-98667098D01* +X107140370Y-98679514D01* +X107143980Y-98691417D01* +X107149848Y-98702396D01* +X107157745Y-98712018D01* +X107167367Y-98719915D01* +X107178345Y-98725783D01* +X107190257Y-98729397D01* +X107196422Y-98730311D01* +X107282244Y-98738764D01* +X107366768Y-98764403D01* +X107444660Y-98806038D01* +X107512933Y-98862067D01* +X107568962Y-98930340D01* +X107610597Y-99008232D01* +X107636236Y-99092756D01* +X107645200Y-99183767D01* +X107645200Y-100460233D01* +X107636236Y-100551244D01* +X107610597Y-100635768D01* +X107568962Y-100713660D01* +X107512933Y-100781933D01* +X107444660Y-100837962D01* +X107366768Y-100879597D01* +X107282244Y-100905236D01* +X107191233Y-100914200D01* +X106168767Y-100914200D01* +X106077756Y-100905236D01* +X105993232Y-100879597D01* +X105915340Y-100837962D01* +X105847067Y-100781933D01* +X105791038Y-100713660D01* +X105749403Y-100635768D01* +X105723764Y-100551244D01* +X105714800Y-100460233D01* +X105714800Y-99183767D01* +X105723764Y-99092756D01* +X105749403Y-99008232D01* +X105791038Y-98930340D01* +X105847067Y-98862067D01* +X105915340Y-98806038D01* +X105993232Y-98764403D01* +X106077756Y-98738764D01* +X106163578Y-98730311D01* +X106175787Y-98727883D01* +X106187287Y-98723119D01* +X106197637Y-98716203D01* +X106206439Y-98707401D01* +X106213355Y-98697051D01* +X106218119Y-98685551D01* +X106219629Y-98679523D01* +X106222100Y-98667098D01* +X106257997Y-98580435D01* +X106310111Y-98502440D01* +X106376440Y-98436111D01* +X106415437Y-98410054D01* +X106454430Y-98384000D01* +X106454431Y-98383999D01* +X106454435Y-98383997D01* +X106541098Y-98348100D01* +X106633098Y-98329800D01* +X106726902Y-98329800D01* +X106818902Y-98348100D01* +X106818902Y-98348100D01* +G37* +G36* +X104278902Y-98348100D02* +G01* +X104365565Y-98383997D01* +X104365569Y-98383999D01* +X104365570Y-98384000D01* +X104404563Y-98410054D01* +X104443560Y-98436111D01* +X104509889Y-98502440D01* +X104562003Y-98580435D01* +X104597900Y-98667098D01* +X104600370Y-98679514D01* +X104603980Y-98691417D01* +X104609848Y-98702396D01* +X104617745Y-98712018D01* +X104627367Y-98719915D01* +X104638345Y-98725783D01* +X104650257Y-98729397D01* +X104656422Y-98730311D01* +X104742244Y-98738764D01* +X104826768Y-98764403D01* +X104904660Y-98806038D01* +X104972933Y-98862067D01* +X105028962Y-98930340D01* +X105070597Y-99008232D01* +X105096236Y-99092756D01* +X105105200Y-99183767D01* +X105105200Y-100460233D01* +X105096236Y-100551244D01* +X105070597Y-100635768D01* +X105028962Y-100713660D01* +X104972933Y-100781933D01* +X104904660Y-100837962D01* +X104826768Y-100879597D01* +X104742244Y-100905236D01* +X104651233Y-100914200D01* +X103628767Y-100914200D01* +X103537756Y-100905236D01* +X103453232Y-100879597D01* +X103375340Y-100837962D01* +X103307067Y-100781933D01* +X103251038Y-100713660D01* +X103209403Y-100635768D01* +X103183764Y-100551244D01* +X103174800Y-100460233D01* +X103174800Y-99183767D01* +X103183764Y-99092756D01* +X103209403Y-99008232D01* +X103251038Y-98930340D01* +X103307067Y-98862067D01* +X103375340Y-98806038D01* +X103453232Y-98764403D01* +X103537756Y-98738764D01* +X103623578Y-98730311D01* +X103635787Y-98727883D01* +X103647287Y-98723119D01* +X103657637Y-98716203D01* +X103666439Y-98707401D01* +X103673355Y-98697051D01* +X103678119Y-98685551D01* +X103679629Y-98679523D01* +X103682100Y-98667098D01* +X103717997Y-98580435D01* +X103770111Y-98502440D01* +X103836440Y-98436111D01* +X103875437Y-98410054D01* +X103914430Y-98384000D01* +X103914431Y-98383999D01* +X103914435Y-98383997D01* +X104001098Y-98348100D01* +X104093098Y-98329800D01* +X104186902Y-98329800D01* +X104278902Y-98348100D01* +X104278902Y-98348100D01* +G37* +G36* +X101738902Y-98348100D02* +G01* +X101825565Y-98383997D01* +X101825569Y-98383999D01* +X101825570Y-98384000D01* +X101864563Y-98410054D01* +X101903560Y-98436111D01* +X101969889Y-98502440D01* +X102022003Y-98580435D01* +X102057900Y-98667098D01* +X102060370Y-98679514D01* +X102063980Y-98691417D01* +X102069848Y-98702396D01* +X102077745Y-98712018D01* +X102087367Y-98719915D01* +X102098345Y-98725783D01* +X102110257Y-98729397D01* +X102116422Y-98730311D01* +X102202244Y-98738764D01* +X102286768Y-98764403D01* +X102364660Y-98806038D01* +X102432933Y-98862067D01* +X102488962Y-98930340D01* +X102530597Y-99008232D01* +X102556236Y-99092756D01* +X102565200Y-99183767D01* +X102565200Y-100460233D01* +X102556236Y-100551244D01* +X102530597Y-100635768D01* +X102488962Y-100713660D01* +X102432933Y-100781933D01* +X102364660Y-100837962D01* +X102286768Y-100879597D01* +X102202244Y-100905236D01* +X102111233Y-100914200D01* +X101088767Y-100914200D01* +X100997756Y-100905236D01* +X100913232Y-100879597D01* +X100835340Y-100837962D01* +X100767067Y-100781933D01* +X100711038Y-100713660D01* +X100669403Y-100635768D01* +X100643764Y-100551244D01* +X100634800Y-100460233D01* +X100634800Y-99183767D01* +X100643764Y-99092756D01* +X100669403Y-99008232D01* +X100711038Y-98930340D01* +X100767067Y-98862067D01* +X100835340Y-98806038D01* +X100913232Y-98764403D01* +X100997756Y-98738764D01* +X101083578Y-98730311D01* +X101095787Y-98727883D01* +X101107287Y-98723119D01* +X101117637Y-98716203D01* +X101126439Y-98707401D01* +X101133355Y-98697051D01* +X101138119Y-98685551D01* +X101139629Y-98679523D01* +X101142100Y-98667098D01* +X101177997Y-98580435D01* +X101230111Y-98502440D01* +X101296440Y-98436111D01* +X101335437Y-98410054D01* +X101374430Y-98384000D01* +X101374431Y-98383999D01* +X101374435Y-98383997D01* +X101461098Y-98348100D01* +X101553098Y-98329800D01* +X101646902Y-98329800D01* +X101738902Y-98348100D01* +X101738902Y-98348100D01* +G37* +G36* +X99198902Y-98348100D02* +G01* +X99285565Y-98383997D01* +X99285569Y-98383999D01* +X99285570Y-98384000D01* +X99324563Y-98410054D01* +X99363560Y-98436111D01* +X99429889Y-98502440D01* +X99482003Y-98580435D01* +X99517900Y-98667098D01* +X99520370Y-98679514D01* +X99523980Y-98691417D01* +X99529848Y-98702396D01* +X99537745Y-98712018D01* +X99547367Y-98719915D01* +X99558345Y-98725783D01* +X99570257Y-98729397D01* +X99576422Y-98730311D01* +X99662244Y-98738764D01* +X99746768Y-98764403D01* +X99824660Y-98806038D01* +X99892933Y-98862067D01* +X99948962Y-98930340D01* +X99990597Y-99008232D01* +X100016236Y-99092756D01* +X100025200Y-99183767D01* +X100025200Y-100460233D01* +X100016236Y-100551244D01* +X99990597Y-100635768D01* +X99948962Y-100713660D01* +X99892933Y-100781933D01* +X99824660Y-100837962D01* +X99746768Y-100879597D01* +X99662244Y-100905236D01* +X99571233Y-100914200D01* +X98548767Y-100914200D01* +X98457756Y-100905236D01* +X98373232Y-100879597D01* +X98295340Y-100837962D01* +X98227067Y-100781933D01* +X98171038Y-100713660D01* +X98129403Y-100635768D01* +X98103764Y-100551244D01* +X98094800Y-100460233D01* +X98094800Y-99183767D01* +X98103764Y-99092756D01* +X98129403Y-99008232D01* +X98171038Y-98930340D01* +X98227067Y-98862067D01* +X98295340Y-98806038D01* +X98373232Y-98764403D01* +X98457756Y-98738764D01* +X98543578Y-98730311D01* +X98555787Y-98727883D01* +X98567287Y-98723119D01* +X98577637Y-98716203D01* +X98586439Y-98707401D01* +X98593355Y-98697051D01* +X98598119Y-98685551D01* +X98599629Y-98679523D01* +X98602100Y-98667098D01* +X98637997Y-98580435D01* +X98690111Y-98502440D01* +X98756440Y-98436111D01* +X98795437Y-98410054D01* +X98834430Y-98384000D01* +X98834431Y-98383999D01* +X98834435Y-98383997D01* +X98921098Y-98348100D01* +X99013098Y-98329800D01* +X99106902Y-98329800D01* +X99198902Y-98348100D01* +X99198902Y-98348100D01* +G37* +G36* +X96658902Y-98348100D02* +G01* +X96745565Y-98383997D01* +X96745569Y-98383999D01* +X96745570Y-98384000D01* +X96784563Y-98410054D01* +X96823560Y-98436111D01* +X96889889Y-98502440D01* +X96942003Y-98580435D01* +X96977900Y-98667098D01* +X96980370Y-98679514D01* +X96983980Y-98691417D01* +X96989848Y-98702396D01* +X96997745Y-98712018D01* +X97007367Y-98719915D01* +X97018345Y-98725783D01* +X97030257Y-98729397D01* +X97036422Y-98730311D01* +X97122244Y-98738764D01* +X97206768Y-98764403D01* +X97284660Y-98806038D01* +X97352933Y-98862067D01* +X97408962Y-98930340D01* +X97450597Y-99008232D01* +X97476236Y-99092756D01* +X97485200Y-99183767D01* +X97485200Y-100460233D01* +X97476236Y-100551244D01* +X97450597Y-100635768D01* +X97408962Y-100713660D01* +X97352933Y-100781933D01* +X97284660Y-100837962D01* +X97206768Y-100879597D01* +X97122244Y-100905236D01* +X97031233Y-100914200D01* +X96008767Y-100914200D01* +X95917756Y-100905236D01* +X95833232Y-100879597D01* +X95755340Y-100837962D01* +X95687067Y-100781933D01* +X95631038Y-100713660D01* +X95589403Y-100635768D01* +X95563764Y-100551244D01* +X95554800Y-100460233D01* +X95554800Y-99183767D01* +X95563764Y-99092756D01* +X95589403Y-99008232D01* +X95631038Y-98930340D01* +X95687067Y-98862067D01* +X95755340Y-98806038D01* +X95833232Y-98764403D01* +X95917756Y-98738764D01* +X96003578Y-98730311D01* +X96015787Y-98727883D01* +X96027287Y-98723119D01* +X96037637Y-98716203D01* +X96046439Y-98707401D01* +X96053355Y-98697051D01* +X96058119Y-98685551D01* +X96059629Y-98679523D01* +X96062100Y-98667098D01* +X96097997Y-98580435D01* +X96150111Y-98502440D01* +X96216440Y-98436111D01* +X96255437Y-98410054D01* +X96294430Y-98384000D01* +X96294431Y-98383999D01* +X96294435Y-98383997D01* +X96381098Y-98348100D01* +X96473098Y-98329800D01* +X96566902Y-98329800D01* +X96658902Y-98348100D01* +X96658902Y-98348100D01* +G37* +G36* +X94118902Y-98348100D02* +G01* +X94205565Y-98383997D01* +X94205569Y-98383999D01* +X94205570Y-98384000D01* +X94244563Y-98410054D01* +X94283560Y-98436111D01* +X94349889Y-98502440D01* +X94402003Y-98580435D01* +X94437900Y-98667098D01* +X94440370Y-98679514D01* +X94443980Y-98691417D01* +X94449848Y-98702396D01* +X94457745Y-98712018D01* +X94467367Y-98719915D01* +X94478345Y-98725783D01* +X94490257Y-98729397D01* +X94496422Y-98730311D01* +X94582244Y-98738764D01* +X94666768Y-98764403D01* +X94744660Y-98806038D01* +X94812933Y-98862067D01* +X94868962Y-98930340D01* +X94910597Y-99008232D01* +X94936236Y-99092756D01* +X94945200Y-99183767D01* +X94945200Y-100460233D01* +X94936236Y-100551244D01* +X94910597Y-100635768D01* +X94868962Y-100713660D01* +X94812933Y-100781933D01* +X94744660Y-100837962D01* +X94666768Y-100879597D01* +X94582244Y-100905236D01* +X94491233Y-100914200D01* +X93468767Y-100914200D01* +X93377756Y-100905236D01* +X93293232Y-100879597D01* +X93215340Y-100837962D01* +X93147067Y-100781933D01* +X93091038Y-100713660D01* +X93049403Y-100635768D01* +X93023764Y-100551244D01* +X93014800Y-100460233D01* +X93014800Y-99183767D01* +X93023764Y-99092756D01* +X93049403Y-99008232D01* +X93091038Y-98930340D01* +X93147067Y-98862067D01* +X93215340Y-98806038D01* +X93293232Y-98764403D01* +X93377756Y-98738764D01* +X93463578Y-98730311D01* +X93475787Y-98727883D01* +X93487287Y-98723119D01* +X93497637Y-98716203D01* +X93506439Y-98707401D01* +X93513355Y-98697051D01* +X93518119Y-98685551D01* +X93519629Y-98679523D01* +X93522100Y-98667098D01* +X93557997Y-98580435D01* +X93610111Y-98502440D01* +X93676440Y-98436111D01* +X93715437Y-98410054D01* +X93754430Y-98384000D01* +X93754431Y-98383999D01* +X93754435Y-98383997D01* +X93841098Y-98348100D01* +X93933098Y-98329800D01* +X94026902Y-98329800D01* +X94118902Y-98348100D01* +X94118902Y-98348100D01* +G37* +G36* +X91578902Y-98348100D02* +G01* +X91665565Y-98383997D01* +X91665569Y-98383999D01* +X91665570Y-98384000D01* +X91704563Y-98410054D01* +X91743560Y-98436111D01* +X91809889Y-98502440D01* +X91862003Y-98580435D01* +X91897900Y-98667098D01* +X91900370Y-98679514D01* +X91903980Y-98691417D01* +X91909848Y-98702396D01* +X91917745Y-98712018D01* +X91927367Y-98719915D01* +X91938345Y-98725783D01* +X91950257Y-98729397D01* +X91956422Y-98730311D01* +X92042244Y-98738764D01* +X92126768Y-98764403D01* +X92204660Y-98806038D01* +X92272933Y-98862067D01* +X92328962Y-98930340D01* +X92370597Y-99008232D01* +X92396236Y-99092756D01* +X92405200Y-99183767D01* +X92405200Y-100460233D01* +X92396236Y-100551244D01* +X92370597Y-100635768D01* +X92328962Y-100713660D01* +X92272933Y-100781933D01* +X92204660Y-100837962D01* +X92126768Y-100879597D01* +X92042244Y-100905236D01* +X91951233Y-100914200D01* +X90928767Y-100914200D01* +X90837756Y-100905236D01* +X90753232Y-100879597D01* +X90675340Y-100837962D01* +X90607067Y-100781933D01* +X90551038Y-100713660D01* +X90509403Y-100635768D01* +X90483764Y-100551244D01* +X90474800Y-100460233D01* +X90474800Y-99183767D01* +X90483764Y-99092756D01* +X90509403Y-99008232D01* +X90551038Y-98930340D01* +X90607067Y-98862067D01* +X90675340Y-98806038D01* +X90753232Y-98764403D01* +X90837756Y-98738764D01* +X90923578Y-98730311D01* +X90935787Y-98727883D01* +X90947287Y-98723119D01* +X90957637Y-98716203D01* +X90966439Y-98707401D01* +X90973355Y-98697051D01* +X90978119Y-98685551D01* +X90979629Y-98679523D01* +X90982100Y-98667098D01* +X91017997Y-98580435D01* +X91070111Y-98502440D01* +X91136440Y-98436111D01* +X91175437Y-98410054D01* +X91214430Y-98384000D01* +X91214431Y-98383999D01* +X91214435Y-98383997D01* +X91301098Y-98348100D01* +X91393098Y-98329800D01* +X91486902Y-98329800D01* +X91578902Y-98348100D01* +X91578902Y-98348100D01* +G37* +G36* +X89038902Y-98348100D02* +G01* +X89125565Y-98383997D01* +X89125569Y-98383999D01* +X89125570Y-98384000D01* +X89164563Y-98410054D01* +X89203560Y-98436111D01* +X89269889Y-98502440D01* +X89322003Y-98580435D01* +X89357900Y-98667098D01* +X89360370Y-98679514D01* +X89363980Y-98691417D01* +X89369848Y-98702396D01* +X89377745Y-98712018D01* +X89387367Y-98719915D01* +X89398345Y-98725783D01* +X89410257Y-98729397D01* +X89416422Y-98730311D01* +X89502244Y-98738764D01* +X89586768Y-98764403D01* +X89664660Y-98806038D01* +X89732933Y-98862067D01* +X89788962Y-98930340D01* +X89830597Y-99008232D01* +X89856236Y-99092756D01* +X89865200Y-99183767D01* +X89865200Y-100460233D01* +X89856236Y-100551244D01* +X89830597Y-100635768D01* +X89788962Y-100713660D01* +X89732933Y-100781933D01* +X89664660Y-100837962D01* +X89586768Y-100879597D01* +X89502244Y-100905236D01* +X89411233Y-100914200D01* +X88388767Y-100914200D01* +X88297756Y-100905236D01* +X88213232Y-100879597D01* +X88135340Y-100837962D01* +X88067067Y-100781933D01* +X88011038Y-100713660D01* +X87969403Y-100635768D01* +X87943764Y-100551244D01* +X87934800Y-100460233D01* +X87934800Y-99183767D01* +X87943764Y-99092756D01* +X87969403Y-99008232D01* +X88011038Y-98930340D01* +X88067067Y-98862067D01* +X88135340Y-98806038D01* +X88213232Y-98764403D01* +X88297756Y-98738764D01* +X88383578Y-98730311D01* +X88395787Y-98727883D01* +X88407287Y-98723119D01* +X88417637Y-98716203D01* +X88426439Y-98707401D01* +X88433355Y-98697051D01* +X88438119Y-98685551D01* +X88439629Y-98679523D01* +X88442100Y-98667098D01* +X88477997Y-98580435D01* +X88530111Y-98502440D01* +X88596440Y-98436111D01* +X88635437Y-98410054D01* +X88674430Y-98384000D01* +X88674431Y-98383999D01* +X88674435Y-98383997D01* +X88761098Y-98348100D01* +X88853098Y-98329800D01* +X88946902Y-98329800D01* +X89038902Y-98348100D01* +X89038902Y-98348100D01* +G37* +G36* +X86498902Y-98348100D02* +G01* +X86585565Y-98383997D01* +X86585569Y-98383999D01* +X86585570Y-98384000D01* +X86624563Y-98410054D01* +X86663560Y-98436111D01* +X86729889Y-98502440D01* +X86782003Y-98580435D01* +X86817900Y-98667098D01* +X86820370Y-98679514D01* +X86823980Y-98691417D01* +X86829848Y-98702396D01* +X86837745Y-98712018D01* +X86847367Y-98719915D01* +X86858345Y-98725783D01* +X86870257Y-98729397D01* +X86876422Y-98730311D01* +X86962244Y-98738764D01* +X87046768Y-98764403D01* +X87124660Y-98806038D01* +X87192933Y-98862067D01* +X87248962Y-98930340D01* +X87290597Y-99008232D01* +X87316236Y-99092756D01* +X87325200Y-99183767D01* +X87325200Y-100460233D01* +X87316236Y-100551244D01* +X87290597Y-100635768D01* +X87248962Y-100713660D01* +X87192933Y-100781933D01* +X87124660Y-100837962D01* +X87046768Y-100879597D01* +X86962244Y-100905236D01* +X86871233Y-100914200D01* +X85848767Y-100914200D01* +X85757756Y-100905236D01* +X85673232Y-100879597D01* +X85595340Y-100837962D01* +X85527067Y-100781933D01* +X85471038Y-100713660D01* +X85429403Y-100635768D01* +X85403764Y-100551244D01* +X85394800Y-100460233D01* +X85394800Y-99183767D01* +X85403764Y-99092756D01* +X85429403Y-99008232D01* +X85471038Y-98930340D01* +X85527067Y-98862067D01* +X85595340Y-98806038D01* +X85673232Y-98764403D01* +X85757756Y-98738764D01* +X85843578Y-98730311D01* +X85855787Y-98727883D01* +X85867287Y-98723119D01* +X85877637Y-98716203D01* +X85886439Y-98707401D01* +X85893355Y-98697051D01* +X85898119Y-98685551D01* +X85899629Y-98679523D01* +X85902100Y-98667098D01* +X85937997Y-98580435D01* +X85990111Y-98502440D01* +X86056440Y-98436111D01* +X86095437Y-98410054D01* +X86134430Y-98384000D01* +X86134431Y-98383999D01* +X86134435Y-98383997D01* +X86221098Y-98348100D01* +X86313098Y-98329800D01* +X86406902Y-98329800D01* +X86498902Y-98348100D01* +X86498902Y-98348100D01* +G37* +G36* +X83958902Y-98348100D02* +G01* +X84045565Y-98383997D01* +X84045569Y-98383999D01* +X84045570Y-98384000D01* +X84084563Y-98410054D01* +X84123560Y-98436111D01* +X84189889Y-98502440D01* +X84242003Y-98580435D01* +X84277900Y-98667098D01* +X84280370Y-98679514D01* +X84283980Y-98691417D01* +X84289848Y-98702396D01* +X84297745Y-98712018D01* +X84307367Y-98719915D01* +X84318345Y-98725783D01* +X84330257Y-98729397D01* +X84336422Y-98730311D01* +X84422244Y-98738764D01* +X84506768Y-98764403D01* +X84584660Y-98806038D01* +X84652933Y-98862067D01* +X84708962Y-98930340D01* +X84750597Y-99008232D01* +X84776236Y-99092756D01* +X84785200Y-99183767D01* +X84785200Y-100460233D01* +X84776236Y-100551244D01* +X84750597Y-100635768D01* +X84708962Y-100713660D01* +X84652933Y-100781933D01* +X84584660Y-100837962D01* +X84506768Y-100879597D01* +X84422244Y-100905236D01* +X84331233Y-100914200D01* +X83308767Y-100914200D01* +X83217756Y-100905236D01* +X83133232Y-100879597D01* +X83055340Y-100837962D01* +X82987067Y-100781933D01* +X82931038Y-100713660D01* +X82889403Y-100635768D01* +X82863764Y-100551244D01* +X82854800Y-100460233D01* +X82854800Y-99183767D01* +X82863764Y-99092756D01* +X82889403Y-99008232D01* +X82931038Y-98930340D01* +X82987067Y-98862067D01* +X83055340Y-98806038D01* +X83133232Y-98764403D01* +X83217756Y-98738764D01* +X83303578Y-98730311D01* +X83315787Y-98727883D01* +X83327287Y-98723119D01* +X83337637Y-98716203D01* +X83346439Y-98707401D01* +X83353355Y-98697051D01* +X83358119Y-98685551D01* +X83359629Y-98679523D01* +X83362100Y-98667098D01* +X83397997Y-98580435D01* +X83450111Y-98502440D01* +X83516440Y-98436111D01* +X83555437Y-98410054D01* +X83594430Y-98384000D01* +X83594431Y-98383999D01* +X83594435Y-98383997D01* +X83681098Y-98348100D01* +X83773098Y-98329800D01* +X83866902Y-98329800D01* +X83958902Y-98348100D01* +X83958902Y-98348100D01* +G37* +G36* +X131060290Y-91289105D02* +G01* +X131089568Y-91297986D01* +X131116545Y-91312406D01* +X131140190Y-91331810D01* +X131159594Y-91355455D01* +X131174014Y-91382432D01* +X131182895Y-91411710D01* +X131186200Y-91445267D01* +X131186200Y-95278733D01* +X131182895Y-95312290D01* +X131174014Y-95341568D01* +X131159594Y-95368545D01* +X131140190Y-95392190D01* +X131116545Y-95411594D01* +X131089568Y-95426014D01* +X131060290Y-95434895D01* +X131026733Y-95438200D01* +X130593267Y-95438200D01* +X130559710Y-95434895D01* +X130530432Y-95426014D01* +X130503455Y-95411594D01* +X130479810Y-95392190D01* +X130460406Y-95368545D01* +X130445986Y-95341568D01* +X130437105Y-95312290D01* +X130433800Y-95278733D01* +X130433800Y-91445267D01* +X130437105Y-91411710D01* +X130445986Y-91382432D01* +X130460406Y-91355455D01* +X130479810Y-91331810D01* +X130503455Y-91312406D01* +X130530432Y-91297986D01* +X130559710Y-91289105D01* +X130593267Y-91285800D01* +X131026733Y-91285800D01* +X131060290Y-91289105D01* +X131060290Y-91289105D01* +G37* +G36* +X96770290Y-91289105D02* +G01* +X96799568Y-91297986D01* +X96826545Y-91312406D01* +X96850190Y-91331810D01* +X96869594Y-91355455D01* +X96884014Y-91382432D01* +X96892895Y-91411710D01* +X96896200Y-91445267D01* +X96896200Y-95278733D01* +X96892895Y-95312290D01* +X96884014Y-95341568D01* +X96869594Y-95368545D01* +X96850190Y-95392190D01* +X96826545Y-95411594D01* +X96799568Y-95426014D01* +X96770290Y-95434895D01* +X96736733Y-95438200D01* +X96303267Y-95438200D01* +X96269710Y-95434895D01* +X96240432Y-95426014D01* +X96213455Y-95411594D01* +X96189810Y-95392190D01* +X96170406Y-95368545D01* +X96155986Y-95341568D01* +X96147105Y-95312290D01* +X96143800Y-95278733D01* +X96143800Y-91445267D01* +X96147105Y-91411710D01* +X96155986Y-91382432D01* +X96170406Y-91355455D01* +X96189810Y-91331810D01* +X96213455Y-91312406D01* +X96240432Y-91297986D01* +X96269710Y-91289105D01* +X96303267Y-91285800D01* +X96736733Y-91285800D01* +X96770290Y-91289105D01* +X96770290Y-91289105D01* +G37* +G36* +X94230290Y-91289105D02* +G01* +X94259568Y-91297986D01* +X94286545Y-91312406D01* +X94310190Y-91331810D01* +X94329594Y-91355455D01* +X94344014Y-91382432D01* +X94352895Y-91411710D01* +X94356200Y-91445267D01* +X94356200Y-95278733D01* +X94352895Y-95312290D01* +X94344014Y-95341568D01* +X94329594Y-95368545D01* +X94310190Y-95392190D01* +X94286545Y-95411594D01* +X94259568Y-95426014D01* +X94230290Y-95434895D01* +X94196733Y-95438200D01* +X93763267Y-95438200D01* +X93729710Y-95434895D01* +X93700432Y-95426014D01* +X93673455Y-95411594D01* +X93649810Y-95392190D01* +X93630406Y-95368545D01* +X93615986Y-95341568D01* +X93607105Y-95312290D01* +X93603800Y-95278733D01* +X93603800Y-91445267D01* +X93607105Y-91411710D01* +X93615986Y-91382432D01* +X93630406Y-91355455D01* +X93649810Y-91331810D01* +X93673455Y-91312406D01* +X93700432Y-91297986D01* +X93729710Y-91289105D01* +X93763267Y-91285800D01* +X94196733Y-91285800D01* +X94230290Y-91289105D01* +X94230290Y-91289105D01* +G37* +G36* +X87880290Y-91289105D02* +G01* +X87909568Y-91297986D01* +X87936545Y-91312406D01* +X87960190Y-91331810D01* +X87979594Y-91355455D01* +X87994014Y-91382432D01* +X88002895Y-91411710D01* +X88006200Y-91445267D01* +X88006200Y-95278733D01* +X88002895Y-95312290D01* +X87994014Y-95341568D01* +X87979594Y-95368545D01* +X87960190Y-95392190D01* +X87936545Y-95411594D01* +X87909568Y-95426014D01* +X87880290Y-95434895D01* +X87846733Y-95438200D01* +X87413267Y-95438200D01* +X87379710Y-95434895D01* +X87350432Y-95426014D01* +X87323455Y-95411594D01* +X87299810Y-95392190D01* +X87280406Y-95368545D01* +X87265986Y-95341568D01* +X87257105Y-95312290D01* +X87253800Y-95278733D01* +X87253800Y-91445267D01* +X87257105Y-91411710D01* +X87265986Y-91382432D01* +X87280406Y-91355455D01* +X87299810Y-91331810D01* +X87323455Y-91312406D01* +X87350432Y-91297986D01* +X87379710Y-91289105D01* +X87413267Y-91285800D01* +X87846733Y-91285800D01* +X87880290Y-91289105D01* +X87880290Y-91289105D01* +G37* +G36* +X89150290Y-91289105D02* +G01* +X89179568Y-91297986D01* +X89206545Y-91312406D01* +X89230190Y-91331810D01* +X89249594Y-91355455D01* +X89264014Y-91382432D01* +X89272895Y-91411710D01* +X89276200Y-91445267D01* +X89276200Y-95278733D01* +X89272895Y-95312290D01* +X89264014Y-95341568D01* +X89249594Y-95368545D01* +X89230190Y-95392190D01* +X89206545Y-95411594D01* +X89179568Y-95426014D01* +X89150290Y-95434895D01* +X89116733Y-95438200D01* +X88683267Y-95438200D01* +X88649710Y-95434895D01* +X88620432Y-95426014D01* +X88593455Y-95411594D01* +X88569810Y-95392190D01* +X88550406Y-95368545D01* +X88535986Y-95341568D01* +X88527105Y-95312290D01* +X88523800Y-95278733D01* +X88523800Y-91445267D01* +X88527105Y-91411710D01* +X88535986Y-91382432D01* +X88550406Y-91355455D01* +X88569810Y-91331810D01* +X88593455Y-91312406D01* +X88620432Y-91297986D01* +X88649710Y-91289105D01* +X88683267Y-91285800D01* +X89116733Y-91285800D01* +X89150290Y-91289105D01* +X89150290Y-91289105D01* +G37* +G36* +X90420290Y-91289105D02* +G01* +X90449568Y-91297986D01* +X90476545Y-91312406D01* +X90500190Y-91331810D01* +X90519594Y-91355455D01* +X90534014Y-91382432D01* +X90542895Y-91411710D01* +X90546200Y-91445267D01* +X90546200Y-95278733D01* +X90542895Y-95312290D01* +X90534014Y-95341568D01* +X90519594Y-95368545D01* +X90500190Y-95392190D01* +X90476545Y-95411594D01* +X90449568Y-95426014D01* +X90420290Y-95434895D01* +X90386733Y-95438200D01* +X89953267Y-95438200D01* +X89919710Y-95434895D01* +X89890432Y-95426014D01* +X89863455Y-95411594D01* +X89839810Y-95392190D01* +X89820406Y-95368545D01* +X89805986Y-95341568D01* +X89797105Y-95312290D01* +X89793800Y-95278733D01* +X89793800Y-91445267D01* +X89797105Y-91411710D01* +X89805986Y-91382432D01* +X89820406Y-91355455D01* +X89839810Y-91331810D01* +X89863455Y-91312406D01* +X89890432Y-91297986D01* +X89919710Y-91289105D01* +X89953267Y-91285800D01* +X90386733Y-91285800D01* +X90420290Y-91289105D01* +X90420290Y-91289105D01* +G37* +G36* +X91690290Y-91289105D02* +G01* +X91719568Y-91297986D01* +X91746545Y-91312406D01* +X91770190Y-91331810D01* +X91789594Y-91355455D01* +X91804014Y-91382432D01* +X91812895Y-91411710D01* +X91816200Y-91445267D01* +X91816200Y-95278733D01* +X91812895Y-95312290D01* +X91804014Y-95341568D01* +X91789594Y-95368545D01* +X91770190Y-95392190D01* +X91746545Y-95411594D01* +X91719568Y-95426014D01* +X91690290Y-95434895D01* +X91656733Y-95438200D01* +X91223267Y-95438200D01* +X91189710Y-95434895D01* +X91160432Y-95426014D01* +X91133455Y-95411594D01* +X91109810Y-95392190D01* +X91090406Y-95368545D01* +X91075986Y-95341568D01* +X91067105Y-95312290D01* +X91063800Y-95278733D01* +X91063800Y-91445267D01* +X91067105Y-91411710D01* +X91075986Y-91382432D01* +X91090406Y-91355455D01* +X91109810Y-91331810D01* +X91133455Y-91312406D01* +X91160432Y-91297986D01* +X91189710Y-91289105D01* +X91223267Y-91285800D01* +X91656733Y-91285800D01* +X91690290Y-91289105D01* +X91690290Y-91289105D01* +G37* +G36* +X92960290Y-91289105D02* +G01* +X92989568Y-91297986D01* +X93016545Y-91312406D01* +X93040190Y-91331810D01* +X93059594Y-91355455D01* +X93074014Y-91382432D01* +X93082895Y-91411710D01* +X93086200Y-91445267D01* +X93086200Y-95278733D01* +X93082895Y-95312290D01* +X93074014Y-95341568D01* +X93059594Y-95368545D01* +X93040190Y-95392190D01* +X93016545Y-95411594D01* +X92989568Y-95426014D01* +X92960290Y-95434895D01* +X92926733Y-95438200D01* +X92493267Y-95438200D01* +X92459710Y-95434895D01* +X92430432Y-95426014D01* +X92403455Y-95411594D01* +X92379810Y-95392190D01* +X92360406Y-95368545D01* +X92345986Y-95341568D01* +X92337105Y-95312290D01* +X92333800Y-95278733D01* +X92333800Y-91445267D01* +X92337105Y-91411710D01* +X92345986Y-91382432D01* +X92360406Y-91355455D01* +X92379810Y-91331810D01* +X92403455Y-91312406D01* +X92430432Y-91297986D01* +X92459710Y-91289105D01* +X92493267Y-91285800D01* +X92926733Y-91285800D01* +X92960290Y-91289105D01* +X92960290Y-91289105D01* +G37* +G36* +X98040290Y-91289105D02* +G01* +X98069568Y-91297986D01* +X98096545Y-91312406D01* +X98120190Y-91331810D01* +X98139594Y-91355455D01* +X98154014Y-91382432D01* +X98162895Y-91411710D01* +X98166200Y-91445267D01* +X98166200Y-95278733D01* +X98162895Y-95312290D01* +X98154014Y-95341568D01* +X98139594Y-95368545D01* +X98120190Y-95392190D01* +X98096545Y-95411594D01* +X98069568Y-95426014D01* +X98040290Y-95434895D01* +X98006733Y-95438200D01* +X97573267Y-95438200D01* +X97539710Y-95434895D01* +X97510432Y-95426014D01* +X97483455Y-95411594D01* +X97459810Y-95392190D01* +X97440406Y-95368545D01* +X97425986Y-95341568D01* +X97417105Y-95312290D01* +X97413800Y-95278733D01* +X97413800Y-91445267D01* +X97417105Y-91411710D01* +X97425986Y-91382432D01* +X97440406Y-91355455D01* +X97459810Y-91331810D01* +X97483455Y-91312406D01* +X97510432Y-91297986D01* +X97539710Y-91289105D01* +X97573267Y-91285800D01* +X98006733Y-91285800D01* +X98040290Y-91289105D01* +X98040290Y-91289105D01* +G37* +G36* +X99310290Y-91289105D02* +G01* +X99339568Y-91297986D01* +X99366545Y-91312406D01* +X99390190Y-91331810D01* +X99409594Y-91355455D01* +X99424014Y-91382432D01* +X99432895Y-91411710D01* +X99436200Y-91445267D01* +X99436200Y-95278733D01* +X99432895Y-95312290D01* +X99424014Y-95341568D01* +X99409594Y-95368545D01* +X99390190Y-95392190D01* +X99366545Y-95411594D01* +X99339568Y-95426014D01* +X99310290Y-95434895D01* +X99276733Y-95438200D01* +X98843267Y-95438200D01* +X98809710Y-95434895D01* +X98780432Y-95426014D01* +X98753455Y-95411594D01* +X98729810Y-95392190D01* +X98710406Y-95368545D01* +X98695986Y-95341568D01* +X98687105Y-95312290D01* +X98683800Y-95278733D01* +X98683800Y-91445267D01* +X98687105Y-91411710D01* +X98695986Y-91382432D01* +X98710406Y-91355455D01* +X98729810Y-91331810D01* +X98753455Y-91312406D01* +X98780432Y-91297986D01* +X98809710Y-91289105D01* +X98843267Y-91285800D01* +X99276733Y-91285800D01* +X99310290Y-91289105D01* +X99310290Y-91289105D01* +G37* +G36* +X100580290Y-91289105D02* +G01* +X100609568Y-91297986D01* +X100636545Y-91312406D01* +X100660190Y-91331810D01* +X100679594Y-91355455D01* +X100694014Y-91382432D01* +X100702895Y-91411710D01* +X100706200Y-91445267D01* +X100706200Y-95278733D01* +X100702895Y-95312290D01* +X100694014Y-95341568D01* +X100679594Y-95368545D01* +X100660190Y-95392190D01* +X100636545Y-95411594D01* +X100609568Y-95426014D01* +X100580290Y-95434895D01* +X100546733Y-95438200D01* +X100113267Y-95438200D01* +X100079710Y-95434895D01* +X100050432Y-95426014D01* +X100023455Y-95411594D01* +X99999810Y-95392190D01* +X99980406Y-95368545D01* +X99965986Y-95341568D01* +X99957105Y-95312290D01* +X99953800Y-95278733D01* +X99953800Y-91445267D01* +X99957105Y-91411710D01* +X99965986Y-91382432D01* +X99980406Y-91355455D01* +X99999810Y-91331810D01* +X100023455Y-91312406D01* +X100050432Y-91297986D01* +X100079710Y-91289105D01* +X100113267Y-91285800D01* +X100546733Y-91285800D01* +X100580290Y-91289105D01* +X100580290Y-91289105D01* +G37* +G36* +X101850290Y-91289105D02* +G01* +X101879568Y-91297986D01* +X101906545Y-91312406D01* +X101930190Y-91331810D01* +X101949594Y-91355455D01* +X101964014Y-91382432D01* +X101972895Y-91411710D01* +X101976200Y-91445267D01* +X101976200Y-95278733D01* +X101972895Y-95312290D01* +X101964014Y-95341568D01* +X101949594Y-95368545D01* +X101930190Y-95392190D01* +X101906545Y-95411594D01* +X101879568Y-95426014D01* +X101850290Y-95434895D01* +X101816733Y-95438200D01* +X101383267Y-95438200D01* +X101349710Y-95434895D01* +X101320432Y-95426014D01* +X101293455Y-95411594D01* +X101269810Y-95392190D01* +X101250406Y-95368545D01* +X101235986Y-95341568D01* +X101227105Y-95312290D01* +X101223800Y-95278733D01* +X101223800Y-91445267D01* +X101227105Y-91411710D01* +X101235986Y-91382432D01* +X101250406Y-91355455D01* +X101269810Y-91331810D01* +X101293455Y-91312406D01* +X101320432Y-91297986D01* +X101349710Y-91289105D01* +X101383267Y-91285800D01* +X101816733Y-91285800D01* +X101850290Y-91289105D01* +X101850290Y-91289105D01* +G37* +G36* +X103120290Y-91289105D02* +G01* +X103149568Y-91297986D01* +X103176545Y-91312406D01* +X103200190Y-91331810D01* +X103219594Y-91355455D01* +X103234014Y-91382432D01* +X103242895Y-91411710D01* +X103246200Y-91445267D01* +X103246200Y-95278733D01* +X103242895Y-95312290D01* +X103234014Y-95341568D01* +X103219594Y-95368545D01* +X103200190Y-95392190D01* +X103176545Y-95411594D01* +X103149568Y-95426014D01* +X103120290Y-95434895D01* +X103086733Y-95438200D01* +X102653267Y-95438200D01* +X102619710Y-95434895D01* +X102590432Y-95426014D01* +X102563455Y-95411594D01* +X102539810Y-95392190D01* +X102520406Y-95368545D01* +X102505986Y-95341568D01* +X102497105Y-95312290D01* +X102493800Y-95278733D01* +X102493800Y-91445267D01* +X102497105Y-91411710D01* +X102505986Y-91382432D01* +X102520406Y-91355455D01* +X102539810Y-91331810D01* +X102563455Y-91312406D01* +X102590432Y-91297986D01* +X102619710Y-91289105D01* +X102653267Y-91285800D01* +X103086733Y-91285800D01* +X103120290Y-91289105D01* +X103120290Y-91289105D01* +G37* +G36* +X124710290Y-91289105D02* +G01* +X124739568Y-91297986D01* +X124766545Y-91312406D01* +X124790190Y-91331810D01* +X124809594Y-91355455D01* +X124824014Y-91382432D01* +X124832895Y-91411710D01* +X124836200Y-91445267D01* +X124836200Y-95278733D01* +X124832895Y-95312290D01* +X124824014Y-95341568D01* +X124809594Y-95368545D01* +X124790190Y-95392190D01* +X124766545Y-95411594D01* +X124739568Y-95426014D01* +X124710290Y-95434895D01* +X124676733Y-95438200D01* +X124243267Y-95438200D01* +X124209710Y-95434895D01* +X124180432Y-95426014D01* +X124153455Y-95411594D01* +X124129810Y-95392190D01* +X124110406Y-95368545D01* +X124095986Y-95341568D01* +X124087105Y-95312290D01* +X124083800Y-95278733D01* +X124083800Y-91445267D01* +X124087105Y-91411710D01* +X124095986Y-91382432D01* +X124110406Y-91355455D01* +X124129810Y-91331810D01* +X124153455Y-91312406D01* +X124180432Y-91297986D01* +X124209710Y-91289105D01* +X124243267Y-91285800D01* +X124676733Y-91285800D01* +X124710290Y-91289105D01* +X124710290Y-91289105D01* +G37* +G36* +X125980290Y-91289105D02* +G01* +X126009568Y-91297986D01* +X126036545Y-91312406D01* +X126060190Y-91331810D01* +X126079594Y-91355455D01* +X126094014Y-91382432D01* +X126102895Y-91411710D01* +X126106200Y-91445267D01* +X126106200Y-95278733D01* +X126102895Y-95312290D01* +X126094014Y-95341568D01* +X126079594Y-95368545D01* +X126060190Y-95392190D01* +X126036545Y-95411594D01* +X126009568Y-95426014D01* +X125980290Y-95434895D01* +X125946733Y-95438200D01* +X125513267Y-95438200D01* +X125479710Y-95434895D01* +X125450432Y-95426014D01* +X125423455Y-95411594D01* +X125399810Y-95392190D01* +X125380406Y-95368545D01* +X125365986Y-95341568D01* +X125357105Y-95312290D01* +X125353800Y-95278733D01* +X125353800Y-91445267D01* +X125357105Y-91411710D01* +X125365986Y-91382432D01* +X125380406Y-91355455D01* +X125399810Y-91331810D01* +X125423455Y-91312406D01* +X125450432Y-91297986D01* +X125479710Y-91289105D01* +X125513267Y-91285800D01* +X125946733Y-91285800D01* +X125980290Y-91289105D01* +X125980290Y-91289105D01* +G37* +G36* +X127250290Y-91289105D02* +G01* +X127279568Y-91297986D01* +X127306545Y-91312406D01* +X127330190Y-91331810D01* +X127349594Y-91355455D01* +X127364014Y-91382432D01* +X127372895Y-91411710D01* +X127376200Y-91445267D01* +X127376200Y-95278733D01* +X127372895Y-95312290D01* +X127364014Y-95341568D01* +X127349594Y-95368545D01* +X127330190Y-95392190D01* +X127306545Y-95411594D01* +X127279568Y-95426014D01* +X127250290Y-95434895D01* +X127216733Y-95438200D01* +X126783267Y-95438200D01* +X126749710Y-95434895D01* +X126720432Y-95426014D01* +X126693455Y-95411594D01* +X126669810Y-95392190D01* +X126650406Y-95368545D01* +X126635986Y-95341568D01* +X126627105Y-95312290D01* +X126623800Y-95278733D01* +X126623800Y-91445267D01* +X126627105Y-91411710D01* +X126635986Y-91382432D01* +X126650406Y-91355455D01* +X126669810Y-91331810D01* +X126693455Y-91312406D01* +X126720432Y-91297986D01* +X126749710Y-91289105D01* +X126783267Y-91285800D01* +X127216733Y-91285800D01* +X127250290Y-91289105D01* +X127250290Y-91289105D01* +G37* +G36* +X128520290Y-91289105D02* +G01* +X128549568Y-91297986D01* +X128576545Y-91312406D01* +X128600190Y-91331810D01* +X128619594Y-91355455D01* +X128634014Y-91382432D01* +X128642895Y-91411710D01* +X128646200Y-91445267D01* +X128646200Y-95278733D01* +X128642895Y-95312290D01* +X128634014Y-95341568D01* +X128619594Y-95368545D01* +X128600190Y-95392190D01* +X128576545Y-95411594D01* +X128549568Y-95426014D01* +X128520290Y-95434895D01* +X128486733Y-95438200D01* +X128053267Y-95438200D01* +X128019710Y-95434895D01* +X127990432Y-95426014D01* +X127963455Y-95411594D01* +X127939810Y-95392190D01* +X127920406Y-95368545D01* +X127905986Y-95341568D01* +X127897105Y-95312290D01* +X127893800Y-95278733D01* +X127893800Y-91445267D01* +X127897105Y-91411710D01* +X127905986Y-91382432D01* +X127920406Y-91355455D01* +X127939810Y-91331810D01* +X127963455Y-91312406D01* +X127990432Y-91297986D01* +X128019710Y-91289105D01* +X128053267Y-91285800D01* +X128486733Y-91285800D01* +X128520290Y-91289105D01* +X128520290Y-91289105D01* +G37* +G36* +X132330290Y-91289105D02* +G01* +X132359568Y-91297986D01* +X132386545Y-91312406D01* +X132410190Y-91331810D01* +X132429594Y-91355455D01* +X132444014Y-91382432D01* +X132452895Y-91411710D01* +X132456200Y-91445267D01* +X132456200Y-95278733D01* +X132452895Y-95312290D01* +X132444014Y-95341568D01* +X132429594Y-95368545D01* +X132410190Y-95392190D01* +X132386545Y-95411594D01* +X132359568Y-95426014D01* +X132330290Y-95434895D01* +X132296733Y-95438200D01* +X131863267Y-95438200D01* +X131829710Y-95434895D01* +X131800432Y-95426014D01* +X131773455Y-95411594D01* +X131749810Y-95392190D01* +X131730406Y-95368545D01* +X131715986Y-95341568D01* +X131707105Y-95312290D01* +X131703800Y-95278733D01* +X131703800Y-91445267D01* +X131707105Y-91411710D01* +X131715986Y-91382432D01* +X131730406Y-91355455D01* +X131749810Y-91331810D01* +X131773455Y-91312406D01* +X131800432Y-91297986D01* +X131829710Y-91289105D01* +X131863267Y-91285800D01* +X132296733Y-91285800D01* +X132330290Y-91289105D01* +X132330290Y-91289105D01* +G37* +G36* +X133600290Y-91289105D02* +G01* +X133629568Y-91297986D01* +X133656545Y-91312406D01* +X133680190Y-91331810D01* +X133699594Y-91355455D01* +X133714014Y-91382432D01* +X133722895Y-91411710D01* +X133726200Y-91445267D01* +X133726200Y-95278733D01* +X133722895Y-95312290D01* +X133714014Y-95341568D01* +X133699594Y-95368545D01* +X133680190Y-95392190D01* +X133656545Y-95411594D01* +X133629568Y-95426014D01* +X133600290Y-95434895D01* +X133566733Y-95438200D01* +X133133267Y-95438200D01* +X133099710Y-95434895D01* +X133070432Y-95426014D01* +X133043455Y-95411594D01* +X133019810Y-95392190D01* +X133000406Y-95368545D01* +X132985986Y-95341568D01* +X132977105Y-95312290D01* +X132973800Y-95278733D01* +X132973800Y-91445267D01* +X132977105Y-91411710D01* +X132985986Y-91382432D01* +X133000406Y-91355455D01* +X133019810Y-91331810D01* +X133043455Y-91312406D01* +X133070432Y-91297986D01* +X133099710Y-91289105D01* +X133133267Y-91285800D01* +X133566733Y-91285800D01* +X133600290Y-91289105D01* +X133600290Y-91289105D01* +G37* +G36* +X134870290Y-91289105D02* +G01* +X134899568Y-91297986D01* +X134926545Y-91312406D01* +X134950190Y-91331810D01* +X134969594Y-91355455D01* +X134984014Y-91382432D01* +X134992895Y-91411710D01* +X134996200Y-91445267D01* +X134996200Y-95278733D01* +X134992895Y-95312290D01* +X134984014Y-95341568D01* +X134969594Y-95368545D01* +X134950190Y-95392190D01* +X134926545Y-95411594D01* +X134899568Y-95426014D01* +X134870290Y-95434895D01* +X134836733Y-95438200D01* +X134403267Y-95438200D01* +X134369710Y-95434895D01* +X134340432Y-95426014D01* +X134313455Y-95411594D01* +X134289810Y-95392190D01* +X134270406Y-95368545D01* +X134255986Y-95341568D01* +X134247105Y-95312290D01* +X134243800Y-95278733D01* +X134243800Y-91445267D01* +X134247105Y-91411710D01* +X134255986Y-91382432D01* +X134270406Y-91355455D01* +X134289810Y-91331810D01* +X134313455Y-91312406D01* +X134340432Y-91297986D01* +X134369710Y-91289105D01* +X134403267Y-91285800D01* +X134836733Y-91285800D01* +X134870290Y-91289105D01* +X134870290Y-91289105D01* +G37* +G36* +X136140290Y-91289105D02* +G01* +X136169568Y-91297986D01* +X136196545Y-91312406D01* +X136220190Y-91331810D01* +X136239594Y-91355455D01* +X136254014Y-91382432D01* +X136262895Y-91411710D01* +X136266200Y-91445267D01* +X136266200Y-95278733D01* +X136262895Y-95312290D01* +X136254014Y-95341568D01* +X136239594Y-95368545D01* +X136220190Y-95392190D01* +X136196545Y-95411594D01* +X136169568Y-95426014D01* +X136140290Y-95434895D01* +X136106733Y-95438200D01* +X135673267Y-95438200D01* +X135639710Y-95434895D01* +X135610432Y-95426014D01* +X135583455Y-95411594D01* +X135559810Y-95392190D01* +X135540406Y-95368545D01* +X135525986Y-95341568D01* +X135517105Y-95312290D01* +X135513800Y-95278733D01* +X135513800Y-91445267D01* +X135517105Y-91411710D01* +X135525986Y-91382432D01* +X135540406Y-91355455D01* +X135559810Y-91331810D01* +X135583455Y-91312406D01* +X135610432Y-91297986D01* +X135639710Y-91289105D01* +X135673267Y-91285800D01* +X136106733Y-91285800D01* +X136140290Y-91289105D01* +X136140290Y-91289105D01* +G37* +G36* +X122170290Y-91289105D02* +G01* +X122199568Y-91297986D01* +X122226545Y-91312406D01* +X122250190Y-91331810D01* +X122269594Y-91355455D01* +X122284014Y-91382432D01* +X122292895Y-91411710D01* +X122296200Y-91445267D01* +X122296200Y-95278733D01* +X122292895Y-95312290D01* +X122284014Y-95341568D01* +X122269594Y-95368545D01* +X122250190Y-95392190D01* +X122226545Y-95411594D01* +X122199568Y-95426014D01* +X122170290Y-95434895D01* +X122136733Y-95438200D01* +X121703267Y-95438200D01* +X121669710Y-95434895D01* +X121640432Y-95426014D01* +X121613455Y-95411594D01* +X121589810Y-95392190D01* +X121570406Y-95368545D01* +X121555986Y-95341568D01* +X121547105Y-95312290D01* +X121543800Y-95278733D01* +X121543800Y-91445267D01* +X121547105Y-91411710D01* +X121555986Y-91382432D01* +X121570406Y-91355455D01* +X121589810Y-91331810D01* +X121613455Y-91312406D01* +X121640432Y-91297986D01* +X121669710Y-91289105D01* +X121703267Y-91285800D01* +X122136733Y-91285800D01* +X122170290Y-91289105D01* +X122170290Y-91289105D01* +G37* +G36* +X123440290Y-91289105D02* +G01* +X123469568Y-91297986D01* +X123496545Y-91312406D01* +X123520190Y-91331810D01* +X123539594Y-91355455D01* +X123554014Y-91382432D01* +X123562895Y-91411710D01* +X123566200Y-91445267D01* +X123566200Y-95278733D01* +X123562895Y-95312290D01* +X123554014Y-95341568D01* +X123539594Y-95368545D01* +X123520190Y-95392190D01* +X123496545Y-95411594D01* +X123469568Y-95426014D01* +X123440290Y-95434895D01* +X123406733Y-95438200D01* +X122973267Y-95438200D01* +X122939710Y-95434895D01* +X122910432Y-95426014D01* +X122883455Y-95411594D01* +X122859810Y-95392190D01* +X122840406Y-95368545D01* +X122825986Y-95341568D01* +X122817105Y-95312290D01* +X122813800Y-95278733D01* +X122813800Y-91445267D01* +X122817105Y-91411710D01* +X122825986Y-91382432D01* +X122840406Y-91355455D01* +X122859810Y-91331810D01* +X122883455Y-91312406D01* +X122910432Y-91297986D01* +X122939710Y-91289105D01* +X122973267Y-91285800D01* +X123406733Y-91285800D01* +X123440290Y-91289105D01* +X123440290Y-91289105D01* +G37* +G36* +X137410290Y-91289105D02* +G01* +X137439568Y-91297986D01* +X137466545Y-91312406D01* +X137490190Y-91331810D01* +X137509594Y-91355455D01* +X137524014Y-91382432D01* +X137532895Y-91411710D01* +X137536200Y-91445267D01* +X137536200Y-95278733D01* +X137532895Y-95312290D01* +X137524014Y-95341568D01* +X137509594Y-95368545D01* +X137490190Y-95392190D01* +X137466545Y-95411594D01* +X137439568Y-95426014D01* +X137410290Y-95434895D01* +X137376733Y-95438200D01* +X136943267Y-95438200D01* +X136909710Y-95434895D01* +X136880432Y-95426014D01* +X136853455Y-95411594D01* +X136829810Y-95392190D01* +X136810406Y-95368545D01* +X136795986Y-95341568D01* +X136787105Y-95312290D01* +X136783800Y-95278733D01* +X136783800Y-91445267D01* +X136787105Y-91411710D01* +X136795986Y-91382432D01* +X136810406Y-91355455D01* +X136829810Y-91331810D01* +X136853455Y-91312406D01* +X136880432Y-91297986D01* +X136909710Y-91289105D01* +X136943267Y-91285800D01* +X137376733Y-91285800D01* +X137410290Y-91289105D01* +X137410290Y-91289105D01* +G37* +G36* +X158039737Y-93511267D02* +G01* +X158090119Y-93526550D01* +X158136546Y-93551366D01* +X158177239Y-93584761D01* +X158210634Y-93625454D01* +X158235450Y-93671881D01* +X158250733Y-93722263D01* +X158256200Y-93777767D01* +X158256200Y-94436233D01* +X158250733Y-94491737D01* +X158235450Y-94542119D01* +X158210634Y-94588546D01* +X158177239Y-94629239D01* +X158136546Y-94662634D01* +X158090119Y-94687450D01* +X158039737Y-94702733D01* +X157984233Y-94708200D01* +X156975767Y-94708200D01* +X156920263Y-94702733D01* +X156869881Y-94687450D01* +X156823454Y-94662634D01* +X156782761Y-94629239D01* +X156749366Y-94588546D01* +X156724550Y-94542119D01* +X156709267Y-94491737D01* +X156703800Y-94436233D01* +X156703800Y-93777767D01* +X156709267Y-93722263D01* +X156724550Y-93671881D01* +X156749366Y-93625454D01* +X156782761Y-93584761D01* +X156823454Y-93551366D01* +X156869881Y-93526550D01* +X156920263Y-93511267D01* +X156975767Y-93505800D01* +X157984233Y-93505800D01* +X158039737Y-93511267D01* +X158039737Y-93511267D01* +G37* +G36* +X85649737Y-93511267D02* +G01* +X85700119Y-93526550D01* +X85746546Y-93551366D01* +X85787239Y-93584761D01* +X85820634Y-93625454D01* +X85845450Y-93671881D01* +X85860733Y-93722263D01* +X85866200Y-93777767D01* +X85866200Y-94436233D01* +X85860733Y-94491737D01* +X85845450Y-94542119D01* +X85820634Y-94588546D01* +X85787239Y-94629239D01* +X85746546Y-94662634D01* +X85700119Y-94687450D01* +X85649737Y-94702733D01* +X85594233Y-94708200D01* +X84585767Y-94708200D01* +X84530263Y-94702733D01* +X84479881Y-94687450D01* +X84433454Y-94662634D01* +X84392761Y-94629239D01* +X84359366Y-94588546D01* +X84334550Y-94542119D01* +X84319267Y-94491737D01* +X84313800Y-94436233D01* +X84313800Y-93777767D01* +X84319267Y-93722263D01* +X84334550Y-93671881D01* +X84359366Y-93625454D01* +X84392761Y-93584761D01* +X84433454Y-93551366D01* +X84479881Y-93526550D01* +X84530263Y-93511267D01* +X84585767Y-93505800D01* +X85594233Y-93505800D01* +X85649737Y-93511267D01* +X85649737Y-93511267D01* +G37* +G36* +X140259737Y-93511267D02* +G01* +X140310119Y-93526550D01* +X140356546Y-93551366D01* +X140397239Y-93584761D01* +X140430634Y-93625454D01* +X140455450Y-93671881D01* +X140470733Y-93722263D01* +X140476200Y-93777767D01* +X140476200Y-94436233D01* +X140470733Y-94491737D01* +X140455450Y-94542119D01* +X140430634Y-94588546D01* +X140397239Y-94629239D01* +X140356546Y-94662634D01* +X140310119Y-94687450D01* +X140259737Y-94702733D01* +X140204233Y-94708200D01* +X139195767Y-94708200D01* +X139140263Y-94702733D01* +X139089881Y-94687450D01* +X139043454Y-94662634D01* +X139002761Y-94629239D01* +X138969366Y-94588546D01* +X138944550Y-94542119D01* +X138929267Y-94491737D01* +X138923800Y-94436233D01* +X138923800Y-93777767D01* +X138929267Y-93722263D01* +X138944550Y-93671881D01* +X138969366Y-93625454D01* +X139002761Y-93584761D01* +X139043454Y-93551366D01* +X139089881Y-93526550D01* +X139140263Y-93511267D01* +X139195767Y-93505800D01* +X140204233Y-93505800D01* +X140259737Y-93511267D01* +X140259737Y-93511267D01* +G37* +G36* +X119939737Y-93511267D02* +G01* +X119990119Y-93526550D01* +X120036546Y-93551366D01* +X120077239Y-93584761D01* +X120110634Y-93625454D01* +X120135450Y-93671881D01* +X120150733Y-93722263D01* +X120156200Y-93777767D01* +X120156200Y-94436233D01* +X120150733Y-94491737D01* +X120135450Y-94542119D01* +X120110634Y-94588546D01* +X120077239Y-94629239D01* +X120036546Y-94662634D01* +X119990119Y-94687450D01* +X119939737Y-94702733D01* +X119884233Y-94708200D01* +X118875767Y-94708200D01* +X118820263Y-94702733D01* +X118769881Y-94687450D01* +X118723454Y-94662634D01* +X118682761Y-94629239D01* +X118649366Y-94588546D01* +X118624550Y-94542119D01* +X118609267Y-94491737D01* +X118603800Y-94436233D01* +X118603800Y-93777767D01* +X118609267Y-93722263D01* +X118624550Y-93671881D01* +X118649366Y-93625454D01* +X118682761Y-93584761D01* +X118723454Y-93551366D01* +X118769881Y-93526550D01* +X118820263Y-93511267D01* +X118875767Y-93505800D01* +X119884233Y-93505800D01* +X119939737Y-93511267D01* +X119939737Y-93511267D01* +G37* +G36* +X105969737Y-93511267D02* +G01* +X106020119Y-93526550D01* +X106066546Y-93551366D01* +X106107239Y-93584761D01* +X106140634Y-93625454D01* +X106165450Y-93671881D01* +X106180733Y-93722263D01* +X106186200Y-93777767D01* +X106186200Y-94436233D01* +X106180733Y-94491737D01* +X106165450Y-94542119D01* +X106140634Y-94588546D01* +X106107239Y-94629239D01* +X106066546Y-94662634D01* +X106020119Y-94687450D01* +X105969737Y-94702733D01* +X105914233Y-94708200D01* +X104905767Y-94708200D01* +X104850263Y-94702733D01* +X104799881Y-94687450D01* +X104753454Y-94662634D01* +X104712761Y-94629239D01* +X104679366Y-94588546D01* +X104654550Y-94542119D01* +X104639267Y-94491737D01* +X104633800Y-94436233D01* +X104633800Y-93777767D01* +X104639267Y-93722263D01* +X104654550Y-93671881D01* +X104679366Y-93625454D01* +X104712761Y-93584761D01* +X104753454Y-93551366D01* +X104799881Y-93526550D01* +X104850263Y-93511267D01* +X104905767Y-93505800D01* +X105914233Y-93505800D01* +X105969737Y-93511267D01* +X105969737Y-93511267D01* +G37* +G36* +X140259737Y-91811267D02* +G01* +X140310119Y-91826550D01* +X140356546Y-91851366D01* +X140397239Y-91884761D01* +X140430634Y-91925454D01* +X140455450Y-91971881D01* +X140470733Y-92022263D01* +X140476200Y-92077767D01* +X140476200Y-92736233D01* +X140470733Y-92791737D01* +X140455450Y-92842119D01* +X140430634Y-92888546D01* +X140397239Y-92929239D01* +X140356546Y-92962634D01* +X140310119Y-92987450D01* +X140259737Y-93002733D01* +X140204233Y-93008200D01* +X139195767Y-93008200D01* +X139140263Y-93002733D01* +X139089881Y-92987450D01* +X139043454Y-92962634D01* +X139002761Y-92929239D01* +X138969366Y-92888546D01* +X138944550Y-92842119D01* +X138929267Y-92791737D01* +X138923800Y-92736233D01* +X138923800Y-92077767D01* +X138929267Y-92022263D01* +X138944550Y-91971881D01* +X138969366Y-91925454D01* +X139002761Y-91884761D01* +X139043454Y-91851366D01* +X139089881Y-91826550D01* +X139140263Y-91811267D01* +X139195767Y-91805800D01* +X140204233Y-91805800D01* +X140259737Y-91811267D01* +X140259737Y-91811267D01* +G37* +G36* +X85649737Y-91811267D02* +G01* +X85700119Y-91826550D01* +X85746546Y-91851366D01* +X85787239Y-91884761D01* +X85820634Y-91925454D01* +X85845450Y-91971881D01* +X85860733Y-92022263D01* +X85866200Y-92077767D01* +X85866200Y-92736233D01* +X85860733Y-92791737D01* +X85845450Y-92842119D01* +X85820634Y-92888546D01* +X85787239Y-92929239D01* +X85746546Y-92962634D01* +X85700119Y-92987450D01* +X85649737Y-93002733D01* +X85594233Y-93008200D01* +X84585767Y-93008200D01* +X84530263Y-93002733D01* +X84479881Y-92987450D01* +X84433454Y-92962634D01* +X84392761Y-92929239D01* +X84359366Y-92888546D01* +X84334550Y-92842119D01* +X84319267Y-92791737D01* +X84313800Y-92736233D01* +X84313800Y-92077767D01* +X84319267Y-92022263D01* +X84334550Y-91971881D01* +X84359366Y-91925454D01* +X84392761Y-91884761D01* +X84433454Y-91851366D01* +X84479881Y-91826550D01* +X84530263Y-91811267D01* +X84585767Y-91805800D01* +X85594233Y-91805800D01* +X85649737Y-91811267D01* +X85649737Y-91811267D01* +G37* +G36* +X158039737Y-91811267D02* +G01* +X158090119Y-91826550D01* +X158136546Y-91851366D01* +X158177239Y-91884761D01* +X158210634Y-91925454D01* +X158235450Y-91971881D01* +X158250733Y-92022263D01* +X158256200Y-92077767D01* +X158256200Y-92736233D01* +X158250733Y-92791737D01* +X158235450Y-92842119D01* +X158210634Y-92888546D01* +X158177239Y-92929239D01* +X158136546Y-92962634D01* +X158090119Y-92987450D01* +X158039737Y-93002733D01* +X157984233Y-93008200D01* +X156975767Y-93008200D01* +X156920263Y-93002733D01* +X156869881Y-92987450D01* +X156823454Y-92962634D01* +X156782761Y-92929239D01* +X156749366Y-92888546D01* +X156724550Y-92842119D01* +X156709267Y-92791737D01* +X156703800Y-92736233D01* +X156703800Y-92077767D01* +X156709267Y-92022263D01* +X156724550Y-91971881D01* +X156749366Y-91925454D01* +X156782761Y-91884761D01* +X156823454Y-91851366D01* +X156869881Y-91826550D01* +X156920263Y-91811267D01* +X156975767Y-91805800D01* +X157984233Y-91805800D01* +X158039737Y-91811267D01* +X158039737Y-91811267D01* +G37* +G36* +X105969737Y-91811267D02* +G01* +X106020119Y-91826550D01* +X106066546Y-91851366D01* +X106107239Y-91884761D01* +X106140634Y-91925454D01* +X106165450Y-91971881D01* +X106180733Y-92022263D01* +X106186200Y-92077767D01* +X106186200Y-92736233D01* +X106180733Y-92791737D01* +X106165450Y-92842119D01* +X106140634Y-92888546D01* +X106107239Y-92929239D01* +X106066546Y-92962634D01* +X106020119Y-92987450D01* +X105969737Y-93002733D01* +X105914233Y-93008200D01* +X104905767Y-93008200D01* +X104850263Y-93002733D01* +X104799881Y-92987450D01* +X104753454Y-92962634D01* +X104712761Y-92929239D01* +X104679366Y-92888546D01* +X104654550Y-92842119D01* +X104639267Y-92791737D01* +X104633800Y-92736233D01* +X104633800Y-92077767D01* +X104639267Y-92022263D01* +X104654550Y-91971881D01* +X104679366Y-91925454D01* +X104712761Y-91884761D01* +X104753454Y-91851366D01* +X104799881Y-91826550D01* +X104850263Y-91811267D01* +X104905767Y-91805800D01* +X105914233Y-91805800D01* +X105969737Y-91811267D01* +X105969737Y-91811267D01* +G37* +G36* +X119939737Y-91811267D02* +G01* +X119990119Y-91826550D01* +X120036546Y-91851366D01* +X120077239Y-91884761D01* +X120110634Y-91925454D01* +X120135450Y-91971881D01* +X120150733Y-92022263D01* +X120156200Y-92077767D01* +X120156200Y-92736233D01* +X120150733Y-92791737D01* +X120135450Y-92842119D01* +X120110634Y-92888546D01* +X120077239Y-92929239D01* +X120036546Y-92962634D01* +X119990119Y-92987450D01* +X119939737Y-93002733D01* +X119884233Y-93008200D01* +X118875767Y-93008200D01* +X118820263Y-93002733D01* +X118769881Y-92987450D01* +X118723454Y-92962634D01* +X118682761Y-92929239D01* +X118649366Y-92888546D01* +X118624550Y-92842119D01* +X118609267Y-92791737D01* +X118603800Y-92736233D01* +X118603800Y-92077767D01* +X118609267Y-92022263D01* +X118624550Y-91971881D01* +X118649366Y-91925454D01* +X118682761Y-91884761D01* +X118723454Y-91851366D01* +X118769881Y-91826550D01* +X118820263Y-91811267D01* +X118875767Y-91805800D01* +X119884233Y-91805800D01* +X119939737Y-91811267D01* +X119939737Y-91811267D01* +G37* +G36* +X134870290Y-83889105D02* +G01* +X134899568Y-83897986D01* +X134926545Y-83912406D01* +X134950190Y-83931810D01* +X134969594Y-83955455D01* +X134984014Y-83982432D01* +X134992895Y-84011710D01* +X134996200Y-84045267D01* +X134996200Y-87878733D01* +X134992895Y-87912290D01* +X134984014Y-87941568D01* +X134969594Y-87968545D01* +X134950190Y-87992190D01* +X134926545Y-88011594D01* +X134899568Y-88026014D01* +X134870290Y-88034895D01* +X134836733Y-88038200D01* +X134403267Y-88038200D01* +X134369710Y-88034895D01* +X134340432Y-88026014D01* +X134313455Y-88011594D01* +X134289810Y-87992190D01* +X134270406Y-87968545D01* +X134255986Y-87941568D01* +X134247105Y-87912290D01* +X134243800Y-87878733D01* +X134243800Y-84045267D01* +X134247105Y-84011710D01* +X134255986Y-83982432D01* +X134270406Y-83955455D01* +X134289810Y-83931810D01* +X134313455Y-83912406D01* +X134340432Y-83897986D01* +X134369710Y-83889105D01* +X134403267Y-83885800D01* +X134836733Y-83885800D01* +X134870290Y-83889105D01* +X134870290Y-83889105D01* +G37* +G36* +X136140290Y-83889105D02* +G01* +X136169568Y-83897986D01* +X136196545Y-83912406D01* +X136220190Y-83931810D01* +X136239594Y-83955455D01* +X136254014Y-83982432D01* +X136262895Y-84011710D01* +X136266200Y-84045267D01* +X136266200Y-87878733D01* +X136262895Y-87912290D01* +X136254014Y-87941568D01* +X136239594Y-87968545D01* +X136220190Y-87992190D01* +X136196545Y-88011594D01* +X136169568Y-88026014D01* +X136140290Y-88034895D01* +X136106733Y-88038200D01* +X135673267Y-88038200D01* +X135639710Y-88034895D01* +X135610432Y-88026014D01* +X135583455Y-88011594D01* +X135559810Y-87992190D01* +X135540406Y-87968545D01* +X135525986Y-87941568D01* +X135517105Y-87912290D01* +X135513800Y-87878733D01* +X135513800Y-84045267D01* +X135517105Y-84011710D01* +X135525986Y-83982432D01* +X135540406Y-83955455D01* +X135559810Y-83931810D01* +X135583455Y-83912406D01* +X135610432Y-83897986D01* +X135639710Y-83889105D01* +X135673267Y-83885800D01* +X136106733Y-83885800D01* +X136140290Y-83889105D01* +X136140290Y-83889105D01* +G37* +G36* +X133600290Y-83889105D02* +G01* +X133629568Y-83897986D01* +X133656545Y-83912406D01* +X133680190Y-83931810D01* +X133699594Y-83955455D01* +X133714014Y-83982432D01* +X133722895Y-84011710D01* +X133726200Y-84045267D01* +X133726200Y-87878733D01* +X133722895Y-87912290D01* +X133714014Y-87941568D01* +X133699594Y-87968545D01* +X133680190Y-87992190D01* +X133656545Y-88011594D01* +X133629568Y-88026014D01* +X133600290Y-88034895D01* +X133566733Y-88038200D01* +X133133267Y-88038200D01* +X133099710Y-88034895D01* +X133070432Y-88026014D01* +X133043455Y-88011594D01* +X133019810Y-87992190D01* +X133000406Y-87968545D01* +X132985986Y-87941568D01* +X132977105Y-87912290D01* +X132973800Y-87878733D01* +X132973800Y-84045267D01* +X132977105Y-84011710D01* +X132985986Y-83982432D01* +X133000406Y-83955455D01* +X133019810Y-83931810D01* +X133043455Y-83912406D01* +X133070432Y-83897986D01* +X133099710Y-83889105D01* +X133133267Y-83885800D01* +X133566733Y-83885800D01* +X133600290Y-83889105D01* +X133600290Y-83889105D01* +G37* +G36* +X132330290Y-83889105D02* +G01* +X132359568Y-83897986D01* +X132386545Y-83912406D01* +X132410190Y-83931810D01* +X132429594Y-83955455D01* +X132444014Y-83982432D01* +X132452895Y-84011710D01* +X132456200Y-84045267D01* +X132456200Y-87878733D01* +X132452895Y-87912290D01* +X132444014Y-87941568D01* +X132429594Y-87968545D01* +X132410190Y-87992190D01* +X132386545Y-88011594D01* +X132359568Y-88026014D01* +X132330290Y-88034895D01* +X132296733Y-88038200D01* +X131863267Y-88038200D01* +X131829710Y-88034895D01* +X131800432Y-88026014D01* +X131773455Y-88011594D01* +X131749810Y-87992190D01* +X131730406Y-87968545D01* +X131715986Y-87941568D01* +X131707105Y-87912290D01* +X131703800Y-87878733D01* +X131703800Y-84045267D01* +X131707105Y-84011710D01* +X131715986Y-83982432D01* +X131730406Y-83955455D01* +X131749810Y-83931810D01* +X131773455Y-83912406D01* +X131800432Y-83897986D01* +X131829710Y-83889105D01* +X131863267Y-83885800D01* +X132296733Y-83885800D01* +X132330290Y-83889105D01* +X132330290Y-83889105D01* +G37* +G36* +X127250290Y-83889105D02* +G01* +X127279568Y-83897986D01* +X127306545Y-83912406D01* +X127330190Y-83931810D01* +X127349594Y-83955455D01* +X127364014Y-83982432D01* +X127372895Y-84011710D01* +X127376200Y-84045267D01* +X127376200Y-87878733D01* +X127372895Y-87912290D01* +X127364014Y-87941568D01* +X127349594Y-87968545D01* +X127330190Y-87992190D01* +X127306545Y-88011594D01* +X127279568Y-88026014D01* +X127250290Y-88034895D01* +X127216733Y-88038200D01* +X126783267Y-88038200D01* +X126749710Y-88034895D01* +X126720432Y-88026014D01* +X126693455Y-88011594D01* +X126669810Y-87992190D01* +X126650406Y-87968545D01* +X126635986Y-87941568D01* +X126627105Y-87912290D01* +X126623800Y-87878733D01* +X126623800Y-84045267D01* +X126627105Y-84011710D01* +X126635986Y-83982432D01* +X126650406Y-83955455D01* +X126669810Y-83931810D01* +X126693455Y-83912406D01* +X126720432Y-83897986D01* +X126749710Y-83889105D01* +X126783267Y-83885800D01* +X127216733Y-83885800D01* +X127250290Y-83889105D01* +X127250290Y-83889105D01* +G37* +G36* +X125980290Y-83889105D02* +G01* +X126009568Y-83897986D01* +X126036545Y-83912406D01* +X126060190Y-83931810D01* +X126079594Y-83955455D01* +X126094014Y-83982432D01* +X126102895Y-84011710D01* +X126106200Y-84045267D01* +X126106200Y-87878733D01* +X126102895Y-87912290D01* +X126094014Y-87941568D01* +X126079594Y-87968545D01* +X126060190Y-87992190D01* +X126036545Y-88011594D01* +X126009568Y-88026014D01* +X125980290Y-88034895D01* +X125946733Y-88038200D01* +X125513267Y-88038200D01* +X125479710Y-88034895D01* +X125450432Y-88026014D01* +X125423455Y-88011594D01* +X125399810Y-87992190D01* +X125380406Y-87968545D01* +X125365986Y-87941568D01* +X125357105Y-87912290D01* +X125353800Y-87878733D01* +X125353800Y-84045267D01* +X125357105Y-84011710D01* +X125365986Y-83982432D01* +X125380406Y-83955455D01* +X125399810Y-83931810D01* +X125423455Y-83912406D01* +X125450432Y-83897986D01* +X125479710Y-83889105D01* +X125513267Y-83885800D01* +X125946733Y-83885800D01* +X125980290Y-83889105D01* +X125980290Y-83889105D01* +G37* +G36* +X124710290Y-83889105D02* +G01* +X124739568Y-83897986D01* +X124766545Y-83912406D01* +X124790190Y-83931810D01* +X124809594Y-83955455D01* +X124824014Y-83982432D01* +X124832895Y-84011710D01* +X124836200Y-84045267D01* +X124836200Y-87878733D01* +X124832895Y-87912290D01* +X124824014Y-87941568D01* +X124809594Y-87968545D01* +X124790190Y-87992190D01* +X124766545Y-88011594D01* +X124739568Y-88026014D01* +X124710290Y-88034895D01* +X124676733Y-88038200D01* +X124243267Y-88038200D01* +X124209710Y-88034895D01* +X124180432Y-88026014D01* +X124153455Y-88011594D01* +X124129810Y-87992190D01* +X124110406Y-87968545D01* +X124095986Y-87941568D01* +X124087105Y-87912290D01* +X124083800Y-87878733D01* +X124083800Y-84045267D01* +X124087105Y-84011710D01* +X124095986Y-83982432D01* +X124110406Y-83955455D01* +X124129810Y-83931810D01* +X124153455Y-83912406D01* +X124180432Y-83897986D01* +X124209710Y-83889105D01* +X124243267Y-83885800D01* +X124676733Y-83885800D01* +X124710290Y-83889105D01* +X124710290Y-83889105D01* +G37* +G36* +X123440290Y-83889105D02* +G01* +X123469568Y-83897986D01* +X123496545Y-83912406D01* +X123520190Y-83931810D01* +X123539594Y-83955455D01* +X123554014Y-83982432D01* +X123562895Y-84011710D01* +X123566200Y-84045267D01* +X123566200Y-87878733D01* +X123562895Y-87912290D01* +X123554014Y-87941568D01* +X123539594Y-87968545D01* +X123520190Y-87992190D01* +X123496545Y-88011594D01* +X123469568Y-88026014D01* +X123440290Y-88034895D01* +X123406733Y-88038200D01* +X122973267Y-88038200D01* +X122939710Y-88034895D01* +X122910432Y-88026014D01* +X122883455Y-88011594D01* +X122859810Y-87992190D01* +X122840406Y-87968545D01* +X122825986Y-87941568D01* +X122817105Y-87912290D01* +X122813800Y-87878733D01* +X122813800Y-84045267D01* +X122817105Y-84011710D01* +X122825986Y-83982432D01* +X122840406Y-83955455D01* +X122859810Y-83931810D01* +X122883455Y-83912406D01* +X122910432Y-83897986D01* +X122939710Y-83889105D01* +X122973267Y-83885800D01* +X123406733Y-83885800D01* +X123440290Y-83889105D01* +X123440290Y-83889105D01* +G37* +G36* +X122170290Y-83889105D02* +G01* +X122199568Y-83897986D01* +X122226545Y-83912406D01* +X122250190Y-83931810D01* +X122269594Y-83955455D01* +X122284014Y-83982432D01* +X122292895Y-84011710D01* +X122296200Y-84045267D01* +X122296200Y-87878733D01* +X122292895Y-87912290D01* +X122284014Y-87941568D01* +X122269594Y-87968545D01* +X122250190Y-87992190D01* +X122226545Y-88011594D01* +X122199568Y-88026014D01* +X122170290Y-88034895D01* +X122136733Y-88038200D01* +X121703267Y-88038200D01* +X121669710Y-88034895D01* +X121640432Y-88026014D01* +X121613455Y-88011594D01* +X121589810Y-87992190D01* +X121570406Y-87968545D01* +X121555986Y-87941568D01* +X121547105Y-87912290D01* +X121543800Y-87878733D01* +X121543800Y-84045267D01* +X121547105Y-84011710D01* +X121555986Y-83982432D01* +X121570406Y-83955455D01* +X121589810Y-83931810D01* +X121613455Y-83912406D01* +X121640432Y-83897986D01* +X121669710Y-83889105D01* +X121703267Y-83885800D01* +X122136733Y-83885800D01* +X122170290Y-83889105D01* +X122170290Y-83889105D01* +G37* +G36* +X137410290Y-83889105D02* +G01* +X137439568Y-83897986D01* +X137466545Y-83912406D01* +X137490190Y-83931810D01* +X137509594Y-83955455D01* +X137524014Y-83982432D01* +X137532895Y-84011710D01* +X137536200Y-84045267D01* +X137536200Y-87878733D01* +X137532895Y-87912290D01* +X137524014Y-87941568D01* +X137509594Y-87968545D01* +X137490190Y-87992190D01* +X137466545Y-88011594D01* +X137439568Y-88026014D01* +X137410290Y-88034895D01* +X137376733Y-88038200D01* +X136943267Y-88038200D01* +X136909710Y-88034895D01* +X136880432Y-88026014D01* +X136853455Y-88011594D01* +X136829810Y-87992190D01* +X136810406Y-87968545D01* +X136795986Y-87941568D01* +X136787105Y-87912290D01* +X136783800Y-87878733D01* +X136783800Y-84045267D01* +X136787105Y-84011710D01* +X136795986Y-83982432D01* +X136810406Y-83955455D01* +X136829810Y-83931810D01* +X136853455Y-83912406D01* +X136880432Y-83897986D01* +X136909710Y-83889105D01* +X136943267Y-83885800D01* +X137376733Y-83885800D01* +X137410290Y-83889105D01* +X137410290Y-83889105D01* +G37* +G36* +X128520290Y-83889105D02* +G01* +X128549568Y-83897986D01* +X128576545Y-83912406D01* +X128600190Y-83931810D01* +X128619594Y-83955455D01* +X128634014Y-83982432D01* +X128642895Y-84011710D01* +X128646200Y-84045267D01* +X128646200Y-87878733D01* +X128642895Y-87912290D01* +X128634014Y-87941568D01* +X128619594Y-87968545D01* +X128600190Y-87992190D01* +X128576545Y-88011594D01* +X128549568Y-88026014D01* +X128520290Y-88034895D01* +X128486733Y-88038200D01* +X128053267Y-88038200D01* +X128019710Y-88034895D01* +X127990432Y-88026014D01* +X127963455Y-88011594D01* +X127939810Y-87992190D01* +X127920406Y-87968545D01* +X127905986Y-87941568D01* +X127897105Y-87912290D01* +X127893800Y-87878733D01* +X127893800Y-84045267D01* +X127897105Y-84011710D01* +X127905986Y-83982432D01* +X127920406Y-83955455D01* +X127939810Y-83931810D01* +X127963455Y-83912406D01* +X127990432Y-83897986D01* +X128019710Y-83889105D01* +X128053267Y-83885800D01* +X128486733Y-83885800D01* +X128520290Y-83889105D01* +X128520290Y-83889105D01* +G37* +G36* +X131060290Y-83889105D02* +G01* +X131089568Y-83897986D01* +X131116545Y-83912406D01* +X131140190Y-83931810D01* +X131159594Y-83955455D01* +X131174014Y-83982432D01* +X131182895Y-84011710D01* +X131186200Y-84045267D01* +X131186200Y-87878733D01* +X131182895Y-87912290D01* +X131174014Y-87941568D01* +X131159594Y-87968545D01* +X131140190Y-87992190D01* +X131116545Y-88011594D01* +X131089568Y-88026014D01* +X131060290Y-88034895D01* +X131026733Y-88038200D01* +X130593267Y-88038200D01* +X130559710Y-88034895D01* +X130530432Y-88026014D01* +X130503455Y-88011594D01* +X130479810Y-87992190D01* +X130460406Y-87968545D01* +X130445986Y-87941568D01* +X130437105Y-87912290D01* +X130433800Y-87878733D01* +X130433800Y-84045267D01* +X130437105Y-84011710D01* +X130445986Y-83982432D01* +X130460406Y-83955455D01* +X130479810Y-83931810D01* +X130503455Y-83912406D01* +X130530432Y-83897986D01* +X130559710Y-83889105D01* +X130593267Y-83885800D01* +X131026733Y-83885800D01* +X131060290Y-83889105D01* +X131060290Y-83889105D01* +G37* +G36* +X100580290Y-83889105D02* +G01* +X100609568Y-83897986D01* +X100636545Y-83912406D01* +X100660190Y-83931810D01* +X100679594Y-83955455D01* +X100694014Y-83982432D01* +X100702895Y-84011710D01* +X100706200Y-84045267D01* +X100706200Y-87878733D01* +X100702895Y-87912290D01* +X100694014Y-87941568D01* +X100679594Y-87968545D01* +X100660190Y-87992190D01* +X100636545Y-88011594D01* +X100609568Y-88026014D01* +X100580290Y-88034895D01* +X100546733Y-88038200D01* +X100113267Y-88038200D01* +X100079710Y-88034895D01* +X100050432Y-88026014D01* +X100023455Y-88011594D01* +X99999810Y-87992190D01* +X99980406Y-87968545D01* +X99965986Y-87941568D01* +X99957105Y-87912290D01* +X99953800Y-87878733D01* +X99953800Y-84045267D01* +X99957105Y-84011710D01* +X99965986Y-83982432D01* +X99980406Y-83955455D01* +X99999810Y-83931810D01* +X100023455Y-83912406D01* +X100050432Y-83897986D01* +X100079710Y-83889105D01* +X100113267Y-83885800D01* +X100546733Y-83885800D01* +X100580290Y-83889105D01* +X100580290Y-83889105D01* +G37* +G36* +X87880290Y-83889105D02* +G01* +X87909568Y-83897986D01* +X87936545Y-83912406D01* +X87960190Y-83931810D01* +X87979594Y-83955455D01* +X87994014Y-83982432D01* +X88002895Y-84011710D01* +X88006200Y-84045267D01* +X88006200Y-87878733D01* +X88002895Y-87912290D01* +X87994014Y-87941568D01* +X87979594Y-87968545D01* +X87960190Y-87992190D01* +X87936545Y-88011594D01* +X87909568Y-88026014D01* +X87880290Y-88034895D01* +X87846733Y-88038200D01* +X87413267Y-88038200D01* +X87379710Y-88034895D01* +X87350432Y-88026014D01* +X87323455Y-88011594D01* +X87299810Y-87992190D01* +X87280406Y-87968545D01* +X87265986Y-87941568D01* +X87257105Y-87912290D01* +X87253800Y-87878733D01* +X87253800Y-84045267D01* +X87257105Y-84011710D01* +X87265986Y-83982432D01* +X87280406Y-83955455D01* +X87299810Y-83931810D01* +X87323455Y-83912406D01* +X87350432Y-83897986D01* +X87379710Y-83889105D01* +X87413267Y-83885800D01* +X87846733Y-83885800D01* +X87880290Y-83889105D01* +X87880290Y-83889105D01* +G37* +G36* +X96770290Y-83889105D02* +G01* +X96799568Y-83897986D01* +X96826545Y-83912406D01* +X96850190Y-83931810D01* +X96869594Y-83955455D01* +X96884014Y-83982432D01* +X96892895Y-84011710D01* +X96896200Y-84045267D01* +X96896200Y-87878733D01* +X96892895Y-87912290D01* +X96884014Y-87941568D01* +X96869594Y-87968545D01* +X96850190Y-87992190D01* +X96826545Y-88011594D01* +X96799568Y-88026014D01* +X96770290Y-88034895D01* +X96736733Y-88038200D01* +X96303267Y-88038200D01* +X96269710Y-88034895D01* +X96240432Y-88026014D01* +X96213455Y-88011594D01* +X96189810Y-87992190D01* +X96170406Y-87968545D01* +X96155986Y-87941568D01* +X96147105Y-87912290D01* +X96143800Y-87878733D01* +X96143800Y-84045267D01* +X96147105Y-84011710D01* +X96155986Y-83982432D01* +X96170406Y-83955455D01* +X96189810Y-83931810D01* +X96213455Y-83912406D01* +X96240432Y-83897986D01* +X96269710Y-83889105D01* +X96303267Y-83885800D01* +X96736733Y-83885800D01* +X96770290Y-83889105D01* +X96770290Y-83889105D01* +G37* +G36* +X89150290Y-83889105D02* +G01* +X89179568Y-83897986D01* +X89206545Y-83912406D01* +X89230190Y-83931810D01* +X89249594Y-83955455D01* +X89264014Y-83982432D01* +X89272895Y-84011710D01* +X89276200Y-84045267D01* +X89276200Y-87878733D01* +X89272895Y-87912290D01* +X89264014Y-87941568D01* +X89249594Y-87968545D01* +X89230190Y-87992190D01* +X89206545Y-88011594D01* +X89179568Y-88026014D01* +X89150290Y-88034895D01* +X89116733Y-88038200D01* +X88683267Y-88038200D01* +X88649710Y-88034895D01* +X88620432Y-88026014D01* +X88593455Y-88011594D01* +X88569810Y-87992190D01* +X88550406Y-87968545D01* +X88535986Y-87941568D01* +X88527105Y-87912290D01* +X88523800Y-87878733D01* +X88523800Y-84045267D01* +X88527105Y-84011710D01* +X88535986Y-83982432D01* +X88550406Y-83955455D01* +X88569810Y-83931810D01* +X88593455Y-83912406D01* +X88620432Y-83897986D01* +X88649710Y-83889105D01* +X88683267Y-83885800D01* +X89116733Y-83885800D01* +X89150290Y-83889105D01* +X89150290Y-83889105D01* +G37* +G36* +X94230290Y-83889105D02* +G01* +X94259568Y-83897986D01* +X94286545Y-83912406D01* +X94310190Y-83931810D01* +X94329594Y-83955455D01* +X94344014Y-83982432D01* +X94352895Y-84011710D01* +X94356200Y-84045267D01* +X94356200Y-87878733D01* +X94352895Y-87912290D01* +X94344014Y-87941568D01* +X94329594Y-87968545D01* +X94310190Y-87992190D01* +X94286545Y-88011594D01* +X94259568Y-88026014D01* +X94230290Y-88034895D01* +X94196733Y-88038200D01* +X93763267Y-88038200D01* +X93729710Y-88034895D01* +X93700432Y-88026014D01* +X93673455Y-88011594D01* +X93649810Y-87992190D01* +X93630406Y-87968545D01* +X93615986Y-87941568D01* +X93607105Y-87912290D01* +X93603800Y-87878733D01* +X93603800Y-84045267D01* +X93607105Y-84011710D01* +X93615986Y-83982432D01* +X93630406Y-83955455D01* +X93649810Y-83931810D01* +X93673455Y-83912406D01* +X93700432Y-83897986D01* +X93729710Y-83889105D01* +X93763267Y-83885800D01* +X94196733Y-83885800D01* +X94230290Y-83889105D01* +X94230290Y-83889105D01* +G37* +G36* +X90420290Y-83889105D02* +G01* +X90449568Y-83897986D01* +X90476545Y-83912406D01* +X90500190Y-83931810D01* +X90519594Y-83955455D01* +X90534014Y-83982432D01* +X90542895Y-84011710D01* +X90546200Y-84045267D01* +X90546200Y-87878733D01* +X90542895Y-87912290D01* +X90534014Y-87941568D01* +X90519594Y-87968545D01* +X90500190Y-87992190D01* +X90476545Y-88011594D01* +X90449568Y-88026014D01* +X90420290Y-88034895D01* +X90386733Y-88038200D01* +X89953267Y-88038200D01* +X89919710Y-88034895D01* +X89890432Y-88026014D01* +X89863455Y-88011594D01* +X89839810Y-87992190D01* +X89820406Y-87968545D01* +X89805986Y-87941568D01* +X89797105Y-87912290D01* +X89793800Y-87878733D01* +X89793800Y-84045267D01* +X89797105Y-84011710D01* +X89805986Y-83982432D01* +X89820406Y-83955455D01* +X89839810Y-83931810D01* +X89863455Y-83912406D01* +X89890432Y-83897986D01* +X89919710Y-83889105D01* +X89953267Y-83885800D01* +X90386733Y-83885800D01* +X90420290Y-83889105D01* +X90420290Y-83889105D01* +G37* +G36* +X91690290Y-83889105D02* +G01* +X91719568Y-83897986D01* +X91746545Y-83912406D01* +X91770190Y-83931810D01* +X91789594Y-83955455D01* +X91804014Y-83982432D01* +X91812895Y-84011710D01* +X91816200Y-84045267D01* +X91816200Y-87878733D01* +X91812895Y-87912290D01* +X91804014Y-87941568D01* +X91789594Y-87968545D01* +X91770190Y-87992190D01* +X91746545Y-88011594D01* +X91719568Y-88026014D01* +X91690290Y-88034895D01* +X91656733Y-88038200D01* +X91223267Y-88038200D01* +X91189710Y-88034895D01* +X91160432Y-88026014D01* +X91133455Y-88011594D01* +X91109810Y-87992190D01* +X91090406Y-87968545D01* +X91075986Y-87941568D01* +X91067105Y-87912290D01* +X91063800Y-87878733D01* +X91063800Y-84045267D01* +X91067105Y-84011710D01* +X91075986Y-83982432D01* +X91090406Y-83955455D01* +X91109810Y-83931810D01* +X91133455Y-83912406D01* +X91160432Y-83897986D01* +X91189710Y-83889105D01* +X91223267Y-83885800D01* +X91656733Y-83885800D01* +X91690290Y-83889105D01* +X91690290Y-83889105D01* +G37* +G36* +X92960290Y-83889105D02* +G01* +X92989568Y-83897986D01* +X93016545Y-83912406D01* +X93040190Y-83931810D01* +X93059594Y-83955455D01* +X93074014Y-83982432D01* +X93082895Y-84011710D01* +X93086200Y-84045267D01* +X93086200Y-87878733D01* +X93082895Y-87912290D01* +X93074014Y-87941568D01* +X93059594Y-87968545D01* +X93040190Y-87992190D01* +X93016545Y-88011594D01* +X92989568Y-88026014D01* +X92960290Y-88034895D01* +X92926733Y-88038200D01* +X92493267Y-88038200D01* +X92459710Y-88034895D01* +X92430432Y-88026014D01* +X92403455Y-88011594D01* +X92379810Y-87992190D01* +X92360406Y-87968545D01* +X92345986Y-87941568D01* +X92337105Y-87912290D01* +X92333800Y-87878733D01* +X92333800Y-84045267D01* +X92337105Y-84011710D01* +X92345986Y-83982432D01* +X92360406Y-83955455D01* +X92379810Y-83931810D01* +X92403455Y-83912406D01* +X92430432Y-83897986D01* +X92459710Y-83889105D01* +X92493267Y-83885800D01* +X92926733Y-83885800D01* +X92960290Y-83889105D01* +X92960290Y-83889105D01* +G37* +G36* +X98040290Y-83889105D02* +G01* +X98069568Y-83897986D01* +X98096545Y-83912406D01* +X98120190Y-83931810D01* +X98139594Y-83955455D01* +X98154014Y-83982432D01* +X98162895Y-84011710D01* +X98166200Y-84045267D01* +X98166200Y-87878733D01* +X98162895Y-87912290D01* +X98154014Y-87941568D01* +X98139594Y-87968545D01* +X98120190Y-87992190D01* +X98096545Y-88011594D01* +X98069568Y-88026014D01* +X98040290Y-88034895D01* +X98006733Y-88038200D01* +X97573267Y-88038200D01* +X97539710Y-88034895D01* +X97510432Y-88026014D01* +X97483455Y-88011594D01* +X97459810Y-87992190D01* +X97440406Y-87968545D01* +X97425986Y-87941568D01* +X97417105Y-87912290D01* +X97413800Y-87878733D01* +X97413800Y-84045267D01* +X97417105Y-84011710D01* +X97425986Y-83982432D01* +X97440406Y-83955455D01* +X97459810Y-83931810D01* +X97483455Y-83912406D01* +X97510432Y-83897986D01* +X97539710Y-83889105D01* +X97573267Y-83885800D01* +X98006733Y-83885800D01* +X98040290Y-83889105D01* +X98040290Y-83889105D01* +G37* +G36* +X99310290Y-83889105D02* +G01* +X99339568Y-83897986D01* +X99366545Y-83912406D01* +X99390190Y-83931810D01* +X99409594Y-83955455D01* +X99424014Y-83982432D01* +X99432895Y-84011710D01* +X99436200Y-84045267D01* +X99436200Y-87878733D01* +X99432895Y-87912290D01* +X99424014Y-87941568D01* +X99409594Y-87968545D01* +X99390190Y-87992190D01* +X99366545Y-88011594D01* +X99339568Y-88026014D01* +X99310290Y-88034895D01* +X99276733Y-88038200D01* +X98843267Y-88038200D01* +X98809710Y-88034895D01* +X98780432Y-88026014D01* +X98753455Y-88011594D01* +X98729810Y-87992190D01* +X98710406Y-87968545D01* +X98695986Y-87941568D01* +X98687105Y-87912290D01* +X98683800Y-87878733D01* +X98683800Y-84045267D01* +X98687105Y-84011710D01* +X98695986Y-83982432D01* +X98710406Y-83955455D01* +X98729810Y-83931810D01* +X98753455Y-83912406D01* +X98780432Y-83897986D01* +X98809710Y-83889105D01* +X98843267Y-83885800D01* +X99276733Y-83885800D01* +X99310290Y-83889105D01* +X99310290Y-83889105D01* +G37* +G36* +X101850290Y-83889105D02* +G01* +X101879568Y-83897986D01* +X101906545Y-83912406D01* +X101930190Y-83931810D01* +X101949594Y-83955455D01* +X101964014Y-83982432D01* +X101972895Y-84011710D01* +X101976200Y-84045267D01* +X101976200Y-87878733D01* +X101972895Y-87912290D01* +X101964014Y-87941568D01* +X101949594Y-87968545D01* +X101930190Y-87992190D01* +X101906545Y-88011594D01* +X101879568Y-88026014D01* +X101850290Y-88034895D01* +X101816733Y-88038200D01* +X101383267Y-88038200D01* +X101349710Y-88034895D01* +X101320432Y-88026014D01* +X101293455Y-88011594D01* +X101269810Y-87992190D01* +X101250406Y-87968545D01* +X101235986Y-87941568D01* +X101227105Y-87912290D01* +X101223800Y-87878733D01* +X101223800Y-84045267D01* +X101227105Y-84011710D01* +X101235986Y-83982432D01* +X101250406Y-83955455D01* +X101269810Y-83931810D01* +X101293455Y-83912406D01* +X101320432Y-83897986D01* +X101349710Y-83889105D01* +X101383267Y-83885800D01* +X101816733Y-83885800D01* +X101850290Y-83889105D01* +X101850290Y-83889105D01* +G37* +G36* +X103120290Y-83889105D02* +G01* +X103149568Y-83897986D01* +X103176545Y-83912406D01* +X103200190Y-83931810D01* +X103219594Y-83955455D01* +X103234014Y-83982432D01* +X103242895Y-84011710D01* +X103246200Y-84045267D01* +X103246200Y-87878733D01* +X103242895Y-87912290D01* +X103234014Y-87941568D01* +X103219594Y-87968545D01* +X103200190Y-87992190D01* +X103176545Y-88011594D01* +X103149568Y-88026014D01* +X103120290Y-88034895D01* +X103086733Y-88038200D01* +X102653267Y-88038200D01* +X102619710Y-88034895D01* +X102590432Y-88026014D01* +X102563455Y-88011594D01* +X102539810Y-87992190D01* +X102520406Y-87968545D01* +X102505986Y-87941568D01* +X102497105Y-87912290D01* +X102493800Y-87878733D01* +X102493800Y-84045267D01* +X102497105Y-84011710D01* +X102505986Y-83982432D01* +X102520406Y-83955455D01* +X102539810Y-83931810D01* +X102563455Y-83912406D01* +X102590432Y-83897986D01* +X102619710Y-83889105D01* +X102653267Y-83885800D01* +X103086733Y-83885800D01* +X103120290Y-83889105D01* +X103120290Y-83889105D01* +G37* +M02* diff --git a/gerber/simm-30-4mb-F_Paste.gtp b/gerber/simm-30-4mb-F_Paste.gtp new file mode 100644 index 0000000..8100a93 --- /dev/null +++ b/gerber/simm-30-4mb-F_Paste.gtp @@ -0,0 +1,4425 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Paste,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,0.973800*% +%ADD12C,0.523800*% +G04 APERTURE END LIST* +D10* +G36* +X85532312Y-93621272D02* +G01* +X85555945Y-93624778D01* +X85579120Y-93630583D01* +X85601614Y-93638632D01* +X85623212Y-93648846D01* +X85643704Y-93661129D01* +X85662893Y-93675361D01* +X85680595Y-93691405D01* +X85696639Y-93709107D01* +X85710871Y-93728296D01* +X85723154Y-93748788D01* +X85733368Y-93770386D01* +X85741417Y-93792880D01* +X85747222Y-93816055D01* +X85750728Y-93839688D01* +X85751900Y-93863550D01* +X85751900Y-94350450D01* +X85750728Y-94374312D01* +X85747222Y-94397945D01* +X85741417Y-94421120D01* +X85733368Y-94443614D01* +X85723154Y-94465212D01* +X85710871Y-94485704D01* +X85696639Y-94504893D01* +X85680595Y-94522595D01* +X85662893Y-94538639D01* +X85643704Y-94552871D01* +X85623212Y-94565154D01* +X85601614Y-94575368D01* +X85579120Y-94583417D01* +X85555945Y-94589222D01* +X85532312Y-94592728D01* +X85508450Y-94593900D01* +X84671550Y-94593900D01* +X84647688Y-94592728D01* +X84624055Y-94589222D01* +X84600880Y-94583417D01* +X84578386Y-94575368D01* +X84556788Y-94565154D01* +X84536296Y-94552871D01* +X84517107Y-94538639D01* +X84499405Y-94522595D01* +X84483361Y-94504893D01* +X84469129Y-94485704D01* +X84456846Y-94465212D01* +X84446632Y-94443614D01* +X84438583Y-94421120D01* +X84432778Y-94397945D01* +X84429272Y-94374312D01* +X84428100Y-94350450D01* +X84428100Y-93863550D01* +X84429272Y-93839688D01* +X84432778Y-93816055D01* +X84438583Y-93792880D01* +X84446632Y-93770386D01* +X84456846Y-93748788D01* +X84469129Y-93728296D01* +X84483361Y-93709107D01* +X84499405Y-93691405D01* +X84517107Y-93675361D01* +X84536296Y-93661129D01* +X84556788Y-93648846D01* +X84578386Y-93638632D01* +X84600880Y-93630583D01* +X84624055Y-93624778D01* +X84647688Y-93621272D01* +X84671550Y-93620100D01* +X85508450Y-93620100D01* +X85532312Y-93621272D01* +X85532312Y-93621272D01* +G37* +D11* +X85090000Y-94107000D03* +D10* +G36* +X85532312Y-91921272D02* +G01* +X85555945Y-91924778D01* +X85579120Y-91930583D01* +X85601614Y-91938632D01* +X85623212Y-91948846D01* +X85643704Y-91961129D01* +X85662893Y-91975361D01* +X85680595Y-91991405D01* +X85696639Y-92009107D01* +X85710871Y-92028296D01* +X85723154Y-92048788D01* +X85733368Y-92070386D01* +X85741417Y-92092880D01* +X85747222Y-92116055D01* +X85750728Y-92139688D01* +X85751900Y-92163550D01* +X85751900Y-92650450D01* +X85750728Y-92674312D01* +X85747222Y-92697945D01* +X85741417Y-92721120D01* +X85733368Y-92743614D01* +X85723154Y-92765212D01* +X85710871Y-92785704D01* +X85696639Y-92804893D01* +X85680595Y-92822595D01* +X85662893Y-92838639D01* +X85643704Y-92852871D01* +X85623212Y-92865154D01* +X85601614Y-92875368D01* +X85579120Y-92883417D01* +X85555945Y-92889222D01* +X85532312Y-92892728D01* +X85508450Y-92893900D01* +X84671550Y-92893900D01* +X84647688Y-92892728D01* +X84624055Y-92889222D01* +X84600880Y-92883417D01* +X84578386Y-92875368D01* +X84556788Y-92865154D01* +X84536296Y-92852871D01* +X84517107Y-92838639D01* +X84499405Y-92822595D01* +X84483361Y-92804893D01* +X84469129Y-92785704D01* +X84456846Y-92765212D01* +X84446632Y-92743614D01* +X84438583Y-92721120D01* +X84432778Y-92697945D01* +X84429272Y-92674312D01* +X84428100Y-92650450D01* +X84428100Y-92163550D01* +X84429272Y-92139688D01* +X84432778Y-92116055D01* +X84438583Y-92092880D01* +X84446632Y-92070386D01* +X84456846Y-92048788D01* +X84469129Y-92028296D01* +X84483361Y-92009107D01* +X84499405Y-91991405D01* +X84517107Y-91975361D01* +X84536296Y-91961129D01* +X84556788Y-91948846D01* +X84578386Y-91938632D01* +X84600880Y-91930583D01* +X84624055Y-91924778D01* +X84647688Y-91921272D01* +X84671550Y-91920100D01* +X85508450Y-91920100D01* +X85532312Y-91921272D01* +X85532312Y-91921272D01* +G37* +D11* +X85090000Y-92407000D03* +D10* +G36* +X122063785Y-84000731D02* +G01* +X122076497Y-84002616D01* +X122088963Y-84005739D01* +X122101062Y-84010068D01* +X122112679Y-84015562D01* +X122123702Y-84022169D01* +X122134024Y-84029824D01* +X122143546Y-84038454D01* +X122152176Y-84047976D01* +X122159831Y-84058298D01* +X122166438Y-84069321D01* +X122171932Y-84080938D01* +X122176261Y-84093037D01* +X122179384Y-84105503D01* +X122181269Y-84118215D01* +X122181900Y-84131050D01* +X122181900Y-86292950D01* +X122181269Y-86305785D01* +X122179384Y-86318497D01* +X122176261Y-86330963D01* +X122171932Y-86343062D01* +X122166438Y-86354679D01* +X122159831Y-86365702D01* +X122152176Y-86376024D01* +X122143546Y-86385546D01* +X122134024Y-86394176D01* +X122123702Y-86401831D01* +X122112679Y-86408438D01* +X122101062Y-86413932D01* +X122088963Y-86418261D01* +X122076497Y-86421384D01* +X122063785Y-86423269D01* +X122050950Y-86423900D01* +X121789050Y-86423900D01* +X121776215Y-86423269D01* +X121763503Y-86421384D01* +X121751037Y-86418261D01* +X121738938Y-86413932D01* +X121727321Y-86408438D01* +X121716298Y-86401831D01* +X121705976Y-86394176D01* +X121696454Y-86385546D01* +X121687824Y-86376024D01* +X121680169Y-86365702D01* +X121673562Y-86354679D01* +X121668068Y-86343062D01* +X121663739Y-86330963D01* +X121660616Y-86318497D01* +X121658731Y-86305785D01* +X121658100Y-86292950D01* +X121658100Y-84131050D01* +X121658731Y-84118215D01* +X121660616Y-84105503D01* +X121663739Y-84093037D01* +X121668068Y-84080938D01* +X121673562Y-84069321D01* +X121680169Y-84058298D01* +X121687824Y-84047976D01* +X121696454Y-84038454D01* +X121705976Y-84029824D01* +X121716298Y-84022169D01* +X121727321Y-84015562D01* +X121738938Y-84010068D01* +X121751037Y-84005739D01* +X121763503Y-84002616D01* +X121776215Y-84000731D01* +X121789050Y-84000100D01* +X122050950Y-84000100D01* +X122063785Y-84000731D01* +X122063785Y-84000731D01* +G37* +D12* +X121920000Y-85212000D03* +D10* +G36* +X123333785Y-84000731D02* +G01* +X123346497Y-84002616D01* +X123358963Y-84005739D01* +X123371062Y-84010068D01* +X123382679Y-84015562D01* +X123393702Y-84022169D01* +X123404024Y-84029824D01* +X123413546Y-84038454D01* +X123422176Y-84047976D01* +X123429831Y-84058298D01* +X123436438Y-84069321D01* +X123441932Y-84080938D01* +X123446261Y-84093037D01* +X123449384Y-84105503D01* +X123451269Y-84118215D01* +X123451900Y-84131050D01* +X123451900Y-86292950D01* +X123451269Y-86305785D01* +X123449384Y-86318497D01* +X123446261Y-86330963D01* +X123441932Y-86343062D01* +X123436438Y-86354679D01* +X123429831Y-86365702D01* +X123422176Y-86376024D01* +X123413546Y-86385546D01* +X123404024Y-86394176D01* +X123393702Y-86401831D01* +X123382679Y-86408438D01* +X123371062Y-86413932D01* +X123358963Y-86418261D01* +X123346497Y-86421384D01* +X123333785Y-86423269D01* +X123320950Y-86423900D01* +X123059050Y-86423900D01* +X123046215Y-86423269D01* +X123033503Y-86421384D01* +X123021037Y-86418261D01* +X123008938Y-86413932D01* +X122997321Y-86408438D01* +X122986298Y-86401831D01* +X122975976Y-86394176D01* +X122966454Y-86385546D01* +X122957824Y-86376024D01* +X122950169Y-86365702D01* +X122943562Y-86354679D01* +X122938068Y-86343062D01* +X122933739Y-86330963D01* +X122930616Y-86318497D01* +X122928731Y-86305785D01* +X122928100Y-86292950D01* +X122928100Y-84131050D01* +X122928731Y-84118215D01* +X122930616Y-84105503D01* +X122933739Y-84093037D01* +X122938068Y-84080938D01* +X122943562Y-84069321D01* +X122950169Y-84058298D01* +X122957824Y-84047976D01* +X122966454Y-84038454D01* +X122975976Y-84029824D01* +X122986298Y-84022169D01* +X122997321Y-84015562D01* +X123008938Y-84010068D01* +X123021037Y-84005739D01* +X123033503Y-84002616D01* +X123046215Y-84000731D01* +X123059050Y-84000100D01* +X123320950Y-84000100D01* +X123333785Y-84000731D01* +X123333785Y-84000731D01* +G37* +D12* +X123190000Y-85212000D03* +D10* +G36* +X124603785Y-84000731D02* +G01* +X124616497Y-84002616D01* +X124628963Y-84005739D01* +X124641062Y-84010068D01* +X124652679Y-84015562D01* +X124663702Y-84022169D01* +X124674024Y-84029824D01* +X124683546Y-84038454D01* +X124692176Y-84047976D01* +X124699831Y-84058298D01* +X124706438Y-84069321D01* +X124711932Y-84080938D01* +X124716261Y-84093037D01* +X124719384Y-84105503D01* +X124721269Y-84118215D01* +X124721900Y-84131050D01* +X124721900Y-86292950D01* +X124721269Y-86305785D01* +X124719384Y-86318497D01* +X124716261Y-86330963D01* +X124711932Y-86343062D01* +X124706438Y-86354679D01* +X124699831Y-86365702D01* +X124692176Y-86376024D01* +X124683546Y-86385546D01* +X124674024Y-86394176D01* +X124663702Y-86401831D01* +X124652679Y-86408438D01* +X124641062Y-86413932D01* +X124628963Y-86418261D01* +X124616497Y-86421384D01* +X124603785Y-86423269D01* +X124590950Y-86423900D01* +X124329050Y-86423900D01* +X124316215Y-86423269D01* +X124303503Y-86421384D01* +X124291037Y-86418261D01* +X124278938Y-86413932D01* +X124267321Y-86408438D01* +X124256298Y-86401831D01* +X124245976Y-86394176D01* +X124236454Y-86385546D01* +X124227824Y-86376024D01* +X124220169Y-86365702D01* +X124213562Y-86354679D01* +X124208068Y-86343062D01* +X124203739Y-86330963D01* +X124200616Y-86318497D01* +X124198731Y-86305785D01* +X124198100Y-86292950D01* +X124198100Y-84131050D01* +X124198731Y-84118215D01* +X124200616Y-84105503D01* +X124203739Y-84093037D01* +X124208068Y-84080938D01* +X124213562Y-84069321D01* +X124220169Y-84058298D01* +X124227824Y-84047976D01* +X124236454Y-84038454D01* +X124245976Y-84029824D01* +X124256298Y-84022169D01* +X124267321Y-84015562D01* +X124278938Y-84010068D01* +X124291037Y-84005739D01* +X124303503Y-84002616D01* +X124316215Y-84000731D01* +X124329050Y-84000100D01* +X124590950Y-84000100D01* +X124603785Y-84000731D01* +X124603785Y-84000731D01* +G37* +D12* +X124460000Y-85212000D03* +D10* +G36* +X125873785Y-84000731D02* +G01* +X125886497Y-84002616D01* +X125898963Y-84005739D01* +X125911062Y-84010068D01* +X125922679Y-84015562D01* +X125933702Y-84022169D01* +X125944024Y-84029824D01* +X125953546Y-84038454D01* +X125962176Y-84047976D01* +X125969831Y-84058298D01* +X125976438Y-84069321D01* +X125981932Y-84080938D01* +X125986261Y-84093037D01* +X125989384Y-84105503D01* +X125991269Y-84118215D01* +X125991900Y-84131050D01* +X125991900Y-86292950D01* +X125991269Y-86305785D01* +X125989384Y-86318497D01* +X125986261Y-86330963D01* +X125981932Y-86343062D01* +X125976438Y-86354679D01* +X125969831Y-86365702D01* +X125962176Y-86376024D01* +X125953546Y-86385546D01* +X125944024Y-86394176D01* +X125933702Y-86401831D01* +X125922679Y-86408438D01* +X125911062Y-86413932D01* +X125898963Y-86418261D01* +X125886497Y-86421384D01* +X125873785Y-86423269D01* +X125860950Y-86423900D01* +X125599050Y-86423900D01* +X125586215Y-86423269D01* +X125573503Y-86421384D01* +X125561037Y-86418261D01* +X125548938Y-86413932D01* +X125537321Y-86408438D01* +X125526298Y-86401831D01* +X125515976Y-86394176D01* +X125506454Y-86385546D01* +X125497824Y-86376024D01* +X125490169Y-86365702D01* +X125483562Y-86354679D01* +X125478068Y-86343062D01* +X125473739Y-86330963D01* +X125470616Y-86318497D01* +X125468731Y-86305785D01* +X125468100Y-86292950D01* +X125468100Y-84131050D01* +X125468731Y-84118215D01* +X125470616Y-84105503D01* +X125473739Y-84093037D01* +X125478068Y-84080938D01* +X125483562Y-84069321D01* +X125490169Y-84058298D01* +X125497824Y-84047976D01* +X125506454Y-84038454D01* +X125515976Y-84029824D01* +X125526298Y-84022169D01* +X125537321Y-84015562D01* +X125548938Y-84010068D01* +X125561037Y-84005739D01* +X125573503Y-84002616D01* +X125586215Y-84000731D01* +X125599050Y-84000100D01* +X125860950Y-84000100D01* +X125873785Y-84000731D01* +X125873785Y-84000731D01* +G37* +D12* +X125730000Y-85212000D03* +D10* +G36* +X127143785Y-84000731D02* +G01* +X127156497Y-84002616D01* +X127168963Y-84005739D01* +X127181062Y-84010068D01* +X127192679Y-84015562D01* +X127203702Y-84022169D01* +X127214024Y-84029824D01* +X127223546Y-84038454D01* +X127232176Y-84047976D01* +X127239831Y-84058298D01* +X127246438Y-84069321D01* +X127251932Y-84080938D01* +X127256261Y-84093037D01* +X127259384Y-84105503D01* +X127261269Y-84118215D01* +X127261900Y-84131050D01* +X127261900Y-86292950D01* +X127261269Y-86305785D01* +X127259384Y-86318497D01* +X127256261Y-86330963D01* +X127251932Y-86343062D01* +X127246438Y-86354679D01* +X127239831Y-86365702D01* +X127232176Y-86376024D01* +X127223546Y-86385546D01* +X127214024Y-86394176D01* +X127203702Y-86401831D01* +X127192679Y-86408438D01* +X127181062Y-86413932D01* +X127168963Y-86418261D01* +X127156497Y-86421384D01* +X127143785Y-86423269D01* +X127130950Y-86423900D01* +X126869050Y-86423900D01* +X126856215Y-86423269D01* +X126843503Y-86421384D01* +X126831037Y-86418261D01* +X126818938Y-86413932D01* +X126807321Y-86408438D01* +X126796298Y-86401831D01* +X126785976Y-86394176D01* +X126776454Y-86385546D01* +X126767824Y-86376024D01* +X126760169Y-86365702D01* +X126753562Y-86354679D01* +X126748068Y-86343062D01* +X126743739Y-86330963D01* +X126740616Y-86318497D01* +X126738731Y-86305785D01* +X126738100Y-86292950D01* +X126738100Y-84131050D01* +X126738731Y-84118215D01* +X126740616Y-84105503D01* +X126743739Y-84093037D01* +X126748068Y-84080938D01* +X126753562Y-84069321D01* +X126760169Y-84058298D01* +X126767824Y-84047976D01* +X126776454Y-84038454D01* +X126785976Y-84029824D01* +X126796298Y-84022169D01* +X126807321Y-84015562D01* +X126818938Y-84010068D01* +X126831037Y-84005739D01* +X126843503Y-84002616D01* +X126856215Y-84000731D01* +X126869050Y-84000100D01* +X127130950Y-84000100D01* +X127143785Y-84000731D01* +X127143785Y-84000731D01* +G37* +D12* +X127000000Y-85212000D03* +D10* +G36* +X128413785Y-84000731D02* +G01* +X128426497Y-84002616D01* +X128438963Y-84005739D01* +X128451062Y-84010068D01* +X128462679Y-84015562D01* +X128473702Y-84022169D01* +X128484024Y-84029824D01* +X128493546Y-84038454D01* +X128502176Y-84047976D01* +X128509831Y-84058298D01* +X128516438Y-84069321D01* +X128521932Y-84080938D01* +X128526261Y-84093037D01* +X128529384Y-84105503D01* +X128531269Y-84118215D01* +X128531900Y-84131050D01* +X128531900Y-86292950D01* +X128531269Y-86305785D01* +X128529384Y-86318497D01* +X128526261Y-86330963D01* +X128521932Y-86343062D01* +X128516438Y-86354679D01* +X128509831Y-86365702D01* +X128502176Y-86376024D01* +X128493546Y-86385546D01* +X128484024Y-86394176D01* +X128473702Y-86401831D01* +X128462679Y-86408438D01* +X128451062Y-86413932D01* +X128438963Y-86418261D01* +X128426497Y-86421384D01* +X128413785Y-86423269D01* +X128400950Y-86423900D01* +X128139050Y-86423900D01* +X128126215Y-86423269D01* +X128113503Y-86421384D01* +X128101037Y-86418261D01* +X128088938Y-86413932D01* +X128077321Y-86408438D01* +X128066298Y-86401831D01* +X128055976Y-86394176D01* +X128046454Y-86385546D01* +X128037824Y-86376024D01* +X128030169Y-86365702D01* +X128023562Y-86354679D01* +X128018068Y-86343062D01* +X128013739Y-86330963D01* +X128010616Y-86318497D01* +X128008731Y-86305785D01* +X128008100Y-86292950D01* +X128008100Y-84131050D01* +X128008731Y-84118215D01* +X128010616Y-84105503D01* +X128013739Y-84093037D01* +X128018068Y-84080938D01* +X128023562Y-84069321D01* +X128030169Y-84058298D01* +X128037824Y-84047976D01* +X128046454Y-84038454D01* +X128055976Y-84029824D01* +X128066298Y-84022169D01* +X128077321Y-84015562D01* +X128088938Y-84010068D01* +X128101037Y-84005739D01* +X128113503Y-84002616D01* +X128126215Y-84000731D01* +X128139050Y-84000100D01* +X128400950Y-84000100D01* +X128413785Y-84000731D01* +X128413785Y-84000731D01* +G37* +D12* +X128270000Y-85212000D03* +D10* +G36* +X130953785Y-84000731D02* +G01* +X130966497Y-84002616D01* +X130978963Y-84005739D01* +X130991062Y-84010068D01* +X131002679Y-84015562D01* +X131013702Y-84022169D01* +X131024024Y-84029824D01* +X131033546Y-84038454D01* +X131042176Y-84047976D01* +X131049831Y-84058298D01* +X131056438Y-84069321D01* +X131061932Y-84080938D01* +X131066261Y-84093037D01* +X131069384Y-84105503D01* +X131071269Y-84118215D01* +X131071900Y-84131050D01* +X131071900Y-86292950D01* +X131071269Y-86305785D01* +X131069384Y-86318497D01* +X131066261Y-86330963D01* +X131061932Y-86343062D01* +X131056438Y-86354679D01* +X131049831Y-86365702D01* +X131042176Y-86376024D01* +X131033546Y-86385546D01* +X131024024Y-86394176D01* +X131013702Y-86401831D01* +X131002679Y-86408438D01* +X130991062Y-86413932D01* +X130978963Y-86418261D01* +X130966497Y-86421384D01* +X130953785Y-86423269D01* +X130940950Y-86423900D01* +X130679050Y-86423900D01* +X130666215Y-86423269D01* +X130653503Y-86421384D01* +X130641037Y-86418261D01* +X130628938Y-86413932D01* +X130617321Y-86408438D01* +X130606298Y-86401831D01* +X130595976Y-86394176D01* +X130586454Y-86385546D01* +X130577824Y-86376024D01* +X130570169Y-86365702D01* +X130563562Y-86354679D01* +X130558068Y-86343062D01* +X130553739Y-86330963D01* +X130550616Y-86318497D01* +X130548731Y-86305785D01* +X130548100Y-86292950D01* +X130548100Y-84131050D01* +X130548731Y-84118215D01* +X130550616Y-84105503D01* +X130553739Y-84093037D01* +X130558068Y-84080938D01* +X130563562Y-84069321D01* +X130570169Y-84058298D01* +X130577824Y-84047976D01* +X130586454Y-84038454D01* +X130595976Y-84029824D01* +X130606298Y-84022169D01* +X130617321Y-84015562D01* +X130628938Y-84010068D01* +X130641037Y-84005739D01* +X130653503Y-84002616D01* +X130666215Y-84000731D01* +X130679050Y-84000100D01* +X130940950Y-84000100D01* +X130953785Y-84000731D01* +X130953785Y-84000731D01* +G37* +D12* +X130810000Y-85212000D03* +D10* +G36* +X132223785Y-84000731D02* +G01* +X132236497Y-84002616D01* +X132248963Y-84005739D01* +X132261062Y-84010068D01* +X132272679Y-84015562D01* +X132283702Y-84022169D01* +X132294024Y-84029824D01* +X132303546Y-84038454D01* +X132312176Y-84047976D01* +X132319831Y-84058298D01* +X132326438Y-84069321D01* +X132331932Y-84080938D01* +X132336261Y-84093037D01* +X132339384Y-84105503D01* +X132341269Y-84118215D01* +X132341900Y-84131050D01* +X132341900Y-86292950D01* +X132341269Y-86305785D01* +X132339384Y-86318497D01* +X132336261Y-86330963D01* +X132331932Y-86343062D01* +X132326438Y-86354679D01* +X132319831Y-86365702D01* +X132312176Y-86376024D01* +X132303546Y-86385546D01* +X132294024Y-86394176D01* +X132283702Y-86401831D01* +X132272679Y-86408438D01* +X132261062Y-86413932D01* +X132248963Y-86418261D01* +X132236497Y-86421384D01* +X132223785Y-86423269D01* +X132210950Y-86423900D01* +X131949050Y-86423900D01* +X131936215Y-86423269D01* +X131923503Y-86421384D01* +X131911037Y-86418261D01* +X131898938Y-86413932D01* +X131887321Y-86408438D01* +X131876298Y-86401831D01* +X131865976Y-86394176D01* +X131856454Y-86385546D01* +X131847824Y-86376024D01* +X131840169Y-86365702D01* +X131833562Y-86354679D01* +X131828068Y-86343062D01* +X131823739Y-86330963D01* +X131820616Y-86318497D01* +X131818731Y-86305785D01* +X131818100Y-86292950D01* +X131818100Y-84131050D01* +X131818731Y-84118215D01* +X131820616Y-84105503D01* +X131823739Y-84093037D01* +X131828068Y-84080938D01* +X131833562Y-84069321D01* +X131840169Y-84058298D01* +X131847824Y-84047976D01* +X131856454Y-84038454D01* +X131865976Y-84029824D01* +X131876298Y-84022169D01* +X131887321Y-84015562D01* +X131898938Y-84010068D01* +X131911037Y-84005739D01* +X131923503Y-84002616D01* +X131936215Y-84000731D01* +X131949050Y-84000100D01* +X132210950Y-84000100D01* +X132223785Y-84000731D01* +X132223785Y-84000731D01* +G37* +D12* +X132080000Y-85212000D03* +D10* +G36* +X133493785Y-84000731D02* +G01* +X133506497Y-84002616D01* +X133518963Y-84005739D01* +X133531062Y-84010068D01* +X133542679Y-84015562D01* +X133553702Y-84022169D01* +X133564024Y-84029824D01* +X133573546Y-84038454D01* +X133582176Y-84047976D01* +X133589831Y-84058298D01* +X133596438Y-84069321D01* +X133601932Y-84080938D01* +X133606261Y-84093037D01* +X133609384Y-84105503D01* +X133611269Y-84118215D01* +X133611900Y-84131050D01* +X133611900Y-86292950D01* +X133611269Y-86305785D01* +X133609384Y-86318497D01* +X133606261Y-86330963D01* +X133601932Y-86343062D01* +X133596438Y-86354679D01* +X133589831Y-86365702D01* +X133582176Y-86376024D01* +X133573546Y-86385546D01* +X133564024Y-86394176D01* +X133553702Y-86401831D01* +X133542679Y-86408438D01* +X133531062Y-86413932D01* +X133518963Y-86418261D01* +X133506497Y-86421384D01* +X133493785Y-86423269D01* +X133480950Y-86423900D01* +X133219050Y-86423900D01* +X133206215Y-86423269D01* +X133193503Y-86421384D01* +X133181037Y-86418261D01* +X133168938Y-86413932D01* +X133157321Y-86408438D01* +X133146298Y-86401831D01* +X133135976Y-86394176D01* +X133126454Y-86385546D01* +X133117824Y-86376024D01* +X133110169Y-86365702D01* +X133103562Y-86354679D01* +X133098068Y-86343062D01* +X133093739Y-86330963D01* +X133090616Y-86318497D01* +X133088731Y-86305785D01* +X133088100Y-86292950D01* +X133088100Y-84131050D01* +X133088731Y-84118215D01* +X133090616Y-84105503D01* +X133093739Y-84093037D01* +X133098068Y-84080938D01* +X133103562Y-84069321D01* +X133110169Y-84058298D01* +X133117824Y-84047976D01* +X133126454Y-84038454D01* +X133135976Y-84029824D01* +X133146298Y-84022169D01* +X133157321Y-84015562D01* +X133168938Y-84010068D01* +X133181037Y-84005739D01* +X133193503Y-84002616D01* +X133206215Y-84000731D01* +X133219050Y-84000100D01* +X133480950Y-84000100D01* +X133493785Y-84000731D01* +X133493785Y-84000731D01* +G37* +D12* +X133350000Y-85212000D03* +D10* +G36* +X134763785Y-84000731D02* +G01* +X134776497Y-84002616D01* +X134788963Y-84005739D01* +X134801062Y-84010068D01* +X134812679Y-84015562D01* +X134823702Y-84022169D01* +X134834024Y-84029824D01* +X134843546Y-84038454D01* +X134852176Y-84047976D01* +X134859831Y-84058298D01* +X134866438Y-84069321D01* +X134871932Y-84080938D01* +X134876261Y-84093037D01* +X134879384Y-84105503D01* +X134881269Y-84118215D01* +X134881900Y-84131050D01* +X134881900Y-86292950D01* +X134881269Y-86305785D01* +X134879384Y-86318497D01* +X134876261Y-86330963D01* +X134871932Y-86343062D01* +X134866438Y-86354679D01* +X134859831Y-86365702D01* +X134852176Y-86376024D01* +X134843546Y-86385546D01* +X134834024Y-86394176D01* +X134823702Y-86401831D01* +X134812679Y-86408438D01* +X134801062Y-86413932D01* +X134788963Y-86418261D01* +X134776497Y-86421384D01* +X134763785Y-86423269D01* +X134750950Y-86423900D01* +X134489050Y-86423900D01* +X134476215Y-86423269D01* +X134463503Y-86421384D01* +X134451037Y-86418261D01* +X134438938Y-86413932D01* +X134427321Y-86408438D01* +X134416298Y-86401831D01* +X134405976Y-86394176D01* +X134396454Y-86385546D01* +X134387824Y-86376024D01* +X134380169Y-86365702D01* +X134373562Y-86354679D01* +X134368068Y-86343062D01* +X134363739Y-86330963D01* +X134360616Y-86318497D01* +X134358731Y-86305785D01* +X134358100Y-86292950D01* +X134358100Y-84131050D01* +X134358731Y-84118215D01* +X134360616Y-84105503D01* +X134363739Y-84093037D01* +X134368068Y-84080938D01* +X134373562Y-84069321D01* +X134380169Y-84058298D01* +X134387824Y-84047976D01* +X134396454Y-84038454D01* +X134405976Y-84029824D01* +X134416298Y-84022169D01* +X134427321Y-84015562D01* +X134438938Y-84010068D01* +X134451037Y-84005739D01* +X134463503Y-84002616D01* +X134476215Y-84000731D01* +X134489050Y-84000100D01* +X134750950Y-84000100D01* +X134763785Y-84000731D01* +X134763785Y-84000731D01* +G37* +D12* +X134620000Y-85212000D03* +D10* +G36* +X136033785Y-84000731D02* +G01* +X136046497Y-84002616D01* +X136058963Y-84005739D01* +X136071062Y-84010068D01* +X136082679Y-84015562D01* +X136093702Y-84022169D01* +X136104024Y-84029824D01* +X136113546Y-84038454D01* +X136122176Y-84047976D01* +X136129831Y-84058298D01* +X136136438Y-84069321D01* +X136141932Y-84080938D01* +X136146261Y-84093037D01* +X136149384Y-84105503D01* +X136151269Y-84118215D01* +X136151900Y-84131050D01* +X136151900Y-86292950D01* +X136151269Y-86305785D01* +X136149384Y-86318497D01* +X136146261Y-86330963D01* +X136141932Y-86343062D01* +X136136438Y-86354679D01* +X136129831Y-86365702D01* +X136122176Y-86376024D01* +X136113546Y-86385546D01* +X136104024Y-86394176D01* +X136093702Y-86401831D01* +X136082679Y-86408438D01* +X136071062Y-86413932D01* +X136058963Y-86418261D01* +X136046497Y-86421384D01* +X136033785Y-86423269D01* +X136020950Y-86423900D01* +X135759050Y-86423900D01* +X135746215Y-86423269D01* +X135733503Y-86421384D01* +X135721037Y-86418261D01* +X135708938Y-86413932D01* +X135697321Y-86408438D01* +X135686298Y-86401831D01* +X135675976Y-86394176D01* +X135666454Y-86385546D01* +X135657824Y-86376024D01* +X135650169Y-86365702D01* +X135643562Y-86354679D01* +X135638068Y-86343062D01* +X135633739Y-86330963D01* +X135630616Y-86318497D01* +X135628731Y-86305785D01* +X135628100Y-86292950D01* +X135628100Y-84131050D01* +X135628731Y-84118215D01* +X135630616Y-84105503D01* +X135633739Y-84093037D01* +X135638068Y-84080938D01* +X135643562Y-84069321D01* +X135650169Y-84058298D01* +X135657824Y-84047976D01* +X135666454Y-84038454D01* +X135675976Y-84029824D01* +X135686298Y-84022169D01* +X135697321Y-84015562D01* +X135708938Y-84010068D01* +X135721037Y-84005739D01* +X135733503Y-84002616D01* +X135746215Y-84000731D01* +X135759050Y-84000100D01* +X136020950Y-84000100D01* +X136033785Y-84000731D01* +X136033785Y-84000731D01* +G37* +D12* +X135890000Y-85212000D03* +D10* +G36* +X137303785Y-84000731D02* +G01* +X137316497Y-84002616D01* +X137328963Y-84005739D01* +X137341062Y-84010068D01* +X137352679Y-84015562D01* +X137363702Y-84022169D01* +X137374024Y-84029824D01* +X137383546Y-84038454D01* +X137392176Y-84047976D01* +X137399831Y-84058298D01* +X137406438Y-84069321D01* +X137411932Y-84080938D01* +X137416261Y-84093037D01* +X137419384Y-84105503D01* +X137421269Y-84118215D01* +X137421900Y-84131050D01* +X137421900Y-86292950D01* +X137421269Y-86305785D01* +X137419384Y-86318497D01* +X137416261Y-86330963D01* +X137411932Y-86343062D01* +X137406438Y-86354679D01* +X137399831Y-86365702D01* +X137392176Y-86376024D01* +X137383546Y-86385546D01* +X137374024Y-86394176D01* +X137363702Y-86401831D01* +X137352679Y-86408438D01* +X137341062Y-86413932D01* +X137328963Y-86418261D01* +X137316497Y-86421384D01* +X137303785Y-86423269D01* +X137290950Y-86423900D01* +X137029050Y-86423900D01* +X137016215Y-86423269D01* +X137003503Y-86421384D01* +X136991037Y-86418261D01* +X136978938Y-86413932D01* +X136967321Y-86408438D01* +X136956298Y-86401831D01* +X136945976Y-86394176D01* +X136936454Y-86385546D01* +X136927824Y-86376024D01* +X136920169Y-86365702D01* +X136913562Y-86354679D01* +X136908068Y-86343062D01* +X136903739Y-86330963D01* +X136900616Y-86318497D01* +X136898731Y-86305785D01* +X136898100Y-86292950D01* +X136898100Y-84131050D01* +X136898731Y-84118215D01* +X136900616Y-84105503D01* +X136903739Y-84093037D01* +X136908068Y-84080938D01* +X136913562Y-84069321D01* +X136920169Y-84058298D01* +X136927824Y-84047976D01* +X136936454Y-84038454D01* +X136945976Y-84029824D01* +X136956298Y-84022169D01* +X136967321Y-84015562D01* +X136978938Y-84010068D01* +X136991037Y-84005739D01* +X137003503Y-84002616D01* +X137016215Y-84000731D01* +X137029050Y-84000100D01* +X137290950Y-84000100D01* +X137303785Y-84000731D01* +X137303785Y-84000731D01* +G37* +D12* +X137160000Y-85212000D03* +D10* +G36* +X137303785Y-92900731D02* +G01* +X137316497Y-92902616D01* +X137328963Y-92905739D01* +X137341062Y-92910068D01* +X137352679Y-92915562D01* +X137363702Y-92922169D01* +X137374024Y-92929824D01* +X137383546Y-92938454D01* +X137392176Y-92947976D01* +X137399831Y-92958298D01* +X137406438Y-92969321D01* +X137411932Y-92980938D01* +X137416261Y-92993037D01* +X137419384Y-93005503D01* +X137421269Y-93018215D01* +X137421900Y-93031050D01* +X137421900Y-95192950D01* +X137421269Y-95205785D01* +X137419384Y-95218497D01* +X137416261Y-95230963D01* +X137411932Y-95243062D01* +X137406438Y-95254679D01* +X137399831Y-95265702D01* +X137392176Y-95276024D01* +X137383546Y-95285546D01* +X137374024Y-95294176D01* +X137363702Y-95301831D01* +X137352679Y-95308438D01* +X137341062Y-95313932D01* +X137328963Y-95318261D01* +X137316497Y-95321384D01* +X137303785Y-95323269D01* +X137290950Y-95323900D01* +X137029050Y-95323900D01* +X137016215Y-95323269D01* +X137003503Y-95321384D01* +X136991037Y-95318261D01* +X136978938Y-95313932D01* +X136967321Y-95308438D01* +X136956298Y-95301831D01* +X136945976Y-95294176D01* +X136936454Y-95285546D01* +X136927824Y-95276024D01* +X136920169Y-95265702D01* +X136913562Y-95254679D01* +X136908068Y-95243062D01* +X136903739Y-95230963D01* +X136900616Y-95218497D01* +X136898731Y-95205785D01* +X136898100Y-95192950D01* +X136898100Y-93031050D01* +X136898731Y-93018215D01* +X136900616Y-93005503D01* +X136903739Y-92993037D01* +X136908068Y-92980938D01* +X136913562Y-92969321D01* +X136920169Y-92958298D01* +X136927824Y-92947976D01* +X136936454Y-92938454D01* +X136945976Y-92929824D01* +X136956298Y-92922169D01* +X136967321Y-92915562D01* +X136978938Y-92910068D01* +X136991037Y-92905739D01* +X137003503Y-92902616D01* +X137016215Y-92900731D01* +X137029050Y-92900100D01* +X137290950Y-92900100D01* +X137303785Y-92900731D01* +X137303785Y-92900731D01* +G37* +D12* +X137160000Y-94112000D03* +D10* +G36* +X136033785Y-92900731D02* +G01* +X136046497Y-92902616D01* +X136058963Y-92905739D01* +X136071062Y-92910068D01* +X136082679Y-92915562D01* +X136093702Y-92922169D01* +X136104024Y-92929824D01* +X136113546Y-92938454D01* +X136122176Y-92947976D01* +X136129831Y-92958298D01* +X136136438Y-92969321D01* +X136141932Y-92980938D01* +X136146261Y-92993037D01* +X136149384Y-93005503D01* +X136151269Y-93018215D01* +X136151900Y-93031050D01* +X136151900Y-95192950D01* +X136151269Y-95205785D01* +X136149384Y-95218497D01* +X136146261Y-95230963D01* +X136141932Y-95243062D01* +X136136438Y-95254679D01* +X136129831Y-95265702D01* +X136122176Y-95276024D01* +X136113546Y-95285546D01* +X136104024Y-95294176D01* +X136093702Y-95301831D01* +X136082679Y-95308438D01* +X136071062Y-95313932D01* +X136058963Y-95318261D01* +X136046497Y-95321384D01* +X136033785Y-95323269D01* +X136020950Y-95323900D01* +X135759050Y-95323900D01* +X135746215Y-95323269D01* +X135733503Y-95321384D01* +X135721037Y-95318261D01* +X135708938Y-95313932D01* +X135697321Y-95308438D01* +X135686298Y-95301831D01* +X135675976Y-95294176D01* +X135666454Y-95285546D01* +X135657824Y-95276024D01* +X135650169Y-95265702D01* +X135643562Y-95254679D01* +X135638068Y-95243062D01* +X135633739Y-95230963D01* +X135630616Y-95218497D01* +X135628731Y-95205785D01* +X135628100Y-95192950D01* +X135628100Y-93031050D01* +X135628731Y-93018215D01* +X135630616Y-93005503D01* +X135633739Y-92993037D01* +X135638068Y-92980938D01* +X135643562Y-92969321D01* +X135650169Y-92958298D01* +X135657824Y-92947976D01* +X135666454Y-92938454D01* +X135675976Y-92929824D01* +X135686298Y-92922169D01* +X135697321Y-92915562D01* +X135708938Y-92910068D01* +X135721037Y-92905739D01* +X135733503Y-92902616D01* +X135746215Y-92900731D01* +X135759050Y-92900100D01* +X136020950Y-92900100D01* +X136033785Y-92900731D01* +X136033785Y-92900731D01* +G37* +D12* +X135890000Y-94112000D03* +D10* +G36* +X134763785Y-92900731D02* +G01* +X134776497Y-92902616D01* +X134788963Y-92905739D01* +X134801062Y-92910068D01* +X134812679Y-92915562D01* +X134823702Y-92922169D01* +X134834024Y-92929824D01* +X134843546Y-92938454D01* +X134852176Y-92947976D01* +X134859831Y-92958298D01* +X134866438Y-92969321D01* +X134871932Y-92980938D01* +X134876261Y-92993037D01* +X134879384Y-93005503D01* +X134881269Y-93018215D01* +X134881900Y-93031050D01* +X134881900Y-95192950D01* +X134881269Y-95205785D01* +X134879384Y-95218497D01* +X134876261Y-95230963D01* +X134871932Y-95243062D01* +X134866438Y-95254679D01* +X134859831Y-95265702D01* +X134852176Y-95276024D01* +X134843546Y-95285546D01* +X134834024Y-95294176D01* +X134823702Y-95301831D01* +X134812679Y-95308438D01* +X134801062Y-95313932D01* +X134788963Y-95318261D01* +X134776497Y-95321384D01* +X134763785Y-95323269D01* +X134750950Y-95323900D01* +X134489050Y-95323900D01* +X134476215Y-95323269D01* +X134463503Y-95321384D01* +X134451037Y-95318261D01* +X134438938Y-95313932D01* +X134427321Y-95308438D01* +X134416298Y-95301831D01* +X134405976Y-95294176D01* +X134396454Y-95285546D01* +X134387824Y-95276024D01* +X134380169Y-95265702D01* +X134373562Y-95254679D01* +X134368068Y-95243062D01* +X134363739Y-95230963D01* +X134360616Y-95218497D01* +X134358731Y-95205785D01* +X134358100Y-95192950D01* +X134358100Y-93031050D01* +X134358731Y-93018215D01* +X134360616Y-93005503D01* +X134363739Y-92993037D01* +X134368068Y-92980938D01* +X134373562Y-92969321D01* +X134380169Y-92958298D01* +X134387824Y-92947976D01* +X134396454Y-92938454D01* +X134405976Y-92929824D01* +X134416298Y-92922169D01* +X134427321Y-92915562D01* +X134438938Y-92910068D01* +X134451037Y-92905739D01* +X134463503Y-92902616D01* +X134476215Y-92900731D01* +X134489050Y-92900100D01* +X134750950Y-92900100D01* +X134763785Y-92900731D01* +X134763785Y-92900731D01* +G37* +D12* +X134620000Y-94112000D03* +D10* +G36* +X133493785Y-92900731D02* +G01* +X133506497Y-92902616D01* +X133518963Y-92905739D01* +X133531062Y-92910068D01* +X133542679Y-92915562D01* +X133553702Y-92922169D01* +X133564024Y-92929824D01* +X133573546Y-92938454D01* +X133582176Y-92947976D01* +X133589831Y-92958298D01* +X133596438Y-92969321D01* +X133601932Y-92980938D01* +X133606261Y-92993037D01* +X133609384Y-93005503D01* +X133611269Y-93018215D01* +X133611900Y-93031050D01* +X133611900Y-95192950D01* +X133611269Y-95205785D01* +X133609384Y-95218497D01* +X133606261Y-95230963D01* +X133601932Y-95243062D01* +X133596438Y-95254679D01* +X133589831Y-95265702D01* +X133582176Y-95276024D01* +X133573546Y-95285546D01* +X133564024Y-95294176D01* +X133553702Y-95301831D01* +X133542679Y-95308438D01* +X133531062Y-95313932D01* +X133518963Y-95318261D01* +X133506497Y-95321384D01* +X133493785Y-95323269D01* +X133480950Y-95323900D01* +X133219050Y-95323900D01* +X133206215Y-95323269D01* +X133193503Y-95321384D01* +X133181037Y-95318261D01* +X133168938Y-95313932D01* +X133157321Y-95308438D01* +X133146298Y-95301831D01* +X133135976Y-95294176D01* +X133126454Y-95285546D01* +X133117824Y-95276024D01* +X133110169Y-95265702D01* +X133103562Y-95254679D01* +X133098068Y-95243062D01* +X133093739Y-95230963D01* +X133090616Y-95218497D01* +X133088731Y-95205785D01* +X133088100Y-95192950D01* +X133088100Y-93031050D01* +X133088731Y-93018215D01* +X133090616Y-93005503D01* +X133093739Y-92993037D01* +X133098068Y-92980938D01* +X133103562Y-92969321D01* +X133110169Y-92958298D01* +X133117824Y-92947976D01* +X133126454Y-92938454D01* +X133135976Y-92929824D01* +X133146298Y-92922169D01* +X133157321Y-92915562D01* +X133168938Y-92910068D01* +X133181037Y-92905739D01* +X133193503Y-92902616D01* +X133206215Y-92900731D01* +X133219050Y-92900100D01* +X133480950Y-92900100D01* +X133493785Y-92900731D01* +X133493785Y-92900731D01* +G37* +D12* +X133350000Y-94112000D03* +D10* +G36* +X132223785Y-92900731D02* +G01* +X132236497Y-92902616D01* +X132248963Y-92905739D01* +X132261062Y-92910068D01* +X132272679Y-92915562D01* +X132283702Y-92922169D01* +X132294024Y-92929824D01* +X132303546Y-92938454D01* +X132312176Y-92947976D01* +X132319831Y-92958298D01* +X132326438Y-92969321D01* +X132331932Y-92980938D01* +X132336261Y-92993037D01* +X132339384Y-93005503D01* +X132341269Y-93018215D01* +X132341900Y-93031050D01* +X132341900Y-95192950D01* +X132341269Y-95205785D01* +X132339384Y-95218497D01* +X132336261Y-95230963D01* +X132331932Y-95243062D01* +X132326438Y-95254679D01* +X132319831Y-95265702D01* +X132312176Y-95276024D01* +X132303546Y-95285546D01* +X132294024Y-95294176D01* +X132283702Y-95301831D01* +X132272679Y-95308438D01* +X132261062Y-95313932D01* +X132248963Y-95318261D01* +X132236497Y-95321384D01* +X132223785Y-95323269D01* +X132210950Y-95323900D01* +X131949050Y-95323900D01* +X131936215Y-95323269D01* +X131923503Y-95321384D01* +X131911037Y-95318261D01* +X131898938Y-95313932D01* +X131887321Y-95308438D01* +X131876298Y-95301831D01* +X131865976Y-95294176D01* +X131856454Y-95285546D01* +X131847824Y-95276024D01* +X131840169Y-95265702D01* +X131833562Y-95254679D01* +X131828068Y-95243062D01* +X131823739Y-95230963D01* +X131820616Y-95218497D01* +X131818731Y-95205785D01* +X131818100Y-95192950D01* +X131818100Y-93031050D01* +X131818731Y-93018215D01* +X131820616Y-93005503D01* +X131823739Y-92993037D01* +X131828068Y-92980938D01* +X131833562Y-92969321D01* +X131840169Y-92958298D01* +X131847824Y-92947976D01* +X131856454Y-92938454D01* +X131865976Y-92929824D01* +X131876298Y-92922169D01* +X131887321Y-92915562D01* +X131898938Y-92910068D01* +X131911037Y-92905739D01* +X131923503Y-92902616D01* +X131936215Y-92900731D01* +X131949050Y-92900100D01* +X132210950Y-92900100D01* +X132223785Y-92900731D01* +X132223785Y-92900731D01* +G37* +D12* +X132080000Y-94112000D03* +D10* +G36* +X130953785Y-92900731D02* +G01* +X130966497Y-92902616D01* +X130978963Y-92905739D01* +X130991062Y-92910068D01* +X131002679Y-92915562D01* +X131013702Y-92922169D01* +X131024024Y-92929824D01* +X131033546Y-92938454D01* +X131042176Y-92947976D01* +X131049831Y-92958298D01* +X131056438Y-92969321D01* +X131061932Y-92980938D01* +X131066261Y-92993037D01* +X131069384Y-93005503D01* +X131071269Y-93018215D01* +X131071900Y-93031050D01* +X131071900Y-95192950D01* +X131071269Y-95205785D01* +X131069384Y-95218497D01* +X131066261Y-95230963D01* +X131061932Y-95243062D01* +X131056438Y-95254679D01* +X131049831Y-95265702D01* +X131042176Y-95276024D01* +X131033546Y-95285546D01* +X131024024Y-95294176D01* +X131013702Y-95301831D01* +X131002679Y-95308438D01* +X130991062Y-95313932D01* +X130978963Y-95318261D01* +X130966497Y-95321384D01* +X130953785Y-95323269D01* +X130940950Y-95323900D01* +X130679050Y-95323900D01* +X130666215Y-95323269D01* +X130653503Y-95321384D01* +X130641037Y-95318261D01* +X130628938Y-95313932D01* +X130617321Y-95308438D01* +X130606298Y-95301831D01* +X130595976Y-95294176D01* +X130586454Y-95285546D01* +X130577824Y-95276024D01* +X130570169Y-95265702D01* +X130563562Y-95254679D01* +X130558068Y-95243062D01* +X130553739Y-95230963D01* +X130550616Y-95218497D01* +X130548731Y-95205785D01* +X130548100Y-95192950D01* +X130548100Y-93031050D01* +X130548731Y-93018215D01* +X130550616Y-93005503D01* +X130553739Y-92993037D01* +X130558068Y-92980938D01* +X130563562Y-92969321D01* +X130570169Y-92958298D01* +X130577824Y-92947976D01* +X130586454Y-92938454D01* +X130595976Y-92929824D01* +X130606298Y-92922169D01* +X130617321Y-92915562D01* +X130628938Y-92910068D01* +X130641037Y-92905739D01* +X130653503Y-92902616D01* +X130666215Y-92900731D01* +X130679050Y-92900100D01* +X130940950Y-92900100D01* +X130953785Y-92900731D01* +X130953785Y-92900731D01* +G37* +D12* +X130810000Y-94112000D03* +D10* +G36* +X128413785Y-92900731D02* +G01* +X128426497Y-92902616D01* +X128438963Y-92905739D01* +X128451062Y-92910068D01* +X128462679Y-92915562D01* +X128473702Y-92922169D01* +X128484024Y-92929824D01* +X128493546Y-92938454D01* +X128502176Y-92947976D01* +X128509831Y-92958298D01* +X128516438Y-92969321D01* +X128521932Y-92980938D01* +X128526261Y-92993037D01* +X128529384Y-93005503D01* +X128531269Y-93018215D01* +X128531900Y-93031050D01* +X128531900Y-95192950D01* +X128531269Y-95205785D01* +X128529384Y-95218497D01* +X128526261Y-95230963D01* +X128521932Y-95243062D01* +X128516438Y-95254679D01* +X128509831Y-95265702D01* +X128502176Y-95276024D01* +X128493546Y-95285546D01* +X128484024Y-95294176D01* +X128473702Y-95301831D01* +X128462679Y-95308438D01* +X128451062Y-95313932D01* +X128438963Y-95318261D01* +X128426497Y-95321384D01* +X128413785Y-95323269D01* +X128400950Y-95323900D01* +X128139050Y-95323900D01* +X128126215Y-95323269D01* +X128113503Y-95321384D01* +X128101037Y-95318261D01* +X128088938Y-95313932D01* +X128077321Y-95308438D01* +X128066298Y-95301831D01* +X128055976Y-95294176D01* +X128046454Y-95285546D01* +X128037824Y-95276024D01* +X128030169Y-95265702D01* +X128023562Y-95254679D01* +X128018068Y-95243062D01* +X128013739Y-95230963D01* +X128010616Y-95218497D01* +X128008731Y-95205785D01* +X128008100Y-95192950D01* +X128008100Y-93031050D01* +X128008731Y-93018215D01* +X128010616Y-93005503D01* +X128013739Y-92993037D01* +X128018068Y-92980938D01* +X128023562Y-92969321D01* +X128030169Y-92958298D01* +X128037824Y-92947976D01* +X128046454Y-92938454D01* +X128055976Y-92929824D01* +X128066298Y-92922169D01* +X128077321Y-92915562D01* +X128088938Y-92910068D01* +X128101037Y-92905739D01* +X128113503Y-92902616D01* +X128126215Y-92900731D01* +X128139050Y-92900100D01* +X128400950Y-92900100D01* +X128413785Y-92900731D01* +X128413785Y-92900731D01* +G37* +D12* +X128270000Y-94112000D03* +D10* +G36* +X127143785Y-92900731D02* +G01* +X127156497Y-92902616D01* +X127168963Y-92905739D01* +X127181062Y-92910068D01* +X127192679Y-92915562D01* +X127203702Y-92922169D01* +X127214024Y-92929824D01* +X127223546Y-92938454D01* +X127232176Y-92947976D01* +X127239831Y-92958298D01* +X127246438Y-92969321D01* +X127251932Y-92980938D01* +X127256261Y-92993037D01* +X127259384Y-93005503D01* +X127261269Y-93018215D01* +X127261900Y-93031050D01* +X127261900Y-95192950D01* +X127261269Y-95205785D01* +X127259384Y-95218497D01* +X127256261Y-95230963D01* +X127251932Y-95243062D01* +X127246438Y-95254679D01* +X127239831Y-95265702D01* +X127232176Y-95276024D01* +X127223546Y-95285546D01* +X127214024Y-95294176D01* +X127203702Y-95301831D01* +X127192679Y-95308438D01* +X127181062Y-95313932D01* +X127168963Y-95318261D01* +X127156497Y-95321384D01* +X127143785Y-95323269D01* +X127130950Y-95323900D01* +X126869050Y-95323900D01* +X126856215Y-95323269D01* +X126843503Y-95321384D01* +X126831037Y-95318261D01* +X126818938Y-95313932D01* +X126807321Y-95308438D01* +X126796298Y-95301831D01* +X126785976Y-95294176D01* +X126776454Y-95285546D01* +X126767824Y-95276024D01* +X126760169Y-95265702D01* +X126753562Y-95254679D01* +X126748068Y-95243062D01* +X126743739Y-95230963D01* +X126740616Y-95218497D01* +X126738731Y-95205785D01* +X126738100Y-95192950D01* +X126738100Y-93031050D01* +X126738731Y-93018215D01* +X126740616Y-93005503D01* +X126743739Y-92993037D01* +X126748068Y-92980938D01* +X126753562Y-92969321D01* +X126760169Y-92958298D01* +X126767824Y-92947976D01* +X126776454Y-92938454D01* +X126785976Y-92929824D01* +X126796298Y-92922169D01* +X126807321Y-92915562D01* +X126818938Y-92910068D01* +X126831037Y-92905739D01* +X126843503Y-92902616D01* +X126856215Y-92900731D01* +X126869050Y-92900100D01* +X127130950Y-92900100D01* +X127143785Y-92900731D01* +X127143785Y-92900731D01* +G37* +D12* +X127000000Y-94112000D03* +D10* +G36* +X125873785Y-92900731D02* +G01* +X125886497Y-92902616D01* +X125898963Y-92905739D01* +X125911062Y-92910068D01* +X125922679Y-92915562D01* +X125933702Y-92922169D01* +X125944024Y-92929824D01* +X125953546Y-92938454D01* +X125962176Y-92947976D01* +X125969831Y-92958298D01* +X125976438Y-92969321D01* +X125981932Y-92980938D01* +X125986261Y-92993037D01* +X125989384Y-93005503D01* +X125991269Y-93018215D01* +X125991900Y-93031050D01* +X125991900Y-95192950D01* +X125991269Y-95205785D01* +X125989384Y-95218497D01* +X125986261Y-95230963D01* +X125981932Y-95243062D01* +X125976438Y-95254679D01* +X125969831Y-95265702D01* +X125962176Y-95276024D01* +X125953546Y-95285546D01* +X125944024Y-95294176D01* +X125933702Y-95301831D01* +X125922679Y-95308438D01* +X125911062Y-95313932D01* +X125898963Y-95318261D01* +X125886497Y-95321384D01* +X125873785Y-95323269D01* +X125860950Y-95323900D01* +X125599050Y-95323900D01* +X125586215Y-95323269D01* +X125573503Y-95321384D01* +X125561037Y-95318261D01* +X125548938Y-95313932D01* +X125537321Y-95308438D01* +X125526298Y-95301831D01* +X125515976Y-95294176D01* +X125506454Y-95285546D01* +X125497824Y-95276024D01* +X125490169Y-95265702D01* +X125483562Y-95254679D01* +X125478068Y-95243062D01* +X125473739Y-95230963D01* +X125470616Y-95218497D01* +X125468731Y-95205785D01* +X125468100Y-95192950D01* +X125468100Y-93031050D01* +X125468731Y-93018215D01* +X125470616Y-93005503D01* +X125473739Y-92993037D01* +X125478068Y-92980938D01* +X125483562Y-92969321D01* +X125490169Y-92958298D01* +X125497824Y-92947976D01* +X125506454Y-92938454D01* +X125515976Y-92929824D01* +X125526298Y-92922169D01* +X125537321Y-92915562D01* +X125548938Y-92910068D01* +X125561037Y-92905739D01* +X125573503Y-92902616D01* +X125586215Y-92900731D01* +X125599050Y-92900100D01* +X125860950Y-92900100D01* +X125873785Y-92900731D01* +X125873785Y-92900731D01* +G37* +D12* +X125730000Y-94112000D03* +D10* +G36* +X124603785Y-92900731D02* +G01* +X124616497Y-92902616D01* +X124628963Y-92905739D01* +X124641062Y-92910068D01* +X124652679Y-92915562D01* +X124663702Y-92922169D01* +X124674024Y-92929824D01* +X124683546Y-92938454D01* +X124692176Y-92947976D01* +X124699831Y-92958298D01* +X124706438Y-92969321D01* +X124711932Y-92980938D01* +X124716261Y-92993037D01* +X124719384Y-93005503D01* +X124721269Y-93018215D01* +X124721900Y-93031050D01* +X124721900Y-95192950D01* +X124721269Y-95205785D01* +X124719384Y-95218497D01* +X124716261Y-95230963D01* +X124711932Y-95243062D01* +X124706438Y-95254679D01* +X124699831Y-95265702D01* +X124692176Y-95276024D01* +X124683546Y-95285546D01* +X124674024Y-95294176D01* +X124663702Y-95301831D01* +X124652679Y-95308438D01* +X124641062Y-95313932D01* +X124628963Y-95318261D01* +X124616497Y-95321384D01* +X124603785Y-95323269D01* +X124590950Y-95323900D01* +X124329050Y-95323900D01* +X124316215Y-95323269D01* +X124303503Y-95321384D01* +X124291037Y-95318261D01* +X124278938Y-95313932D01* +X124267321Y-95308438D01* +X124256298Y-95301831D01* +X124245976Y-95294176D01* +X124236454Y-95285546D01* +X124227824Y-95276024D01* +X124220169Y-95265702D01* +X124213562Y-95254679D01* +X124208068Y-95243062D01* +X124203739Y-95230963D01* +X124200616Y-95218497D01* +X124198731Y-95205785D01* +X124198100Y-95192950D01* +X124198100Y-93031050D01* +X124198731Y-93018215D01* +X124200616Y-93005503D01* +X124203739Y-92993037D01* +X124208068Y-92980938D01* +X124213562Y-92969321D01* +X124220169Y-92958298D01* +X124227824Y-92947976D01* +X124236454Y-92938454D01* +X124245976Y-92929824D01* +X124256298Y-92922169D01* +X124267321Y-92915562D01* +X124278938Y-92910068D01* +X124291037Y-92905739D01* +X124303503Y-92902616D01* +X124316215Y-92900731D01* +X124329050Y-92900100D01* +X124590950Y-92900100D01* +X124603785Y-92900731D01* +X124603785Y-92900731D01* +G37* +D12* +X124460000Y-94112000D03* +D10* +G36* +X123333785Y-92900731D02* +G01* +X123346497Y-92902616D01* +X123358963Y-92905739D01* +X123371062Y-92910068D01* +X123382679Y-92915562D01* +X123393702Y-92922169D01* +X123404024Y-92929824D01* +X123413546Y-92938454D01* +X123422176Y-92947976D01* +X123429831Y-92958298D01* +X123436438Y-92969321D01* +X123441932Y-92980938D01* +X123446261Y-92993037D01* +X123449384Y-93005503D01* +X123451269Y-93018215D01* +X123451900Y-93031050D01* +X123451900Y-95192950D01* +X123451269Y-95205785D01* +X123449384Y-95218497D01* +X123446261Y-95230963D01* +X123441932Y-95243062D01* +X123436438Y-95254679D01* +X123429831Y-95265702D01* +X123422176Y-95276024D01* +X123413546Y-95285546D01* +X123404024Y-95294176D01* +X123393702Y-95301831D01* +X123382679Y-95308438D01* +X123371062Y-95313932D01* +X123358963Y-95318261D01* +X123346497Y-95321384D01* +X123333785Y-95323269D01* +X123320950Y-95323900D01* +X123059050Y-95323900D01* +X123046215Y-95323269D01* +X123033503Y-95321384D01* +X123021037Y-95318261D01* +X123008938Y-95313932D01* +X122997321Y-95308438D01* +X122986298Y-95301831D01* +X122975976Y-95294176D01* +X122966454Y-95285546D01* +X122957824Y-95276024D01* +X122950169Y-95265702D01* +X122943562Y-95254679D01* +X122938068Y-95243062D01* +X122933739Y-95230963D01* +X122930616Y-95218497D01* +X122928731Y-95205785D01* +X122928100Y-95192950D01* +X122928100Y-93031050D01* +X122928731Y-93018215D01* +X122930616Y-93005503D01* +X122933739Y-92993037D01* +X122938068Y-92980938D01* +X122943562Y-92969321D01* +X122950169Y-92958298D01* +X122957824Y-92947976D01* +X122966454Y-92938454D01* +X122975976Y-92929824D01* +X122986298Y-92922169D01* +X122997321Y-92915562D01* +X123008938Y-92910068D01* +X123021037Y-92905739D01* +X123033503Y-92902616D01* +X123046215Y-92900731D01* +X123059050Y-92900100D01* +X123320950Y-92900100D01* +X123333785Y-92900731D01* +X123333785Y-92900731D01* +G37* +D12* +X123190000Y-94112000D03* +D10* +G36* +X122063785Y-92900731D02* +G01* +X122076497Y-92902616D01* +X122088963Y-92905739D01* +X122101062Y-92910068D01* +X122112679Y-92915562D01* +X122123702Y-92922169D01* +X122134024Y-92929824D01* +X122143546Y-92938454D01* +X122152176Y-92947976D01* +X122159831Y-92958298D01* +X122166438Y-92969321D01* +X122171932Y-92980938D01* +X122176261Y-92993037D01* +X122179384Y-93005503D01* +X122181269Y-93018215D01* +X122181900Y-93031050D01* +X122181900Y-95192950D01* +X122181269Y-95205785D01* +X122179384Y-95218497D01* +X122176261Y-95230963D01* +X122171932Y-95243062D01* +X122166438Y-95254679D01* +X122159831Y-95265702D01* +X122152176Y-95276024D01* +X122143546Y-95285546D01* +X122134024Y-95294176D01* +X122123702Y-95301831D01* +X122112679Y-95308438D01* +X122101062Y-95313932D01* +X122088963Y-95318261D01* +X122076497Y-95321384D01* +X122063785Y-95323269D01* +X122050950Y-95323900D01* +X121789050Y-95323900D01* +X121776215Y-95323269D01* +X121763503Y-95321384D01* +X121751037Y-95318261D01* +X121738938Y-95313932D01* +X121727321Y-95308438D01* +X121716298Y-95301831D01* +X121705976Y-95294176D01* +X121696454Y-95285546D01* +X121687824Y-95276024D01* +X121680169Y-95265702D01* +X121673562Y-95254679D01* +X121668068Y-95243062D01* +X121663739Y-95230963D01* +X121660616Y-95218497D01* +X121658731Y-95205785D01* +X121658100Y-95192950D01* +X121658100Y-93031050D01* +X121658731Y-93018215D01* +X121660616Y-93005503D01* +X121663739Y-92993037D01* +X121668068Y-92980938D01* +X121673562Y-92969321D01* +X121680169Y-92958298D01* +X121687824Y-92947976D01* +X121696454Y-92938454D01* +X121705976Y-92929824D01* +X121716298Y-92922169D01* +X121727321Y-92915562D01* +X121738938Y-92910068D01* +X121751037Y-92905739D01* +X121763503Y-92902616D01* +X121776215Y-92900731D01* +X121789050Y-92900100D01* +X122050950Y-92900100D01* +X122063785Y-92900731D01* +X122063785Y-92900731D01* +G37* +D12* +X121920000Y-94112000D03* +D10* +G36* +X87773785Y-92900731D02* +G01* +X87786497Y-92902616D01* +X87798963Y-92905739D01* +X87811062Y-92910068D01* +X87822679Y-92915562D01* +X87833702Y-92922169D01* +X87844024Y-92929824D01* +X87853546Y-92938454D01* +X87862176Y-92947976D01* +X87869831Y-92958298D01* +X87876438Y-92969321D01* +X87881932Y-92980938D01* +X87886261Y-92993037D01* +X87889384Y-93005503D01* +X87891269Y-93018215D01* +X87891900Y-93031050D01* +X87891900Y-95192950D01* +X87891269Y-95205785D01* +X87889384Y-95218497D01* +X87886261Y-95230963D01* +X87881932Y-95243062D01* +X87876438Y-95254679D01* +X87869831Y-95265702D01* +X87862176Y-95276024D01* +X87853546Y-95285546D01* +X87844024Y-95294176D01* +X87833702Y-95301831D01* +X87822679Y-95308438D01* +X87811062Y-95313932D01* +X87798963Y-95318261D01* +X87786497Y-95321384D01* +X87773785Y-95323269D01* +X87760950Y-95323900D01* +X87499050Y-95323900D01* +X87486215Y-95323269D01* +X87473503Y-95321384D01* +X87461037Y-95318261D01* +X87448938Y-95313932D01* +X87437321Y-95308438D01* +X87426298Y-95301831D01* +X87415976Y-95294176D01* +X87406454Y-95285546D01* +X87397824Y-95276024D01* +X87390169Y-95265702D01* +X87383562Y-95254679D01* +X87378068Y-95243062D01* +X87373739Y-95230963D01* +X87370616Y-95218497D01* +X87368731Y-95205785D01* +X87368100Y-95192950D01* +X87368100Y-93031050D01* +X87368731Y-93018215D01* +X87370616Y-93005503D01* +X87373739Y-92993037D01* +X87378068Y-92980938D01* +X87383562Y-92969321D01* +X87390169Y-92958298D01* +X87397824Y-92947976D01* +X87406454Y-92938454D01* +X87415976Y-92929824D01* +X87426298Y-92922169D01* +X87437321Y-92915562D01* +X87448938Y-92910068D01* +X87461037Y-92905739D01* +X87473503Y-92902616D01* +X87486215Y-92900731D01* +X87499050Y-92900100D01* +X87760950Y-92900100D01* +X87773785Y-92900731D01* +X87773785Y-92900731D01* +G37* +D12* +X87630000Y-94112000D03* +D10* +G36* +X89043785Y-92900731D02* +G01* +X89056497Y-92902616D01* +X89068963Y-92905739D01* +X89081062Y-92910068D01* +X89092679Y-92915562D01* +X89103702Y-92922169D01* +X89114024Y-92929824D01* +X89123546Y-92938454D01* +X89132176Y-92947976D01* +X89139831Y-92958298D01* +X89146438Y-92969321D01* +X89151932Y-92980938D01* +X89156261Y-92993037D01* +X89159384Y-93005503D01* +X89161269Y-93018215D01* +X89161900Y-93031050D01* +X89161900Y-95192950D01* +X89161269Y-95205785D01* +X89159384Y-95218497D01* +X89156261Y-95230963D01* +X89151932Y-95243062D01* +X89146438Y-95254679D01* +X89139831Y-95265702D01* +X89132176Y-95276024D01* +X89123546Y-95285546D01* +X89114024Y-95294176D01* +X89103702Y-95301831D01* +X89092679Y-95308438D01* +X89081062Y-95313932D01* +X89068963Y-95318261D01* +X89056497Y-95321384D01* +X89043785Y-95323269D01* +X89030950Y-95323900D01* +X88769050Y-95323900D01* +X88756215Y-95323269D01* +X88743503Y-95321384D01* +X88731037Y-95318261D01* +X88718938Y-95313932D01* +X88707321Y-95308438D01* +X88696298Y-95301831D01* +X88685976Y-95294176D01* +X88676454Y-95285546D01* +X88667824Y-95276024D01* +X88660169Y-95265702D01* +X88653562Y-95254679D01* +X88648068Y-95243062D01* +X88643739Y-95230963D01* +X88640616Y-95218497D01* +X88638731Y-95205785D01* +X88638100Y-95192950D01* +X88638100Y-93031050D01* +X88638731Y-93018215D01* +X88640616Y-93005503D01* +X88643739Y-92993037D01* +X88648068Y-92980938D01* +X88653562Y-92969321D01* +X88660169Y-92958298D01* +X88667824Y-92947976D01* +X88676454Y-92938454D01* +X88685976Y-92929824D01* +X88696298Y-92922169D01* +X88707321Y-92915562D01* +X88718938Y-92910068D01* +X88731037Y-92905739D01* +X88743503Y-92902616D01* +X88756215Y-92900731D01* +X88769050Y-92900100D01* +X89030950Y-92900100D01* +X89043785Y-92900731D01* +X89043785Y-92900731D01* +G37* +D12* +X88900000Y-94112000D03* +D10* +G36* +X90313785Y-92900731D02* +G01* +X90326497Y-92902616D01* +X90338963Y-92905739D01* +X90351062Y-92910068D01* +X90362679Y-92915562D01* +X90373702Y-92922169D01* +X90384024Y-92929824D01* +X90393546Y-92938454D01* +X90402176Y-92947976D01* +X90409831Y-92958298D01* +X90416438Y-92969321D01* +X90421932Y-92980938D01* +X90426261Y-92993037D01* +X90429384Y-93005503D01* +X90431269Y-93018215D01* +X90431900Y-93031050D01* +X90431900Y-95192950D01* +X90431269Y-95205785D01* +X90429384Y-95218497D01* +X90426261Y-95230963D01* +X90421932Y-95243062D01* +X90416438Y-95254679D01* +X90409831Y-95265702D01* +X90402176Y-95276024D01* +X90393546Y-95285546D01* +X90384024Y-95294176D01* +X90373702Y-95301831D01* +X90362679Y-95308438D01* +X90351062Y-95313932D01* +X90338963Y-95318261D01* +X90326497Y-95321384D01* +X90313785Y-95323269D01* +X90300950Y-95323900D01* +X90039050Y-95323900D01* +X90026215Y-95323269D01* +X90013503Y-95321384D01* +X90001037Y-95318261D01* +X89988938Y-95313932D01* +X89977321Y-95308438D01* +X89966298Y-95301831D01* +X89955976Y-95294176D01* +X89946454Y-95285546D01* +X89937824Y-95276024D01* +X89930169Y-95265702D01* +X89923562Y-95254679D01* +X89918068Y-95243062D01* +X89913739Y-95230963D01* +X89910616Y-95218497D01* +X89908731Y-95205785D01* +X89908100Y-95192950D01* +X89908100Y-93031050D01* +X89908731Y-93018215D01* +X89910616Y-93005503D01* +X89913739Y-92993037D01* +X89918068Y-92980938D01* +X89923562Y-92969321D01* +X89930169Y-92958298D01* +X89937824Y-92947976D01* +X89946454Y-92938454D01* +X89955976Y-92929824D01* +X89966298Y-92922169D01* +X89977321Y-92915562D01* +X89988938Y-92910068D01* +X90001037Y-92905739D01* +X90013503Y-92902616D01* +X90026215Y-92900731D01* +X90039050Y-92900100D01* +X90300950Y-92900100D01* +X90313785Y-92900731D01* +X90313785Y-92900731D01* +G37* +D12* +X90170000Y-94112000D03* +D10* +G36* +X91583785Y-92900731D02* +G01* +X91596497Y-92902616D01* +X91608963Y-92905739D01* +X91621062Y-92910068D01* +X91632679Y-92915562D01* +X91643702Y-92922169D01* +X91654024Y-92929824D01* +X91663546Y-92938454D01* +X91672176Y-92947976D01* +X91679831Y-92958298D01* +X91686438Y-92969321D01* +X91691932Y-92980938D01* +X91696261Y-92993037D01* +X91699384Y-93005503D01* +X91701269Y-93018215D01* +X91701900Y-93031050D01* +X91701900Y-95192950D01* +X91701269Y-95205785D01* +X91699384Y-95218497D01* +X91696261Y-95230963D01* +X91691932Y-95243062D01* +X91686438Y-95254679D01* +X91679831Y-95265702D01* +X91672176Y-95276024D01* +X91663546Y-95285546D01* +X91654024Y-95294176D01* +X91643702Y-95301831D01* +X91632679Y-95308438D01* +X91621062Y-95313932D01* +X91608963Y-95318261D01* +X91596497Y-95321384D01* +X91583785Y-95323269D01* +X91570950Y-95323900D01* +X91309050Y-95323900D01* +X91296215Y-95323269D01* +X91283503Y-95321384D01* +X91271037Y-95318261D01* +X91258938Y-95313932D01* +X91247321Y-95308438D01* +X91236298Y-95301831D01* +X91225976Y-95294176D01* +X91216454Y-95285546D01* +X91207824Y-95276024D01* +X91200169Y-95265702D01* +X91193562Y-95254679D01* +X91188068Y-95243062D01* +X91183739Y-95230963D01* +X91180616Y-95218497D01* +X91178731Y-95205785D01* +X91178100Y-95192950D01* +X91178100Y-93031050D01* +X91178731Y-93018215D01* +X91180616Y-93005503D01* +X91183739Y-92993037D01* +X91188068Y-92980938D01* +X91193562Y-92969321D01* +X91200169Y-92958298D01* +X91207824Y-92947976D01* +X91216454Y-92938454D01* +X91225976Y-92929824D01* +X91236298Y-92922169D01* +X91247321Y-92915562D01* +X91258938Y-92910068D01* +X91271037Y-92905739D01* +X91283503Y-92902616D01* +X91296215Y-92900731D01* +X91309050Y-92900100D01* +X91570950Y-92900100D01* +X91583785Y-92900731D01* +X91583785Y-92900731D01* +G37* +D12* +X91440000Y-94112000D03* +D10* +G36* +X92853785Y-92900731D02* +G01* +X92866497Y-92902616D01* +X92878963Y-92905739D01* +X92891062Y-92910068D01* +X92902679Y-92915562D01* +X92913702Y-92922169D01* +X92924024Y-92929824D01* +X92933546Y-92938454D01* +X92942176Y-92947976D01* +X92949831Y-92958298D01* +X92956438Y-92969321D01* +X92961932Y-92980938D01* +X92966261Y-92993037D01* +X92969384Y-93005503D01* +X92971269Y-93018215D01* +X92971900Y-93031050D01* +X92971900Y-95192950D01* +X92971269Y-95205785D01* +X92969384Y-95218497D01* +X92966261Y-95230963D01* +X92961932Y-95243062D01* +X92956438Y-95254679D01* +X92949831Y-95265702D01* +X92942176Y-95276024D01* +X92933546Y-95285546D01* +X92924024Y-95294176D01* +X92913702Y-95301831D01* +X92902679Y-95308438D01* +X92891062Y-95313932D01* +X92878963Y-95318261D01* +X92866497Y-95321384D01* +X92853785Y-95323269D01* +X92840950Y-95323900D01* +X92579050Y-95323900D01* +X92566215Y-95323269D01* +X92553503Y-95321384D01* +X92541037Y-95318261D01* +X92528938Y-95313932D01* +X92517321Y-95308438D01* +X92506298Y-95301831D01* +X92495976Y-95294176D01* +X92486454Y-95285546D01* +X92477824Y-95276024D01* +X92470169Y-95265702D01* +X92463562Y-95254679D01* +X92458068Y-95243062D01* +X92453739Y-95230963D01* +X92450616Y-95218497D01* +X92448731Y-95205785D01* +X92448100Y-95192950D01* +X92448100Y-93031050D01* +X92448731Y-93018215D01* +X92450616Y-93005503D01* +X92453739Y-92993037D01* +X92458068Y-92980938D01* +X92463562Y-92969321D01* +X92470169Y-92958298D01* +X92477824Y-92947976D01* +X92486454Y-92938454D01* +X92495976Y-92929824D01* +X92506298Y-92922169D01* +X92517321Y-92915562D01* +X92528938Y-92910068D01* +X92541037Y-92905739D01* +X92553503Y-92902616D01* +X92566215Y-92900731D01* +X92579050Y-92900100D01* +X92840950Y-92900100D01* +X92853785Y-92900731D01* +X92853785Y-92900731D01* +G37* +D12* +X92710000Y-94112000D03* +D10* +G36* +X94123785Y-92900731D02* +G01* +X94136497Y-92902616D01* +X94148963Y-92905739D01* +X94161062Y-92910068D01* +X94172679Y-92915562D01* +X94183702Y-92922169D01* +X94194024Y-92929824D01* +X94203546Y-92938454D01* +X94212176Y-92947976D01* +X94219831Y-92958298D01* +X94226438Y-92969321D01* +X94231932Y-92980938D01* +X94236261Y-92993037D01* +X94239384Y-93005503D01* +X94241269Y-93018215D01* +X94241900Y-93031050D01* +X94241900Y-95192950D01* +X94241269Y-95205785D01* +X94239384Y-95218497D01* +X94236261Y-95230963D01* +X94231932Y-95243062D01* +X94226438Y-95254679D01* +X94219831Y-95265702D01* +X94212176Y-95276024D01* +X94203546Y-95285546D01* +X94194024Y-95294176D01* +X94183702Y-95301831D01* +X94172679Y-95308438D01* +X94161062Y-95313932D01* +X94148963Y-95318261D01* +X94136497Y-95321384D01* +X94123785Y-95323269D01* +X94110950Y-95323900D01* +X93849050Y-95323900D01* +X93836215Y-95323269D01* +X93823503Y-95321384D01* +X93811037Y-95318261D01* +X93798938Y-95313932D01* +X93787321Y-95308438D01* +X93776298Y-95301831D01* +X93765976Y-95294176D01* +X93756454Y-95285546D01* +X93747824Y-95276024D01* +X93740169Y-95265702D01* +X93733562Y-95254679D01* +X93728068Y-95243062D01* +X93723739Y-95230963D01* +X93720616Y-95218497D01* +X93718731Y-95205785D01* +X93718100Y-95192950D01* +X93718100Y-93031050D01* +X93718731Y-93018215D01* +X93720616Y-93005503D01* +X93723739Y-92993037D01* +X93728068Y-92980938D01* +X93733562Y-92969321D01* +X93740169Y-92958298D01* +X93747824Y-92947976D01* +X93756454Y-92938454D01* +X93765976Y-92929824D01* +X93776298Y-92922169D01* +X93787321Y-92915562D01* +X93798938Y-92910068D01* +X93811037Y-92905739D01* +X93823503Y-92902616D01* +X93836215Y-92900731D01* +X93849050Y-92900100D01* +X94110950Y-92900100D01* +X94123785Y-92900731D01* +X94123785Y-92900731D01* +G37* +D12* +X93980000Y-94112000D03* +D10* +G36* +X96663785Y-92900731D02* +G01* +X96676497Y-92902616D01* +X96688963Y-92905739D01* +X96701062Y-92910068D01* +X96712679Y-92915562D01* +X96723702Y-92922169D01* +X96734024Y-92929824D01* +X96743546Y-92938454D01* +X96752176Y-92947976D01* +X96759831Y-92958298D01* +X96766438Y-92969321D01* +X96771932Y-92980938D01* +X96776261Y-92993037D01* +X96779384Y-93005503D01* +X96781269Y-93018215D01* +X96781900Y-93031050D01* +X96781900Y-95192950D01* +X96781269Y-95205785D01* +X96779384Y-95218497D01* +X96776261Y-95230963D01* +X96771932Y-95243062D01* +X96766438Y-95254679D01* +X96759831Y-95265702D01* +X96752176Y-95276024D01* +X96743546Y-95285546D01* +X96734024Y-95294176D01* +X96723702Y-95301831D01* +X96712679Y-95308438D01* +X96701062Y-95313932D01* +X96688963Y-95318261D01* +X96676497Y-95321384D01* +X96663785Y-95323269D01* +X96650950Y-95323900D01* +X96389050Y-95323900D01* +X96376215Y-95323269D01* +X96363503Y-95321384D01* +X96351037Y-95318261D01* +X96338938Y-95313932D01* +X96327321Y-95308438D01* +X96316298Y-95301831D01* +X96305976Y-95294176D01* +X96296454Y-95285546D01* +X96287824Y-95276024D01* +X96280169Y-95265702D01* +X96273562Y-95254679D01* +X96268068Y-95243062D01* +X96263739Y-95230963D01* +X96260616Y-95218497D01* +X96258731Y-95205785D01* +X96258100Y-95192950D01* +X96258100Y-93031050D01* +X96258731Y-93018215D01* +X96260616Y-93005503D01* +X96263739Y-92993037D01* +X96268068Y-92980938D01* +X96273562Y-92969321D01* +X96280169Y-92958298D01* +X96287824Y-92947976D01* +X96296454Y-92938454D01* +X96305976Y-92929824D01* +X96316298Y-92922169D01* +X96327321Y-92915562D01* +X96338938Y-92910068D01* +X96351037Y-92905739D01* +X96363503Y-92902616D01* +X96376215Y-92900731D01* +X96389050Y-92900100D01* +X96650950Y-92900100D01* +X96663785Y-92900731D01* +X96663785Y-92900731D01* +G37* +D12* +X96520000Y-94112000D03* +D10* +G36* +X97933785Y-92900731D02* +G01* +X97946497Y-92902616D01* +X97958963Y-92905739D01* +X97971062Y-92910068D01* +X97982679Y-92915562D01* +X97993702Y-92922169D01* +X98004024Y-92929824D01* +X98013546Y-92938454D01* +X98022176Y-92947976D01* +X98029831Y-92958298D01* +X98036438Y-92969321D01* +X98041932Y-92980938D01* +X98046261Y-92993037D01* +X98049384Y-93005503D01* +X98051269Y-93018215D01* +X98051900Y-93031050D01* +X98051900Y-95192950D01* +X98051269Y-95205785D01* +X98049384Y-95218497D01* +X98046261Y-95230963D01* +X98041932Y-95243062D01* +X98036438Y-95254679D01* +X98029831Y-95265702D01* +X98022176Y-95276024D01* +X98013546Y-95285546D01* +X98004024Y-95294176D01* +X97993702Y-95301831D01* +X97982679Y-95308438D01* +X97971062Y-95313932D01* +X97958963Y-95318261D01* +X97946497Y-95321384D01* +X97933785Y-95323269D01* +X97920950Y-95323900D01* +X97659050Y-95323900D01* +X97646215Y-95323269D01* +X97633503Y-95321384D01* +X97621037Y-95318261D01* +X97608938Y-95313932D01* +X97597321Y-95308438D01* +X97586298Y-95301831D01* +X97575976Y-95294176D01* +X97566454Y-95285546D01* +X97557824Y-95276024D01* +X97550169Y-95265702D01* +X97543562Y-95254679D01* +X97538068Y-95243062D01* +X97533739Y-95230963D01* +X97530616Y-95218497D01* +X97528731Y-95205785D01* +X97528100Y-95192950D01* +X97528100Y-93031050D01* +X97528731Y-93018215D01* +X97530616Y-93005503D01* +X97533739Y-92993037D01* +X97538068Y-92980938D01* +X97543562Y-92969321D01* +X97550169Y-92958298D01* +X97557824Y-92947976D01* +X97566454Y-92938454D01* +X97575976Y-92929824D01* +X97586298Y-92922169D01* +X97597321Y-92915562D01* +X97608938Y-92910068D01* +X97621037Y-92905739D01* +X97633503Y-92902616D01* +X97646215Y-92900731D01* +X97659050Y-92900100D01* +X97920950Y-92900100D01* +X97933785Y-92900731D01* +X97933785Y-92900731D01* +G37* +D12* +X97790000Y-94112000D03* +D10* +G36* +X99203785Y-92900731D02* +G01* +X99216497Y-92902616D01* +X99228963Y-92905739D01* +X99241062Y-92910068D01* +X99252679Y-92915562D01* +X99263702Y-92922169D01* +X99274024Y-92929824D01* +X99283546Y-92938454D01* +X99292176Y-92947976D01* +X99299831Y-92958298D01* +X99306438Y-92969321D01* +X99311932Y-92980938D01* +X99316261Y-92993037D01* +X99319384Y-93005503D01* +X99321269Y-93018215D01* +X99321900Y-93031050D01* +X99321900Y-95192950D01* +X99321269Y-95205785D01* +X99319384Y-95218497D01* +X99316261Y-95230963D01* +X99311932Y-95243062D01* +X99306438Y-95254679D01* +X99299831Y-95265702D01* +X99292176Y-95276024D01* +X99283546Y-95285546D01* +X99274024Y-95294176D01* +X99263702Y-95301831D01* +X99252679Y-95308438D01* +X99241062Y-95313932D01* +X99228963Y-95318261D01* +X99216497Y-95321384D01* +X99203785Y-95323269D01* +X99190950Y-95323900D01* +X98929050Y-95323900D01* +X98916215Y-95323269D01* +X98903503Y-95321384D01* +X98891037Y-95318261D01* +X98878938Y-95313932D01* +X98867321Y-95308438D01* +X98856298Y-95301831D01* +X98845976Y-95294176D01* +X98836454Y-95285546D01* +X98827824Y-95276024D01* +X98820169Y-95265702D01* +X98813562Y-95254679D01* +X98808068Y-95243062D01* +X98803739Y-95230963D01* +X98800616Y-95218497D01* +X98798731Y-95205785D01* +X98798100Y-95192950D01* +X98798100Y-93031050D01* +X98798731Y-93018215D01* +X98800616Y-93005503D01* +X98803739Y-92993037D01* +X98808068Y-92980938D01* +X98813562Y-92969321D01* +X98820169Y-92958298D01* +X98827824Y-92947976D01* +X98836454Y-92938454D01* +X98845976Y-92929824D01* +X98856298Y-92922169D01* +X98867321Y-92915562D01* +X98878938Y-92910068D01* +X98891037Y-92905739D01* +X98903503Y-92902616D01* +X98916215Y-92900731D01* +X98929050Y-92900100D01* +X99190950Y-92900100D01* +X99203785Y-92900731D01* +X99203785Y-92900731D01* +G37* +D12* +X99060000Y-94112000D03* +D10* +G36* +X100473785Y-92900731D02* +G01* +X100486497Y-92902616D01* +X100498963Y-92905739D01* +X100511062Y-92910068D01* +X100522679Y-92915562D01* +X100533702Y-92922169D01* +X100544024Y-92929824D01* +X100553546Y-92938454D01* +X100562176Y-92947976D01* +X100569831Y-92958298D01* +X100576438Y-92969321D01* +X100581932Y-92980938D01* +X100586261Y-92993037D01* +X100589384Y-93005503D01* +X100591269Y-93018215D01* +X100591900Y-93031050D01* +X100591900Y-95192950D01* +X100591269Y-95205785D01* +X100589384Y-95218497D01* +X100586261Y-95230963D01* +X100581932Y-95243062D01* +X100576438Y-95254679D01* +X100569831Y-95265702D01* +X100562176Y-95276024D01* +X100553546Y-95285546D01* +X100544024Y-95294176D01* +X100533702Y-95301831D01* +X100522679Y-95308438D01* +X100511062Y-95313932D01* +X100498963Y-95318261D01* +X100486497Y-95321384D01* +X100473785Y-95323269D01* +X100460950Y-95323900D01* +X100199050Y-95323900D01* +X100186215Y-95323269D01* +X100173503Y-95321384D01* +X100161037Y-95318261D01* +X100148938Y-95313932D01* +X100137321Y-95308438D01* +X100126298Y-95301831D01* +X100115976Y-95294176D01* +X100106454Y-95285546D01* +X100097824Y-95276024D01* +X100090169Y-95265702D01* +X100083562Y-95254679D01* +X100078068Y-95243062D01* +X100073739Y-95230963D01* +X100070616Y-95218497D01* +X100068731Y-95205785D01* +X100068100Y-95192950D01* +X100068100Y-93031050D01* +X100068731Y-93018215D01* +X100070616Y-93005503D01* +X100073739Y-92993037D01* +X100078068Y-92980938D01* +X100083562Y-92969321D01* +X100090169Y-92958298D01* +X100097824Y-92947976D01* +X100106454Y-92938454D01* +X100115976Y-92929824D01* +X100126298Y-92922169D01* +X100137321Y-92915562D01* +X100148938Y-92910068D01* +X100161037Y-92905739D01* +X100173503Y-92902616D01* +X100186215Y-92900731D01* +X100199050Y-92900100D01* +X100460950Y-92900100D01* +X100473785Y-92900731D01* +X100473785Y-92900731D01* +G37* +D12* +X100330000Y-94112000D03* +D10* +G36* +X101743785Y-92900731D02* +G01* +X101756497Y-92902616D01* +X101768963Y-92905739D01* +X101781062Y-92910068D01* +X101792679Y-92915562D01* +X101803702Y-92922169D01* +X101814024Y-92929824D01* +X101823546Y-92938454D01* +X101832176Y-92947976D01* +X101839831Y-92958298D01* +X101846438Y-92969321D01* +X101851932Y-92980938D01* +X101856261Y-92993037D01* +X101859384Y-93005503D01* +X101861269Y-93018215D01* +X101861900Y-93031050D01* +X101861900Y-95192950D01* +X101861269Y-95205785D01* +X101859384Y-95218497D01* +X101856261Y-95230963D01* +X101851932Y-95243062D01* +X101846438Y-95254679D01* +X101839831Y-95265702D01* +X101832176Y-95276024D01* +X101823546Y-95285546D01* +X101814024Y-95294176D01* +X101803702Y-95301831D01* +X101792679Y-95308438D01* +X101781062Y-95313932D01* +X101768963Y-95318261D01* +X101756497Y-95321384D01* +X101743785Y-95323269D01* +X101730950Y-95323900D01* +X101469050Y-95323900D01* +X101456215Y-95323269D01* +X101443503Y-95321384D01* +X101431037Y-95318261D01* +X101418938Y-95313932D01* +X101407321Y-95308438D01* +X101396298Y-95301831D01* +X101385976Y-95294176D01* +X101376454Y-95285546D01* +X101367824Y-95276024D01* +X101360169Y-95265702D01* +X101353562Y-95254679D01* +X101348068Y-95243062D01* +X101343739Y-95230963D01* +X101340616Y-95218497D01* +X101338731Y-95205785D01* +X101338100Y-95192950D01* +X101338100Y-93031050D01* +X101338731Y-93018215D01* +X101340616Y-93005503D01* +X101343739Y-92993037D01* +X101348068Y-92980938D01* +X101353562Y-92969321D01* +X101360169Y-92958298D01* +X101367824Y-92947976D01* +X101376454Y-92938454D01* +X101385976Y-92929824D01* +X101396298Y-92922169D01* +X101407321Y-92915562D01* +X101418938Y-92910068D01* +X101431037Y-92905739D01* +X101443503Y-92902616D01* +X101456215Y-92900731D01* +X101469050Y-92900100D01* +X101730950Y-92900100D01* +X101743785Y-92900731D01* +X101743785Y-92900731D01* +G37* +D12* +X101600000Y-94112000D03* +D10* +G36* +X103013785Y-92900731D02* +G01* +X103026497Y-92902616D01* +X103038963Y-92905739D01* +X103051062Y-92910068D01* +X103062679Y-92915562D01* +X103073702Y-92922169D01* +X103084024Y-92929824D01* +X103093546Y-92938454D01* +X103102176Y-92947976D01* +X103109831Y-92958298D01* +X103116438Y-92969321D01* +X103121932Y-92980938D01* +X103126261Y-92993037D01* +X103129384Y-93005503D01* +X103131269Y-93018215D01* +X103131900Y-93031050D01* +X103131900Y-95192950D01* +X103131269Y-95205785D01* +X103129384Y-95218497D01* +X103126261Y-95230963D01* +X103121932Y-95243062D01* +X103116438Y-95254679D01* +X103109831Y-95265702D01* +X103102176Y-95276024D01* +X103093546Y-95285546D01* +X103084024Y-95294176D01* +X103073702Y-95301831D01* +X103062679Y-95308438D01* +X103051062Y-95313932D01* +X103038963Y-95318261D01* +X103026497Y-95321384D01* +X103013785Y-95323269D01* +X103000950Y-95323900D01* +X102739050Y-95323900D01* +X102726215Y-95323269D01* +X102713503Y-95321384D01* +X102701037Y-95318261D01* +X102688938Y-95313932D01* +X102677321Y-95308438D01* +X102666298Y-95301831D01* +X102655976Y-95294176D01* +X102646454Y-95285546D01* +X102637824Y-95276024D01* +X102630169Y-95265702D01* +X102623562Y-95254679D01* +X102618068Y-95243062D01* +X102613739Y-95230963D01* +X102610616Y-95218497D01* +X102608731Y-95205785D01* +X102608100Y-95192950D01* +X102608100Y-93031050D01* +X102608731Y-93018215D01* +X102610616Y-93005503D01* +X102613739Y-92993037D01* +X102618068Y-92980938D01* +X102623562Y-92969321D01* +X102630169Y-92958298D01* +X102637824Y-92947976D01* +X102646454Y-92938454D01* +X102655976Y-92929824D01* +X102666298Y-92922169D01* +X102677321Y-92915562D01* +X102688938Y-92910068D01* +X102701037Y-92905739D01* +X102713503Y-92902616D01* +X102726215Y-92900731D01* +X102739050Y-92900100D01* +X103000950Y-92900100D01* +X103013785Y-92900731D01* +X103013785Y-92900731D01* +G37* +D12* +X102870000Y-94112000D03* +D10* +G36* +X103013785Y-84000731D02* +G01* +X103026497Y-84002616D01* +X103038963Y-84005739D01* +X103051062Y-84010068D01* +X103062679Y-84015562D01* +X103073702Y-84022169D01* +X103084024Y-84029824D01* +X103093546Y-84038454D01* +X103102176Y-84047976D01* +X103109831Y-84058298D01* +X103116438Y-84069321D01* +X103121932Y-84080938D01* +X103126261Y-84093037D01* +X103129384Y-84105503D01* +X103131269Y-84118215D01* +X103131900Y-84131050D01* +X103131900Y-86292950D01* +X103131269Y-86305785D01* +X103129384Y-86318497D01* +X103126261Y-86330963D01* +X103121932Y-86343062D01* +X103116438Y-86354679D01* +X103109831Y-86365702D01* +X103102176Y-86376024D01* +X103093546Y-86385546D01* +X103084024Y-86394176D01* +X103073702Y-86401831D01* +X103062679Y-86408438D01* +X103051062Y-86413932D01* +X103038963Y-86418261D01* +X103026497Y-86421384D01* +X103013785Y-86423269D01* +X103000950Y-86423900D01* +X102739050Y-86423900D01* +X102726215Y-86423269D01* +X102713503Y-86421384D01* +X102701037Y-86418261D01* +X102688938Y-86413932D01* +X102677321Y-86408438D01* +X102666298Y-86401831D01* +X102655976Y-86394176D01* +X102646454Y-86385546D01* +X102637824Y-86376024D01* +X102630169Y-86365702D01* +X102623562Y-86354679D01* +X102618068Y-86343062D01* +X102613739Y-86330963D01* +X102610616Y-86318497D01* +X102608731Y-86305785D01* +X102608100Y-86292950D01* +X102608100Y-84131050D01* +X102608731Y-84118215D01* +X102610616Y-84105503D01* +X102613739Y-84093037D01* +X102618068Y-84080938D01* +X102623562Y-84069321D01* +X102630169Y-84058298D01* +X102637824Y-84047976D01* +X102646454Y-84038454D01* +X102655976Y-84029824D01* +X102666298Y-84022169D01* +X102677321Y-84015562D01* +X102688938Y-84010068D01* +X102701037Y-84005739D01* +X102713503Y-84002616D01* +X102726215Y-84000731D01* +X102739050Y-84000100D01* +X103000950Y-84000100D01* +X103013785Y-84000731D01* +X103013785Y-84000731D01* +G37* +D12* +X102870000Y-85212000D03* +D10* +G36* +X101743785Y-84000731D02* +G01* +X101756497Y-84002616D01* +X101768963Y-84005739D01* +X101781062Y-84010068D01* +X101792679Y-84015562D01* +X101803702Y-84022169D01* +X101814024Y-84029824D01* +X101823546Y-84038454D01* +X101832176Y-84047976D01* +X101839831Y-84058298D01* +X101846438Y-84069321D01* +X101851932Y-84080938D01* +X101856261Y-84093037D01* +X101859384Y-84105503D01* +X101861269Y-84118215D01* +X101861900Y-84131050D01* +X101861900Y-86292950D01* +X101861269Y-86305785D01* +X101859384Y-86318497D01* +X101856261Y-86330963D01* +X101851932Y-86343062D01* +X101846438Y-86354679D01* +X101839831Y-86365702D01* +X101832176Y-86376024D01* +X101823546Y-86385546D01* +X101814024Y-86394176D01* +X101803702Y-86401831D01* +X101792679Y-86408438D01* +X101781062Y-86413932D01* +X101768963Y-86418261D01* +X101756497Y-86421384D01* +X101743785Y-86423269D01* +X101730950Y-86423900D01* +X101469050Y-86423900D01* +X101456215Y-86423269D01* +X101443503Y-86421384D01* +X101431037Y-86418261D01* +X101418938Y-86413932D01* +X101407321Y-86408438D01* +X101396298Y-86401831D01* +X101385976Y-86394176D01* +X101376454Y-86385546D01* +X101367824Y-86376024D01* +X101360169Y-86365702D01* +X101353562Y-86354679D01* +X101348068Y-86343062D01* +X101343739Y-86330963D01* +X101340616Y-86318497D01* +X101338731Y-86305785D01* +X101338100Y-86292950D01* +X101338100Y-84131050D01* +X101338731Y-84118215D01* +X101340616Y-84105503D01* +X101343739Y-84093037D01* +X101348068Y-84080938D01* +X101353562Y-84069321D01* +X101360169Y-84058298D01* +X101367824Y-84047976D01* +X101376454Y-84038454D01* +X101385976Y-84029824D01* +X101396298Y-84022169D01* +X101407321Y-84015562D01* +X101418938Y-84010068D01* +X101431037Y-84005739D01* +X101443503Y-84002616D01* +X101456215Y-84000731D01* +X101469050Y-84000100D01* +X101730950Y-84000100D01* +X101743785Y-84000731D01* +X101743785Y-84000731D01* +G37* +D12* +X101600000Y-85212000D03* +D10* +G36* +X100473785Y-84000731D02* +G01* +X100486497Y-84002616D01* +X100498963Y-84005739D01* +X100511062Y-84010068D01* +X100522679Y-84015562D01* +X100533702Y-84022169D01* +X100544024Y-84029824D01* +X100553546Y-84038454D01* +X100562176Y-84047976D01* +X100569831Y-84058298D01* +X100576438Y-84069321D01* +X100581932Y-84080938D01* +X100586261Y-84093037D01* +X100589384Y-84105503D01* +X100591269Y-84118215D01* +X100591900Y-84131050D01* +X100591900Y-86292950D01* +X100591269Y-86305785D01* +X100589384Y-86318497D01* +X100586261Y-86330963D01* +X100581932Y-86343062D01* +X100576438Y-86354679D01* +X100569831Y-86365702D01* +X100562176Y-86376024D01* +X100553546Y-86385546D01* +X100544024Y-86394176D01* +X100533702Y-86401831D01* +X100522679Y-86408438D01* +X100511062Y-86413932D01* +X100498963Y-86418261D01* +X100486497Y-86421384D01* +X100473785Y-86423269D01* +X100460950Y-86423900D01* +X100199050Y-86423900D01* +X100186215Y-86423269D01* +X100173503Y-86421384D01* +X100161037Y-86418261D01* +X100148938Y-86413932D01* +X100137321Y-86408438D01* +X100126298Y-86401831D01* +X100115976Y-86394176D01* +X100106454Y-86385546D01* +X100097824Y-86376024D01* +X100090169Y-86365702D01* +X100083562Y-86354679D01* +X100078068Y-86343062D01* +X100073739Y-86330963D01* +X100070616Y-86318497D01* +X100068731Y-86305785D01* +X100068100Y-86292950D01* +X100068100Y-84131050D01* +X100068731Y-84118215D01* +X100070616Y-84105503D01* +X100073739Y-84093037D01* +X100078068Y-84080938D01* +X100083562Y-84069321D01* +X100090169Y-84058298D01* +X100097824Y-84047976D01* +X100106454Y-84038454D01* +X100115976Y-84029824D01* +X100126298Y-84022169D01* +X100137321Y-84015562D01* +X100148938Y-84010068D01* +X100161037Y-84005739D01* +X100173503Y-84002616D01* +X100186215Y-84000731D01* +X100199050Y-84000100D01* +X100460950Y-84000100D01* +X100473785Y-84000731D01* +X100473785Y-84000731D01* +G37* +D12* +X100330000Y-85212000D03* +D10* +G36* +X99203785Y-84000731D02* +G01* +X99216497Y-84002616D01* +X99228963Y-84005739D01* +X99241062Y-84010068D01* +X99252679Y-84015562D01* +X99263702Y-84022169D01* +X99274024Y-84029824D01* +X99283546Y-84038454D01* +X99292176Y-84047976D01* +X99299831Y-84058298D01* +X99306438Y-84069321D01* +X99311932Y-84080938D01* +X99316261Y-84093037D01* +X99319384Y-84105503D01* +X99321269Y-84118215D01* +X99321900Y-84131050D01* +X99321900Y-86292950D01* +X99321269Y-86305785D01* +X99319384Y-86318497D01* +X99316261Y-86330963D01* +X99311932Y-86343062D01* +X99306438Y-86354679D01* +X99299831Y-86365702D01* +X99292176Y-86376024D01* +X99283546Y-86385546D01* +X99274024Y-86394176D01* +X99263702Y-86401831D01* +X99252679Y-86408438D01* +X99241062Y-86413932D01* +X99228963Y-86418261D01* +X99216497Y-86421384D01* +X99203785Y-86423269D01* +X99190950Y-86423900D01* +X98929050Y-86423900D01* +X98916215Y-86423269D01* +X98903503Y-86421384D01* +X98891037Y-86418261D01* +X98878938Y-86413932D01* +X98867321Y-86408438D01* +X98856298Y-86401831D01* +X98845976Y-86394176D01* +X98836454Y-86385546D01* +X98827824Y-86376024D01* +X98820169Y-86365702D01* +X98813562Y-86354679D01* +X98808068Y-86343062D01* +X98803739Y-86330963D01* +X98800616Y-86318497D01* +X98798731Y-86305785D01* +X98798100Y-86292950D01* +X98798100Y-84131050D01* +X98798731Y-84118215D01* +X98800616Y-84105503D01* +X98803739Y-84093037D01* +X98808068Y-84080938D01* +X98813562Y-84069321D01* +X98820169Y-84058298D01* +X98827824Y-84047976D01* +X98836454Y-84038454D01* +X98845976Y-84029824D01* +X98856298Y-84022169D01* +X98867321Y-84015562D01* +X98878938Y-84010068D01* +X98891037Y-84005739D01* +X98903503Y-84002616D01* +X98916215Y-84000731D01* +X98929050Y-84000100D01* +X99190950Y-84000100D01* +X99203785Y-84000731D01* +X99203785Y-84000731D01* +G37* +D12* +X99060000Y-85212000D03* +D10* +G36* +X97933785Y-84000731D02* +G01* +X97946497Y-84002616D01* +X97958963Y-84005739D01* +X97971062Y-84010068D01* +X97982679Y-84015562D01* +X97993702Y-84022169D01* +X98004024Y-84029824D01* +X98013546Y-84038454D01* +X98022176Y-84047976D01* +X98029831Y-84058298D01* +X98036438Y-84069321D01* +X98041932Y-84080938D01* +X98046261Y-84093037D01* +X98049384Y-84105503D01* +X98051269Y-84118215D01* +X98051900Y-84131050D01* +X98051900Y-86292950D01* +X98051269Y-86305785D01* +X98049384Y-86318497D01* +X98046261Y-86330963D01* +X98041932Y-86343062D01* +X98036438Y-86354679D01* +X98029831Y-86365702D01* +X98022176Y-86376024D01* +X98013546Y-86385546D01* +X98004024Y-86394176D01* +X97993702Y-86401831D01* +X97982679Y-86408438D01* +X97971062Y-86413932D01* +X97958963Y-86418261D01* +X97946497Y-86421384D01* +X97933785Y-86423269D01* +X97920950Y-86423900D01* +X97659050Y-86423900D01* +X97646215Y-86423269D01* +X97633503Y-86421384D01* +X97621037Y-86418261D01* +X97608938Y-86413932D01* +X97597321Y-86408438D01* +X97586298Y-86401831D01* +X97575976Y-86394176D01* +X97566454Y-86385546D01* +X97557824Y-86376024D01* +X97550169Y-86365702D01* +X97543562Y-86354679D01* +X97538068Y-86343062D01* +X97533739Y-86330963D01* +X97530616Y-86318497D01* +X97528731Y-86305785D01* +X97528100Y-86292950D01* +X97528100Y-84131050D01* +X97528731Y-84118215D01* +X97530616Y-84105503D01* +X97533739Y-84093037D01* +X97538068Y-84080938D01* +X97543562Y-84069321D01* +X97550169Y-84058298D01* +X97557824Y-84047976D01* +X97566454Y-84038454D01* +X97575976Y-84029824D01* +X97586298Y-84022169D01* +X97597321Y-84015562D01* +X97608938Y-84010068D01* +X97621037Y-84005739D01* +X97633503Y-84002616D01* +X97646215Y-84000731D01* +X97659050Y-84000100D01* +X97920950Y-84000100D01* +X97933785Y-84000731D01* +X97933785Y-84000731D01* +G37* +D12* +X97790000Y-85212000D03* +D10* +G36* +X96663785Y-84000731D02* +G01* +X96676497Y-84002616D01* +X96688963Y-84005739D01* +X96701062Y-84010068D01* +X96712679Y-84015562D01* +X96723702Y-84022169D01* +X96734024Y-84029824D01* +X96743546Y-84038454D01* +X96752176Y-84047976D01* +X96759831Y-84058298D01* +X96766438Y-84069321D01* +X96771932Y-84080938D01* +X96776261Y-84093037D01* +X96779384Y-84105503D01* +X96781269Y-84118215D01* +X96781900Y-84131050D01* +X96781900Y-86292950D01* +X96781269Y-86305785D01* +X96779384Y-86318497D01* +X96776261Y-86330963D01* +X96771932Y-86343062D01* +X96766438Y-86354679D01* +X96759831Y-86365702D01* +X96752176Y-86376024D01* +X96743546Y-86385546D01* +X96734024Y-86394176D01* +X96723702Y-86401831D01* +X96712679Y-86408438D01* +X96701062Y-86413932D01* +X96688963Y-86418261D01* +X96676497Y-86421384D01* +X96663785Y-86423269D01* +X96650950Y-86423900D01* +X96389050Y-86423900D01* +X96376215Y-86423269D01* +X96363503Y-86421384D01* +X96351037Y-86418261D01* +X96338938Y-86413932D01* +X96327321Y-86408438D01* +X96316298Y-86401831D01* +X96305976Y-86394176D01* +X96296454Y-86385546D01* +X96287824Y-86376024D01* +X96280169Y-86365702D01* +X96273562Y-86354679D01* +X96268068Y-86343062D01* +X96263739Y-86330963D01* +X96260616Y-86318497D01* +X96258731Y-86305785D01* +X96258100Y-86292950D01* +X96258100Y-84131050D01* +X96258731Y-84118215D01* +X96260616Y-84105503D01* +X96263739Y-84093037D01* +X96268068Y-84080938D01* +X96273562Y-84069321D01* +X96280169Y-84058298D01* +X96287824Y-84047976D01* +X96296454Y-84038454D01* +X96305976Y-84029824D01* +X96316298Y-84022169D01* +X96327321Y-84015562D01* +X96338938Y-84010068D01* +X96351037Y-84005739D01* +X96363503Y-84002616D01* +X96376215Y-84000731D01* +X96389050Y-84000100D01* +X96650950Y-84000100D01* +X96663785Y-84000731D01* +X96663785Y-84000731D01* +G37* +D12* +X96520000Y-85212000D03* +D10* +G36* +X94123785Y-84000731D02* +G01* +X94136497Y-84002616D01* +X94148963Y-84005739D01* +X94161062Y-84010068D01* +X94172679Y-84015562D01* +X94183702Y-84022169D01* +X94194024Y-84029824D01* +X94203546Y-84038454D01* +X94212176Y-84047976D01* +X94219831Y-84058298D01* +X94226438Y-84069321D01* +X94231932Y-84080938D01* +X94236261Y-84093037D01* +X94239384Y-84105503D01* +X94241269Y-84118215D01* +X94241900Y-84131050D01* +X94241900Y-86292950D01* +X94241269Y-86305785D01* +X94239384Y-86318497D01* +X94236261Y-86330963D01* +X94231932Y-86343062D01* +X94226438Y-86354679D01* +X94219831Y-86365702D01* +X94212176Y-86376024D01* +X94203546Y-86385546D01* +X94194024Y-86394176D01* +X94183702Y-86401831D01* +X94172679Y-86408438D01* +X94161062Y-86413932D01* +X94148963Y-86418261D01* +X94136497Y-86421384D01* +X94123785Y-86423269D01* +X94110950Y-86423900D01* +X93849050Y-86423900D01* +X93836215Y-86423269D01* +X93823503Y-86421384D01* +X93811037Y-86418261D01* +X93798938Y-86413932D01* +X93787321Y-86408438D01* +X93776298Y-86401831D01* +X93765976Y-86394176D01* +X93756454Y-86385546D01* +X93747824Y-86376024D01* +X93740169Y-86365702D01* +X93733562Y-86354679D01* +X93728068Y-86343062D01* +X93723739Y-86330963D01* +X93720616Y-86318497D01* +X93718731Y-86305785D01* +X93718100Y-86292950D01* +X93718100Y-84131050D01* +X93718731Y-84118215D01* +X93720616Y-84105503D01* +X93723739Y-84093037D01* +X93728068Y-84080938D01* +X93733562Y-84069321D01* +X93740169Y-84058298D01* +X93747824Y-84047976D01* +X93756454Y-84038454D01* +X93765976Y-84029824D01* +X93776298Y-84022169D01* +X93787321Y-84015562D01* +X93798938Y-84010068D01* +X93811037Y-84005739D01* +X93823503Y-84002616D01* +X93836215Y-84000731D01* +X93849050Y-84000100D01* +X94110950Y-84000100D01* +X94123785Y-84000731D01* +X94123785Y-84000731D01* +G37* +D12* +X93980000Y-85212000D03* +D10* +G36* +X92853785Y-84000731D02* +G01* +X92866497Y-84002616D01* +X92878963Y-84005739D01* +X92891062Y-84010068D01* +X92902679Y-84015562D01* +X92913702Y-84022169D01* +X92924024Y-84029824D01* +X92933546Y-84038454D01* +X92942176Y-84047976D01* +X92949831Y-84058298D01* +X92956438Y-84069321D01* +X92961932Y-84080938D01* +X92966261Y-84093037D01* +X92969384Y-84105503D01* +X92971269Y-84118215D01* +X92971900Y-84131050D01* +X92971900Y-86292950D01* +X92971269Y-86305785D01* +X92969384Y-86318497D01* +X92966261Y-86330963D01* +X92961932Y-86343062D01* +X92956438Y-86354679D01* +X92949831Y-86365702D01* +X92942176Y-86376024D01* +X92933546Y-86385546D01* +X92924024Y-86394176D01* +X92913702Y-86401831D01* +X92902679Y-86408438D01* +X92891062Y-86413932D01* +X92878963Y-86418261D01* +X92866497Y-86421384D01* +X92853785Y-86423269D01* +X92840950Y-86423900D01* +X92579050Y-86423900D01* +X92566215Y-86423269D01* +X92553503Y-86421384D01* +X92541037Y-86418261D01* +X92528938Y-86413932D01* +X92517321Y-86408438D01* +X92506298Y-86401831D01* +X92495976Y-86394176D01* +X92486454Y-86385546D01* +X92477824Y-86376024D01* +X92470169Y-86365702D01* +X92463562Y-86354679D01* +X92458068Y-86343062D01* +X92453739Y-86330963D01* +X92450616Y-86318497D01* +X92448731Y-86305785D01* +X92448100Y-86292950D01* +X92448100Y-84131050D01* +X92448731Y-84118215D01* +X92450616Y-84105503D01* +X92453739Y-84093037D01* +X92458068Y-84080938D01* +X92463562Y-84069321D01* +X92470169Y-84058298D01* +X92477824Y-84047976D01* +X92486454Y-84038454D01* +X92495976Y-84029824D01* +X92506298Y-84022169D01* +X92517321Y-84015562D01* +X92528938Y-84010068D01* +X92541037Y-84005739D01* +X92553503Y-84002616D01* +X92566215Y-84000731D01* +X92579050Y-84000100D01* +X92840950Y-84000100D01* +X92853785Y-84000731D01* +X92853785Y-84000731D01* +G37* +D12* +X92710000Y-85212000D03* +D10* +G36* +X91583785Y-84000731D02* +G01* +X91596497Y-84002616D01* +X91608963Y-84005739D01* +X91621062Y-84010068D01* +X91632679Y-84015562D01* +X91643702Y-84022169D01* +X91654024Y-84029824D01* +X91663546Y-84038454D01* +X91672176Y-84047976D01* +X91679831Y-84058298D01* +X91686438Y-84069321D01* +X91691932Y-84080938D01* +X91696261Y-84093037D01* +X91699384Y-84105503D01* +X91701269Y-84118215D01* +X91701900Y-84131050D01* +X91701900Y-86292950D01* +X91701269Y-86305785D01* +X91699384Y-86318497D01* +X91696261Y-86330963D01* +X91691932Y-86343062D01* +X91686438Y-86354679D01* +X91679831Y-86365702D01* +X91672176Y-86376024D01* +X91663546Y-86385546D01* +X91654024Y-86394176D01* +X91643702Y-86401831D01* +X91632679Y-86408438D01* +X91621062Y-86413932D01* +X91608963Y-86418261D01* +X91596497Y-86421384D01* +X91583785Y-86423269D01* +X91570950Y-86423900D01* +X91309050Y-86423900D01* +X91296215Y-86423269D01* +X91283503Y-86421384D01* +X91271037Y-86418261D01* +X91258938Y-86413932D01* +X91247321Y-86408438D01* +X91236298Y-86401831D01* +X91225976Y-86394176D01* +X91216454Y-86385546D01* +X91207824Y-86376024D01* +X91200169Y-86365702D01* +X91193562Y-86354679D01* +X91188068Y-86343062D01* +X91183739Y-86330963D01* +X91180616Y-86318497D01* +X91178731Y-86305785D01* +X91178100Y-86292950D01* +X91178100Y-84131050D01* +X91178731Y-84118215D01* +X91180616Y-84105503D01* +X91183739Y-84093037D01* +X91188068Y-84080938D01* +X91193562Y-84069321D01* +X91200169Y-84058298D01* +X91207824Y-84047976D01* +X91216454Y-84038454D01* +X91225976Y-84029824D01* +X91236298Y-84022169D01* +X91247321Y-84015562D01* +X91258938Y-84010068D01* +X91271037Y-84005739D01* +X91283503Y-84002616D01* +X91296215Y-84000731D01* +X91309050Y-84000100D01* +X91570950Y-84000100D01* +X91583785Y-84000731D01* +X91583785Y-84000731D01* +G37* +D12* +X91440000Y-85212000D03* +D10* +G36* +X90313785Y-84000731D02* +G01* +X90326497Y-84002616D01* +X90338963Y-84005739D01* +X90351062Y-84010068D01* +X90362679Y-84015562D01* +X90373702Y-84022169D01* +X90384024Y-84029824D01* +X90393546Y-84038454D01* +X90402176Y-84047976D01* +X90409831Y-84058298D01* +X90416438Y-84069321D01* +X90421932Y-84080938D01* +X90426261Y-84093037D01* +X90429384Y-84105503D01* +X90431269Y-84118215D01* +X90431900Y-84131050D01* +X90431900Y-86292950D01* +X90431269Y-86305785D01* +X90429384Y-86318497D01* +X90426261Y-86330963D01* +X90421932Y-86343062D01* +X90416438Y-86354679D01* +X90409831Y-86365702D01* +X90402176Y-86376024D01* +X90393546Y-86385546D01* +X90384024Y-86394176D01* +X90373702Y-86401831D01* +X90362679Y-86408438D01* +X90351062Y-86413932D01* +X90338963Y-86418261D01* +X90326497Y-86421384D01* +X90313785Y-86423269D01* +X90300950Y-86423900D01* +X90039050Y-86423900D01* +X90026215Y-86423269D01* +X90013503Y-86421384D01* +X90001037Y-86418261D01* +X89988938Y-86413932D01* +X89977321Y-86408438D01* +X89966298Y-86401831D01* +X89955976Y-86394176D01* +X89946454Y-86385546D01* +X89937824Y-86376024D01* +X89930169Y-86365702D01* +X89923562Y-86354679D01* +X89918068Y-86343062D01* +X89913739Y-86330963D01* +X89910616Y-86318497D01* +X89908731Y-86305785D01* +X89908100Y-86292950D01* +X89908100Y-84131050D01* +X89908731Y-84118215D01* +X89910616Y-84105503D01* +X89913739Y-84093037D01* +X89918068Y-84080938D01* +X89923562Y-84069321D01* +X89930169Y-84058298D01* +X89937824Y-84047976D01* +X89946454Y-84038454D01* +X89955976Y-84029824D01* +X89966298Y-84022169D01* +X89977321Y-84015562D01* +X89988938Y-84010068D01* +X90001037Y-84005739D01* +X90013503Y-84002616D01* +X90026215Y-84000731D01* +X90039050Y-84000100D01* +X90300950Y-84000100D01* +X90313785Y-84000731D01* +X90313785Y-84000731D01* +G37* +D12* +X90170000Y-85212000D03* +D10* +G36* +X89043785Y-84000731D02* +G01* +X89056497Y-84002616D01* +X89068963Y-84005739D01* +X89081062Y-84010068D01* +X89092679Y-84015562D01* +X89103702Y-84022169D01* +X89114024Y-84029824D01* +X89123546Y-84038454D01* +X89132176Y-84047976D01* +X89139831Y-84058298D01* +X89146438Y-84069321D01* +X89151932Y-84080938D01* +X89156261Y-84093037D01* +X89159384Y-84105503D01* +X89161269Y-84118215D01* +X89161900Y-84131050D01* +X89161900Y-86292950D01* +X89161269Y-86305785D01* +X89159384Y-86318497D01* +X89156261Y-86330963D01* +X89151932Y-86343062D01* +X89146438Y-86354679D01* +X89139831Y-86365702D01* +X89132176Y-86376024D01* +X89123546Y-86385546D01* +X89114024Y-86394176D01* +X89103702Y-86401831D01* +X89092679Y-86408438D01* +X89081062Y-86413932D01* +X89068963Y-86418261D01* +X89056497Y-86421384D01* +X89043785Y-86423269D01* +X89030950Y-86423900D01* +X88769050Y-86423900D01* +X88756215Y-86423269D01* +X88743503Y-86421384D01* +X88731037Y-86418261D01* +X88718938Y-86413932D01* +X88707321Y-86408438D01* +X88696298Y-86401831D01* +X88685976Y-86394176D01* +X88676454Y-86385546D01* +X88667824Y-86376024D01* +X88660169Y-86365702D01* +X88653562Y-86354679D01* +X88648068Y-86343062D01* +X88643739Y-86330963D01* +X88640616Y-86318497D01* +X88638731Y-86305785D01* +X88638100Y-86292950D01* +X88638100Y-84131050D01* +X88638731Y-84118215D01* +X88640616Y-84105503D01* +X88643739Y-84093037D01* +X88648068Y-84080938D01* +X88653562Y-84069321D01* +X88660169Y-84058298D01* +X88667824Y-84047976D01* +X88676454Y-84038454D01* +X88685976Y-84029824D01* +X88696298Y-84022169D01* +X88707321Y-84015562D01* +X88718938Y-84010068D01* +X88731037Y-84005739D01* +X88743503Y-84002616D01* +X88756215Y-84000731D01* +X88769050Y-84000100D01* +X89030950Y-84000100D01* +X89043785Y-84000731D01* +X89043785Y-84000731D01* +G37* +D12* +X88900000Y-85212000D03* +D10* +G36* +X87773785Y-84000731D02* +G01* +X87786497Y-84002616D01* +X87798963Y-84005739D01* +X87811062Y-84010068D01* +X87822679Y-84015562D01* +X87833702Y-84022169D01* +X87844024Y-84029824D01* +X87853546Y-84038454D01* +X87862176Y-84047976D01* +X87869831Y-84058298D01* +X87876438Y-84069321D01* +X87881932Y-84080938D01* +X87886261Y-84093037D01* +X87889384Y-84105503D01* +X87891269Y-84118215D01* +X87891900Y-84131050D01* +X87891900Y-86292950D01* +X87891269Y-86305785D01* +X87889384Y-86318497D01* +X87886261Y-86330963D01* +X87881932Y-86343062D01* +X87876438Y-86354679D01* +X87869831Y-86365702D01* +X87862176Y-86376024D01* +X87853546Y-86385546D01* +X87844024Y-86394176D01* +X87833702Y-86401831D01* +X87822679Y-86408438D01* +X87811062Y-86413932D01* +X87798963Y-86418261D01* +X87786497Y-86421384D01* +X87773785Y-86423269D01* +X87760950Y-86423900D01* +X87499050Y-86423900D01* +X87486215Y-86423269D01* +X87473503Y-86421384D01* +X87461037Y-86418261D01* +X87448938Y-86413932D01* +X87437321Y-86408438D01* +X87426298Y-86401831D01* +X87415976Y-86394176D01* +X87406454Y-86385546D01* +X87397824Y-86376024D01* +X87390169Y-86365702D01* +X87383562Y-86354679D01* +X87378068Y-86343062D01* +X87373739Y-86330963D01* +X87370616Y-86318497D01* +X87368731Y-86305785D01* +X87368100Y-86292950D01* +X87368100Y-84131050D01* +X87368731Y-84118215D01* +X87370616Y-84105503D01* +X87373739Y-84093037D01* +X87378068Y-84080938D01* +X87383562Y-84069321D01* +X87390169Y-84058298D01* +X87397824Y-84047976D01* +X87406454Y-84038454D01* +X87415976Y-84029824D01* +X87426298Y-84022169D01* +X87437321Y-84015562D01* +X87448938Y-84010068D01* +X87461037Y-84005739D01* +X87473503Y-84002616D01* +X87486215Y-84000731D01* +X87499050Y-84000100D01* +X87760950Y-84000100D01* +X87773785Y-84000731D01* +X87773785Y-84000731D01* +G37* +D12* +X87630000Y-85212000D03* +D10* +G36* +X105852312Y-91921272D02* +G01* +X105875945Y-91924778D01* +X105899120Y-91930583D01* +X105921614Y-91938632D01* +X105943212Y-91948846D01* +X105963704Y-91961129D01* +X105982893Y-91975361D01* +X106000595Y-91991405D01* +X106016639Y-92009107D01* +X106030871Y-92028296D01* +X106043154Y-92048788D01* +X106053368Y-92070386D01* +X106061417Y-92092880D01* +X106067222Y-92116055D01* +X106070728Y-92139688D01* +X106071900Y-92163550D01* +X106071900Y-92650450D01* +X106070728Y-92674312D01* +X106067222Y-92697945D01* +X106061417Y-92721120D01* +X106053368Y-92743614D01* +X106043154Y-92765212D01* +X106030871Y-92785704D01* +X106016639Y-92804893D01* +X106000595Y-92822595D01* +X105982893Y-92838639D01* +X105963704Y-92852871D01* +X105943212Y-92865154D01* +X105921614Y-92875368D01* +X105899120Y-92883417D01* +X105875945Y-92889222D01* +X105852312Y-92892728D01* +X105828450Y-92893900D01* +X104991550Y-92893900D01* +X104967688Y-92892728D01* +X104944055Y-92889222D01* +X104920880Y-92883417D01* +X104898386Y-92875368D01* +X104876788Y-92865154D01* +X104856296Y-92852871D01* +X104837107Y-92838639D01* +X104819405Y-92822595D01* +X104803361Y-92804893D01* +X104789129Y-92785704D01* +X104776846Y-92765212D01* +X104766632Y-92743614D01* +X104758583Y-92721120D01* +X104752778Y-92697945D01* +X104749272Y-92674312D01* +X104748100Y-92650450D01* +X104748100Y-92163550D01* +X104749272Y-92139688D01* +X104752778Y-92116055D01* +X104758583Y-92092880D01* +X104766632Y-92070386D01* +X104776846Y-92048788D01* +X104789129Y-92028296D01* +X104803361Y-92009107D01* +X104819405Y-91991405D01* +X104837107Y-91975361D01* +X104856296Y-91961129D01* +X104876788Y-91948846D01* +X104898386Y-91938632D01* +X104920880Y-91930583D01* +X104944055Y-91924778D01* +X104967688Y-91921272D01* +X104991550Y-91920100D01* +X105828450Y-91920100D01* +X105852312Y-91921272D01* +X105852312Y-91921272D01* +G37* +D11* +X105410000Y-92407000D03* +D10* +G36* +X105852312Y-93621272D02* +G01* +X105875945Y-93624778D01* +X105899120Y-93630583D01* +X105921614Y-93638632D01* +X105943212Y-93648846D01* +X105963704Y-93661129D01* +X105982893Y-93675361D01* +X106000595Y-93691405D01* +X106016639Y-93709107D01* +X106030871Y-93728296D01* +X106043154Y-93748788D01* +X106053368Y-93770386D01* +X106061417Y-93792880D01* +X106067222Y-93816055D01* +X106070728Y-93839688D01* +X106071900Y-93863550D01* +X106071900Y-94350450D01* +X106070728Y-94374312D01* +X106067222Y-94397945D01* +X106061417Y-94421120D01* +X106053368Y-94443614D01* +X106043154Y-94465212D01* +X106030871Y-94485704D01* +X106016639Y-94504893D01* +X106000595Y-94522595D01* +X105982893Y-94538639D01* +X105963704Y-94552871D01* +X105943212Y-94565154D01* +X105921614Y-94575368D01* +X105899120Y-94583417D01* +X105875945Y-94589222D01* +X105852312Y-94592728D01* +X105828450Y-94593900D01* +X104991550Y-94593900D01* +X104967688Y-94592728D01* +X104944055Y-94589222D01* +X104920880Y-94583417D01* +X104898386Y-94575368D01* +X104876788Y-94565154D01* +X104856296Y-94552871D01* +X104837107Y-94538639D01* +X104819405Y-94522595D01* +X104803361Y-94504893D01* +X104789129Y-94485704D01* +X104776846Y-94465212D01* +X104766632Y-94443614D01* +X104758583Y-94421120D01* +X104752778Y-94397945D01* +X104749272Y-94374312D01* +X104748100Y-94350450D01* +X104748100Y-93863550D01* +X104749272Y-93839688D01* +X104752778Y-93816055D01* +X104758583Y-93792880D01* +X104766632Y-93770386D01* +X104776846Y-93748788D01* +X104789129Y-93728296D01* +X104803361Y-93709107D01* +X104819405Y-93691405D01* +X104837107Y-93675361D01* +X104856296Y-93661129D01* +X104876788Y-93648846D01* +X104898386Y-93638632D01* +X104920880Y-93630583D01* +X104944055Y-93624778D01* +X104967688Y-93621272D01* +X104991550Y-93620100D01* +X105828450Y-93620100D01* +X105852312Y-93621272D01* +X105852312Y-93621272D01* +G37* +D11* +X105410000Y-94107000D03* +D10* +G36* +X119822312Y-93621272D02* +G01* +X119845945Y-93624778D01* +X119869120Y-93630583D01* +X119891614Y-93638632D01* +X119913212Y-93648846D01* +X119933704Y-93661129D01* +X119952893Y-93675361D01* +X119970595Y-93691405D01* +X119986639Y-93709107D01* +X120000871Y-93728296D01* +X120013154Y-93748788D01* +X120023368Y-93770386D01* +X120031417Y-93792880D01* +X120037222Y-93816055D01* +X120040728Y-93839688D01* +X120041900Y-93863550D01* +X120041900Y-94350450D01* +X120040728Y-94374312D01* +X120037222Y-94397945D01* +X120031417Y-94421120D01* +X120023368Y-94443614D01* +X120013154Y-94465212D01* +X120000871Y-94485704D01* +X119986639Y-94504893D01* +X119970595Y-94522595D01* +X119952893Y-94538639D01* +X119933704Y-94552871D01* +X119913212Y-94565154D01* +X119891614Y-94575368D01* +X119869120Y-94583417D01* +X119845945Y-94589222D01* +X119822312Y-94592728D01* +X119798450Y-94593900D01* +X118961550Y-94593900D01* +X118937688Y-94592728D01* +X118914055Y-94589222D01* +X118890880Y-94583417D01* +X118868386Y-94575368D01* +X118846788Y-94565154D01* +X118826296Y-94552871D01* +X118807107Y-94538639D01* +X118789405Y-94522595D01* +X118773361Y-94504893D01* +X118759129Y-94485704D01* +X118746846Y-94465212D01* +X118736632Y-94443614D01* +X118728583Y-94421120D01* +X118722778Y-94397945D01* +X118719272Y-94374312D01* +X118718100Y-94350450D01* +X118718100Y-93863550D01* +X118719272Y-93839688D01* +X118722778Y-93816055D01* +X118728583Y-93792880D01* +X118736632Y-93770386D01* +X118746846Y-93748788D01* +X118759129Y-93728296D01* +X118773361Y-93709107D01* +X118789405Y-93691405D01* +X118807107Y-93675361D01* +X118826296Y-93661129D01* +X118846788Y-93648846D01* +X118868386Y-93638632D01* +X118890880Y-93630583D01* +X118914055Y-93624778D01* +X118937688Y-93621272D01* +X118961550Y-93620100D01* +X119798450Y-93620100D01* +X119822312Y-93621272D01* +X119822312Y-93621272D01* +G37* +D11* +X119380000Y-94107000D03* +D10* +G36* +X119822312Y-91921272D02* +G01* +X119845945Y-91924778D01* +X119869120Y-91930583D01* +X119891614Y-91938632D01* +X119913212Y-91948846D01* +X119933704Y-91961129D01* +X119952893Y-91975361D01* +X119970595Y-91991405D01* +X119986639Y-92009107D01* +X120000871Y-92028296D01* +X120013154Y-92048788D01* +X120023368Y-92070386D01* +X120031417Y-92092880D01* +X120037222Y-92116055D01* +X120040728Y-92139688D01* +X120041900Y-92163550D01* +X120041900Y-92650450D01* +X120040728Y-92674312D01* +X120037222Y-92697945D01* +X120031417Y-92721120D01* +X120023368Y-92743614D01* +X120013154Y-92765212D01* +X120000871Y-92785704D01* +X119986639Y-92804893D01* +X119970595Y-92822595D01* +X119952893Y-92838639D01* +X119933704Y-92852871D01* +X119913212Y-92865154D01* +X119891614Y-92875368D01* +X119869120Y-92883417D01* +X119845945Y-92889222D01* +X119822312Y-92892728D01* +X119798450Y-92893900D01* +X118961550Y-92893900D01* +X118937688Y-92892728D01* +X118914055Y-92889222D01* +X118890880Y-92883417D01* +X118868386Y-92875368D01* +X118846788Y-92865154D01* +X118826296Y-92852871D01* +X118807107Y-92838639D01* +X118789405Y-92822595D01* +X118773361Y-92804893D01* +X118759129Y-92785704D01* +X118746846Y-92765212D01* +X118736632Y-92743614D01* +X118728583Y-92721120D01* +X118722778Y-92697945D01* +X118719272Y-92674312D01* +X118718100Y-92650450D01* +X118718100Y-92163550D01* +X118719272Y-92139688D01* +X118722778Y-92116055D01* +X118728583Y-92092880D01* +X118736632Y-92070386D01* +X118746846Y-92048788D01* +X118759129Y-92028296D01* +X118773361Y-92009107D01* +X118789405Y-91991405D01* +X118807107Y-91975361D01* +X118826296Y-91961129D01* +X118846788Y-91948846D01* +X118868386Y-91938632D01* +X118890880Y-91930583D01* +X118914055Y-91924778D01* +X118937688Y-91921272D01* +X118961550Y-91920100D01* +X119798450Y-91920100D01* +X119822312Y-91921272D01* +X119822312Y-91921272D01* +G37* +D11* +X119380000Y-92407000D03* +D10* +G36* +X157922312Y-93621272D02* +G01* +X157945945Y-93624778D01* +X157969120Y-93630583D01* +X157991614Y-93638632D01* +X158013212Y-93648846D01* +X158033704Y-93661129D01* +X158052893Y-93675361D01* +X158070595Y-93691405D01* +X158086639Y-93709107D01* +X158100871Y-93728296D01* +X158113154Y-93748788D01* +X158123368Y-93770386D01* +X158131417Y-93792880D01* +X158137222Y-93816055D01* +X158140728Y-93839688D01* +X158141900Y-93863550D01* +X158141900Y-94350450D01* +X158140728Y-94374312D01* +X158137222Y-94397945D01* +X158131417Y-94421120D01* +X158123368Y-94443614D01* +X158113154Y-94465212D01* +X158100871Y-94485704D01* +X158086639Y-94504893D01* +X158070595Y-94522595D01* +X158052893Y-94538639D01* +X158033704Y-94552871D01* +X158013212Y-94565154D01* +X157991614Y-94575368D01* +X157969120Y-94583417D01* +X157945945Y-94589222D01* +X157922312Y-94592728D01* +X157898450Y-94593900D01* +X157061550Y-94593900D01* +X157037688Y-94592728D01* +X157014055Y-94589222D01* +X156990880Y-94583417D01* +X156968386Y-94575368D01* +X156946788Y-94565154D01* +X156926296Y-94552871D01* +X156907107Y-94538639D01* +X156889405Y-94522595D01* +X156873361Y-94504893D01* +X156859129Y-94485704D01* +X156846846Y-94465212D01* +X156836632Y-94443614D01* +X156828583Y-94421120D01* +X156822778Y-94397945D01* +X156819272Y-94374312D01* +X156818100Y-94350450D01* +X156818100Y-93863550D01* +X156819272Y-93839688D01* +X156822778Y-93816055D01* +X156828583Y-93792880D01* +X156836632Y-93770386D01* +X156846846Y-93748788D01* +X156859129Y-93728296D01* +X156873361Y-93709107D01* +X156889405Y-93691405D01* +X156907107Y-93675361D01* +X156926296Y-93661129D01* +X156946788Y-93648846D01* +X156968386Y-93638632D01* +X156990880Y-93630583D01* +X157014055Y-93624778D01* +X157037688Y-93621272D01* +X157061550Y-93620100D01* +X157898450Y-93620100D01* +X157922312Y-93621272D01* +X157922312Y-93621272D01* +G37* +D11* +X157480000Y-94107000D03* +D10* +G36* +X157922312Y-91921272D02* +G01* +X157945945Y-91924778D01* +X157969120Y-91930583D01* +X157991614Y-91938632D01* +X158013212Y-91948846D01* +X158033704Y-91961129D01* +X158052893Y-91975361D01* +X158070595Y-91991405D01* +X158086639Y-92009107D01* +X158100871Y-92028296D01* +X158113154Y-92048788D01* +X158123368Y-92070386D01* +X158131417Y-92092880D01* +X158137222Y-92116055D01* +X158140728Y-92139688D01* +X158141900Y-92163550D01* +X158141900Y-92650450D01* +X158140728Y-92674312D01* +X158137222Y-92697945D01* +X158131417Y-92721120D01* +X158123368Y-92743614D01* +X158113154Y-92765212D01* +X158100871Y-92785704D01* +X158086639Y-92804893D01* +X158070595Y-92822595D01* +X158052893Y-92838639D01* +X158033704Y-92852871D01* +X158013212Y-92865154D01* +X157991614Y-92875368D01* +X157969120Y-92883417D01* +X157945945Y-92889222D01* +X157922312Y-92892728D01* +X157898450Y-92893900D01* +X157061550Y-92893900D01* +X157037688Y-92892728D01* +X157014055Y-92889222D01* +X156990880Y-92883417D01* +X156968386Y-92875368D01* +X156946788Y-92865154D01* +X156926296Y-92852871D01* +X156907107Y-92838639D01* +X156889405Y-92822595D01* +X156873361Y-92804893D01* +X156859129Y-92785704D01* +X156846846Y-92765212D01* +X156836632Y-92743614D01* +X156828583Y-92721120D01* +X156822778Y-92697945D01* +X156819272Y-92674312D01* +X156818100Y-92650450D01* +X156818100Y-92163550D01* +X156819272Y-92139688D01* +X156822778Y-92116055D01* +X156828583Y-92092880D01* +X156836632Y-92070386D01* +X156846846Y-92048788D01* +X156859129Y-92028296D01* +X156873361Y-92009107D01* +X156889405Y-91991405D01* +X156907107Y-91975361D01* +X156926296Y-91961129D01* +X156946788Y-91948846D01* +X156968386Y-91938632D01* +X156990880Y-91930583D01* +X157014055Y-91924778D01* +X157037688Y-91921272D01* +X157061550Y-91920100D01* +X157898450Y-91920100D01* +X157922312Y-91921272D01* +X157922312Y-91921272D01* +G37* +D11* +X157480000Y-92407000D03* +D10* +G36* +X140142312Y-93621272D02* +G01* +X140165945Y-93624778D01* +X140189120Y-93630583D01* +X140211614Y-93638632D01* +X140233212Y-93648846D01* +X140253704Y-93661129D01* +X140272893Y-93675361D01* +X140290595Y-93691405D01* +X140306639Y-93709107D01* +X140320871Y-93728296D01* +X140333154Y-93748788D01* +X140343368Y-93770386D01* +X140351417Y-93792880D01* +X140357222Y-93816055D01* +X140360728Y-93839688D01* +X140361900Y-93863550D01* +X140361900Y-94350450D01* +X140360728Y-94374312D01* +X140357222Y-94397945D01* +X140351417Y-94421120D01* +X140343368Y-94443614D01* +X140333154Y-94465212D01* +X140320871Y-94485704D01* +X140306639Y-94504893D01* +X140290595Y-94522595D01* +X140272893Y-94538639D01* +X140253704Y-94552871D01* +X140233212Y-94565154D01* +X140211614Y-94575368D01* +X140189120Y-94583417D01* +X140165945Y-94589222D01* +X140142312Y-94592728D01* +X140118450Y-94593900D01* +X139281550Y-94593900D01* +X139257688Y-94592728D01* +X139234055Y-94589222D01* +X139210880Y-94583417D01* +X139188386Y-94575368D01* +X139166788Y-94565154D01* +X139146296Y-94552871D01* +X139127107Y-94538639D01* +X139109405Y-94522595D01* +X139093361Y-94504893D01* +X139079129Y-94485704D01* +X139066846Y-94465212D01* +X139056632Y-94443614D01* +X139048583Y-94421120D01* +X139042778Y-94397945D01* +X139039272Y-94374312D01* +X139038100Y-94350450D01* +X139038100Y-93863550D01* +X139039272Y-93839688D01* +X139042778Y-93816055D01* +X139048583Y-93792880D01* +X139056632Y-93770386D01* +X139066846Y-93748788D01* +X139079129Y-93728296D01* +X139093361Y-93709107D01* +X139109405Y-93691405D01* +X139127107Y-93675361D01* +X139146296Y-93661129D01* +X139166788Y-93648846D01* +X139188386Y-93638632D01* +X139210880Y-93630583D01* +X139234055Y-93624778D01* +X139257688Y-93621272D01* +X139281550Y-93620100D01* +X140118450Y-93620100D01* +X140142312Y-93621272D01* +X140142312Y-93621272D01* +G37* +D11* +X139700000Y-94107000D03* +D10* +G36* +X140142312Y-91921272D02* +G01* +X140165945Y-91924778D01* +X140189120Y-91930583D01* +X140211614Y-91938632D01* +X140233212Y-91948846D01* +X140253704Y-91961129D01* +X140272893Y-91975361D01* +X140290595Y-91991405D01* +X140306639Y-92009107D01* +X140320871Y-92028296D01* +X140333154Y-92048788D01* +X140343368Y-92070386D01* +X140351417Y-92092880D01* +X140357222Y-92116055D01* +X140360728Y-92139688D01* +X140361900Y-92163550D01* +X140361900Y-92650450D01* +X140360728Y-92674312D01* +X140357222Y-92697945D01* +X140351417Y-92721120D01* +X140343368Y-92743614D01* +X140333154Y-92765212D01* +X140320871Y-92785704D01* +X140306639Y-92804893D01* +X140290595Y-92822595D01* +X140272893Y-92838639D01* +X140253704Y-92852871D01* +X140233212Y-92865154D01* +X140211614Y-92875368D01* +X140189120Y-92883417D01* +X140165945Y-92889222D01* +X140142312Y-92892728D01* +X140118450Y-92893900D01* +X139281550Y-92893900D01* +X139257688Y-92892728D01* +X139234055Y-92889222D01* +X139210880Y-92883417D01* +X139188386Y-92875368D01* +X139166788Y-92865154D01* +X139146296Y-92852871D01* +X139127107Y-92838639D01* +X139109405Y-92822595D01* +X139093361Y-92804893D01* +X139079129Y-92785704D01* +X139066846Y-92765212D01* +X139056632Y-92743614D01* +X139048583Y-92721120D01* +X139042778Y-92697945D01* +X139039272Y-92674312D01* +X139038100Y-92650450D01* +X139038100Y-92163550D01* +X139039272Y-92139688D01* +X139042778Y-92116055D01* +X139048583Y-92092880D01* +X139056632Y-92070386D01* +X139066846Y-92048788D01* +X139079129Y-92028296D01* +X139093361Y-92009107D01* +X139109405Y-91991405D01* +X139127107Y-91975361D01* +X139146296Y-91961129D01* +X139166788Y-91948846D01* +X139188386Y-91938632D01* +X139210880Y-91930583D01* +X139234055Y-91924778D01* +X139257688Y-91921272D01* +X139281550Y-91920100D01* +X140118450Y-91920100D01* +X140142312Y-91921272D01* +X140142312Y-91921272D01* +G37* +D11* +X139700000Y-92407000D03* +M02* diff --git a/gerber/simm-30-4mb-F_SilkS.gto b/gerber/simm-30-4mb-F_SilkS.gto new file mode 100644 index 0000000..4d00b09 --- /dev/null +++ b/gerber/simm-30-4mb-F_SilkS.gto @@ -0,0 +1,10478 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.152400*% +%ADD11C,0.203200*% +%ADD12C,0.100000*% +%ADD13C,1.202400*% +%ADD14C,0.952400*% +%ADD15C,1.930400*% +%ADD16C,0.752400*% +G04 APERTURE END LIST* +D10* +X84290000Y-93663400D02* +X84290000Y-92850600D01* +X85890000Y-93663400D02* +X85890000Y-92850600D01* +X121300000Y-93537000D02* +X121300000Y-95362000D01* +X138430000Y-93537000D02* +X138430000Y-85787000D01* +X120650000Y-93537000D02* +X120650000Y-85787000D01* +X138430000Y-93537000D02* +X137685000Y-93537000D01* +X138430000Y-85787000D02* +X137685000Y-85787000D01* +X120650000Y-85787000D02* +X121395000Y-85787000D01* +X120650000Y-93537000D02* +X121300000Y-93537000D01* +X86360000Y-93537000D02* +X87010000Y-93537000D01* +X86360000Y-85787000D02* +X87105000Y-85787000D01* +X104140000Y-85787000D02* +X103395000Y-85787000D01* +X104140000Y-93537000D02* +X103395000Y-93537000D01* +X86360000Y-93537000D02* +X86360000Y-85787000D01* +X104140000Y-93537000D02* +X104140000Y-85787000D01* +X87010000Y-93537000D02* +X87010000Y-95362000D01* +X106210000Y-93663400D02* +X106210000Y-92850600D01* +X104610000Y-93663400D02* +X104610000Y-92850600D01* +X118580000Y-93663400D02* +X118580000Y-92850600D01* +X120180000Y-93663400D02* +X120180000Y-92850600D01* +X156680000Y-93663400D02* +X156680000Y-92850600D01* +X158280000Y-93663400D02* +X158280000Y-92850600D01* +X138900000Y-93663400D02* +X138900000Y-92850600D01* +X140500000Y-93663400D02* +X140500000Y-92850600D01* +D11* +X129094895Y-90281276D02* +X129752876Y-90281276D01* +X129830285Y-90242571D01* +X129868990Y-90203866D01* +X129907695Y-90126457D01* +X129907695Y-89971638D01* +X129868990Y-89894228D01* +X129830285Y-89855523D01* +X129752876Y-89816819D01* +X129094895Y-89816819D01* +X129907695Y-89004019D02* +X129907695Y-89468476D01* +X129907695Y-89236247D02* +X129094895Y-89236247D01* +X129211009Y-89313657D01* +X129288419Y-89391066D01* +X129327123Y-89468476D01* +X94804895Y-90281276D02* +X95462876Y-90281276D01* +X95540285Y-90242571D01* +X95578990Y-90203866D01* +X95617695Y-90126457D01* +X95617695Y-89971638D01* +X95578990Y-89894228D01* +X95540285Y-89855523D01* +X95462876Y-89816819D01* +X94804895Y-89816819D01* +X94882304Y-89468476D02* +X94843600Y-89429771D01* +X94804895Y-89352361D01* +X94804895Y-89158838D01* +X94843600Y-89081428D01* +X94882304Y-89042723D01* +X94959714Y-89004019D01* +X95037123Y-89004019D01* +X95153238Y-89042723D01* +X95617695Y-89507180D01* +X95617695Y-89004019D01* +%LPC*% +D12* +G36* +X85595064Y-93507247D02* +G01* +X85624244Y-93511576D01* +X85652860Y-93518744D01* +X85680635Y-93528682D01* +X85707302Y-93541294D01* +X85732604Y-93556460D01* +X85756299Y-93574033D01* +X85778156Y-93593844D01* +X85797967Y-93615701D01* +X85815540Y-93639396D01* +X85830706Y-93664698D01* +X85843318Y-93691365D01* +X85853256Y-93719140D01* +X85860424Y-93747756D01* +X85864753Y-93776936D01* +X85866200Y-93806400D01* +X85866200Y-94407600D01* +X85864753Y-94437064D01* +X85860424Y-94466244D01* +X85853256Y-94494860D01* +X85843318Y-94522635D01* +X85830706Y-94549302D01* +X85815540Y-94574604D01* +X85797967Y-94598299D01* +X85778156Y-94620156D01* +X85756299Y-94639967D01* +X85732604Y-94657540D01* +X85707302Y-94672706D01* +X85680635Y-94685318D01* +X85652860Y-94695256D01* +X85624244Y-94702424D01* +X85595064Y-94706753D01* +X85565600Y-94708200D01* +X84614400Y-94708200D01* +X84584936Y-94706753D01* +X84555756Y-94702424D01* +X84527140Y-94695256D01* +X84499365Y-94685318D01* +X84472698Y-94672706D01* +X84447396Y-94657540D01* +X84423701Y-94639967D01* +X84401844Y-94620156D01* +X84382033Y-94598299D01* +X84364460Y-94574604D01* +X84349294Y-94549302D01* +X84336682Y-94522635D01* +X84326744Y-94494860D01* +X84319576Y-94466244D01* +X84315247Y-94437064D01* +X84313800Y-94407600D01* +X84313800Y-93806400D01* +X84315247Y-93776936D01* +X84319576Y-93747756D01* +X84326744Y-93719140D01* +X84336682Y-93691365D01* +X84349294Y-93664698D01* +X84364460Y-93639396D01* +X84382033Y-93615701D01* +X84401844Y-93593844D01* +X84423701Y-93574033D01* +X84447396Y-93556460D01* +X84472698Y-93541294D01* +X84499365Y-93528682D01* +X84527140Y-93518744D01* +X84555756Y-93511576D01* +X84584936Y-93507247D01* +X84614400Y-93505800D01* +X85565600Y-93505800D01* +X85595064Y-93507247D01* +X85595064Y-93507247D01* +G37* +D13* +X85090000Y-94107000D03* +D12* +G36* +X85595064Y-91807247D02* +G01* +X85624244Y-91811576D01* +X85652860Y-91818744D01* +X85680635Y-91828682D01* +X85707302Y-91841294D01* +X85732604Y-91856460D01* +X85756299Y-91874033D01* +X85778156Y-91893844D01* +X85797967Y-91915701D01* +X85815540Y-91939396D01* +X85830706Y-91964698D01* +X85843318Y-91991365D01* +X85853256Y-92019140D01* +X85860424Y-92047756D01* +X85864753Y-92076936D01* +X85866200Y-92106400D01* +X85866200Y-92707600D01* +X85864753Y-92737064D01* +X85860424Y-92766244D01* +X85853256Y-92794860D01* +X85843318Y-92822635D01* +X85830706Y-92849302D01* +X85815540Y-92874604D01* +X85797967Y-92898299D01* +X85778156Y-92920156D01* +X85756299Y-92939967D01* +X85732604Y-92957540D01* +X85707302Y-92972706D01* +X85680635Y-92985318D01* +X85652860Y-92995256D01* +X85624244Y-93002424D01* +X85595064Y-93006753D01* +X85565600Y-93008200D01* +X84614400Y-93008200D01* +X84584936Y-93006753D01* +X84555756Y-93002424D01* +X84527140Y-92995256D01* +X84499365Y-92985318D01* +X84472698Y-92972706D01* +X84447396Y-92957540D01* +X84423701Y-92939967D01* +X84401844Y-92920156D01* +X84382033Y-92898299D01* +X84364460Y-92874604D01* +X84349294Y-92849302D01* +X84336682Y-92822635D01* +X84326744Y-92794860D01* +X84319576Y-92766244D01* +X84315247Y-92737064D01* +X84313800Y-92707600D01* +X84313800Y-92106400D01* +X84315247Y-92076936D01* +X84319576Y-92047756D01* +X84326744Y-92019140D01* +X84336682Y-91991365D01* +X84349294Y-91964698D01* +X84364460Y-91939396D01* +X84382033Y-91915701D01* +X84401844Y-91893844D01* +X84423701Y-91874033D01* +X84447396Y-91856460D01* +X84472698Y-91841294D01* +X84499365Y-91828682D01* +X84527140Y-91818744D01* +X84555756Y-91811576D01* +X84584936Y-91807247D01* +X84614400Y-91805800D01* +X85565600Y-91805800D01* +X85595064Y-91807247D01* +X85595064Y-91807247D01* +G37* +D13* +X85090000Y-92407000D03* +D14* +X157480000Y-98806000D03* +X154940000Y-98806000D03* +X129540000Y-98806000D03* +X144780000Y-98806000D03* +X139700000Y-98806000D03* +X142240000Y-98806000D03* +X137160000Y-98806000D03* +X132080000Y-98806000D03* +X134620000Y-98806000D03* +X152400000Y-98806000D03* +X147320000Y-98806000D03* +X149860000Y-98806000D03* +X127000000Y-98806000D03* +X121920000Y-98806000D03* +X124460000Y-98806000D03* +X119380000Y-98806000D03* +X114300000Y-98806000D03* +X116840000Y-98806000D03* +X111760000Y-98806000D03* +X106680000Y-98806000D03* +X109220000Y-98806000D03* +X101600000Y-98806000D03* +X104140000Y-98806000D03* +X99060000Y-98806000D03* +X96520000Y-98806000D03* +X91440000Y-98806000D03* +X93980000Y-98806000D03* +X83820000Y-98806000D03* +X86360000Y-98806000D03* +D12* +G36* +X145309903Y-98732124D02* +G01* +X145356751Y-98739073D01* +X145402691Y-98750581D01* +X145447283Y-98766536D01* +X145490096Y-98786785D01* +X145530718Y-98811133D01* +X145568758Y-98839345D01* +X145603850Y-98871150D01* +X145635655Y-98906242D01* +X145663867Y-98944282D01* +X145688215Y-98984904D01* +X145708464Y-99027717D01* +X145724419Y-99072309D01* +X145735927Y-99118249D01* +X145742876Y-99165097D01* +X145745200Y-99212400D01* +X145745200Y-100431600D01* +X145742876Y-100478903D01* +X145735927Y-100525751D01* +X145724419Y-100571691D01* +X145708464Y-100616283D01* +X145688215Y-100659096D01* +X145663867Y-100699718D01* +X145635655Y-100737758D01* +X145603850Y-100772850D01* +X145568758Y-100804655D01* +X145530718Y-100832867D01* +X145490096Y-100857215D01* +X145447283Y-100877464D01* +X145402691Y-100893419D01* +X145356751Y-100904927D01* +X145309903Y-100911876D01* +X145262600Y-100914200D01* +X144297400Y-100914200D01* +X144250097Y-100911876D01* +X144203249Y-100904927D01* +X144157309Y-100893419D01* +X144112717Y-100877464D01* +X144069904Y-100857215D01* +X144029282Y-100832867D01* +X143991242Y-100804655D01* +X143956150Y-100772850D01* +X143924345Y-100737758D01* +X143896133Y-100699718D01* +X143871785Y-100659096D01* +X143851536Y-100616283D01* +X143835581Y-100571691D01* +X143824073Y-100525751D01* +X143817124Y-100478903D01* +X143814800Y-100431600D01* +X143814800Y-99212400D01* +X143817124Y-99165097D01* +X143824073Y-99118249D01* +X143835581Y-99072309D01* +X143851536Y-99027717D01* +X143871785Y-98984904D01* +X143896133Y-98944282D01* +X143924345Y-98906242D01* +X143956150Y-98871150D01* +X143991242Y-98839345D01* +X144029282Y-98811133D01* +X144069904Y-98786785D01* +X144112717Y-98766536D01* +X144157309Y-98750581D01* +X144203249Y-98739073D01* +X144250097Y-98732124D01* +X144297400Y-98729800D01* +X145262600Y-98729800D01* +X145309903Y-98732124D01* +X145309903Y-98732124D01* +G37* +D15* +X144780000Y-99822000D03* +D12* +G36* +X119909903Y-98732124D02* +G01* +X119956751Y-98739073D01* +X120002691Y-98750581D01* +X120047283Y-98766536D01* +X120090096Y-98786785D01* +X120130718Y-98811133D01* +X120168758Y-98839345D01* +X120203850Y-98871150D01* +X120235655Y-98906242D01* +X120263867Y-98944282D01* +X120288215Y-98984904D01* +X120308464Y-99027717D01* +X120324419Y-99072309D01* +X120335927Y-99118249D01* +X120342876Y-99165097D01* +X120345200Y-99212400D01* +X120345200Y-100431600D01* +X120342876Y-100478903D01* +X120335927Y-100525751D01* +X120324419Y-100571691D01* +X120308464Y-100616283D01* +X120288215Y-100659096D01* +X120263867Y-100699718D01* +X120235655Y-100737758D01* +X120203850Y-100772850D01* +X120168758Y-100804655D01* +X120130718Y-100832867D01* +X120090096Y-100857215D01* +X120047283Y-100877464D01* +X120002691Y-100893419D01* +X119956751Y-100904927D01* +X119909903Y-100911876D01* +X119862600Y-100914200D01* +X118897400Y-100914200D01* +X118850097Y-100911876D01* +X118803249Y-100904927D01* +X118757309Y-100893419D01* +X118712717Y-100877464D01* +X118669904Y-100857215D01* +X118629282Y-100832867D01* +X118591242Y-100804655D01* +X118556150Y-100772850D01* +X118524345Y-100737758D01* +X118496133Y-100699718D01* +X118471785Y-100659096D01* +X118451536Y-100616283D01* +X118435581Y-100571691D01* +X118424073Y-100525751D01* +X118417124Y-100478903D01* +X118414800Y-100431600D01* +X118414800Y-99212400D01* +X118417124Y-99165097D01* +X118424073Y-99118249D01* +X118435581Y-99072309D01* +X118451536Y-99027717D01* +X118471785Y-98984904D01* +X118496133Y-98944282D01* +X118524345Y-98906242D01* +X118556150Y-98871150D01* +X118591242Y-98839345D01* +X118629282Y-98811133D01* +X118669904Y-98786785D01* +X118712717Y-98766536D01* +X118757309Y-98750581D01* +X118803249Y-98739073D01* +X118850097Y-98732124D01* +X118897400Y-98729800D01* +X119862600Y-98729800D01* +X119909903Y-98732124D01* +X119909903Y-98732124D01* +G37* +D15* +X119380000Y-99822000D03* +D12* +G36* +X158009903Y-98732124D02* +G01* +X158056751Y-98739073D01* +X158102691Y-98750581D01* +X158147283Y-98766536D01* +X158190096Y-98786785D01* +X158230718Y-98811133D01* +X158268758Y-98839345D01* +X158303850Y-98871150D01* +X158335655Y-98906242D01* +X158363867Y-98944282D01* +X158388215Y-98984904D01* +X158408464Y-99027717D01* +X158424419Y-99072309D01* +X158435927Y-99118249D01* +X158442876Y-99165097D01* +X158445200Y-99212400D01* +X158445200Y-100431600D01* +X158442876Y-100478903D01* +X158435927Y-100525751D01* +X158424419Y-100571691D01* +X158408464Y-100616283D01* +X158388215Y-100659096D01* +X158363867Y-100699718D01* +X158335655Y-100737758D01* +X158303850Y-100772850D01* +X158268758Y-100804655D01* +X158230718Y-100832867D01* +X158190096Y-100857215D01* +X158147283Y-100877464D01* +X158102691Y-100893419D01* +X158056751Y-100904927D01* +X158009903Y-100911876D01* +X157962600Y-100914200D01* +X156997400Y-100914200D01* +X156950097Y-100911876D01* +X156903249Y-100904927D01* +X156857309Y-100893419D01* +X156812717Y-100877464D01* +X156769904Y-100857215D01* +X156729282Y-100832867D01* +X156691242Y-100804655D01* +X156656150Y-100772850D01* +X156624345Y-100737758D01* +X156596133Y-100699718D01* +X156571785Y-100659096D01* +X156551536Y-100616283D01* +X156535581Y-100571691D01* +X156524073Y-100525751D01* +X156517124Y-100478903D01* +X156514800Y-100431600D01* +X156514800Y-99212400D01* +X156517124Y-99165097D01* +X156524073Y-99118249D01* +X156535581Y-99072309D01* +X156551536Y-99027717D01* +X156571785Y-98984904D01* +X156596133Y-98944282D01* +X156624345Y-98906242D01* +X156656150Y-98871150D01* +X156691242Y-98839345D01* +X156729282Y-98811133D01* +X156769904Y-98786785D01* +X156812717Y-98766536D01* +X156857309Y-98750581D01* +X156903249Y-98739073D01* +X156950097Y-98732124D01* +X156997400Y-98729800D01* +X157962600Y-98729800D01* +X158009903Y-98732124D01* +X158009903Y-98732124D01* +G37* +D15* +X157480000Y-99822000D03* +D12* +G36* +X152929903Y-98732124D02* +G01* +X152976751Y-98739073D01* +X153022691Y-98750581D01* +X153067283Y-98766536D01* +X153110096Y-98786785D01* +X153150718Y-98811133D01* +X153188758Y-98839345D01* +X153223850Y-98871150D01* +X153255655Y-98906242D01* +X153283867Y-98944282D01* +X153308215Y-98984904D01* +X153328464Y-99027717D01* +X153344419Y-99072309D01* +X153355927Y-99118249D01* +X153362876Y-99165097D01* +X153365200Y-99212400D01* +X153365200Y-100431600D01* +X153362876Y-100478903D01* +X153355927Y-100525751D01* +X153344419Y-100571691D01* +X153328464Y-100616283D01* +X153308215Y-100659096D01* +X153283867Y-100699718D01* +X153255655Y-100737758D01* +X153223850Y-100772850D01* +X153188758Y-100804655D01* +X153150718Y-100832867D01* +X153110096Y-100857215D01* +X153067283Y-100877464D01* +X153022691Y-100893419D01* +X152976751Y-100904927D01* +X152929903Y-100911876D01* +X152882600Y-100914200D01* +X151917400Y-100914200D01* +X151870097Y-100911876D01* +X151823249Y-100904927D01* +X151777309Y-100893419D01* +X151732717Y-100877464D01* +X151689904Y-100857215D01* +X151649282Y-100832867D01* +X151611242Y-100804655D01* +X151576150Y-100772850D01* +X151544345Y-100737758D01* +X151516133Y-100699718D01* +X151491785Y-100659096D01* +X151471536Y-100616283D01* +X151455581Y-100571691D01* +X151444073Y-100525751D01* +X151437124Y-100478903D01* +X151434800Y-100431600D01* +X151434800Y-99212400D01* +X151437124Y-99165097D01* +X151444073Y-99118249D01* +X151455581Y-99072309D01* +X151471536Y-99027717D01* +X151491785Y-98984904D01* +X151516133Y-98944282D01* +X151544345Y-98906242D01* +X151576150Y-98871150D01* +X151611242Y-98839345D01* +X151649282Y-98811133D01* +X151689904Y-98786785D01* +X151732717Y-98766536D01* +X151777309Y-98750581D01* +X151823249Y-98739073D01* +X151870097Y-98732124D01* +X151917400Y-98729800D01* +X152882600Y-98729800D01* +X152929903Y-98732124D01* +X152929903Y-98732124D01* +G37* +D15* +X152400000Y-99822000D03* +D12* +G36* +X150389903Y-98732124D02* +G01* +X150436751Y-98739073D01* +X150482691Y-98750581D01* +X150527283Y-98766536D01* +X150570096Y-98786785D01* +X150610718Y-98811133D01* +X150648758Y-98839345D01* +X150683850Y-98871150D01* +X150715655Y-98906242D01* +X150743867Y-98944282D01* +X150768215Y-98984904D01* +X150788464Y-99027717D01* +X150804419Y-99072309D01* +X150815927Y-99118249D01* +X150822876Y-99165097D01* +X150825200Y-99212400D01* +X150825200Y-100431600D01* +X150822876Y-100478903D01* +X150815927Y-100525751D01* +X150804419Y-100571691D01* +X150788464Y-100616283D01* +X150768215Y-100659096D01* +X150743867Y-100699718D01* +X150715655Y-100737758D01* +X150683850Y-100772850D01* +X150648758Y-100804655D01* +X150610718Y-100832867D01* +X150570096Y-100857215D01* +X150527283Y-100877464D01* +X150482691Y-100893419D01* +X150436751Y-100904927D01* +X150389903Y-100911876D01* +X150342600Y-100914200D01* +X149377400Y-100914200D01* +X149330097Y-100911876D01* +X149283249Y-100904927D01* +X149237309Y-100893419D01* +X149192717Y-100877464D01* +X149149904Y-100857215D01* +X149109282Y-100832867D01* +X149071242Y-100804655D01* +X149036150Y-100772850D01* +X149004345Y-100737758D01* +X148976133Y-100699718D01* +X148951785Y-100659096D01* +X148931536Y-100616283D01* +X148915581Y-100571691D01* +X148904073Y-100525751D01* +X148897124Y-100478903D01* +X148894800Y-100431600D01* +X148894800Y-99212400D01* +X148897124Y-99165097D01* +X148904073Y-99118249D01* +X148915581Y-99072309D01* +X148931536Y-99027717D01* +X148951785Y-98984904D01* +X148976133Y-98944282D01* +X149004345Y-98906242D01* +X149036150Y-98871150D01* +X149071242Y-98839345D01* +X149109282Y-98811133D01* +X149149904Y-98786785D01* +X149192717Y-98766536D01* +X149237309Y-98750581D01* +X149283249Y-98739073D01* +X149330097Y-98732124D01* +X149377400Y-98729800D01* +X150342600Y-98729800D01* +X150389903Y-98732124D01* +X150389903Y-98732124D01* +G37* +D15* +X149860000Y-99822000D03* +D12* +G36* +X102129903Y-98732124D02* +G01* +X102176751Y-98739073D01* +X102222691Y-98750581D01* +X102267283Y-98766536D01* +X102310096Y-98786785D01* +X102350718Y-98811133D01* +X102388758Y-98839345D01* +X102423850Y-98871150D01* +X102455655Y-98906242D01* +X102483867Y-98944282D01* +X102508215Y-98984904D01* +X102528464Y-99027717D01* +X102544419Y-99072309D01* +X102555927Y-99118249D01* +X102562876Y-99165097D01* +X102565200Y-99212400D01* +X102565200Y-100431600D01* +X102562876Y-100478903D01* +X102555927Y-100525751D01* +X102544419Y-100571691D01* +X102528464Y-100616283D01* +X102508215Y-100659096D01* +X102483867Y-100699718D01* +X102455655Y-100737758D01* +X102423850Y-100772850D01* +X102388758Y-100804655D01* +X102350718Y-100832867D01* +X102310096Y-100857215D01* +X102267283Y-100877464D01* +X102222691Y-100893419D01* +X102176751Y-100904927D01* +X102129903Y-100911876D01* +X102082600Y-100914200D01* +X101117400Y-100914200D01* +X101070097Y-100911876D01* +X101023249Y-100904927D01* +X100977309Y-100893419D01* +X100932717Y-100877464D01* +X100889904Y-100857215D01* +X100849282Y-100832867D01* +X100811242Y-100804655D01* +X100776150Y-100772850D01* +X100744345Y-100737758D01* +X100716133Y-100699718D01* +X100691785Y-100659096D01* +X100671536Y-100616283D01* +X100655581Y-100571691D01* +X100644073Y-100525751D01* +X100637124Y-100478903D01* +X100634800Y-100431600D01* +X100634800Y-99212400D01* +X100637124Y-99165097D01* +X100644073Y-99118249D01* +X100655581Y-99072309D01* +X100671536Y-99027717D01* +X100691785Y-98984904D01* +X100716133Y-98944282D01* +X100744345Y-98906242D01* +X100776150Y-98871150D01* +X100811242Y-98839345D01* +X100849282Y-98811133D01* +X100889904Y-98786785D01* +X100932717Y-98766536D01* +X100977309Y-98750581D01* +X101023249Y-98739073D01* +X101070097Y-98732124D01* +X101117400Y-98729800D01* +X102082600Y-98729800D01* +X102129903Y-98732124D01* +X102129903Y-98732124D01* +G37* +D15* +X101600000Y-99822000D03* +D12* +G36* +X99589903Y-98732124D02* +G01* +X99636751Y-98739073D01* +X99682691Y-98750581D01* +X99727283Y-98766536D01* +X99770096Y-98786785D01* +X99810718Y-98811133D01* +X99848758Y-98839345D01* +X99883850Y-98871150D01* +X99915655Y-98906242D01* +X99943867Y-98944282D01* +X99968215Y-98984904D01* +X99988464Y-99027717D01* +X100004419Y-99072309D01* +X100015927Y-99118249D01* +X100022876Y-99165097D01* +X100025200Y-99212400D01* +X100025200Y-100431600D01* +X100022876Y-100478903D01* +X100015927Y-100525751D01* +X100004419Y-100571691D01* +X99988464Y-100616283D01* +X99968215Y-100659096D01* +X99943867Y-100699718D01* +X99915655Y-100737758D01* +X99883850Y-100772850D01* +X99848758Y-100804655D01* +X99810718Y-100832867D01* +X99770096Y-100857215D01* +X99727283Y-100877464D01* +X99682691Y-100893419D01* +X99636751Y-100904927D01* +X99589903Y-100911876D01* +X99542600Y-100914200D01* +X98577400Y-100914200D01* +X98530097Y-100911876D01* +X98483249Y-100904927D01* +X98437309Y-100893419D01* +X98392717Y-100877464D01* +X98349904Y-100857215D01* +X98309282Y-100832867D01* +X98271242Y-100804655D01* +X98236150Y-100772850D01* +X98204345Y-100737758D01* +X98176133Y-100699718D01* +X98151785Y-100659096D01* +X98131536Y-100616283D01* +X98115581Y-100571691D01* +X98104073Y-100525751D01* +X98097124Y-100478903D01* +X98094800Y-100431600D01* +X98094800Y-99212400D01* +X98097124Y-99165097D01* +X98104073Y-99118249D01* +X98115581Y-99072309D01* +X98131536Y-99027717D01* +X98151785Y-98984904D01* +X98176133Y-98944282D01* +X98204345Y-98906242D01* +X98236150Y-98871150D01* +X98271242Y-98839345D01* +X98309282Y-98811133D01* +X98349904Y-98786785D01* +X98392717Y-98766536D01* +X98437309Y-98750581D01* +X98483249Y-98739073D01* +X98530097Y-98732124D01* +X98577400Y-98729800D01* +X99542600Y-98729800D01* +X99589903Y-98732124D01* +X99589903Y-98732124D01* +G37* +D15* +X99060000Y-99822000D03* +D12* +G36* +X124989903Y-98732124D02* +G01* +X125036751Y-98739073D01* +X125082691Y-98750581D01* +X125127283Y-98766536D01* +X125170096Y-98786785D01* +X125210718Y-98811133D01* +X125248758Y-98839345D01* +X125283850Y-98871150D01* +X125315655Y-98906242D01* +X125343867Y-98944282D01* +X125368215Y-98984904D01* +X125388464Y-99027717D01* +X125404419Y-99072309D01* +X125415927Y-99118249D01* +X125422876Y-99165097D01* +X125425200Y-99212400D01* +X125425200Y-100431600D01* +X125422876Y-100478903D01* +X125415927Y-100525751D01* +X125404419Y-100571691D01* +X125388464Y-100616283D01* +X125368215Y-100659096D01* +X125343867Y-100699718D01* +X125315655Y-100737758D01* +X125283850Y-100772850D01* +X125248758Y-100804655D01* +X125210718Y-100832867D01* +X125170096Y-100857215D01* +X125127283Y-100877464D01* +X125082691Y-100893419D01* +X125036751Y-100904927D01* +X124989903Y-100911876D01* +X124942600Y-100914200D01* +X123977400Y-100914200D01* +X123930097Y-100911876D01* +X123883249Y-100904927D01* +X123837309Y-100893419D01* +X123792717Y-100877464D01* +X123749904Y-100857215D01* +X123709282Y-100832867D01* +X123671242Y-100804655D01* +X123636150Y-100772850D01* +X123604345Y-100737758D01* +X123576133Y-100699718D01* +X123551785Y-100659096D01* +X123531536Y-100616283D01* +X123515581Y-100571691D01* +X123504073Y-100525751D01* +X123497124Y-100478903D01* +X123494800Y-100431600D01* +X123494800Y-99212400D01* +X123497124Y-99165097D01* +X123504073Y-99118249D01* +X123515581Y-99072309D01* +X123531536Y-99027717D01* +X123551785Y-98984904D01* +X123576133Y-98944282D01* +X123604345Y-98906242D01* +X123636150Y-98871150D01* +X123671242Y-98839345D01* +X123709282Y-98811133D01* +X123749904Y-98786785D01* +X123792717Y-98766536D01* +X123837309Y-98750581D01* +X123883249Y-98739073D01* +X123930097Y-98732124D01* +X123977400Y-98729800D01* +X124942600Y-98729800D01* +X124989903Y-98732124D01* +X124989903Y-98732124D01* +G37* +D15* +X124460000Y-99822000D03* +D12* +G36* +X97049903Y-98732124D02* +G01* +X97096751Y-98739073D01* +X97142691Y-98750581D01* +X97187283Y-98766536D01* +X97230096Y-98786785D01* +X97270718Y-98811133D01* +X97308758Y-98839345D01* +X97343850Y-98871150D01* +X97375655Y-98906242D01* +X97403867Y-98944282D01* +X97428215Y-98984904D01* +X97448464Y-99027717D01* +X97464419Y-99072309D01* +X97475927Y-99118249D01* +X97482876Y-99165097D01* +X97485200Y-99212400D01* +X97485200Y-100431600D01* +X97482876Y-100478903D01* +X97475927Y-100525751D01* +X97464419Y-100571691D01* +X97448464Y-100616283D01* +X97428215Y-100659096D01* +X97403867Y-100699718D01* +X97375655Y-100737758D01* +X97343850Y-100772850D01* +X97308758Y-100804655D01* +X97270718Y-100832867D01* +X97230096Y-100857215D01* +X97187283Y-100877464D01* +X97142691Y-100893419D01* +X97096751Y-100904927D01* +X97049903Y-100911876D01* +X97002600Y-100914200D01* +X96037400Y-100914200D01* +X95990097Y-100911876D01* +X95943249Y-100904927D01* +X95897309Y-100893419D01* +X95852717Y-100877464D01* +X95809904Y-100857215D01* +X95769282Y-100832867D01* +X95731242Y-100804655D01* +X95696150Y-100772850D01* +X95664345Y-100737758D01* +X95636133Y-100699718D01* +X95611785Y-100659096D01* +X95591536Y-100616283D01* +X95575581Y-100571691D01* +X95564073Y-100525751D01* +X95557124Y-100478903D01* +X95554800Y-100431600D01* +X95554800Y-99212400D01* +X95557124Y-99165097D01* +X95564073Y-99118249D01* +X95575581Y-99072309D01* +X95591536Y-99027717D01* +X95611785Y-98984904D01* +X95636133Y-98944282D01* +X95664345Y-98906242D01* +X95696150Y-98871150D01* +X95731242Y-98839345D01* +X95769282Y-98811133D01* +X95809904Y-98786785D01* +X95852717Y-98766536D01* +X95897309Y-98750581D01* +X95943249Y-98739073D01* +X95990097Y-98732124D01* +X96037400Y-98729800D01* +X97002600Y-98729800D01* +X97049903Y-98732124D01* +X97049903Y-98732124D01* +G37* +D15* +X96520000Y-99822000D03* +D12* +G36* +X137689903Y-98732124D02* +G01* +X137736751Y-98739073D01* +X137782691Y-98750581D01* +X137827283Y-98766536D01* +X137870096Y-98786785D01* +X137910718Y-98811133D01* +X137948758Y-98839345D01* +X137983850Y-98871150D01* +X138015655Y-98906242D01* +X138043867Y-98944282D01* +X138068215Y-98984904D01* +X138088464Y-99027717D01* +X138104419Y-99072309D01* +X138115927Y-99118249D01* +X138122876Y-99165097D01* +X138125200Y-99212400D01* +X138125200Y-100431600D01* +X138122876Y-100478903D01* +X138115927Y-100525751D01* +X138104419Y-100571691D01* +X138088464Y-100616283D01* +X138068215Y-100659096D01* +X138043867Y-100699718D01* +X138015655Y-100737758D01* +X137983850Y-100772850D01* +X137948758Y-100804655D01* +X137910718Y-100832867D01* +X137870096Y-100857215D01* +X137827283Y-100877464D01* +X137782691Y-100893419D01* +X137736751Y-100904927D01* +X137689903Y-100911876D01* +X137642600Y-100914200D01* +X136677400Y-100914200D01* +X136630097Y-100911876D01* +X136583249Y-100904927D01* +X136537309Y-100893419D01* +X136492717Y-100877464D01* +X136449904Y-100857215D01* +X136409282Y-100832867D01* +X136371242Y-100804655D01* +X136336150Y-100772850D01* +X136304345Y-100737758D01* +X136276133Y-100699718D01* +X136251785Y-100659096D01* +X136231536Y-100616283D01* +X136215581Y-100571691D01* +X136204073Y-100525751D01* +X136197124Y-100478903D01* +X136194800Y-100431600D01* +X136194800Y-99212400D01* +X136197124Y-99165097D01* +X136204073Y-99118249D01* +X136215581Y-99072309D01* +X136231536Y-99027717D01* +X136251785Y-98984904D01* +X136276133Y-98944282D01* +X136304345Y-98906242D01* +X136336150Y-98871150D01* +X136371242Y-98839345D01* +X136409282Y-98811133D01* +X136449904Y-98786785D01* +X136492717Y-98766536D01* +X136537309Y-98750581D01* +X136583249Y-98739073D01* +X136630097Y-98732124D01* +X136677400Y-98729800D01* +X137642600Y-98729800D01* +X137689903Y-98732124D01* +X137689903Y-98732124D01* +G37* +D15* +X137160000Y-99822000D03* +D12* +G36* +X107209903Y-98732124D02* +G01* +X107256751Y-98739073D01* +X107302691Y-98750581D01* +X107347283Y-98766536D01* +X107390096Y-98786785D01* +X107430718Y-98811133D01* +X107468758Y-98839345D01* +X107503850Y-98871150D01* +X107535655Y-98906242D01* +X107563867Y-98944282D01* +X107588215Y-98984904D01* +X107608464Y-99027717D01* +X107624419Y-99072309D01* +X107635927Y-99118249D01* +X107642876Y-99165097D01* +X107645200Y-99212400D01* +X107645200Y-100431600D01* +X107642876Y-100478903D01* +X107635927Y-100525751D01* +X107624419Y-100571691D01* +X107608464Y-100616283D01* +X107588215Y-100659096D01* +X107563867Y-100699718D01* +X107535655Y-100737758D01* +X107503850Y-100772850D01* +X107468758Y-100804655D01* +X107430718Y-100832867D01* +X107390096Y-100857215D01* +X107347283Y-100877464D01* +X107302691Y-100893419D01* +X107256751Y-100904927D01* +X107209903Y-100911876D01* +X107162600Y-100914200D01* +X106197400Y-100914200D01* +X106150097Y-100911876D01* +X106103249Y-100904927D01* +X106057309Y-100893419D01* +X106012717Y-100877464D01* +X105969904Y-100857215D01* +X105929282Y-100832867D01* +X105891242Y-100804655D01* +X105856150Y-100772850D01* +X105824345Y-100737758D01* +X105796133Y-100699718D01* +X105771785Y-100659096D01* +X105751536Y-100616283D01* +X105735581Y-100571691D01* +X105724073Y-100525751D01* +X105717124Y-100478903D01* +X105714800Y-100431600D01* +X105714800Y-99212400D01* +X105717124Y-99165097D01* +X105724073Y-99118249D01* +X105735581Y-99072309D01* +X105751536Y-99027717D01* +X105771785Y-98984904D01* +X105796133Y-98944282D01* +X105824345Y-98906242D01* +X105856150Y-98871150D01* +X105891242Y-98839345D01* +X105929282Y-98811133D01* +X105969904Y-98786785D01* +X106012717Y-98766536D01* +X106057309Y-98750581D01* +X106103249Y-98739073D01* +X106150097Y-98732124D01* +X106197400Y-98729800D01* +X107162600Y-98729800D01* +X107209903Y-98732124D01* +X107209903Y-98732124D01* +G37* +D15* +X106680000Y-99822000D03* +D12* +G36* +X130069903Y-98732124D02* +G01* +X130116751Y-98739073D01* +X130162691Y-98750581D01* +X130207283Y-98766536D01* +X130250096Y-98786785D01* +X130290718Y-98811133D01* +X130328758Y-98839345D01* +X130363850Y-98871150D01* +X130395655Y-98906242D01* +X130423867Y-98944282D01* +X130448215Y-98984904D01* +X130468464Y-99027717D01* +X130484419Y-99072309D01* +X130495927Y-99118249D01* +X130502876Y-99165097D01* +X130505200Y-99212400D01* +X130505200Y-100431600D01* +X130502876Y-100478903D01* +X130495927Y-100525751D01* +X130484419Y-100571691D01* +X130468464Y-100616283D01* +X130448215Y-100659096D01* +X130423867Y-100699718D01* +X130395655Y-100737758D01* +X130363850Y-100772850D01* +X130328758Y-100804655D01* +X130290718Y-100832867D01* +X130250096Y-100857215D01* +X130207283Y-100877464D01* +X130162691Y-100893419D01* +X130116751Y-100904927D01* +X130069903Y-100911876D01* +X130022600Y-100914200D01* +X129057400Y-100914200D01* +X129010097Y-100911876D01* +X128963249Y-100904927D01* +X128917309Y-100893419D01* +X128872717Y-100877464D01* +X128829904Y-100857215D01* +X128789282Y-100832867D01* +X128751242Y-100804655D01* +X128716150Y-100772850D01* +X128684345Y-100737758D01* +X128656133Y-100699718D01* +X128631785Y-100659096D01* +X128611536Y-100616283D01* +X128595581Y-100571691D01* +X128584073Y-100525751D01* +X128577124Y-100478903D01* +X128574800Y-100431600D01* +X128574800Y-99212400D01* +X128577124Y-99165097D01* +X128584073Y-99118249D01* +X128595581Y-99072309D01* +X128611536Y-99027717D01* +X128631785Y-98984904D01* +X128656133Y-98944282D01* +X128684345Y-98906242D01* +X128716150Y-98871150D01* +X128751242Y-98839345D01* +X128789282Y-98811133D01* +X128829904Y-98786785D01* +X128872717Y-98766536D01* +X128917309Y-98750581D01* +X128963249Y-98739073D01* +X129010097Y-98732124D01* +X129057400Y-98729800D01* +X130022600Y-98729800D01* +X130069903Y-98732124D01* +X130069903Y-98732124D01* +G37* +D15* +X129540000Y-99822000D03* +D12* +G36* +X135149903Y-98732124D02* +G01* +X135196751Y-98739073D01* +X135242691Y-98750581D01* +X135287283Y-98766536D01* +X135330096Y-98786785D01* +X135370718Y-98811133D01* +X135408758Y-98839345D01* +X135443850Y-98871150D01* +X135475655Y-98906242D01* +X135503867Y-98944282D01* +X135528215Y-98984904D01* +X135548464Y-99027717D01* +X135564419Y-99072309D01* +X135575927Y-99118249D01* +X135582876Y-99165097D01* +X135585200Y-99212400D01* +X135585200Y-100431600D01* +X135582876Y-100478903D01* +X135575927Y-100525751D01* +X135564419Y-100571691D01* +X135548464Y-100616283D01* +X135528215Y-100659096D01* +X135503867Y-100699718D01* +X135475655Y-100737758D01* +X135443850Y-100772850D01* +X135408758Y-100804655D01* +X135370718Y-100832867D01* +X135330096Y-100857215D01* +X135287283Y-100877464D01* +X135242691Y-100893419D01* +X135196751Y-100904927D01* +X135149903Y-100911876D01* +X135102600Y-100914200D01* +X134137400Y-100914200D01* +X134090097Y-100911876D01* +X134043249Y-100904927D01* +X133997309Y-100893419D01* +X133952717Y-100877464D01* +X133909904Y-100857215D01* +X133869282Y-100832867D01* +X133831242Y-100804655D01* +X133796150Y-100772850D01* +X133764345Y-100737758D01* +X133736133Y-100699718D01* +X133711785Y-100659096D01* +X133691536Y-100616283D01* +X133675581Y-100571691D01* +X133664073Y-100525751D01* +X133657124Y-100478903D01* +X133654800Y-100431600D01* +X133654800Y-99212400D01* +X133657124Y-99165097D01* +X133664073Y-99118249D01* +X133675581Y-99072309D01* +X133691536Y-99027717D01* +X133711785Y-98984904D01* +X133736133Y-98944282D01* +X133764345Y-98906242D01* +X133796150Y-98871150D01* +X133831242Y-98839345D01* +X133869282Y-98811133D01* +X133909904Y-98786785D01* +X133952717Y-98766536D01* +X133997309Y-98750581D01* +X134043249Y-98739073D01* +X134090097Y-98732124D01* +X134137400Y-98729800D01* +X135102600Y-98729800D01* +X135149903Y-98732124D01* +X135149903Y-98732124D01* +G37* +D15* +X134620000Y-99822000D03* +D12* +G36* +X127529903Y-98732124D02* +G01* +X127576751Y-98739073D01* +X127622691Y-98750581D01* +X127667283Y-98766536D01* +X127710096Y-98786785D01* +X127750718Y-98811133D01* +X127788758Y-98839345D01* +X127823850Y-98871150D01* +X127855655Y-98906242D01* +X127883867Y-98944282D01* +X127908215Y-98984904D01* +X127928464Y-99027717D01* +X127944419Y-99072309D01* +X127955927Y-99118249D01* +X127962876Y-99165097D01* +X127965200Y-99212400D01* +X127965200Y-100431600D01* +X127962876Y-100478903D01* +X127955927Y-100525751D01* +X127944419Y-100571691D01* +X127928464Y-100616283D01* +X127908215Y-100659096D01* +X127883867Y-100699718D01* +X127855655Y-100737758D01* +X127823850Y-100772850D01* +X127788758Y-100804655D01* +X127750718Y-100832867D01* +X127710096Y-100857215D01* +X127667283Y-100877464D01* +X127622691Y-100893419D01* +X127576751Y-100904927D01* +X127529903Y-100911876D01* +X127482600Y-100914200D01* +X126517400Y-100914200D01* +X126470097Y-100911876D01* +X126423249Y-100904927D01* +X126377309Y-100893419D01* +X126332717Y-100877464D01* +X126289904Y-100857215D01* +X126249282Y-100832867D01* +X126211242Y-100804655D01* +X126176150Y-100772850D01* +X126144345Y-100737758D01* +X126116133Y-100699718D01* +X126091785Y-100659096D01* +X126071536Y-100616283D01* +X126055581Y-100571691D01* +X126044073Y-100525751D01* +X126037124Y-100478903D01* +X126034800Y-100431600D01* +X126034800Y-99212400D01* +X126037124Y-99165097D01* +X126044073Y-99118249D01* +X126055581Y-99072309D01* +X126071536Y-99027717D01* +X126091785Y-98984904D01* +X126116133Y-98944282D01* +X126144345Y-98906242D01* +X126176150Y-98871150D01* +X126211242Y-98839345D01* +X126249282Y-98811133D01* +X126289904Y-98786785D01* +X126332717Y-98766536D01* +X126377309Y-98750581D01* +X126423249Y-98739073D01* +X126470097Y-98732124D01* +X126517400Y-98729800D01* +X127482600Y-98729800D01* +X127529903Y-98732124D01* +X127529903Y-98732124D01* +G37* +D15* +X127000000Y-99822000D03* +D12* +G36* +X104669903Y-98732124D02* +G01* +X104716751Y-98739073D01* +X104762691Y-98750581D01* +X104807283Y-98766536D01* +X104850096Y-98786785D01* +X104890718Y-98811133D01* +X104928758Y-98839345D01* +X104963850Y-98871150D01* +X104995655Y-98906242D01* +X105023867Y-98944282D01* +X105048215Y-98984904D01* +X105068464Y-99027717D01* +X105084419Y-99072309D01* +X105095927Y-99118249D01* +X105102876Y-99165097D01* +X105105200Y-99212400D01* +X105105200Y-100431600D01* +X105102876Y-100478903D01* +X105095927Y-100525751D01* +X105084419Y-100571691D01* +X105068464Y-100616283D01* +X105048215Y-100659096D01* +X105023867Y-100699718D01* +X104995655Y-100737758D01* +X104963850Y-100772850D01* +X104928758Y-100804655D01* +X104890718Y-100832867D01* +X104850096Y-100857215D01* +X104807283Y-100877464D01* +X104762691Y-100893419D01* +X104716751Y-100904927D01* +X104669903Y-100911876D01* +X104622600Y-100914200D01* +X103657400Y-100914200D01* +X103610097Y-100911876D01* +X103563249Y-100904927D01* +X103517309Y-100893419D01* +X103472717Y-100877464D01* +X103429904Y-100857215D01* +X103389282Y-100832867D01* +X103351242Y-100804655D01* +X103316150Y-100772850D01* +X103284345Y-100737758D01* +X103256133Y-100699718D01* +X103231785Y-100659096D01* +X103211536Y-100616283D01* +X103195581Y-100571691D01* +X103184073Y-100525751D01* +X103177124Y-100478903D01* +X103174800Y-100431600D01* +X103174800Y-99212400D01* +X103177124Y-99165097D01* +X103184073Y-99118249D01* +X103195581Y-99072309D01* +X103211536Y-99027717D01* +X103231785Y-98984904D01* +X103256133Y-98944282D01* +X103284345Y-98906242D01* +X103316150Y-98871150D01* +X103351242Y-98839345D01* +X103389282Y-98811133D01* +X103429904Y-98786785D01* +X103472717Y-98766536D01* +X103517309Y-98750581D01* +X103563249Y-98739073D01* +X103610097Y-98732124D01* +X103657400Y-98729800D01* +X104622600Y-98729800D01* +X104669903Y-98732124D01* +X104669903Y-98732124D01* +G37* +D15* +X104140000Y-99822000D03* +D12* +G36* +X140229903Y-98732124D02* +G01* +X140276751Y-98739073D01* +X140322691Y-98750581D01* +X140367283Y-98766536D01* +X140410096Y-98786785D01* +X140450718Y-98811133D01* +X140488758Y-98839345D01* +X140523850Y-98871150D01* +X140555655Y-98906242D01* +X140583867Y-98944282D01* +X140608215Y-98984904D01* +X140628464Y-99027717D01* +X140644419Y-99072309D01* +X140655927Y-99118249D01* +X140662876Y-99165097D01* +X140665200Y-99212400D01* +X140665200Y-100431600D01* +X140662876Y-100478903D01* +X140655927Y-100525751D01* +X140644419Y-100571691D01* +X140628464Y-100616283D01* +X140608215Y-100659096D01* +X140583867Y-100699718D01* +X140555655Y-100737758D01* +X140523850Y-100772850D01* +X140488758Y-100804655D01* +X140450718Y-100832867D01* +X140410096Y-100857215D01* +X140367283Y-100877464D01* +X140322691Y-100893419D01* +X140276751Y-100904927D01* +X140229903Y-100911876D01* +X140182600Y-100914200D01* +X139217400Y-100914200D01* +X139170097Y-100911876D01* +X139123249Y-100904927D01* +X139077309Y-100893419D01* +X139032717Y-100877464D01* +X138989904Y-100857215D01* +X138949282Y-100832867D01* +X138911242Y-100804655D01* +X138876150Y-100772850D01* +X138844345Y-100737758D01* +X138816133Y-100699718D01* +X138791785Y-100659096D01* +X138771536Y-100616283D01* +X138755581Y-100571691D01* +X138744073Y-100525751D01* +X138737124Y-100478903D01* +X138734800Y-100431600D01* +X138734800Y-99212400D01* +X138737124Y-99165097D01* +X138744073Y-99118249D01* +X138755581Y-99072309D01* +X138771536Y-99027717D01* +X138791785Y-98984904D01* +X138816133Y-98944282D01* +X138844345Y-98906242D01* +X138876150Y-98871150D01* +X138911242Y-98839345D01* +X138949282Y-98811133D01* +X138989904Y-98786785D01* +X139032717Y-98766536D01* +X139077309Y-98750581D01* +X139123249Y-98739073D01* +X139170097Y-98732124D01* +X139217400Y-98729800D01* +X140182600Y-98729800D01* +X140229903Y-98732124D01* +X140229903Y-98732124D01* +G37* +D15* +X139700000Y-99822000D03* +D12* +G36* +X132609903Y-98732124D02* +G01* +X132656751Y-98739073D01* +X132702691Y-98750581D01* +X132747283Y-98766536D01* +X132790096Y-98786785D01* +X132830718Y-98811133D01* +X132868758Y-98839345D01* +X132903850Y-98871150D01* +X132935655Y-98906242D01* +X132963867Y-98944282D01* +X132988215Y-98984904D01* +X133008464Y-99027717D01* +X133024419Y-99072309D01* +X133035927Y-99118249D01* +X133042876Y-99165097D01* +X133045200Y-99212400D01* +X133045200Y-100431600D01* +X133042876Y-100478903D01* +X133035927Y-100525751D01* +X133024419Y-100571691D01* +X133008464Y-100616283D01* +X132988215Y-100659096D01* +X132963867Y-100699718D01* +X132935655Y-100737758D01* +X132903850Y-100772850D01* +X132868758Y-100804655D01* +X132830718Y-100832867D01* +X132790096Y-100857215D01* +X132747283Y-100877464D01* +X132702691Y-100893419D01* +X132656751Y-100904927D01* +X132609903Y-100911876D01* +X132562600Y-100914200D01* +X131597400Y-100914200D01* +X131550097Y-100911876D01* +X131503249Y-100904927D01* +X131457309Y-100893419D01* +X131412717Y-100877464D01* +X131369904Y-100857215D01* +X131329282Y-100832867D01* +X131291242Y-100804655D01* +X131256150Y-100772850D01* +X131224345Y-100737758D01* +X131196133Y-100699718D01* +X131171785Y-100659096D01* +X131151536Y-100616283D01* +X131135581Y-100571691D01* +X131124073Y-100525751D01* +X131117124Y-100478903D01* +X131114800Y-100431600D01* +X131114800Y-99212400D01* +X131117124Y-99165097D01* +X131124073Y-99118249D01* +X131135581Y-99072309D01* +X131151536Y-99027717D01* +X131171785Y-98984904D01* +X131196133Y-98944282D01* +X131224345Y-98906242D01* +X131256150Y-98871150D01* +X131291242Y-98839345D01* +X131329282Y-98811133D01* +X131369904Y-98786785D01* +X131412717Y-98766536D01* +X131457309Y-98750581D01* +X131503249Y-98739073D01* +X131550097Y-98732124D01* +X131597400Y-98729800D01* +X132562600Y-98729800D01* +X132609903Y-98732124D01* +X132609903Y-98732124D01* +G37* +D15* +X132080000Y-99822000D03* +D12* +G36* +X122449903Y-98732124D02* +G01* +X122496751Y-98739073D01* +X122542691Y-98750581D01* +X122587283Y-98766536D01* +X122630096Y-98786785D01* +X122670718Y-98811133D01* +X122708758Y-98839345D01* +X122743850Y-98871150D01* +X122775655Y-98906242D01* +X122803867Y-98944282D01* +X122828215Y-98984904D01* +X122848464Y-99027717D01* +X122864419Y-99072309D01* +X122875927Y-99118249D01* +X122882876Y-99165097D01* +X122885200Y-99212400D01* +X122885200Y-100431600D01* +X122882876Y-100478903D01* +X122875927Y-100525751D01* +X122864419Y-100571691D01* +X122848464Y-100616283D01* +X122828215Y-100659096D01* +X122803867Y-100699718D01* +X122775655Y-100737758D01* +X122743850Y-100772850D01* +X122708758Y-100804655D01* +X122670718Y-100832867D01* +X122630096Y-100857215D01* +X122587283Y-100877464D01* +X122542691Y-100893419D01* +X122496751Y-100904927D01* +X122449903Y-100911876D01* +X122402600Y-100914200D01* +X121437400Y-100914200D01* +X121390097Y-100911876D01* +X121343249Y-100904927D01* +X121297309Y-100893419D01* +X121252717Y-100877464D01* +X121209904Y-100857215D01* +X121169282Y-100832867D01* +X121131242Y-100804655D01* +X121096150Y-100772850D01* +X121064345Y-100737758D01* +X121036133Y-100699718D01* +X121011785Y-100659096D01* +X120991536Y-100616283D01* +X120975581Y-100571691D01* +X120964073Y-100525751D01* +X120957124Y-100478903D01* +X120954800Y-100431600D01* +X120954800Y-99212400D01* +X120957124Y-99165097D01* +X120964073Y-99118249D01* +X120975581Y-99072309D01* +X120991536Y-99027717D01* +X121011785Y-98984904D01* +X121036133Y-98944282D01* +X121064345Y-98906242D01* +X121096150Y-98871150D01* +X121131242Y-98839345D01* +X121169282Y-98811133D01* +X121209904Y-98786785D01* +X121252717Y-98766536D01* +X121297309Y-98750581D01* +X121343249Y-98739073D01* +X121390097Y-98732124D01* +X121437400Y-98729800D01* +X122402600Y-98729800D01* +X122449903Y-98732124D01* +X122449903Y-98732124D01* +G37* +D15* +X121920000Y-99822000D03* +D12* +G36* +X142769903Y-98732124D02* +G01* +X142816751Y-98739073D01* +X142862691Y-98750581D01* +X142907283Y-98766536D01* +X142950096Y-98786785D01* +X142990718Y-98811133D01* +X143028758Y-98839345D01* +X143063850Y-98871150D01* +X143095655Y-98906242D01* +X143123867Y-98944282D01* +X143148215Y-98984904D01* +X143168464Y-99027717D01* +X143184419Y-99072309D01* +X143195927Y-99118249D01* +X143202876Y-99165097D01* +X143205200Y-99212400D01* +X143205200Y-100431600D01* +X143202876Y-100478903D01* +X143195927Y-100525751D01* +X143184419Y-100571691D01* +X143168464Y-100616283D01* +X143148215Y-100659096D01* +X143123867Y-100699718D01* +X143095655Y-100737758D01* +X143063850Y-100772850D01* +X143028758Y-100804655D01* +X142990718Y-100832867D01* +X142950096Y-100857215D01* +X142907283Y-100877464D01* +X142862691Y-100893419D01* +X142816751Y-100904927D01* +X142769903Y-100911876D01* +X142722600Y-100914200D01* +X141757400Y-100914200D01* +X141710097Y-100911876D01* +X141663249Y-100904927D01* +X141617309Y-100893419D01* +X141572717Y-100877464D01* +X141529904Y-100857215D01* +X141489282Y-100832867D01* +X141451242Y-100804655D01* +X141416150Y-100772850D01* +X141384345Y-100737758D01* +X141356133Y-100699718D01* +X141331785Y-100659096D01* +X141311536Y-100616283D01* +X141295581Y-100571691D01* +X141284073Y-100525751D01* +X141277124Y-100478903D01* +X141274800Y-100431600D01* +X141274800Y-99212400D01* +X141277124Y-99165097D01* +X141284073Y-99118249D01* +X141295581Y-99072309D01* +X141311536Y-99027717D01* +X141331785Y-98984904D01* +X141356133Y-98944282D01* +X141384345Y-98906242D01* +X141416150Y-98871150D01* +X141451242Y-98839345D01* +X141489282Y-98811133D01* +X141529904Y-98786785D01* +X141572717Y-98766536D01* +X141617309Y-98750581D01* +X141663249Y-98739073D01* +X141710097Y-98732124D01* +X141757400Y-98729800D01* +X142722600Y-98729800D01* +X142769903Y-98732124D01* +X142769903Y-98732124D01* +G37* +D15* +X142240000Y-99822000D03* +D12* +G36* +X89429903Y-98732124D02* +G01* +X89476751Y-98739073D01* +X89522691Y-98750581D01* +X89567283Y-98766536D01* +X89610096Y-98786785D01* +X89650718Y-98811133D01* +X89688758Y-98839345D01* +X89723850Y-98871150D01* +X89755655Y-98906242D01* +X89783867Y-98944282D01* +X89808215Y-98984904D01* +X89828464Y-99027717D01* +X89844419Y-99072309D01* +X89855927Y-99118249D01* +X89862876Y-99165097D01* +X89865200Y-99212400D01* +X89865200Y-100431600D01* +X89862876Y-100478903D01* +X89855927Y-100525751D01* +X89844419Y-100571691D01* +X89828464Y-100616283D01* +X89808215Y-100659096D01* +X89783867Y-100699718D01* +X89755655Y-100737758D01* +X89723850Y-100772850D01* +X89688758Y-100804655D01* +X89650718Y-100832867D01* +X89610096Y-100857215D01* +X89567283Y-100877464D01* +X89522691Y-100893419D01* +X89476751Y-100904927D01* +X89429903Y-100911876D01* +X89382600Y-100914200D01* +X88417400Y-100914200D01* +X88370097Y-100911876D01* +X88323249Y-100904927D01* +X88277309Y-100893419D01* +X88232717Y-100877464D01* +X88189904Y-100857215D01* +X88149282Y-100832867D01* +X88111242Y-100804655D01* +X88076150Y-100772850D01* +X88044345Y-100737758D01* +X88016133Y-100699718D01* +X87991785Y-100659096D01* +X87971536Y-100616283D01* +X87955581Y-100571691D01* +X87944073Y-100525751D01* +X87937124Y-100478903D01* +X87934800Y-100431600D01* +X87934800Y-99212400D01* +X87937124Y-99165097D01* +X87944073Y-99118249D01* +X87955581Y-99072309D01* +X87971536Y-99027717D01* +X87991785Y-98984904D01* +X88016133Y-98944282D01* +X88044345Y-98906242D01* +X88076150Y-98871150D01* +X88111242Y-98839345D01* +X88149282Y-98811133D01* +X88189904Y-98786785D01* +X88232717Y-98766536D01* +X88277309Y-98750581D01* +X88323249Y-98739073D01* +X88370097Y-98732124D01* +X88417400Y-98729800D01* +X89382600Y-98729800D01* +X89429903Y-98732124D01* +X89429903Y-98732124D01* +G37* +D15* +X88900000Y-99822000D03* +D12* +G36* +X155469903Y-98732124D02* +G01* +X155516751Y-98739073D01* +X155562691Y-98750581D01* +X155607283Y-98766536D01* +X155650096Y-98786785D01* +X155690718Y-98811133D01* +X155728758Y-98839345D01* +X155763850Y-98871150D01* +X155795655Y-98906242D01* +X155823867Y-98944282D01* +X155848215Y-98984904D01* +X155868464Y-99027717D01* +X155884419Y-99072309D01* +X155895927Y-99118249D01* +X155902876Y-99165097D01* +X155905200Y-99212400D01* +X155905200Y-100431600D01* +X155902876Y-100478903D01* +X155895927Y-100525751D01* +X155884419Y-100571691D01* +X155868464Y-100616283D01* +X155848215Y-100659096D01* +X155823867Y-100699718D01* +X155795655Y-100737758D01* +X155763850Y-100772850D01* +X155728758Y-100804655D01* +X155690718Y-100832867D01* +X155650096Y-100857215D01* +X155607283Y-100877464D01* +X155562691Y-100893419D01* +X155516751Y-100904927D01* +X155469903Y-100911876D01* +X155422600Y-100914200D01* +X154457400Y-100914200D01* +X154410097Y-100911876D01* +X154363249Y-100904927D01* +X154317309Y-100893419D01* +X154272717Y-100877464D01* +X154229904Y-100857215D01* +X154189282Y-100832867D01* +X154151242Y-100804655D01* +X154116150Y-100772850D01* +X154084345Y-100737758D01* +X154056133Y-100699718D01* +X154031785Y-100659096D01* +X154011536Y-100616283D01* +X153995581Y-100571691D01* +X153984073Y-100525751D01* +X153977124Y-100478903D01* +X153974800Y-100431600D01* +X153974800Y-99212400D01* +X153977124Y-99165097D01* +X153984073Y-99118249D01* +X153995581Y-99072309D01* +X154011536Y-99027717D01* +X154031785Y-98984904D01* +X154056133Y-98944282D01* +X154084345Y-98906242D01* +X154116150Y-98871150D01* +X154151242Y-98839345D01* +X154189282Y-98811133D01* +X154229904Y-98786785D01* +X154272717Y-98766536D01* +X154317309Y-98750581D01* +X154363249Y-98739073D01* +X154410097Y-98732124D01* +X154457400Y-98729800D01* +X155422600Y-98729800D01* +X155469903Y-98732124D01* +X155469903Y-98732124D01* +G37* +D15* +X154940000Y-99822000D03* +D12* +G36* +X91969903Y-98732124D02* +G01* +X92016751Y-98739073D01* +X92062691Y-98750581D01* +X92107283Y-98766536D01* +X92150096Y-98786785D01* +X92190718Y-98811133D01* +X92228758Y-98839345D01* +X92263850Y-98871150D01* +X92295655Y-98906242D01* +X92323867Y-98944282D01* +X92348215Y-98984904D01* +X92368464Y-99027717D01* +X92384419Y-99072309D01* +X92395927Y-99118249D01* +X92402876Y-99165097D01* +X92405200Y-99212400D01* +X92405200Y-100431600D01* +X92402876Y-100478903D01* +X92395927Y-100525751D01* +X92384419Y-100571691D01* +X92368464Y-100616283D01* +X92348215Y-100659096D01* +X92323867Y-100699718D01* +X92295655Y-100737758D01* +X92263850Y-100772850D01* +X92228758Y-100804655D01* +X92190718Y-100832867D01* +X92150096Y-100857215D01* +X92107283Y-100877464D01* +X92062691Y-100893419D01* +X92016751Y-100904927D01* +X91969903Y-100911876D01* +X91922600Y-100914200D01* +X90957400Y-100914200D01* +X90910097Y-100911876D01* +X90863249Y-100904927D01* +X90817309Y-100893419D01* +X90772717Y-100877464D01* +X90729904Y-100857215D01* +X90689282Y-100832867D01* +X90651242Y-100804655D01* +X90616150Y-100772850D01* +X90584345Y-100737758D01* +X90556133Y-100699718D01* +X90531785Y-100659096D01* +X90511536Y-100616283D01* +X90495581Y-100571691D01* +X90484073Y-100525751D01* +X90477124Y-100478903D01* +X90474800Y-100431600D01* +X90474800Y-99212400D01* +X90477124Y-99165097D01* +X90484073Y-99118249D01* +X90495581Y-99072309D01* +X90511536Y-99027717D01* +X90531785Y-98984904D01* +X90556133Y-98944282D01* +X90584345Y-98906242D01* +X90616150Y-98871150D01* +X90651242Y-98839345D01* +X90689282Y-98811133D01* +X90729904Y-98786785D01* +X90772717Y-98766536D01* +X90817309Y-98750581D01* +X90863249Y-98739073D01* +X90910097Y-98732124D01* +X90957400Y-98729800D01* +X91922600Y-98729800D01* +X91969903Y-98732124D01* +X91969903Y-98732124D01* +G37* +D15* +X91440000Y-99822000D03* +D12* +G36* +X117369903Y-98732124D02* +G01* +X117416751Y-98739073D01* +X117462691Y-98750581D01* +X117507283Y-98766536D01* +X117550096Y-98786785D01* +X117590718Y-98811133D01* +X117628758Y-98839345D01* +X117663850Y-98871150D01* +X117695655Y-98906242D01* +X117723867Y-98944282D01* +X117748215Y-98984904D01* +X117768464Y-99027717D01* +X117784419Y-99072309D01* +X117795927Y-99118249D01* +X117802876Y-99165097D01* +X117805200Y-99212400D01* +X117805200Y-100431600D01* +X117802876Y-100478903D01* +X117795927Y-100525751D01* +X117784419Y-100571691D01* +X117768464Y-100616283D01* +X117748215Y-100659096D01* +X117723867Y-100699718D01* +X117695655Y-100737758D01* +X117663850Y-100772850D01* +X117628758Y-100804655D01* +X117590718Y-100832867D01* +X117550096Y-100857215D01* +X117507283Y-100877464D01* +X117462691Y-100893419D01* +X117416751Y-100904927D01* +X117369903Y-100911876D01* +X117322600Y-100914200D01* +X116357400Y-100914200D01* +X116310097Y-100911876D01* +X116263249Y-100904927D01* +X116217309Y-100893419D01* +X116172717Y-100877464D01* +X116129904Y-100857215D01* +X116089282Y-100832867D01* +X116051242Y-100804655D01* +X116016150Y-100772850D01* +X115984345Y-100737758D01* +X115956133Y-100699718D01* +X115931785Y-100659096D01* +X115911536Y-100616283D01* +X115895581Y-100571691D01* +X115884073Y-100525751D01* +X115877124Y-100478903D01* +X115874800Y-100431600D01* +X115874800Y-99212400D01* +X115877124Y-99165097D01* +X115884073Y-99118249D01* +X115895581Y-99072309D01* +X115911536Y-99027717D01* +X115931785Y-98984904D01* +X115956133Y-98944282D01* +X115984345Y-98906242D01* +X116016150Y-98871150D01* +X116051242Y-98839345D01* +X116089282Y-98811133D01* +X116129904Y-98786785D01* +X116172717Y-98766536D01* +X116217309Y-98750581D01* +X116263249Y-98739073D01* +X116310097Y-98732124D01* +X116357400Y-98729800D01* +X117322600Y-98729800D01* +X117369903Y-98732124D01* +X117369903Y-98732124D01* +G37* +D15* +X116840000Y-99822000D03* +D12* +G36* +X114829903Y-98732124D02* +G01* +X114876751Y-98739073D01* +X114922691Y-98750581D01* +X114967283Y-98766536D01* +X115010096Y-98786785D01* +X115050718Y-98811133D01* +X115088758Y-98839345D01* +X115123850Y-98871150D01* +X115155655Y-98906242D01* +X115183867Y-98944282D01* +X115208215Y-98984904D01* +X115228464Y-99027717D01* +X115244419Y-99072309D01* +X115255927Y-99118249D01* +X115262876Y-99165097D01* +X115265200Y-99212400D01* +X115265200Y-100431600D01* +X115262876Y-100478903D01* +X115255927Y-100525751D01* +X115244419Y-100571691D01* +X115228464Y-100616283D01* +X115208215Y-100659096D01* +X115183867Y-100699718D01* +X115155655Y-100737758D01* +X115123850Y-100772850D01* +X115088758Y-100804655D01* +X115050718Y-100832867D01* +X115010096Y-100857215D01* +X114967283Y-100877464D01* +X114922691Y-100893419D01* +X114876751Y-100904927D01* +X114829903Y-100911876D01* +X114782600Y-100914200D01* +X113817400Y-100914200D01* +X113770097Y-100911876D01* +X113723249Y-100904927D01* +X113677309Y-100893419D01* +X113632717Y-100877464D01* +X113589904Y-100857215D01* +X113549282Y-100832867D01* +X113511242Y-100804655D01* +X113476150Y-100772850D01* +X113444345Y-100737758D01* +X113416133Y-100699718D01* +X113391785Y-100659096D01* +X113371536Y-100616283D01* +X113355581Y-100571691D01* +X113344073Y-100525751D01* +X113337124Y-100478903D01* +X113334800Y-100431600D01* +X113334800Y-99212400D01* +X113337124Y-99165097D01* +X113344073Y-99118249D01* +X113355581Y-99072309D01* +X113371536Y-99027717D01* +X113391785Y-98984904D01* +X113416133Y-98944282D01* +X113444345Y-98906242D01* +X113476150Y-98871150D01* +X113511242Y-98839345D01* +X113549282Y-98811133D01* +X113589904Y-98786785D01* +X113632717Y-98766536D01* +X113677309Y-98750581D01* +X113723249Y-98739073D01* +X113770097Y-98732124D01* +X113817400Y-98729800D01* +X114782600Y-98729800D01* +X114829903Y-98732124D01* +X114829903Y-98732124D01* +G37* +D15* +X114300000Y-99822000D03* +D12* +G36* +X147849903Y-98732124D02* +G01* +X147896751Y-98739073D01* +X147942691Y-98750581D01* +X147987283Y-98766536D01* +X148030096Y-98786785D01* +X148070718Y-98811133D01* +X148108758Y-98839345D01* +X148143850Y-98871150D01* +X148175655Y-98906242D01* +X148203867Y-98944282D01* +X148228215Y-98984904D01* +X148248464Y-99027717D01* +X148264419Y-99072309D01* +X148275927Y-99118249D01* +X148282876Y-99165097D01* +X148285200Y-99212400D01* +X148285200Y-100431600D01* +X148282876Y-100478903D01* +X148275927Y-100525751D01* +X148264419Y-100571691D01* +X148248464Y-100616283D01* +X148228215Y-100659096D01* +X148203867Y-100699718D01* +X148175655Y-100737758D01* +X148143850Y-100772850D01* +X148108758Y-100804655D01* +X148070718Y-100832867D01* +X148030096Y-100857215D01* +X147987283Y-100877464D01* +X147942691Y-100893419D01* +X147896751Y-100904927D01* +X147849903Y-100911876D01* +X147802600Y-100914200D01* +X146837400Y-100914200D01* +X146790097Y-100911876D01* +X146743249Y-100904927D01* +X146697309Y-100893419D01* +X146652717Y-100877464D01* +X146609904Y-100857215D01* +X146569282Y-100832867D01* +X146531242Y-100804655D01* +X146496150Y-100772850D01* +X146464345Y-100737758D01* +X146436133Y-100699718D01* +X146411785Y-100659096D01* +X146391536Y-100616283D01* +X146375581Y-100571691D01* +X146364073Y-100525751D01* +X146357124Y-100478903D01* +X146354800Y-100431600D01* +X146354800Y-99212400D01* +X146357124Y-99165097D01* +X146364073Y-99118249D01* +X146375581Y-99072309D01* +X146391536Y-99027717D01* +X146411785Y-98984904D01* +X146436133Y-98944282D01* +X146464345Y-98906242D01* +X146496150Y-98871150D01* +X146531242Y-98839345D01* +X146569282Y-98811133D01* +X146609904Y-98786785D01* +X146652717Y-98766536D01* +X146697309Y-98750581D01* +X146743249Y-98739073D01* +X146790097Y-98732124D01* +X146837400Y-98729800D01* +X147802600Y-98729800D01* +X147849903Y-98732124D01* +X147849903Y-98732124D01* +G37* +D15* +X147320000Y-99822000D03* +D12* +G36* +X112289903Y-98732124D02* +G01* +X112336751Y-98739073D01* +X112382691Y-98750581D01* +X112427283Y-98766536D01* +X112470096Y-98786785D01* +X112510718Y-98811133D01* +X112548758Y-98839345D01* +X112583850Y-98871150D01* +X112615655Y-98906242D01* +X112643867Y-98944282D01* +X112668215Y-98984904D01* +X112688464Y-99027717D01* +X112704419Y-99072309D01* +X112715927Y-99118249D01* +X112722876Y-99165097D01* +X112725200Y-99212400D01* +X112725200Y-100431600D01* +X112722876Y-100478903D01* +X112715927Y-100525751D01* +X112704419Y-100571691D01* +X112688464Y-100616283D01* +X112668215Y-100659096D01* +X112643867Y-100699718D01* +X112615655Y-100737758D01* +X112583850Y-100772850D01* +X112548758Y-100804655D01* +X112510718Y-100832867D01* +X112470096Y-100857215D01* +X112427283Y-100877464D01* +X112382691Y-100893419D01* +X112336751Y-100904927D01* +X112289903Y-100911876D01* +X112242600Y-100914200D01* +X111277400Y-100914200D01* +X111230097Y-100911876D01* +X111183249Y-100904927D01* +X111137309Y-100893419D01* +X111092717Y-100877464D01* +X111049904Y-100857215D01* +X111009282Y-100832867D01* +X110971242Y-100804655D01* +X110936150Y-100772850D01* +X110904345Y-100737758D01* +X110876133Y-100699718D01* +X110851785Y-100659096D01* +X110831536Y-100616283D01* +X110815581Y-100571691D01* +X110804073Y-100525751D01* +X110797124Y-100478903D01* +X110794800Y-100431600D01* +X110794800Y-99212400D01* +X110797124Y-99165097D01* +X110804073Y-99118249D01* +X110815581Y-99072309D01* +X110831536Y-99027717D01* +X110851785Y-98984904D01* +X110876133Y-98944282D01* +X110904345Y-98906242D01* +X110936150Y-98871150D01* +X110971242Y-98839345D01* +X111009282Y-98811133D01* +X111049904Y-98786785D01* +X111092717Y-98766536D01* +X111137309Y-98750581D01* +X111183249Y-98739073D01* +X111230097Y-98732124D01* +X111277400Y-98729800D01* +X112242600Y-98729800D01* +X112289903Y-98732124D01* +X112289903Y-98732124D01* +G37* +D15* +X111760000Y-99822000D03* +D12* +G36* +X94509903Y-98732124D02* +G01* +X94556751Y-98739073D01* +X94602691Y-98750581D01* +X94647283Y-98766536D01* +X94690096Y-98786785D01* +X94730718Y-98811133D01* +X94768758Y-98839345D01* +X94803850Y-98871150D01* +X94835655Y-98906242D01* +X94863867Y-98944282D01* +X94888215Y-98984904D01* +X94908464Y-99027717D01* +X94924419Y-99072309D01* +X94935927Y-99118249D01* +X94942876Y-99165097D01* +X94945200Y-99212400D01* +X94945200Y-100431600D01* +X94942876Y-100478903D01* +X94935927Y-100525751D01* +X94924419Y-100571691D01* +X94908464Y-100616283D01* +X94888215Y-100659096D01* +X94863867Y-100699718D01* +X94835655Y-100737758D01* +X94803850Y-100772850D01* +X94768758Y-100804655D01* +X94730718Y-100832867D01* +X94690096Y-100857215D01* +X94647283Y-100877464D01* +X94602691Y-100893419D01* +X94556751Y-100904927D01* +X94509903Y-100911876D01* +X94462600Y-100914200D01* +X93497400Y-100914200D01* +X93450097Y-100911876D01* +X93403249Y-100904927D01* +X93357309Y-100893419D01* +X93312717Y-100877464D01* +X93269904Y-100857215D01* +X93229282Y-100832867D01* +X93191242Y-100804655D01* +X93156150Y-100772850D01* +X93124345Y-100737758D01* +X93096133Y-100699718D01* +X93071785Y-100659096D01* +X93051536Y-100616283D01* +X93035581Y-100571691D01* +X93024073Y-100525751D01* +X93017124Y-100478903D01* +X93014800Y-100431600D01* +X93014800Y-99212400D01* +X93017124Y-99165097D01* +X93024073Y-99118249D01* +X93035581Y-99072309D01* +X93051536Y-99027717D01* +X93071785Y-98984904D01* +X93096133Y-98944282D01* +X93124345Y-98906242D01* +X93156150Y-98871150D01* +X93191242Y-98839345D01* +X93229282Y-98811133D01* +X93269904Y-98786785D01* +X93312717Y-98766536D01* +X93357309Y-98750581D01* +X93403249Y-98739073D01* +X93450097Y-98732124D01* +X93497400Y-98729800D01* +X94462600Y-98729800D01* +X94509903Y-98732124D01* +X94509903Y-98732124D01* +G37* +D15* +X93980000Y-99822000D03* +D12* +G36* +X109749903Y-98732124D02* +G01* +X109796751Y-98739073D01* +X109842691Y-98750581D01* +X109887283Y-98766536D01* +X109930096Y-98786785D01* +X109970718Y-98811133D01* +X110008758Y-98839345D01* +X110043850Y-98871150D01* +X110075655Y-98906242D01* +X110103867Y-98944282D01* +X110128215Y-98984904D01* +X110148464Y-99027717D01* +X110164419Y-99072309D01* +X110175927Y-99118249D01* +X110182876Y-99165097D01* +X110185200Y-99212400D01* +X110185200Y-100431600D01* +X110182876Y-100478903D01* +X110175927Y-100525751D01* +X110164419Y-100571691D01* +X110148464Y-100616283D01* +X110128215Y-100659096D01* +X110103867Y-100699718D01* +X110075655Y-100737758D01* +X110043850Y-100772850D01* +X110008758Y-100804655D01* +X109970718Y-100832867D01* +X109930096Y-100857215D01* +X109887283Y-100877464D01* +X109842691Y-100893419D01* +X109796751Y-100904927D01* +X109749903Y-100911876D01* +X109702600Y-100914200D01* +X108737400Y-100914200D01* +X108690097Y-100911876D01* +X108643249Y-100904927D01* +X108597309Y-100893419D01* +X108552717Y-100877464D01* +X108509904Y-100857215D01* +X108469282Y-100832867D01* +X108431242Y-100804655D01* +X108396150Y-100772850D01* +X108364345Y-100737758D01* +X108336133Y-100699718D01* +X108311785Y-100659096D01* +X108291536Y-100616283D01* +X108275581Y-100571691D01* +X108264073Y-100525751D01* +X108257124Y-100478903D01* +X108254800Y-100431600D01* +X108254800Y-99212400D01* +X108257124Y-99165097D01* +X108264073Y-99118249D01* +X108275581Y-99072309D01* +X108291536Y-99027717D01* +X108311785Y-98984904D01* +X108336133Y-98944282D01* +X108364345Y-98906242D01* +X108396150Y-98871150D01* +X108431242Y-98839345D01* +X108469282Y-98811133D01* +X108509904Y-98786785D01* +X108552717Y-98766536D01* +X108597309Y-98750581D01* +X108643249Y-98739073D01* +X108690097Y-98732124D01* +X108737400Y-98729800D01* +X109702600Y-98729800D01* +X109749903Y-98732124D01* +X109749903Y-98732124D01* +G37* +D15* +X109220000Y-99822000D03* +D14* +X88900000Y-98806000D03* +D12* +G36* +X86889903Y-98732124D02* +G01* +X86936751Y-98739073D01* +X86982691Y-98750581D01* +X87027283Y-98766536D01* +X87070096Y-98786785D01* +X87110718Y-98811133D01* +X87148758Y-98839345D01* +X87183850Y-98871150D01* +X87215655Y-98906242D01* +X87243867Y-98944282D01* +X87268215Y-98984904D01* +X87288464Y-99027717D01* +X87304419Y-99072309D01* +X87315927Y-99118249D01* +X87322876Y-99165097D01* +X87325200Y-99212400D01* +X87325200Y-100431600D01* +X87322876Y-100478903D01* +X87315927Y-100525751D01* +X87304419Y-100571691D01* +X87288464Y-100616283D01* +X87268215Y-100659096D01* +X87243867Y-100699718D01* +X87215655Y-100737758D01* +X87183850Y-100772850D01* +X87148758Y-100804655D01* +X87110718Y-100832867D01* +X87070096Y-100857215D01* +X87027283Y-100877464D01* +X86982691Y-100893419D01* +X86936751Y-100904927D01* +X86889903Y-100911876D01* +X86842600Y-100914200D01* +X85877400Y-100914200D01* +X85830097Y-100911876D01* +X85783249Y-100904927D01* +X85737309Y-100893419D01* +X85692717Y-100877464D01* +X85649904Y-100857215D01* +X85609282Y-100832867D01* +X85571242Y-100804655D01* +X85536150Y-100772850D01* +X85504345Y-100737758D01* +X85476133Y-100699718D01* +X85451785Y-100659096D01* +X85431536Y-100616283D01* +X85415581Y-100571691D01* +X85404073Y-100525751D01* +X85397124Y-100478903D01* +X85394800Y-100431600D01* +X85394800Y-99212400D01* +X85397124Y-99165097D01* +X85404073Y-99118249D01* +X85415581Y-99072309D01* +X85431536Y-99027717D01* +X85451785Y-98984904D01* +X85476133Y-98944282D01* +X85504345Y-98906242D01* +X85536150Y-98871150D01* +X85571242Y-98839345D01* +X85609282Y-98811133D01* +X85649904Y-98786785D01* +X85692717Y-98766536D01* +X85737309Y-98750581D01* +X85783249Y-98739073D01* +X85830097Y-98732124D01* +X85877400Y-98729800D01* +X86842600Y-98729800D01* +X86889903Y-98732124D01* +X86889903Y-98732124D01* +G37* +D15* +X86360000Y-99822000D03* +D12* +G36* +X84349903Y-98732124D02* +G01* +X84396751Y-98739073D01* +X84442691Y-98750581D01* +X84487283Y-98766536D01* +X84530096Y-98786785D01* +X84570718Y-98811133D01* +X84608758Y-98839345D01* +X84643850Y-98871150D01* +X84675655Y-98906242D01* +X84703867Y-98944282D01* +X84728215Y-98984904D01* +X84748464Y-99027717D01* +X84764419Y-99072309D01* +X84775927Y-99118249D01* +X84782876Y-99165097D01* +X84785200Y-99212400D01* +X84785200Y-100431600D01* +X84782876Y-100478903D01* +X84775927Y-100525751D01* +X84764419Y-100571691D01* +X84748464Y-100616283D01* +X84728215Y-100659096D01* +X84703867Y-100699718D01* +X84675655Y-100737758D01* +X84643850Y-100772850D01* +X84608758Y-100804655D01* +X84570718Y-100832867D01* +X84530096Y-100857215D01* +X84487283Y-100877464D01* +X84442691Y-100893419D01* +X84396751Y-100904927D01* +X84349903Y-100911876D01* +X84302600Y-100914200D01* +X83337400Y-100914200D01* +X83290097Y-100911876D01* +X83243249Y-100904927D01* +X83197309Y-100893419D01* +X83152717Y-100877464D01* +X83109904Y-100857215D01* +X83069282Y-100832867D01* +X83031242Y-100804655D01* +X82996150Y-100772850D01* +X82964345Y-100737758D01* +X82936133Y-100699718D01* +X82911785Y-100659096D01* +X82891536Y-100616283D01* +X82875581Y-100571691D01* +X82864073Y-100525751D01* +X82857124Y-100478903D01* +X82854800Y-100431600D01* +X82854800Y-99212400D01* +X82857124Y-99165097D01* +X82864073Y-99118249D01* +X82875581Y-99072309D01* +X82891536Y-99027717D01* +X82911785Y-98984904D01* +X82936133Y-98944282D01* +X82964345Y-98906242D01* +X82996150Y-98871150D01* +X83031242Y-98839345D01* +X83069282Y-98811133D01* +X83109904Y-98786785D01* +X83152717Y-98766536D01* +X83197309Y-98750581D01* +X83243249Y-98739073D01* +X83290097Y-98732124D01* +X83337400Y-98729800D01* +X84302600Y-98729800D01* +X84349903Y-98732124D01* +X84349903Y-98732124D01* +G37* +D15* +X83820000Y-99822000D03* +D12* +G36* +X122126537Y-83886706D02* +G01* +X122144796Y-83889414D01* +X122162703Y-83893900D01* +X122180083Y-83900118D01* +X122196770Y-83908011D01* +X122212603Y-83917501D01* +X122227429Y-83928497D01* +X122241107Y-83940893D01* +X122253503Y-83954571D01* +X122264499Y-83969397D01* +X122273989Y-83985230D01* +X122281882Y-84001917D01* +X122288100Y-84019297D01* +X122292586Y-84037204D01* +X122295294Y-84055463D01* +X122296200Y-84073900D01* +X122296200Y-86350100D01* +X122295294Y-86368537D01* +X122292586Y-86386796D01* +X122288100Y-86404703D01* +X122281882Y-86422083D01* +X122273989Y-86438770D01* +X122264499Y-86454603D01* +X122253503Y-86469429D01* +X122241107Y-86483107D01* +X122227429Y-86495503D01* +X122212603Y-86506499D01* +X122196770Y-86515989D01* +X122180083Y-86523882D01* +X122162703Y-86530100D01* +X122144796Y-86534586D01* +X122126537Y-86537294D01* +X122108100Y-86538200D01* +X121731900Y-86538200D01* +X121713463Y-86537294D01* +X121695204Y-86534586D01* +X121677297Y-86530100D01* +X121659917Y-86523882D01* +X121643230Y-86515989D01* +X121627397Y-86506499D01* +X121612571Y-86495503D01* +X121598893Y-86483107D01* +X121586497Y-86469429D01* +X121575501Y-86454603D01* +X121566011Y-86438770D01* +X121558118Y-86422083D01* +X121551900Y-86404703D01* +X121547414Y-86386796D01* +X121544706Y-86368537D01* +X121543800Y-86350100D01* +X121543800Y-84073900D01* +X121544706Y-84055463D01* +X121547414Y-84037204D01* +X121551900Y-84019297D01* +X121558118Y-84001917D01* +X121566011Y-83985230D01* +X121575501Y-83969397D01* +X121586497Y-83954571D01* +X121598893Y-83940893D01* +X121612571Y-83928497D01* +X121627397Y-83917501D01* +X121643230Y-83908011D01* +X121659917Y-83900118D01* +X121677297Y-83893900D01* +X121695204Y-83889414D01* +X121713463Y-83886706D01* +X121731900Y-83885800D01* +X122108100Y-83885800D01* +X122126537Y-83886706D01* +X122126537Y-83886706D01* +G37* +D16* +X121920000Y-85212000D03* +D12* +G36* +X123396537Y-83886706D02* +G01* +X123414796Y-83889414D01* +X123432703Y-83893900D01* +X123450083Y-83900118D01* +X123466770Y-83908011D01* +X123482603Y-83917501D01* +X123497429Y-83928497D01* +X123511107Y-83940893D01* +X123523503Y-83954571D01* +X123534499Y-83969397D01* +X123543989Y-83985230D01* +X123551882Y-84001917D01* +X123558100Y-84019297D01* +X123562586Y-84037204D01* +X123565294Y-84055463D01* +X123566200Y-84073900D01* +X123566200Y-86350100D01* +X123565294Y-86368537D01* +X123562586Y-86386796D01* +X123558100Y-86404703D01* +X123551882Y-86422083D01* +X123543989Y-86438770D01* +X123534499Y-86454603D01* +X123523503Y-86469429D01* +X123511107Y-86483107D01* +X123497429Y-86495503D01* +X123482603Y-86506499D01* +X123466770Y-86515989D01* +X123450083Y-86523882D01* +X123432703Y-86530100D01* +X123414796Y-86534586D01* +X123396537Y-86537294D01* +X123378100Y-86538200D01* +X123001900Y-86538200D01* +X122983463Y-86537294D01* +X122965204Y-86534586D01* +X122947297Y-86530100D01* +X122929917Y-86523882D01* +X122913230Y-86515989D01* +X122897397Y-86506499D01* +X122882571Y-86495503D01* +X122868893Y-86483107D01* +X122856497Y-86469429D01* +X122845501Y-86454603D01* +X122836011Y-86438770D01* +X122828118Y-86422083D01* +X122821900Y-86404703D01* +X122817414Y-86386796D01* +X122814706Y-86368537D01* +X122813800Y-86350100D01* +X122813800Y-84073900D01* +X122814706Y-84055463D01* +X122817414Y-84037204D01* +X122821900Y-84019297D01* +X122828118Y-84001917D01* +X122836011Y-83985230D01* +X122845501Y-83969397D01* +X122856497Y-83954571D01* +X122868893Y-83940893D01* +X122882571Y-83928497D01* +X122897397Y-83917501D01* +X122913230Y-83908011D01* +X122929917Y-83900118D01* +X122947297Y-83893900D01* +X122965204Y-83889414D01* +X122983463Y-83886706D01* +X123001900Y-83885800D01* +X123378100Y-83885800D01* +X123396537Y-83886706D01* +X123396537Y-83886706D01* +G37* +D16* +X123190000Y-85212000D03* +D12* +G36* +X124666537Y-83886706D02* +G01* +X124684796Y-83889414D01* +X124702703Y-83893900D01* +X124720083Y-83900118D01* +X124736770Y-83908011D01* +X124752603Y-83917501D01* +X124767429Y-83928497D01* +X124781107Y-83940893D01* +X124793503Y-83954571D01* +X124804499Y-83969397D01* +X124813989Y-83985230D01* +X124821882Y-84001917D01* +X124828100Y-84019297D01* +X124832586Y-84037204D01* +X124835294Y-84055463D01* +X124836200Y-84073900D01* +X124836200Y-86350100D01* +X124835294Y-86368537D01* +X124832586Y-86386796D01* +X124828100Y-86404703D01* +X124821882Y-86422083D01* +X124813989Y-86438770D01* +X124804499Y-86454603D01* +X124793503Y-86469429D01* +X124781107Y-86483107D01* +X124767429Y-86495503D01* +X124752603Y-86506499D01* +X124736770Y-86515989D01* +X124720083Y-86523882D01* +X124702703Y-86530100D01* +X124684796Y-86534586D01* +X124666537Y-86537294D01* +X124648100Y-86538200D01* +X124271900Y-86538200D01* +X124253463Y-86537294D01* +X124235204Y-86534586D01* +X124217297Y-86530100D01* +X124199917Y-86523882D01* +X124183230Y-86515989D01* +X124167397Y-86506499D01* +X124152571Y-86495503D01* +X124138893Y-86483107D01* +X124126497Y-86469429D01* +X124115501Y-86454603D01* +X124106011Y-86438770D01* +X124098118Y-86422083D01* +X124091900Y-86404703D01* +X124087414Y-86386796D01* +X124084706Y-86368537D01* +X124083800Y-86350100D01* +X124083800Y-84073900D01* +X124084706Y-84055463D01* +X124087414Y-84037204D01* +X124091900Y-84019297D01* +X124098118Y-84001917D01* +X124106011Y-83985230D01* +X124115501Y-83969397D01* +X124126497Y-83954571D01* +X124138893Y-83940893D01* +X124152571Y-83928497D01* +X124167397Y-83917501D01* +X124183230Y-83908011D01* +X124199917Y-83900118D01* +X124217297Y-83893900D01* +X124235204Y-83889414D01* +X124253463Y-83886706D01* +X124271900Y-83885800D01* +X124648100Y-83885800D01* +X124666537Y-83886706D01* +X124666537Y-83886706D01* +G37* +D16* +X124460000Y-85212000D03* +D12* +G36* +X125936537Y-83886706D02* +G01* +X125954796Y-83889414D01* +X125972703Y-83893900D01* +X125990083Y-83900118D01* +X126006770Y-83908011D01* +X126022603Y-83917501D01* +X126037429Y-83928497D01* +X126051107Y-83940893D01* +X126063503Y-83954571D01* +X126074499Y-83969397D01* +X126083989Y-83985230D01* +X126091882Y-84001917D01* +X126098100Y-84019297D01* +X126102586Y-84037204D01* +X126105294Y-84055463D01* +X126106200Y-84073900D01* +X126106200Y-86350100D01* +X126105294Y-86368537D01* +X126102586Y-86386796D01* +X126098100Y-86404703D01* +X126091882Y-86422083D01* +X126083989Y-86438770D01* +X126074499Y-86454603D01* +X126063503Y-86469429D01* +X126051107Y-86483107D01* +X126037429Y-86495503D01* +X126022603Y-86506499D01* +X126006770Y-86515989D01* +X125990083Y-86523882D01* +X125972703Y-86530100D01* +X125954796Y-86534586D01* +X125936537Y-86537294D01* +X125918100Y-86538200D01* +X125541900Y-86538200D01* +X125523463Y-86537294D01* +X125505204Y-86534586D01* +X125487297Y-86530100D01* +X125469917Y-86523882D01* +X125453230Y-86515989D01* +X125437397Y-86506499D01* +X125422571Y-86495503D01* +X125408893Y-86483107D01* +X125396497Y-86469429D01* +X125385501Y-86454603D01* +X125376011Y-86438770D01* +X125368118Y-86422083D01* +X125361900Y-86404703D01* +X125357414Y-86386796D01* +X125354706Y-86368537D01* +X125353800Y-86350100D01* +X125353800Y-84073900D01* +X125354706Y-84055463D01* +X125357414Y-84037204D01* +X125361900Y-84019297D01* +X125368118Y-84001917D01* +X125376011Y-83985230D01* +X125385501Y-83969397D01* +X125396497Y-83954571D01* +X125408893Y-83940893D01* +X125422571Y-83928497D01* +X125437397Y-83917501D01* +X125453230Y-83908011D01* +X125469917Y-83900118D01* +X125487297Y-83893900D01* +X125505204Y-83889414D01* +X125523463Y-83886706D01* +X125541900Y-83885800D01* +X125918100Y-83885800D01* +X125936537Y-83886706D01* +X125936537Y-83886706D01* +G37* +D16* +X125730000Y-85212000D03* +D12* +G36* +X127206537Y-83886706D02* +G01* +X127224796Y-83889414D01* +X127242703Y-83893900D01* +X127260083Y-83900118D01* +X127276770Y-83908011D01* +X127292603Y-83917501D01* +X127307429Y-83928497D01* +X127321107Y-83940893D01* +X127333503Y-83954571D01* +X127344499Y-83969397D01* +X127353989Y-83985230D01* +X127361882Y-84001917D01* +X127368100Y-84019297D01* +X127372586Y-84037204D01* +X127375294Y-84055463D01* +X127376200Y-84073900D01* +X127376200Y-86350100D01* +X127375294Y-86368537D01* +X127372586Y-86386796D01* +X127368100Y-86404703D01* +X127361882Y-86422083D01* +X127353989Y-86438770D01* +X127344499Y-86454603D01* +X127333503Y-86469429D01* +X127321107Y-86483107D01* +X127307429Y-86495503D01* +X127292603Y-86506499D01* +X127276770Y-86515989D01* +X127260083Y-86523882D01* +X127242703Y-86530100D01* +X127224796Y-86534586D01* +X127206537Y-86537294D01* +X127188100Y-86538200D01* +X126811900Y-86538200D01* +X126793463Y-86537294D01* +X126775204Y-86534586D01* +X126757297Y-86530100D01* +X126739917Y-86523882D01* +X126723230Y-86515989D01* +X126707397Y-86506499D01* +X126692571Y-86495503D01* +X126678893Y-86483107D01* +X126666497Y-86469429D01* +X126655501Y-86454603D01* +X126646011Y-86438770D01* +X126638118Y-86422083D01* +X126631900Y-86404703D01* +X126627414Y-86386796D01* +X126624706Y-86368537D01* +X126623800Y-86350100D01* +X126623800Y-84073900D01* +X126624706Y-84055463D01* +X126627414Y-84037204D01* +X126631900Y-84019297D01* +X126638118Y-84001917D01* +X126646011Y-83985230D01* +X126655501Y-83969397D01* +X126666497Y-83954571D01* +X126678893Y-83940893D01* +X126692571Y-83928497D01* +X126707397Y-83917501D01* +X126723230Y-83908011D01* +X126739917Y-83900118D01* +X126757297Y-83893900D01* +X126775204Y-83889414D01* +X126793463Y-83886706D01* +X126811900Y-83885800D01* +X127188100Y-83885800D01* +X127206537Y-83886706D01* +X127206537Y-83886706D01* +G37* +D16* +X127000000Y-85212000D03* +D12* +G36* +X128476537Y-83886706D02* +G01* +X128494796Y-83889414D01* +X128512703Y-83893900D01* +X128530083Y-83900118D01* +X128546770Y-83908011D01* +X128562603Y-83917501D01* +X128577429Y-83928497D01* +X128591107Y-83940893D01* +X128603503Y-83954571D01* +X128614499Y-83969397D01* +X128623989Y-83985230D01* +X128631882Y-84001917D01* +X128638100Y-84019297D01* +X128642586Y-84037204D01* +X128645294Y-84055463D01* +X128646200Y-84073900D01* +X128646200Y-86350100D01* +X128645294Y-86368537D01* +X128642586Y-86386796D01* +X128638100Y-86404703D01* +X128631882Y-86422083D01* +X128623989Y-86438770D01* +X128614499Y-86454603D01* +X128603503Y-86469429D01* +X128591107Y-86483107D01* +X128577429Y-86495503D01* +X128562603Y-86506499D01* +X128546770Y-86515989D01* +X128530083Y-86523882D01* +X128512703Y-86530100D01* +X128494796Y-86534586D01* +X128476537Y-86537294D01* +X128458100Y-86538200D01* +X128081900Y-86538200D01* +X128063463Y-86537294D01* +X128045204Y-86534586D01* +X128027297Y-86530100D01* +X128009917Y-86523882D01* +X127993230Y-86515989D01* +X127977397Y-86506499D01* +X127962571Y-86495503D01* +X127948893Y-86483107D01* +X127936497Y-86469429D01* +X127925501Y-86454603D01* +X127916011Y-86438770D01* +X127908118Y-86422083D01* +X127901900Y-86404703D01* +X127897414Y-86386796D01* +X127894706Y-86368537D01* +X127893800Y-86350100D01* +X127893800Y-84073900D01* +X127894706Y-84055463D01* +X127897414Y-84037204D01* +X127901900Y-84019297D01* +X127908118Y-84001917D01* +X127916011Y-83985230D01* +X127925501Y-83969397D01* +X127936497Y-83954571D01* +X127948893Y-83940893D01* +X127962571Y-83928497D01* +X127977397Y-83917501D01* +X127993230Y-83908011D01* +X128009917Y-83900118D01* +X128027297Y-83893900D01* +X128045204Y-83889414D01* +X128063463Y-83886706D01* +X128081900Y-83885800D01* +X128458100Y-83885800D01* +X128476537Y-83886706D01* +X128476537Y-83886706D01* +G37* +D16* +X128270000Y-85212000D03* +D12* +G36* +X131016537Y-83886706D02* +G01* +X131034796Y-83889414D01* +X131052703Y-83893900D01* +X131070083Y-83900118D01* +X131086770Y-83908011D01* +X131102603Y-83917501D01* +X131117429Y-83928497D01* +X131131107Y-83940893D01* +X131143503Y-83954571D01* +X131154499Y-83969397D01* +X131163989Y-83985230D01* +X131171882Y-84001917D01* +X131178100Y-84019297D01* +X131182586Y-84037204D01* +X131185294Y-84055463D01* +X131186200Y-84073900D01* +X131186200Y-86350100D01* +X131185294Y-86368537D01* +X131182586Y-86386796D01* +X131178100Y-86404703D01* +X131171882Y-86422083D01* +X131163989Y-86438770D01* +X131154499Y-86454603D01* +X131143503Y-86469429D01* +X131131107Y-86483107D01* +X131117429Y-86495503D01* +X131102603Y-86506499D01* +X131086770Y-86515989D01* +X131070083Y-86523882D01* +X131052703Y-86530100D01* +X131034796Y-86534586D01* +X131016537Y-86537294D01* +X130998100Y-86538200D01* +X130621900Y-86538200D01* +X130603463Y-86537294D01* +X130585204Y-86534586D01* +X130567297Y-86530100D01* +X130549917Y-86523882D01* +X130533230Y-86515989D01* +X130517397Y-86506499D01* +X130502571Y-86495503D01* +X130488893Y-86483107D01* +X130476497Y-86469429D01* +X130465501Y-86454603D01* +X130456011Y-86438770D01* +X130448118Y-86422083D01* +X130441900Y-86404703D01* +X130437414Y-86386796D01* +X130434706Y-86368537D01* +X130433800Y-86350100D01* +X130433800Y-84073900D01* +X130434706Y-84055463D01* +X130437414Y-84037204D01* +X130441900Y-84019297D01* +X130448118Y-84001917D01* +X130456011Y-83985230D01* +X130465501Y-83969397D01* +X130476497Y-83954571D01* +X130488893Y-83940893D01* +X130502571Y-83928497D01* +X130517397Y-83917501D01* +X130533230Y-83908011D01* +X130549917Y-83900118D01* +X130567297Y-83893900D01* +X130585204Y-83889414D01* +X130603463Y-83886706D01* +X130621900Y-83885800D01* +X130998100Y-83885800D01* +X131016537Y-83886706D01* +X131016537Y-83886706D01* +G37* +D16* +X130810000Y-85212000D03* +D12* +G36* +X132286537Y-83886706D02* +G01* +X132304796Y-83889414D01* +X132322703Y-83893900D01* +X132340083Y-83900118D01* +X132356770Y-83908011D01* +X132372603Y-83917501D01* +X132387429Y-83928497D01* +X132401107Y-83940893D01* +X132413503Y-83954571D01* +X132424499Y-83969397D01* +X132433989Y-83985230D01* +X132441882Y-84001917D01* +X132448100Y-84019297D01* +X132452586Y-84037204D01* +X132455294Y-84055463D01* +X132456200Y-84073900D01* +X132456200Y-86350100D01* +X132455294Y-86368537D01* +X132452586Y-86386796D01* +X132448100Y-86404703D01* +X132441882Y-86422083D01* +X132433989Y-86438770D01* +X132424499Y-86454603D01* +X132413503Y-86469429D01* +X132401107Y-86483107D01* +X132387429Y-86495503D01* +X132372603Y-86506499D01* +X132356770Y-86515989D01* +X132340083Y-86523882D01* +X132322703Y-86530100D01* +X132304796Y-86534586D01* +X132286537Y-86537294D01* +X132268100Y-86538200D01* +X131891900Y-86538200D01* +X131873463Y-86537294D01* +X131855204Y-86534586D01* +X131837297Y-86530100D01* +X131819917Y-86523882D01* +X131803230Y-86515989D01* +X131787397Y-86506499D01* +X131772571Y-86495503D01* +X131758893Y-86483107D01* +X131746497Y-86469429D01* +X131735501Y-86454603D01* +X131726011Y-86438770D01* +X131718118Y-86422083D01* +X131711900Y-86404703D01* +X131707414Y-86386796D01* +X131704706Y-86368537D01* +X131703800Y-86350100D01* +X131703800Y-84073900D01* +X131704706Y-84055463D01* +X131707414Y-84037204D01* +X131711900Y-84019297D01* +X131718118Y-84001917D01* +X131726011Y-83985230D01* +X131735501Y-83969397D01* +X131746497Y-83954571D01* +X131758893Y-83940893D01* +X131772571Y-83928497D01* +X131787397Y-83917501D01* +X131803230Y-83908011D01* +X131819917Y-83900118D01* +X131837297Y-83893900D01* +X131855204Y-83889414D01* +X131873463Y-83886706D01* +X131891900Y-83885800D01* +X132268100Y-83885800D01* +X132286537Y-83886706D01* +X132286537Y-83886706D01* +G37* +D16* +X132080000Y-85212000D03* +D12* +G36* +X133556537Y-83886706D02* +G01* +X133574796Y-83889414D01* +X133592703Y-83893900D01* +X133610083Y-83900118D01* +X133626770Y-83908011D01* +X133642603Y-83917501D01* +X133657429Y-83928497D01* +X133671107Y-83940893D01* +X133683503Y-83954571D01* +X133694499Y-83969397D01* +X133703989Y-83985230D01* +X133711882Y-84001917D01* +X133718100Y-84019297D01* +X133722586Y-84037204D01* +X133725294Y-84055463D01* +X133726200Y-84073900D01* +X133726200Y-86350100D01* +X133725294Y-86368537D01* +X133722586Y-86386796D01* +X133718100Y-86404703D01* +X133711882Y-86422083D01* +X133703989Y-86438770D01* +X133694499Y-86454603D01* +X133683503Y-86469429D01* +X133671107Y-86483107D01* +X133657429Y-86495503D01* +X133642603Y-86506499D01* +X133626770Y-86515989D01* +X133610083Y-86523882D01* +X133592703Y-86530100D01* +X133574796Y-86534586D01* +X133556537Y-86537294D01* +X133538100Y-86538200D01* +X133161900Y-86538200D01* +X133143463Y-86537294D01* +X133125204Y-86534586D01* +X133107297Y-86530100D01* +X133089917Y-86523882D01* +X133073230Y-86515989D01* +X133057397Y-86506499D01* +X133042571Y-86495503D01* +X133028893Y-86483107D01* +X133016497Y-86469429D01* +X133005501Y-86454603D01* +X132996011Y-86438770D01* +X132988118Y-86422083D01* +X132981900Y-86404703D01* +X132977414Y-86386796D01* +X132974706Y-86368537D01* +X132973800Y-86350100D01* +X132973800Y-84073900D01* +X132974706Y-84055463D01* +X132977414Y-84037204D01* +X132981900Y-84019297D01* +X132988118Y-84001917D01* +X132996011Y-83985230D01* +X133005501Y-83969397D01* +X133016497Y-83954571D01* +X133028893Y-83940893D01* +X133042571Y-83928497D01* +X133057397Y-83917501D01* +X133073230Y-83908011D01* +X133089917Y-83900118D01* +X133107297Y-83893900D01* +X133125204Y-83889414D01* +X133143463Y-83886706D01* +X133161900Y-83885800D01* +X133538100Y-83885800D01* +X133556537Y-83886706D01* +X133556537Y-83886706D01* +G37* +D16* +X133350000Y-85212000D03* +D12* +G36* +X134826537Y-83886706D02* +G01* +X134844796Y-83889414D01* +X134862703Y-83893900D01* +X134880083Y-83900118D01* +X134896770Y-83908011D01* +X134912603Y-83917501D01* +X134927429Y-83928497D01* +X134941107Y-83940893D01* +X134953503Y-83954571D01* +X134964499Y-83969397D01* +X134973989Y-83985230D01* +X134981882Y-84001917D01* +X134988100Y-84019297D01* +X134992586Y-84037204D01* +X134995294Y-84055463D01* +X134996200Y-84073900D01* +X134996200Y-86350100D01* +X134995294Y-86368537D01* +X134992586Y-86386796D01* +X134988100Y-86404703D01* +X134981882Y-86422083D01* +X134973989Y-86438770D01* +X134964499Y-86454603D01* +X134953503Y-86469429D01* +X134941107Y-86483107D01* +X134927429Y-86495503D01* +X134912603Y-86506499D01* +X134896770Y-86515989D01* +X134880083Y-86523882D01* +X134862703Y-86530100D01* +X134844796Y-86534586D01* +X134826537Y-86537294D01* +X134808100Y-86538200D01* +X134431900Y-86538200D01* +X134413463Y-86537294D01* +X134395204Y-86534586D01* +X134377297Y-86530100D01* +X134359917Y-86523882D01* +X134343230Y-86515989D01* +X134327397Y-86506499D01* +X134312571Y-86495503D01* +X134298893Y-86483107D01* +X134286497Y-86469429D01* +X134275501Y-86454603D01* +X134266011Y-86438770D01* +X134258118Y-86422083D01* +X134251900Y-86404703D01* +X134247414Y-86386796D01* +X134244706Y-86368537D01* +X134243800Y-86350100D01* +X134243800Y-84073900D01* +X134244706Y-84055463D01* +X134247414Y-84037204D01* +X134251900Y-84019297D01* +X134258118Y-84001917D01* +X134266011Y-83985230D01* +X134275501Y-83969397D01* +X134286497Y-83954571D01* +X134298893Y-83940893D01* +X134312571Y-83928497D01* +X134327397Y-83917501D01* +X134343230Y-83908011D01* +X134359917Y-83900118D01* +X134377297Y-83893900D01* +X134395204Y-83889414D01* +X134413463Y-83886706D01* +X134431900Y-83885800D01* +X134808100Y-83885800D01* +X134826537Y-83886706D01* +X134826537Y-83886706D01* +G37* +D16* +X134620000Y-85212000D03* +D12* +G36* +X136096537Y-83886706D02* +G01* +X136114796Y-83889414D01* +X136132703Y-83893900D01* +X136150083Y-83900118D01* +X136166770Y-83908011D01* +X136182603Y-83917501D01* +X136197429Y-83928497D01* +X136211107Y-83940893D01* +X136223503Y-83954571D01* +X136234499Y-83969397D01* +X136243989Y-83985230D01* +X136251882Y-84001917D01* +X136258100Y-84019297D01* +X136262586Y-84037204D01* +X136265294Y-84055463D01* +X136266200Y-84073900D01* +X136266200Y-86350100D01* +X136265294Y-86368537D01* +X136262586Y-86386796D01* +X136258100Y-86404703D01* +X136251882Y-86422083D01* +X136243989Y-86438770D01* +X136234499Y-86454603D01* +X136223503Y-86469429D01* +X136211107Y-86483107D01* +X136197429Y-86495503D01* +X136182603Y-86506499D01* +X136166770Y-86515989D01* +X136150083Y-86523882D01* +X136132703Y-86530100D01* +X136114796Y-86534586D01* +X136096537Y-86537294D01* +X136078100Y-86538200D01* +X135701900Y-86538200D01* +X135683463Y-86537294D01* +X135665204Y-86534586D01* +X135647297Y-86530100D01* +X135629917Y-86523882D01* +X135613230Y-86515989D01* +X135597397Y-86506499D01* +X135582571Y-86495503D01* +X135568893Y-86483107D01* +X135556497Y-86469429D01* +X135545501Y-86454603D01* +X135536011Y-86438770D01* +X135528118Y-86422083D01* +X135521900Y-86404703D01* +X135517414Y-86386796D01* +X135514706Y-86368537D01* +X135513800Y-86350100D01* +X135513800Y-84073900D01* +X135514706Y-84055463D01* +X135517414Y-84037204D01* +X135521900Y-84019297D01* +X135528118Y-84001917D01* +X135536011Y-83985230D01* +X135545501Y-83969397D01* +X135556497Y-83954571D01* +X135568893Y-83940893D01* +X135582571Y-83928497D01* +X135597397Y-83917501D01* +X135613230Y-83908011D01* +X135629917Y-83900118D01* +X135647297Y-83893900D01* +X135665204Y-83889414D01* +X135683463Y-83886706D01* +X135701900Y-83885800D01* +X136078100Y-83885800D01* +X136096537Y-83886706D01* +X136096537Y-83886706D01* +G37* +D16* +X135890000Y-85212000D03* +D12* +G36* +X137366537Y-83886706D02* +G01* +X137384796Y-83889414D01* +X137402703Y-83893900D01* +X137420083Y-83900118D01* +X137436770Y-83908011D01* +X137452603Y-83917501D01* +X137467429Y-83928497D01* +X137481107Y-83940893D01* +X137493503Y-83954571D01* +X137504499Y-83969397D01* +X137513989Y-83985230D01* +X137521882Y-84001917D01* +X137528100Y-84019297D01* +X137532586Y-84037204D01* +X137535294Y-84055463D01* +X137536200Y-84073900D01* +X137536200Y-86350100D01* +X137535294Y-86368537D01* +X137532586Y-86386796D01* +X137528100Y-86404703D01* +X137521882Y-86422083D01* +X137513989Y-86438770D01* +X137504499Y-86454603D01* +X137493503Y-86469429D01* +X137481107Y-86483107D01* +X137467429Y-86495503D01* +X137452603Y-86506499D01* +X137436770Y-86515989D01* +X137420083Y-86523882D01* +X137402703Y-86530100D01* +X137384796Y-86534586D01* +X137366537Y-86537294D01* +X137348100Y-86538200D01* +X136971900Y-86538200D01* +X136953463Y-86537294D01* +X136935204Y-86534586D01* +X136917297Y-86530100D01* +X136899917Y-86523882D01* +X136883230Y-86515989D01* +X136867397Y-86506499D01* +X136852571Y-86495503D01* +X136838893Y-86483107D01* +X136826497Y-86469429D01* +X136815501Y-86454603D01* +X136806011Y-86438770D01* +X136798118Y-86422083D01* +X136791900Y-86404703D01* +X136787414Y-86386796D01* +X136784706Y-86368537D01* +X136783800Y-86350100D01* +X136783800Y-84073900D01* +X136784706Y-84055463D01* +X136787414Y-84037204D01* +X136791900Y-84019297D01* +X136798118Y-84001917D01* +X136806011Y-83985230D01* +X136815501Y-83969397D01* +X136826497Y-83954571D01* +X136838893Y-83940893D01* +X136852571Y-83928497D01* +X136867397Y-83917501D01* +X136883230Y-83908011D01* +X136899917Y-83900118D01* +X136917297Y-83893900D01* +X136935204Y-83889414D01* +X136953463Y-83886706D01* +X136971900Y-83885800D01* +X137348100Y-83885800D01* +X137366537Y-83886706D01* +X137366537Y-83886706D01* +G37* +D16* +X137160000Y-85212000D03* +D12* +G36* +X137366537Y-92786706D02* +G01* +X137384796Y-92789414D01* +X137402703Y-92793900D01* +X137420083Y-92800118D01* +X137436770Y-92808011D01* +X137452603Y-92817501D01* +X137467429Y-92828497D01* +X137481107Y-92840893D01* +X137493503Y-92854571D01* +X137504499Y-92869397D01* +X137513989Y-92885230D01* +X137521882Y-92901917D01* +X137528100Y-92919297D01* +X137532586Y-92937204D01* +X137535294Y-92955463D01* +X137536200Y-92973900D01* +X137536200Y-95250100D01* +X137535294Y-95268537D01* +X137532586Y-95286796D01* +X137528100Y-95304703D01* +X137521882Y-95322083D01* +X137513989Y-95338770D01* +X137504499Y-95354603D01* +X137493503Y-95369429D01* +X137481107Y-95383107D01* +X137467429Y-95395503D01* +X137452603Y-95406499D01* +X137436770Y-95415989D01* +X137420083Y-95423882D01* +X137402703Y-95430100D01* +X137384796Y-95434586D01* +X137366537Y-95437294D01* +X137348100Y-95438200D01* +X136971900Y-95438200D01* +X136953463Y-95437294D01* +X136935204Y-95434586D01* +X136917297Y-95430100D01* +X136899917Y-95423882D01* +X136883230Y-95415989D01* +X136867397Y-95406499D01* +X136852571Y-95395503D01* +X136838893Y-95383107D01* +X136826497Y-95369429D01* +X136815501Y-95354603D01* +X136806011Y-95338770D01* +X136798118Y-95322083D01* +X136791900Y-95304703D01* +X136787414Y-95286796D01* +X136784706Y-95268537D01* +X136783800Y-95250100D01* +X136783800Y-92973900D01* +X136784706Y-92955463D01* +X136787414Y-92937204D01* +X136791900Y-92919297D01* +X136798118Y-92901917D01* +X136806011Y-92885230D01* +X136815501Y-92869397D01* +X136826497Y-92854571D01* +X136838893Y-92840893D01* +X136852571Y-92828497D01* +X136867397Y-92817501D01* +X136883230Y-92808011D01* +X136899917Y-92800118D01* +X136917297Y-92793900D01* +X136935204Y-92789414D01* +X136953463Y-92786706D01* +X136971900Y-92785800D01* +X137348100Y-92785800D01* +X137366537Y-92786706D01* +X137366537Y-92786706D01* +G37* +D16* +X137160000Y-94112000D03* +D12* +G36* +X136096537Y-92786706D02* +G01* +X136114796Y-92789414D01* +X136132703Y-92793900D01* +X136150083Y-92800118D01* +X136166770Y-92808011D01* +X136182603Y-92817501D01* +X136197429Y-92828497D01* +X136211107Y-92840893D01* +X136223503Y-92854571D01* +X136234499Y-92869397D01* +X136243989Y-92885230D01* +X136251882Y-92901917D01* +X136258100Y-92919297D01* +X136262586Y-92937204D01* +X136265294Y-92955463D01* +X136266200Y-92973900D01* +X136266200Y-95250100D01* +X136265294Y-95268537D01* +X136262586Y-95286796D01* +X136258100Y-95304703D01* +X136251882Y-95322083D01* +X136243989Y-95338770D01* +X136234499Y-95354603D01* +X136223503Y-95369429D01* +X136211107Y-95383107D01* +X136197429Y-95395503D01* +X136182603Y-95406499D01* +X136166770Y-95415989D01* +X136150083Y-95423882D01* +X136132703Y-95430100D01* +X136114796Y-95434586D01* +X136096537Y-95437294D01* +X136078100Y-95438200D01* +X135701900Y-95438200D01* +X135683463Y-95437294D01* +X135665204Y-95434586D01* +X135647297Y-95430100D01* +X135629917Y-95423882D01* +X135613230Y-95415989D01* +X135597397Y-95406499D01* +X135582571Y-95395503D01* +X135568893Y-95383107D01* +X135556497Y-95369429D01* +X135545501Y-95354603D01* +X135536011Y-95338770D01* +X135528118Y-95322083D01* +X135521900Y-95304703D01* +X135517414Y-95286796D01* +X135514706Y-95268537D01* +X135513800Y-95250100D01* +X135513800Y-92973900D01* +X135514706Y-92955463D01* +X135517414Y-92937204D01* +X135521900Y-92919297D01* +X135528118Y-92901917D01* +X135536011Y-92885230D01* +X135545501Y-92869397D01* +X135556497Y-92854571D01* +X135568893Y-92840893D01* +X135582571Y-92828497D01* +X135597397Y-92817501D01* +X135613230Y-92808011D01* +X135629917Y-92800118D01* +X135647297Y-92793900D01* +X135665204Y-92789414D01* +X135683463Y-92786706D01* +X135701900Y-92785800D01* +X136078100Y-92785800D01* +X136096537Y-92786706D01* +X136096537Y-92786706D01* +G37* +D16* +X135890000Y-94112000D03* +D12* +G36* +X134826537Y-92786706D02* +G01* +X134844796Y-92789414D01* +X134862703Y-92793900D01* +X134880083Y-92800118D01* +X134896770Y-92808011D01* +X134912603Y-92817501D01* +X134927429Y-92828497D01* +X134941107Y-92840893D01* +X134953503Y-92854571D01* +X134964499Y-92869397D01* +X134973989Y-92885230D01* +X134981882Y-92901917D01* +X134988100Y-92919297D01* +X134992586Y-92937204D01* +X134995294Y-92955463D01* +X134996200Y-92973900D01* +X134996200Y-95250100D01* +X134995294Y-95268537D01* +X134992586Y-95286796D01* +X134988100Y-95304703D01* +X134981882Y-95322083D01* +X134973989Y-95338770D01* +X134964499Y-95354603D01* +X134953503Y-95369429D01* +X134941107Y-95383107D01* +X134927429Y-95395503D01* +X134912603Y-95406499D01* +X134896770Y-95415989D01* +X134880083Y-95423882D01* +X134862703Y-95430100D01* +X134844796Y-95434586D01* +X134826537Y-95437294D01* +X134808100Y-95438200D01* +X134431900Y-95438200D01* +X134413463Y-95437294D01* +X134395204Y-95434586D01* +X134377297Y-95430100D01* +X134359917Y-95423882D01* +X134343230Y-95415989D01* +X134327397Y-95406499D01* +X134312571Y-95395503D01* +X134298893Y-95383107D01* +X134286497Y-95369429D01* +X134275501Y-95354603D01* +X134266011Y-95338770D01* +X134258118Y-95322083D01* +X134251900Y-95304703D01* +X134247414Y-95286796D01* +X134244706Y-95268537D01* +X134243800Y-95250100D01* +X134243800Y-92973900D01* +X134244706Y-92955463D01* +X134247414Y-92937204D01* +X134251900Y-92919297D01* +X134258118Y-92901917D01* +X134266011Y-92885230D01* +X134275501Y-92869397D01* +X134286497Y-92854571D01* +X134298893Y-92840893D01* +X134312571Y-92828497D01* +X134327397Y-92817501D01* +X134343230Y-92808011D01* +X134359917Y-92800118D01* +X134377297Y-92793900D01* +X134395204Y-92789414D01* +X134413463Y-92786706D01* +X134431900Y-92785800D01* +X134808100Y-92785800D01* +X134826537Y-92786706D01* +X134826537Y-92786706D01* +G37* +D16* +X134620000Y-94112000D03* +D12* +G36* +X133556537Y-92786706D02* +G01* +X133574796Y-92789414D01* +X133592703Y-92793900D01* +X133610083Y-92800118D01* +X133626770Y-92808011D01* +X133642603Y-92817501D01* +X133657429Y-92828497D01* +X133671107Y-92840893D01* +X133683503Y-92854571D01* +X133694499Y-92869397D01* +X133703989Y-92885230D01* +X133711882Y-92901917D01* +X133718100Y-92919297D01* +X133722586Y-92937204D01* +X133725294Y-92955463D01* +X133726200Y-92973900D01* +X133726200Y-95250100D01* +X133725294Y-95268537D01* +X133722586Y-95286796D01* +X133718100Y-95304703D01* +X133711882Y-95322083D01* +X133703989Y-95338770D01* +X133694499Y-95354603D01* +X133683503Y-95369429D01* +X133671107Y-95383107D01* +X133657429Y-95395503D01* +X133642603Y-95406499D01* +X133626770Y-95415989D01* +X133610083Y-95423882D01* +X133592703Y-95430100D01* +X133574796Y-95434586D01* +X133556537Y-95437294D01* +X133538100Y-95438200D01* +X133161900Y-95438200D01* +X133143463Y-95437294D01* +X133125204Y-95434586D01* +X133107297Y-95430100D01* +X133089917Y-95423882D01* +X133073230Y-95415989D01* +X133057397Y-95406499D01* +X133042571Y-95395503D01* +X133028893Y-95383107D01* +X133016497Y-95369429D01* +X133005501Y-95354603D01* +X132996011Y-95338770D01* +X132988118Y-95322083D01* +X132981900Y-95304703D01* +X132977414Y-95286796D01* +X132974706Y-95268537D01* +X132973800Y-95250100D01* +X132973800Y-92973900D01* +X132974706Y-92955463D01* +X132977414Y-92937204D01* +X132981900Y-92919297D01* +X132988118Y-92901917D01* +X132996011Y-92885230D01* +X133005501Y-92869397D01* +X133016497Y-92854571D01* +X133028893Y-92840893D01* +X133042571Y-92828497D01* +X133057397Y-92817501D01* +X133073230Y-92808011D01* +X133089917Y-92800118D01* +X133107297Y-92793900D01* +X133125204Y-92789414D01* +X133143463Y-92786706D01* +X133161900Y-92785800D01* +X133538100Y-92785800D01* +X133556537Y-92786706D01* +X133556537Y-92786706D01* +G37* +D16* +X133350000Y-94112000D03* +D12* +G36* +X132286537Y-92786706D02* +G01* +X132304796Y-92789414D01* +X132322703Y-92793900D01* +X132340083Y-92800118D01* +X132356770Y-92808011D01* +X132372603Y-92817501D01* +X132387429Y-92828497D01* +X132401107Y-92840893D01* +X132413503Y-92854571D01* +X132424499Y-92869397D01* +X132433989Y-92885230D01* +X132441882Y-92901917D01* +X132448100Y-92919297D01* +X132452586Y-92937204D01* +X132455294Y-92955463D01* +X132456200Y-92973900D01* +X132456200Y-95250100D01* +X132455294Y-95268537D01* +X132452586Y-95286796D01* +X132448100Y-95304703D01* +X132441882Y-95322083D01* +X132433989Y-95338770D01* +X132424499Y-95354603D01* +X132413503Y-95369429D01* +X132401107Y-95383107D01* +X132387429Y-95395503D01* +X132372603Y-95406499D01* +X132356770Y-95415989D01* +X132340083Y-95423882D01* +X132322703Y-95430100D01* +X132304796Y-95434586D01* +X132286537Y-95437294D01* +X132268100Y-95438200D01* +X131891900Y-95438200D01* +X131873463Y-95437294D01* +X131855204Y-95434586D01* +X131837297Y-95430100D01* +X131819917Y-95423882D01* +X131803230Y-95415989D01* +X131787397Y-95406499D01* +X131772571Y-95395503D01* +X131758893Y-95383107D01* +X131746497Y-95369429D01* +X131735501Y-95354603D01* +X131726011Y-95338770D01* +X131718118Y-95322083D01* +X131711900Y-95304703D01* +X131707414Y-95286796D01* +X131704706Y-95268537D01* +X131703800Y-95250100D01* +X131703800Y-92973900D01* +X131704706Y-92955463D01* +X131707414Y-92937204D01* +X131711900Y-92919297D01* +X131718118Y-92901917D01* +X131726011Y-92885230D01* +X131735501Y-92869397D01* +X131746497Y-92854571D01* +X131758893Y-92840893D01* +X131772571Y-92828497D01* +X131787397Y-92817501D01* +X131803230Y-92808011D01* +X131819917Y-92800118D01* +X131837297Y-92793900D01* +X131855204Y-92789414D01* +X131873463Y-92786706D01* +X131891900Y-92785800D01* +X132268100Y-92785800D01* +X132286537Y-92786706D01* +X132286537Y-92786706D01* +G37* +D16* +X132080000Y-94112000D03* +D12* +G36* +X131016537Y-92786706D02* +G01* +X131034796Y-92789414D01* +X131052703Y-92793900D01* +X131070083Y-92800118D01* +X131086770Y-92808011D01* +X131102603Y-92817501D01* +X131117429Y-92828497D01* +X131131107Y-92840893D01* +X131143503Y-92854571D01* +X131154499Y-92869397D01* +X131163989Y-92885230D01* +X131171882Y-92901917D01* +X131178100Y-92919297D01* +X131182586Y-92937204D01* +X131185294Y-92955463D01* +X131186200Y-92973900D01* +X131186200Y-95250100D01* +X131185294Y-95268537D01* +X131182586Y-95286796D01* +X131178100Y-95304703D01* +X131171882Y-95322083D01* +X131163989Y-95338770D01* +X131154499Y-95354603D01* +X131143503Y-95369429D01* +X131131107Y-95383107D01* +X131117429Y-95395503D01* +X131102603Y-95406499D01* +X131086770Y-95415989D01* +X131070083Y-95423882D01* +X131052703Y-95430100D01* +X131034796Y-95434586D01* +X131016537Y-95437294D01* +X130998100Y-95438200D01* +X130621900Y-95438200D01* +X130603463Y-95437294D01* +X130585204Y-95434586D01* +X130567297Y-95430100D01* +X130549917Y-95423882D01* +X130533230Y-95415989D01* +X130517397Y-95406499D01* +X130502571Y-95395503D01* +X130488893Y-95383107D01* +X130476497Y-95369429D01* +X130465501Y-95354603D01* +X130456011Y-95338770D01* +X130448118Y-95322083D01* +X130441900Y-95304703D01* +X130437414Y-95286796D01* +X130434706Y-95268537D01* +X130433800Y-95250100D01* +X130433800Y-92973900D01* +X130434706Y-92955463D01* +X130437414Y-92937204D01* +X130441900Y-92919297D01* +X130448118Y-92901917D01* +X130456011Y-92885230D01* +X130465501Y-92869397D01* +X130476497Y-92854571D01* +X130488893Y-92840893D01* +X130502571Y-92828497D01* +X130517397Y-92817501D01* +X130533230Y-92808011D01* +X130549917Y-92800118D01* +X130567297Y-92793900D01* +X130585204Y-92789414D01* +X130603463Y-92786706D01* +X130621900Y-92785800D01* +X130998100Y-92785800D01* +X131016537Y-92786706D01* +X131016537Y-92786706D01* +G37* +D16* +X130810000Y-94112000D03* +D12* +G36* +X128476537Y-92786706D02* +G01* +X128494796Y-92789414D01* +X128512703Y-92793900D01* +X128530083Y-92800118D01* +X128546770Y-92808011D01* +X128562603Y-92817501D01* +X128577429Y-92828497D01* +X128591107Y-92840893D01* +X128603503Y-92854571D01* +X128614499Y-92869397D01* +X128623989Y-92885230D01* +X128631882Y-92901917D01* +X128638100Y-92919297D01* +X128642586Y-92937204D01* +X128645294Y-92955463D01* +X128646200Y-92973900D01* +X128646200Y-95250100D01* +X128645294Y-95268537D01* +X128642586Y-95286796D01* +X128638100Y-95304703D01* +X128631882Y-95322083D01* +X128623989Y-95338770D01* +X128614499Y-95354603D01* +X128603503Y-95369429D01* +X128591107Y-95383107D01* +X128577429Y-95395503D01* +X128562603Y-95406499D01* +X128546770Y-95415989D01* +X128530083Y-95423882D01* +X128512703Y-95430100D01* +X128494796Y-95434586D01* +X128476537Y-95437294D01* +X128458100Y-95438200D01* +X128081900Y-95438200D01* +X128063463Y-95437294D01* +X128045204Y-95434586D01* +X128027297Y-95430100D01* +X128009917Y-95423882D01* +X127993230Y-95415989D01* +X127977397Y-95406499D01* +X127962571Y-95395503D01* +X127948893Y-95383107D01* +X127936497Y-95369429D01* +X127925501Y-95354603D01* +X127916011Y-95338770D01* +X127908118Y-95322083D01* +X127901900Y-95304703D01* +X127897414Y-95286796D01* +X127894706Y-95268537D01* +X127893800Y-95250100D01* +X127893800Y-92973900D01* +X127894706Y-92955463D01* +X127897414Y-92937204D01* +X127901900Y-92919297D01* +X127908118Y-92901917D01* +X127916011Y-92885230D01* +X127925501Y-92869397D01* +X127936497Y-92854571D01* +X127948893Y-92840893D01* +X127962571Y-92828497D01* +X127977397Y-92817501D01* +X127993230Y-92808011D01* +X128009917Y-92800118D01* +X128027297Y-92793900D01* +X128045204Y-92789414D01* +X128063463Y-92786706D01* +X128081900Y-92785800D01* +X128458100Y-92785800D01* +X128476537Y-92786706D01* +X128476537Y-92786706D01* +G37* +D16* +X128270000Y-94112000D03* +D12* +G36* +X127206537Y-92786706D02* +G01* +X127224796Y-92789414D01* +X127242703Y-92793900D01* +X127260083Y-92800118D01* +X127276770Y-92808011D01* +X127292603Y-92817501D01* +X127307429Y-92828497D01* +X127321107Y-92840893D01* +X127333503Y-92854571D01* +X127344499Y-92869397D01* +X127353989Y-92885230D01* +X127361882Y-92901917D01* +X127368100Y-92919297D01* +X127372586Y-92937204D01* +X127375294Y-92955463D01* +X127376200Y-92973900D01* +X127376200Y-95250100D01* +X127375294Y-95268537D01* +X127372586Y-95286796D01* +X127368100Y-95304703D01* +X127361882Y-95322083D01* +X127353989Y-95338770D01* +X127344499Y-95354603D01* +X127333503Y-95369429D01* +X127321107Y-95383107D01* +X127307429Y-95395503D01* +X127292603Y-95406499D01* +X127276770Y-95415989D01* +X127260083Y-95423882D01* +X127242703Y-95430100D01* +X127224796Y-95434586D01* +X127206537Y-95437294D01* +X127188100Y-95438200D01* +X126811900Y-95438200D01* +X126793463Y-95437294D01* +X126775204Y-95434586D01* +X126757297Y-95430100D01* +X126739917Y-95423882D01* +X126723230Y-95415989D01* +X126707397Y-95406499D01* +X126692571Y-95395503D01* +X126678893Y-95383107D01* +X126666497Y-95369429D01* +X126655501Y-95354603D01* +X126646011Y-95338770D01* +X126638118Y-95322083D01* +X126631900Y-95304703D01* +X126627414Y-95286796D01* +X126624706Y-95268537D01* +X126623800Y-95250100D01* +X126623800Y-92973900D01* +X126624706Y-92955463D01* +X126627414Y-92937204D01* +X126631900Y-92919297D01* +X126638118Y-92901917D01* +X126646011Y-92885230D01* +X126655501Y-92869397D01* +X126666497Y-92854571D01* +X126678893Y-92840893D01* +X126692571Y-92828497D01* +X126707397Y-92817501D01* +X126723230Y-92808011D01* +X126739917Y-92800118D01* +X126757297Y-92793900D01* +X126775204Y-92789414D01* +X126793463Y-92786706D01* +X126811900Y-92785800D01* +X127188100Y-92785800D01* +X127206537Y-92786706D01* +X127206537Y-92786706D01* +G37* +D16* +X127000000Y-94112000D03* +D12* +G36* +X125936537Y-92786706D02* +G01* +X125954796Y-92789414D01* +X125972703Y-92793900D01* +X125990083Y-92800118D01* +X126006770Y-92808011D01* +X126022603Y-92817501D01* +X126037429Y-92828497D01* +X126051107Y-92840893D01* +X126063503Y-92854571D01* +X126074499Y-92869397D01* +X126083989Y-92885230D01* +X126091882Y-92901917D01* +X126098100Y-92919297D01* +X126102586Y-92937204D01* +X126105294Y-92955463D01* +X126106200Y-92973900D01* +X126106200Y-95250100D01* +X126105294Y-95268537D01* +X126102586Y-95286796D01* +X126098100Y-95304703D01* +X126091882Y-95322083D01* +X126083989Y-95338770D01* +X126074499Y-95354603D01* +X126063503Y-95369429D01* +X126051107Y-95383107D01* +X126037429Y-95395503D01* +X126022603Y-95406499D01* +X126006770Y-95415989D01* +X125990083Y-95423882D01* +X125972703Y-95430100D01* +X125954796Y-95434586D01* +X125936537Y-95437294D01* +X125918100Y-95438200D01* +X125541900Y-95438200D01* +X125523463Y-95437294D01* +X125505204Y-95434586D01* +X125487297Y-95430100D01* +X125469917Y-95423882D01* +X125453230Y-95415989D01* +X125437397Y-95406499D01* +X125422571Y-95395503D01* +X125408893Y-95383107D01* +X125396497Y-95369429D01* +X125385501Y-95354603D01* +X125376011Y-95338770D01* +X125368118Y-95322083D01* +X125361900Y-95304703D01* +X125357414Y-95286796D01* +X125354706Y-95268537D01* +X125353800Y-95250100D01* +X125353800Y-92973900D01* +X125354706Y-92955463D01* +X125357414Y-92937204D01* +X125361900Y-92919297D01* +X125368118Y-92901917D01* +X125376011Y-92885230D01* +X125385501Y-92869397D01* +X125396497Y-92854571D01* +X125408893Y-92840893D01* +X125422571Y-92828497D01* +X125437397Y-92817501D01* +X125453230Y-92808011D01* +X125469917Y-92800118D01* +X125487297Y-92793900D01* +X125505204Y-92789414D01* +X125523463Y-92786706D01* +X125541900Y-92785800D01* +X125918100Y-92785800D01* +X125936537Y-92786706D01* +X125936537Y-92786706D01* +G37* +D16* +X125730000Y-94112000D03* +D12* +G36* +X124666537Y-92786706D02* +G01* +X124684796Y-92789414D01* +X124702703Y-92793900D01* +X124720083Y-92800118D01* +X124736770Y-92808011D01* +X124752603Y-92817501D01* +X124767429Y-92828497D01* +X124781107Y-92840893D01* +X124793503Y-92854571D01* +X124804499Y-92869397D01* +X124813989Y-92885230D01* +X124821882Y-92901917D01* +X124828100Y-92919297D01* +X124832586Y-92937204D01* +X124835294Y-92955463D01* +X124836200Y-92973900D01* +X124836200Y-95250100D01* +X124835294Y-95268537D01* +X124832586Y-95286796D01* +X124828100Y-95304703D01* +X124821882Y-95322083D01* +X124813989Y-95338770D01* +X124804499Y-95354603D01* +X124793503Y-95369429D01* +X124781107Y-95383107D01* +X124767429Y-95395503D01* +X124752603Y-95406499D01* +X124736770Y-95415989D01* +X124720083Y-95423882D01* +X124702703Y-95430100D01* +X124684796Y-95434586D01* +X124666537Y-95437294D01* +X124648100Y-95438200D01* +X124271900Y-95438200D01* +X124253463Y-95437294D01* +X124235204Y-95434586D01* +X124217297Y-95430100D01* +X124199917Y-95423882D01* +X124183230Y-95415989D01* +X124167397Y-95406499D01* +X124152571Y-95395503D01* +X124138893Y-95383107D01* +X124126497Y-95369429D01* +X124115501Y-95354603D01* +X124106011Y-95338770D01* +X124098118Y-95322083D01* +X124091900Y-95304703D01* +X124087414Y-95286796D01* +X124084706Y-95268537D01* +X124083800Y-95250100D01* +X124083800Y-92973900D01* +X124084706Y-92955463D01* +X124087414Y-92937204D01* +X124091900Y-92919297D01* +X124098118Y-92901917D01* +X124106011Y-92885230D01* +X124115501Y-92869397D01* +X124126497Y-92854571D01* +X124138893Y-92840893D01* +X124152571Y-92828497D01* +X124167397Y-92817501D01* +X124183230Y-92808011D01* +X124199917Y-92800118D01* +X124217297Y-92793900D01* +X124235204Y-92789414D01* +X124253463Y-92786706D01* +X124271900Y-92785800D01* +X124648100Y-92785800D01* +X124666537Y-92786706D01* +X124666537Y-92786706D01* +G37* +D16* +X124460000Y-94112000D03* +D12* +G36* +X123396537Y-92786706D02* +G01* +X123414796Y-92789414D01* +X123432703Y-92793900D01* +X123450083Y-92800118D01* +X123466770Y-92808011D01* +X123482603Y-92817501D01* +X123497429Y-92828497D01* +X123511107Y-92840893D01* +X123523503Y-92854571D01* +X123534499Y-92869397D01* +X123543989Y-92885230D01* +X123551882Y-92901917D01* +X123558100Y-92919297D01* +X123562586Y-92937204D01* +X123565294Y-92955463D01* +X123566200Y-92973900D01* +X123566200Y-95250100D01* +X123565294Y-95268537D01* +X123562586Y-95286796D01* +X123558100Y-95304703D01* +X123551882Y-95322083D01* +X123543989Y-95338770D01* +X123534499Y-95354603D01* +X123523503Y-95369429D01* +X123511107Y-95383107D01* +X123497429Y-95395503D01* +X123482603Y-95406499D01* +X123466770Y-95415989D01* +X123450083Y-95423882D01* +X123432703Y-95430100D01* +X123414796Y-95434586D01* +X123396537Y-95437294D01* +X123378100Y-95438200D01* +X123001900Y-95438200D01* +X122983463Y-95437294D01* +X122965204Y-95434586D01* +X122947297Y-95430100D01* +X122929917Y-95423882D01* +X122913230Y-95415989D01* +X122897397Y-95406499D01* +X122882571Y-95395503D01* +X122868893Y-95383107D01* +X122856497Y-95369429D01* +X122845501Y-95354603D01* +X122836011Y-95338770D01* +X122828118Y-95322083D01* +X122821900Y-95304703D01* +X122817414Y-95286796D01* +X122814706Y-95268537D01* +X122813800Y-95250100D01* +X122813800Y-92973900D01* +X122814706Y-92955463D01* +X122817414Y-92937204D01* +X122821900Y-92919297D01* +X122828118Y-92901917D01* +X122836011Y-92885230D01* +X122845501Y-92869397D01* +X122856497Y-92854571D01* +X122868893Y-92840893D01* +X122882571Y-92828497D01* +X122897397Y-92817501D01* +X122913230Y-92808011D01* +X122929917Y-92800118D01* +X122947297Y-92793900D01* +X122965204Y-92789414D01* +X122983463Y-92786706D01* +X123001900Y-92785800D01* +X123378100Y-92785800D01* +X123396537Y-92786706D01* +X123396537Y-92786706D01* +G37* +D16* +X123190000Y-94112000D03* +D12* +G36* +X122126537Y-92786706D02* +G01* +X122144796Y-92789414D01* +X122162703Y-92793900D01* +X122180083Y-92800118D01* +X122196770Y-92808011D01* +X122212603Y-92817501D01* +X122227429Y-92828497D01* +X122241107Y-92840893D01* +X122253503Y-92854571D01* +X122264499Y-92869397D01* +X122273989Y-92885230D01* +X122281882Y-92901917D01* +X122288100Y-92919297D01* +X122292586Y-92937204D01* +X122295294Y-92955463D01* +X122296200Y-92973900D01* +X122296200Y-95250100D01* +X122295294Y-95268537D01* +X122292586Y-95286796D01* +X122288100Y-95304703D01* +X122281882Y-95322083D01* +X122273989Y-95338770D01* +X122264499Y-95354603D01* +X122253503Y-95369429D01* +X122241107Y-95383107D01* +X122227429Y-95395503D01* +X122212603Y-95406499D01* +X122196770Y-95415989D01* +X122180083Y-95423882D01* +X122162703Y-95430100D01* +X122144796Y-95434586D01* +X122126537Y-95437294D01* +X122108100Y-95438200D01* +X121731900Y-95438200D01* +X121713463Y-95437294D01* +X121695204Y-95434586D01* +X121677297Y-95430100D01* +X121659917Y-95423882D01* +X121643230Y-95415989D01* +X121627397Y-95406499D01* +X121612571Y-95395503D01* +X121598893Y-95383107D01* +X121586497Y-95369429D01* +X121575501Y-95354603D01* +X121566011Y-95338770D01* +X121558118Y-95322083D01* +X121551900Y-95304703D01* +X121547414Y-95286796D01* +X121544706Y-95268537D01* +X121543800Y-95250100D01* +X121543800Y-92973900D01* +X121544706Y-92955463D01* +X121547414Y-92937204D01* +X121551900Y-92919297D01* +X121558118Y-92901917D01* +X121566011Y-92885230D01* +X121575501Y-92869397D01* +X121586497Y-92854571D01* +X121598893Y-92840893D01* +X121612571Y-92828497D01* +X121627397Y-92817501D01* +X121643230Y-92808011D01* +X121659917Y-92800118D01* +X121677297Y-92793900D01* +X121695204Y-92789414D01* +X121713463Y-92786706D01* +X121731900Y-92785800D01* +X122108100Y-92785800D01* +X122126537Y-92786706D01* +X122126537Y-92786706D01* +G37* +D16* +X121920000Y-94112000D03* +D12* +G36* +X137366537Y-83886706D02* +G01* +X137384796Y-83889414D01* +X137402703Y-83893900D01* +X137420083Y-83900118D01* +X137436770Y-83908011D01* +X137452603Y-83917501D01* +X137467429Y-83928497D01* +X137481107Y-83940893D01* +X137493503Y-83954571D01* +X137504499Y-83969397D01* +X137513989Y-83985230D01* +X137521882Y-84001917D01* +X137528100Y-84019297D01* +X137532586Y-84037204D01* +X137535294Y-84055463D01* +X137536200Y-84073900D01* +X137536200Y-87850100D01* +X137535294Y-87868537D01* +X137532586Y-87886796D01* +X137528100Y-87904703D01* +X137521882Y-87922083D01* +X137513989Y-87938770D01* +X137504499Y-87954603D01* +X137493503Y-87969429D01* +X137481107Y-87983107D01* +X137467429Y-87995503D01* +X137452603Y-88006499D01* +X137436770Y-88015989D01* +X137420083Y-88023882D01* +X137402703Y-88030100D01* +X137384796Y-88034586D01* +X137366537Y-88037294D01* +X137348100Y-88038200D01* +X136971900Y-88038200D01* +X136953463Y-88037294D01* +X136935204Y-88034586D01* +X136917297Y-88030100D01* +X136899917Y-88023882D01* +X136883230Y-88015989D01* +X136867397Y-88006499D01* +X136852571Y-87995503D01* +X136838893Y-87983107D01* +X136826497Y-87969429D01* +X136815501Y-87954603D01* +X136806011Y-87938770D01* +X136798118Y-87922083D01* +X136791900Y-87904703D01* +X136787414Y-87886796D01* +X136784706Y-87868537D01* +X136783800Y-87850100D01* +X136783800Y-84073900D01* +X136784706Y-84055463D01* +X136787414Y-84037204D01* +X136791900Y-84019297D01* +X136798118Y-84001917D01* +X136806011Y-83985230D01* +X136815501Y-83969397D01* +X136826497Y-83954571D01* +X136838893Y-83940893D01* +X136852571Y-83928497D01* +X136867397Y-83917501D01* +X136883230Y-83908011D01* +X136899917Y-83900118D01* +X136917297Y-83893900D01* +X136935204Y-83889414D01* +X136953463Y-83886706D01* +X136971900Y-83885800D01* +X137348100Y-83885800D01* +X137366537Y-83886706D01* +X137366537Y-83886706D01* +G37* +D16* +X137160000Y-85962000D03* +D12* +G36* +X122126537Y-83886706D02* +G01* +X122144796Y-83889414D01* +X122162703Y-83893900D01* +X122180083Y-83900118D01* +X122196770Y-83908011D01* +X122212603Y-83917501D01* +X122227429Y-83928497D01* +X122241107Y-83940893D01* +X122253503Y-83954571D01* +X122264499Y-83969397D01* +X122273989Y-83985230D01* +X122281882Y-84001917D01* +X122288100Y-84019297D01* +X122292586Y-84037204D01* +X122295294Y-84055463D01* +X122296200Y-84073900D01* +X122296200Y-87850100D01* +X122295294Y-87868537D01* +X122292586Y-87886796D01* +X122288100Y-87904703D01* +X122281882Y-87922083D01* +X122273989Y-87938770D01* +X122264499Y-87954603D01* +X122253503Y-87969429D01* +X122241107Y-87983107D01* +X122227429Y-87995503D01* +X122212603Y-88006499D01* +X122196770Y-88015989D01* +X122180083Y-88023882D01* +X122162703Y-88030100D01* +X122144796Y-88034586D01* +X122126537Y-88037294D01* +X122108100Y-88038200D01* +X121731900Y-88038200D01* +X121713463Y-88037294D01* +X121695204Y-88034586D01* +X121677297Y-88030100D01* +X121659917Y-88023882D01* +X121643230Y-88015989D01* +X121627397Y-88006499D01* +X121612571Y-87995503D01* +X121598893Y-87983107D01* +X121586497Y-87969429D01* +X121575501Y-87954603D01* +X121566011Y-87938770D01* +X121558118Y-87922083D01* +X121551900Y-87904703D01* +X121547414Y-87886796D01* +X121544706Y-87868537D01* +X121543800Y-87850100D01* +X121543800Y-84073900D01* +X121544706Y-84055463D01* +X121547414Y-84037204D01* +X121551900Y-84019297D01* +X121558118Y-84001917D01* +X121566011Y-83985230D01* +X121575501Y-83969397D01* +X121586497Y-83954571D01* +X121598893Y-83940893D01* +X121612571Y-83928497D01* +X121627397Y-83917501D01* +X121643230Y-83908011D01* +X121659917Y-83900118D01* +X121677297Y-83893900D01* +X121695204Y-83889414D01* +X121713463Y-83886706D01* +X121731900Y-83885800D01* +X122108100Y-83885800D01* +X122126537Y-83886706D01* +X122126537Y-83886706D01* +G37* +D16* +X121920000Y-85962000D03* +D12* +G36* +X123396537Y-83886706D02* +G01* +X123414796Y-83889414D01* +X123432703Y-83893900D01* +X123450083Y-83900118D01* +X123466770Y-83908011D01* +X123482603Y-83917501D01* +X123497429Y-83928497D01* +X123511107Y-83940893D01* +X123523503Y-83954571D01* +X123534499Y-83969397D01* +X123543989Y-83985230D01* +X123551882Y-84001917D01* +X123558100Y-84019297D01* +X123562586Y-84037204D01* +X123565294Y-84055463D01* +X123566200Y-84073900D01* +X123566200Y-87850100D01* +X123565294Y-87868537D01* +X123562586Y-87886796D01* +X123558100Y-87904703D01* +X123551882Y-87922083D01* +X123543989Y-87938770D01* +X123534499Y-87954603D01* +X123523503Y-87969429D01* +X123511107Y-87983107D01* +X123497429Y-87995503D01* +X123482603Y-88006499D01* +X123466770Y-88015989D01* +X123450083Y-88023882D01* +X123432703Y-88030100D01* +X123414796Y-88034586D01* +X123396537Y-88037294D01* +X123378100Y-88038200D01* +X123001900Y-88038200D01* +X122983463Y-88037294D01* +X122965204Y-88034586D01* +X122947297Y-88030100D01* +X122929917Y-88023882D01* +X122913230Y-88015989D01* +X122897397Y-88006499D01* +X122882571Y-87995503D01* +X122868893Y-87983107D01* +X122856497Y-87969429D01* +X122845501Y-87954603D01* +X122836011Y-87938770D01* +X122828118Y-87922083D01* +X122821900Y-87904703D01* +X122817414Y-87886796D01* +X122814706Y-87868537D01* +X122813800Y-87850100D01* +X122813800Y-84073900D01* +X122814706Y-84055463D01* +X122817414Y-84037204D01* +X122821900Y-84019297D01* +X122828118Y-84001917D01* +X122836011Y-83985230D01* +X122845501Y-83969397D01* +X122856497Y-83954571D01* +X122868893Y-83940893D01* +X122882571Y-83928497D01* +X122897397Y-83917501D01* +X122913230Y-83908011D01* +X122929917Y-83900118D01* +X122947297Y-83893900D01* +X122965204Y-83889414D01* +X122983463Y-83886706D01* +X123001900Y-83885800D01* +X123378100Y-83885800D01* +X123396537Y-83886706D01* +X123396537Y-83886706D01* +G37* +D16* +X123190000Y-85962000D03* +D12* +G36* +X124666537Y-83886706D02* +G01* +X124684796Y-83889414D01* +X124702703Y-83893900D01* +X124720083Y-83900118D01* +X124736770Y-83908011D01* +X124752603Y-83917501D01* +X124767429Y-83928497D01* +X124781107Y-83940893D01* +X124793503Y-83954571D01* +X124804499Y-83969397D01* +X124813989Y-83985230D01* +X124821882Y-84001917D01* +X124828100Y-84019297D01* +X124832586Y-84037204D01* +X124835294Y-84055463D01* +X124836200Y-84073900D01* +X124836200Y-87850100D01* +X124835294Y-87868537D01* +X124832586Y-87886796D01* +X124828100Y-87904703D01* +X124821882Y-87922083D01* +X124813989Y-87938770D01* +X124804499Y-87954603D01* +X124793503Y-87969429D01* +X124781107Y-87983107D01* +X124767429Y-87995503D01* +X124752603Y-88006499D01* +X124736770Y-88015989D01* +X124720083Y-88023882D01* +X124702703Y-88030100D01* +X124684796Y-88034586D01* +X124666537Y-88037294D01* +X124648100Y-88038200D01* +X124271900Y-88038200D01* +X124253463Y-88037294D01* +X124235204Y-88034586D01* +X124217297Y-88030100D01* +X124199917Y-88023882D01* +X124183230Y-88015989D01* +X124167397Y-88006499D01* +X124152571Y-87995503D01* +X124138893Y-87983107D01* +X124126497Y-87969429D01* +X124115501Y-87954603D01* +X124106011Y-87938770D01* +X124098118Y-87922083D01* +X124091900Y-87904703D01* +X124087414Y-87886796D01* +X124084706Y-87868537D01* +X124083800Y-87850100D01* +X124083800Y-84073900D01* +X124084706Y-84055463D01* +X124087414Y-84037204D01* +X124091900Y-84019297D01* +X124098118Y-84001917D01* +X124106011Y-83985230D01* +X124115501Y-83969397D01* +X124126497Y-83954571D01* +X124138893Y-83940893D01* +X124152571Y-83928497D01* +X124167397Y-83917501D01* +X124183230Y-83908011D01* +X124199917Y-83900118D01* +X124217297Y-83893900D01* +X124235204Y-83889414D01* +X124253463Y-83886706D01* +X124271900Y-83885800D01* +X124648100Y-83885800D01* +X124666537Y-83886706D01* +X124666537Y-83886706D01* +G37* +D16* +X124460000Y-85962000D03* +D12* +G36* +X125936537Y-83886706D02* +G01* +X125954796Y-83889414D01* +X125972703Y-83893900D01* +X125990083Y-83900118D01* +X126006770Y-83908011D01* +X126022603Y-83917501D01* +X126037429Y-83928497D01* +X126051107Y-83940893D01* +X126063503Y-83954571D01* +X126074499Y-83969397D01* +X126083989Y-83985230D01* +X126091882Y-84001917D01* +X126098100Y-84019297D01* +X126102586Y-84037204D01* +X126105294Y-84055463D01* +X126106200Y-84073900D01* +X126106200Y-87850100D01* +X126105294Y-87868537D01* +X126102586Y-87886796D01* +X126098100Y-87904703D01* +X126091882Y-87922083D01* +X126083989Y-87938770D01* +X126074499Y-87954603D01* +X126063503Y-87969429D01* +X126051107Y-87983107D01* +X126037429Y-87995503D01* +X126022603Y-88006499D01* +X126006770Y-88015989D01* +X125990083Y-88023882D01* +X125972703Y-88030100D01* +X125954796Y-88034586D01* +X125936537Y-88037294D01* +X125918100Y-88038200D01* +X125541900Y-88038200D01* +X125523463Y-88037294D01* +X125505204Y-88034586D01* +X125487297Y-88030100D01* +X125469917Y-88023882D01* +X125453230Y-88015989D01* +X125437397Y-88006499D01* +X125422571Y-87995503D01* +X125408893Y-87983107D01* +X125396497Y-87969429D01* +X125385501Y-87954603D01* +X125376011Y-87938770D01* +X125368118Y-87922083D01* +X125361900Y-87904703D01* +X125357414Y-87886796D01* +X125354706Y-87868537D01* +X125353800Y-87850100D01* +X125353800Y-84073900D01* +X125354706Y-84055463D01* +X125357414Y-84037204D01* +X125361900Y-84019297D01* +X125368118Y-84001917D01* +X125376011Y-83985230D01* +X125385501Y-83969397D01* +X125396497Y-83954571D01* +X125408893Y-83940893D01* +X125422571Y-83928497D01* +X125437397Y-83917501D01* +X125453230Y-83908011D01* +X125469917Y-83900118D01* +X125487297Y-83893900D01* +X125505204Y-83889414D01* +X125523463Y-83886706D01* +X125541900Y-83885800D01* +X125918100Y-83885800D01* +X125936537Y-83886706D01* +X125936537Y-83886706D01* +G37* +D16* +X125730000Y-85962000D03* +D12* +G36* +X127206537Y-83886706D02* +G01* +X127224796Y-83889414D01* +X127242703Y-83893900D01* +X127260083Y-83900118D01* +X127276770Y-83908011D01* +X127292603Y-83917501D01* +X127307429Y-83928497D01* +X127321107Y-83940893D01* +X127333503Y-83954571D01* +X127344499Y-83969397D01* +X127353989Y-83985230D01* +X127361882Y-84001917D01* +X127368100Y-84019297D01* +X127372586Y-84037204D01* +X127375294Y-84055463D01* +X127376200Y-84073900D01* +X127376200Y-87850100D01* +X127375294Y-87868537D01* +X127372586Y-87886796D01* +X127368100Y-87904703D01* +X127361882Y-87922083D01* +X127353989Y-87938770D01* +X127344499Y-87954603D01* +X127333503Y-87969429D01* +X127321107Y-87983107D01* +X127307429Y-87995503D01* +X127292603Y-88006499D01* +X127276770Y-88015989D01* +X127260083Y-88023882D01* +X127242703Y-88030100D01* +X127224796Y-88034586D01* +X127206537Y-88037294D01* +X127188100Y-88038200D01* +X126811900Y-88038200D01* +X126793463Y-88037294D01* +X126775204Y-88034586D01* +X126757297Y-88030100D01* +X126739917Y-88023882D01* +X126723230Y-88015989D01* +X126707397Y-88006499D01* +X126692571Y-87995503D01* +X126678893Y-87983107D01* +X126666497Y-87969429D01* +X126655501Y-87954603D01* +X126646011Y-87938770D01* +X126638118Y-87922083D01* +X126631900Y-87904703D01* +X126627414Y-87886796D01* +X126624706Y-87868537D01* +X126623800Y-87850100D01* +X126623800Y-84073900D01* +X126624706Y-84055463D01* +X126627414Y-84037204D01* +X126631900Y-84019297D01* +X126638118Y-84001917D01* +X126646011Y-83985230D01* +X126655501Y-83969397D01* +X126666497Y-83954571D01* +X126678893Y-83940893D01* +X126692571Y-83928497D01* +X126707397Y-83917501D01* +X126723230Y-83908011D01* +X126739917Y-83900118D01* +X126757297Y-83893900D01* +X126775204Y-83889414D01* +X126793463Y-83886706D01* +X126811900Y-83885800D01* +X127188100Y-83885800D01* +X127206537Y-83886706D01* +X127206537Y-83886706D01* +G37* +D16* +X127000000Y-85962000D03* +D12* +G36* +X132286537Y-83886706D02* +G01* +X132304796Y-83889414D01* +X132322703Y-83893900D01* +X132340083Y-83900118D01* +X132356770Y-83908011D01* +X132372603Y-83917501D01* +X132387429Y-83928497D01* +X132401107Y-83940893D01* +X132413503Y-83954571D01* +X132424499Y-83969397D01* +X132433989Y-83985230D01* +X132441882Y-84001917D01* +X132448100Y-84019297D01* +X132452586Y-84037204D01* +X132455294Y-84055463D01* +X132456200Y-84073900D01* +X132456200Y-87850100D01* +X132455294Y-87868537D01* +X132452586Y-87886796D01* +X132448100Y-87904703D01* +X132441882Y-87922083D01* +X132433989Y-87938770D01* +X132424499Y-87954603D01* +X132413503Y-87969429D01* +X132401107Y-87983107D01* +X132387429Y-87995503D01* +X132372603Y-88006499D01* +X132356770Y-88015989D01* +X132340083Y-88023882D01* +X132322703Y-88030100D01* +X132304796Y-88034586D01* +X132286537Y-88037294D01* +X132268100Y-88038200D01* +X131891900Y-88038200D01* +X131873463Y-88037294D01* +X131855204Y-88034586D01* +X131837297Y-88030100D01* +X131819917Y-88023882D01* +X131803230Y-88015989D01* +X131787397Y-88006499D01* +X131772571Y-87995503D01* +X131758893Y-87983107D01* +X131746497Y-87969429D01* +X131735501Y-87954603D01* +X131726011Y-87938770D01* +X131718118Y-87922083D01* +X131711900Y-87904703D01* +X131707414Y-87886796D01* +X131704706Y-87868537D01* +X131703800Y-87850100D01* +X131703800Y-84073900D01* +X131704706Y-84055463D01* +X131707414Y-84037204D01* +X131711900Y-84019297D01* +X131718118Y-84001917D01* +X131726011Y-83985230D01* +X131735501Y-83969397D01* +X131746497Y-83954571D01* +X131758893Y-83940893D01* +X131772571Y-83928497D01* +X131787397Y-83917501D01* +X131803230Y-83908011D01* +X131819917Y-83900118D01* +X131837297Y-83893900D01* +X131855204Y-83889414D01* +X131873463Y-83886706D01* +X131891900Y-83885800D01* +X132268100Y-83885800D01* +X132286537Y-83886706D01* +X132286537Y-83886706D01* +G37* +D16* +X132080000Y-85962000D03* +D12* +G36* +X133556537Y-83886706D02* +G01* +X133574796Y-83889414D01* +X133592703Y-83893900D01* +X133610083Y-83900118D01* +X133626770Y-83908011D01* +X133642603Y-83917501D01* +X133657429Y-83928497D01* +X133671107Y-83940893D01* +X133683503Y-83954571D01* +X133694499Y-83969397D01* +X133703989Y-83985230D01* +X133711882Y-84001917D01* +X133718100Y-84019297D01* +X133722586Y-84037204D01* +X133725294Y-84055463D01* +X133726200Y-84073900D01* +X133726200Y-87850100D01* +X133725294Y-87868537D01* +X133722586Y-87886796D01* +X133718100Y-87904703D01* +X133711882Y-87922083D01* +X133703989Y-87938770D01* +X133694499Y-87954603D01* +X133683503Y-87969429D01* +X133671107Y-87983107D01* +X133657429Y-87995503D01* +X133642603Y-88006499D01* +X133626770Y-88015989D01* +X133610083Y-88023882D01* +X133592703Y-88030100D01* +X133574796Y-88034586D01* +X133556537Y-88037294D01* +X133538100Y-88038200D01* +X133161900Y-88038200D01* +X133143463Y-88037294D01* +X133125204Y-88034586D01* +X133107297Y-88030100D01* +X133089917Y-88023882D01* +X133073230Y-88015989D01* +X133057397Y-88006499D01* +X133042571Y-87995503D01* +X133028893Y-87983107D01* +X133016497Y-87969429D01* +X133005501Y-87954603D01* +X132996011Y-87938770D01* +X132988118Y-87922083D01* +X132981900Y-87904703D01* +X132977414Y-87886796D01* +X132974706Y-87868537D01* +X132973800Y-87850100D01* +X132973800Y-84073900D01* +X132974706Y-84055463D01* +X132977414Y-84037204D01* +X132981900Y-84019297D01* +X132988118Y-84001917D01* +X132996011Y-83985230D01* +X133005501Y-83969397D01* +X133016497Y-83954571D01* +X133028893Y-83940893D01* +X133042571Y-83928497D01* +X133057397Y-83917501D01* +X133073230Y-83908011D01* +X133089917Y-83900118D01* +X133107297Y-83893900D01* +X133125204Y-83889414D01* +X133143463Y-83886706D01* +X133161900Y-83885800D01* +X133538100Y-83885800D01* +X133556537Y-83886706D01* +X133556537Y-83886706D01* +G37* +D16* +X133350000Y-85962000D03* +D12* +G36* +X134826537Y-83886706D02* +G01* +X134844796Y-83889414D01* +X134862703Y-83893900D01* +X134880083Y-83900118D01* +X134896770Y-83908011D01* +X134912603Y-83917501D01* +X134927429Y-83928497D01* +X134941107Y-83940893D01* +X134953503Y-83954571D01* +X134964499Y-83969397D01* +X134973989Y-83985230D01* +X134981882Y-84001917D01* +X134988100Y-84019297D01* +X134992586Y-84037204D01* +X134995294Y-84055463D01* +X134996200Y-84073900D01* +X134996200Y-87850100D01* +X134995294Y-87868537D01* +X134992586Y-87886796D01* +X134988100Y-87904703D01* +X134981882Y-87922083D01* +X134973989Y-87938770D01* +X134964499Y-87954603D01* +X134953503Y-87969429D01* +X134941107Y-87983107D01* +X134927429Y-87995503D01* +X134912603Y-88006499D01* +X134896770Y-88015989D01* +X134880083Y-88023882D01* +X134862703Y-88030100D01* +X134844796Y-88034586D01* +X134826537Y-88037294D01* +X134808100Y-88038200D01* +X134431900Y-88038200D01* +X134413463Y-88037294D01* +X134395204Y-88034586D01* +X134377297Y-88030100D01* +X134359917Y-88023882D01* +X134343230Y-88015989D01* +X134327397Y-88006499D01* +X134312571Y-87995503D01* +X134298893Y-87983107D01* +X134286497Y-87969429D01* +X134275501Y-87954603D01* +X134266011Y-87938770D01* +X134258118Y-87922083D01* +X134251900Y-87904703D01* +X134247414Y-87886796D01* +X134244706Y-87868537D01* +X134243800Y-87850100D01* +X134243800Y-84073900D01* +X134244706Y-84055463D01* +X134247414Y-84037204D01* +X134251900Y-84019297D01* +X134258118Y-84001917D01* +X134266011Y-83985230D01* +X134275501Y-83969397D01* +X134286497Y-83954571D01* +X134298893Y-83940893D01* +X134312571Y-83928497D01* +X134327397Y-83917501D01* +X134343230Y-83908011D01* +X134359917Y-83900118D01* +X134377297Y-83893900D01* +X134395204Y-83889414D01* +X134413463Y-83886706D01* +X134431900Y-83885800D01* +X134808100Y-83885800D01* +X134826537Y-83886706D01* +X134826537Y-83886706D01* +G37* +D16* +X134620000Y-85962000D03* +D12* +G36* +X136096537Y-83886706D02* +G01* +X136114796Y-83889414D01* +X136132703Y-83893900D01* +X136150083Y-83900118D01* +X136166770Y-83908011D01* +X136182603Y-83917501D01* +X136197429Y-83928497D01* +X136211107Y-83940893D01* +X136223503Y-83954571D01* +X136234499Y-83969397D01* +X136243989Y-83985230D01* +X136251882Y-84001917D01* +X136258100Y-84019297D01* +X136262586Y-84037204D01* +X136265294Y-84055463D01* +X136266200Y-84073900D01* +X136266200Y-87850100D01* +X136265294Y-87868537D01* +X136262586Y-87886796D01* +X136258100Y-87904703D01* +X136251882Y-87922083D01* +X136243989Y-87938770D01* +X136234499Y-87954603D01* +X136223503Y-87969429D01* +X136211107Y-87983107D01* +X136197429Y-87995503D01* +X136182603Y-88006499D01* +X136166770Y-88015989D01* +X136150083Y-88023882D01* +X136132703Y-88030100D01* +X136114796Y-88034586D01* +X136096537Y-88037294D01* +X136078100Y-88038200D01* +X135701900Y-88038200D01* +X135683463Y-88037294D01* +X135665204Y-88034586D01* +X135647297Y-88030100D01* +X135629917Y-88023882D01* +X135613230Y-88015989D01* +X135597397Y-88006499D01* +X135582571Y-87995503D01* +X135568893Y-87983107D01* +X135556497Y-87969429D01* +X135545501Y-87954603D01* +X135536011Y-87938770D01* +X135528118Y-87922083D01* +X135521900Y-87904703D01* +X135517414Y-87886796D01* +X135514706Y-87868537D01* +X135513800Y-87850100D01* +X135513800Y-84073900D01* +X135514706Y-84055463D01* +X135517414Y-84037204D01* +X135521900Y-84019297D01* +X135528118Y-84001917D01* +X135536011Y-83985230D01* +X135545501Y-83969397D01* +X135556497Y-83954571D01* +X135568893Y-83940893D01* +X135582571Y-83928497D01* +X135597397Y-83917501D01* +X135613230Y-83908011D01* +X135629917Y-83900118D01* +X135647297Y-83893900D01* +X135665204Y-83889414D01* +X135683463Y-83886706D01* +X135701900Y-83885800D01* +X136078100Y-83885800D01* +X136096537Y-83886706D01* +X136096537Y-83886706D01* +G37* +D16* +X135890000Y-85962000D03* +D12* +G36* +X137366537Y-91286706D02* +G01* +X137384796Y-91289414D01* +X137402703Y-91293900D01* +X137420083Y-91300118D01* +X137436770Y-91308011D01* +X137452603Y-91317501D01* +X137467429Y-91328497D01* +X137481107Y-91340893D01* +X137493503Y-91354571D01* +X137504499Y-91369397D01* +X137513989Y-91385230D01* +X137521882Y-91401917D01* +X137528100Y-91419297D01* +X137532586Y-91437204D01* +X137535294Y-91455463D01* +X137536200Y-91473900D01* +X137536200Y-95250100D01* +X137535294Y-95268537D01* +X137532586Y-95286796D01* +X137528100Y-95304703D01* +X137521882Y-95322083D01* +X137513989Y-95338770D01* +X137504499Y-95354603D01* +X137493503Y-95369429D01* +X137481107Y-95383107D01* +X137467429Y-95395503D01* +X137452603Y-95406499D01* +X137436770Y-95415989D01* +X137420083Y-95423882D01* +X137402703Y-95430100D01* +X137384796Y-95434586D01* +X137366537Y-95437294D01* +X137348100Y-95438200D01* +X136971900Y-95438200D01* +X136953463Y-95437294D01* +X136935204Y-95434586D01* +X136917297Y-95430100D01* +X136899917Y-95423882D01* +X136883230Y-95415989D01* +X136867397Y-95406499D01* +X136852571Y-95395503D01* +X136838893Y-95383107D01* +X136826497Y-95369429D01* +X136815501Y-95354603D01* +X136806011Y-95338770D01* +X136798118Y-95322083D01* +X136791900Y-95304703D01* +X136787414Y-95286796D01* +X136784706Y-95268537D01* +X136783800Y-95250100D01* +X136783800Y-91473900D01* +X136784706Y-91455463D01* +X136787414Y-91437204D01* +X136791900Y-91419297D01* +X136798118Y-91401917D01* +X136806011Y-91385230D01* +X136815501Y-91369397D01* +X136826497Y-91354571D01* +X136838893Y-91340893D01* +X136852571Y-91328497D01* +X136867397Y-91317501D01* +X136883230Y-91308011D01* +X136899917Y-91300118D01* +X136917297Y-91293900D01* +X136935204Y-91289414D01* +X136953463Y-91286706D01* +X136971900Y-91285800D01* +X137348100Y-91285800D01* +X137366537Y-91286706D01* +X137366537Y-91286706D01* +G37* +D16* +X137160000Y-93362000D03* +D12* +G36* +X136096537Y-91286706D02* +G01* +X136114796Y-91289414D01* +X136132703Y-91293900D01* +X136150083Y-91300118D01* +X136166770Y-91308011D01* +X136182603Y-91317501D01* +X136197429Y-91328497D01* +X136211107Y-91340893D01* +X136223503Y-91354571D01* +X136234499Y-91369397D01* +X136243989Y-91385230D01* +X136251882Y-91401917D01* +X136258100Y-91419297D01* +X136262586Y-91437204D01* +X136265294Y-91455463D01* +X136266200Y-91473900D01* +X136266200Y-95250100D01* +X136265294Y-95268537D01* +X136262586Y-95286796D01* +X136258100Y-95304703D01* +X136251882Y-95322083D01* +X136243989Y-95338770D01* +X136234499Y-95354603D01* +X136223503Y-95369429D01* +X136211107Y-95383107D01* +X136197429Y-95395503D01* +X136182603Y-95406499D01* +X136166770Y-95415989D01* +X136150083Y-95423882D01* +X136132703Y-95430100D01* +X136114796Y-95434586D01* +X136096537Y-95437294D01* +X136078100Y-95438200D01* +X135701900Y-95438200D01* +X135683463Y-95437294D01* +X135665204Y-95434586D01* +X135647297Y-95430100D01* +X135629917Y-95423882D01* +X135613230Y-95415989D01* +X135597397Y-95406499D01* +X135582571Y-95395503D01* +X135568893Y-95383107D01* +X135556497Y-95369429D01* +X135545501Y-95354603D01* +X135536011Y-95338770D01* +X135528118Y-95322083D01* +X135521900Y-95304703D01* +X135517414Y-95286796D01* +X135514706Y-95268537D01* +X135513800Y-95250100D01* +X135513800Y-91473900D01* +X135514706Y-91455463D01* +X135517414Y-91437204D01* +X135521900Y-91419297D01* +X135528118Y-91401917D01* +X135536011Y-91385230D01* +X135545501Y-91369397D01* +X135556497Y-91354571D01* +X135568893Y-91340893D01* +X135582571Y-91328497D01* +X135597397Y-91317501D01* +X135613230Y-91308011D01* +X135629917Y-91300118D01* +X135647297Y-91293900D01* +X135665204Y-91289414D01* +X135683463Y-91286706D01* +X135701900Y-91285800D01* +X136078100Y-91285800D01* +X136096537Y-91286706D01* +X136096537Y-91286706D01* +G37* +D16* +X135890000Y-93362000D03* +D12* +G36* +X134826537Y-91286706D02* +G01* +X134844796Y-91289414D01* +X134862703Y-91293900D01* +X134880083Y-91300118D01* +X134896770Y-91308011D01* +X134912603Y-91317501D01* +X134927429Y-91328497D01* +X134941107Y-91340893D01* +X134953503Y-91354571D01* +X134964499Y-91369397D01* +X134973989Y-91385230D01* +X134981882Y-91401917D01* +X134988100Y-91419297D01* +X134992586Y-91437204D01* +X134995294Y-91455463D01* +X134996200Y-91473900D01* +X134996200Y-95250100D01* +X134995294Y-95268537D01* +X134992586Y-95286796D01* +X134988100Y-95304703D01* +X134981882Y-95322083D01* +X134973989Y-95338770D01* +X134964499Y-95354603D01* +X134953503Y-95369429D01* +X134941107Y-95383107D01* +X134927429Y-95395503D01* +X134912603Y-95406499D01* +X134896770Y-95415989D01* +X134880083Y-95423882D01* +X134862703Y-95430100D01* +X134844796Y-95434586D01* +X134826537Y-95437294D01* +X134808100Y-95438200D01* +X134431900Y-95438200D01* +X134413463Y-95437294D01* +X134395204Y-95434586D01* +X134377297Y-95430100D01* +X134359917Y-95423882D01* +X134343230Y-95415989D01* +X134327397Y-95406499D01* +X134312571Y-95395503D01* +X134298893Y-95383107D01* +X134286497Y-95369429D01* +X134275501Y-95354603D01* +X134266011Y-95338770D01* +X134258118Y-95322083D01* +X134251900Y-95304703D01* +X134247414Y-95286796D01* +X134244706Y-95268537D01* +X134243800Y-95250100D01* +X134243800Y-91473900D01* +X134244706Y-91455463D01* +X134247414Y-91437204D01* +X134251900Y-91419297D01* +X134258118Y-91401917D01* +X134266011Y-91385230D01* +X134275501Y-91369397D01* +X134286497Y-91354571D01* +X134298893Y-91340893D01* +X134312571Y-91328497D01* +X134327397Y-91317501D01* +X134343230Y-91308011D01* +X134359917Y-91300118D01* +X134377297Y-91293900D01* +X134395204Y-91289414D01* +X134413463Y-91286706D01* +X134431900Y-91285800D01* +X134808100Y-91285800D01* +X134826537Y-91286706D01* +X134826537Y-91286706D01* +G37* +D16* +X134620000Y-93362000D03* +D12* +G36* +X133556537Y-91286706D02* +G01* +X133574796Y-91289414D01* +X133592703Y-91293900D01* +X133610083Y-91300118D01* +X133626770Y-91308011D01* +X133642603Y-91317501D01* +X133657429Y-91328497D01* +X133671107Y-91340893D01* +X133683503Y-91354571D01* +X133694499Y-91369397D01* +X133703989Y-91385230D01* +X133711882Y-91401917D01* +X133718100Y-91419297D01* +X133722586Y-91437204D01* +X133725294Y-91455463D01* +X133726200Y-91473900D01* +X133726200Y-95250100D01* +X133725294Y-95268537D01* +X133722586Y-95286796D01* +X133718100Y-95304703D01* +X133711882Y-95322083D01* +X133703989Y-95338770D01* +X133694499Y-95354603D01* +X133683503Y-95369429D01* +X133671107Y-95383107D01* +X133657429Y-95395503D01* +X133642603Y-95406499D01* +X133626770Y-95415989D01* +X133610083Y-95423882D01* +X133592703Y-95430100D01* +X133574796Y-95434586D01* +X133556537Y-95437294D01* +X133538100Y-95438200D01* +X133161900Y-95438200D01* +X133143463Y-95437294D01* +X133125204Y-95434586D01* +X133107297Y-95430100D01* +X133089917Y-95423882D01* +X133073230Y-95415989D01* +X133057397Y-95406499D01* +X133042571Y-95395503D01* +X133028893Y-95383107D01* +X133016497Y-95369429D01* +X133005501Y-95354603D01* +X132996011Y-95338770D01* +X132988118Y-95322083D01* +X132981900Y-95304703D01* +X132977414Y-95286796D01* +X132974706Y-95268537D01* +X132973800Y-95250100D01* +X132973800Y-91473900D01* +X132974706Y-91455463D01* +X132977414Y-91437204D01* +X132981900Y-91419297D01* +X132988118Y-91401917D01* +X132996011Y-91385230D01* +X133005501Y-91369397D01* +X133016497Y-91354571D01* +X133028893Y-91340893D01* +X133042571Y-91328497D01* +X133057397Y-91317501D01* +X133073230Y-91308011D01* +X133089917Y-91300118D01* +X133107297Y-91293900D01* +X133125204Y-91289414D01* +X133143463Y-91286706D01* +X133161900Y-91285800D01* +X133538100Y-91285800D01* +X133556537Y-91286706D01* +X133556537Y-91286706D01* +G37* +D16* +X133350000Y-93362000D03* +D12* +G36* +X132286537Y-91286706D02* +G01* +X132304796Y-91289414D01* +X132322703Y-91293900D01* +X132340083Y-91300118D01* +X132356770Y-91308011D01* +X132372603Y-91317501D01* +X132387429Y-91328497D01* +X132401107Y-91340893D01* +X132413503Y-91354571D01* +X132424499Y-91369397D01* +X132433989Y-91385230D01* +X132441882Y-91401917D01* +X132448100Y-91419297D01* +X132452586Y-91437204D01* +X132455294Y-91455463D01* +X132456200Y-91473900D01* +X132456200Y-95250100D01* +X132455294Y-95268537D01* +X132452586Y-95286796D01* +X132448100Y-95304703D01* +X132441882Y-95322083D01* +X132433989Y-95338770D01* +X132424499Y-95354603D01* +X132413503Y-95369429D01* +X132401107Y-95383107D01* +X132387429Y-95395503D01* +X132372603Y-95406499D01* +X132356770Y-95415989D01* +X132340083Y-95423882D01* +X132322703Y-95430100D01* +X132304796Y-95434586D01* +X132286537Y-95437294D01* +X132268100Y-95438200D01* +X131891900Y-95438200D01* +X131873463Y-95437294D01* +X131855204Y-95434586D01* +X131837297Y-95430100D01* +X131819917Y-95423882D01* +X131803230Y-95415989D01* +X131787397Y-95406499D01* +X131772571Y-95395503D01* +X131758893Y-95383107D01* +X131746497Y-95369429D01* +X131735501Y-95354603D01* +X131726011Y-95338770D01* +X131718118Y-95322083D01* +X131711900Y-95304703D01* +X131707414Y-95286796D01* +X131704706Y-95268537D01* +X131703800Y-95250100D01* +X131703800Y-91473900D01* +X131704706Y-91455463D01* +X131707414Y-91437204D01* +X131711900Y-91419297D01* +X131718118Y-91401917D01* +X131726011Y-91385230D01* +X131735501Y-91369397D01* +X131746497Y-91354571D01* +X131758893Y-91340893D01* +X131772571Y-91328497D01* +X131787397Y-91317501D01* +X131803230Y-91308011D01* +X131819917Y-91300118D01* +X131837297Y-91293900D01* +X131855204Y-91289414D01* +X131873463Y-91286706D01* +X131891900Y-91285800D01* +X132268100Y-91285800D01* +X132286537Y-91286706D01* +X132286537Y-91286706D01* +G37* +D16* +X132080000Y-93362000D03* +D12* +G36* +X127206537Y-91286706D02* +G01* +X127224796Y-91289414D01* +X127242703Y-91293900D01* +X127260083Y-91300118D01* +X127276770Y-91308011D01* +X127292603Y-91317501D01* +X127307429Y-91328497D01* +X127321107Y-91340893D01* +X127333503Y-91354571D01* +X127344499Y-91369397D01* +X127353989Y-91385230D01* +X127361882Y-91401917D01* +X127368100Y-91419297D01* +X127372586Y-91437204D01* +X127375294Y-91455463D01* +X127376200Y-91473900D01* +X127376200Y-95250100D01* +X127375294Y-95268537D01* +X127372586Y-95286796D01* +X127368100Y-95304703D01* +X127361882Y-95322083D01* +X127353989Y-95338770D01* +X127344499Y-95354603D01* +X127333503Y-95369429D01* +X127321107Y-95383107D01* +X127307429Y-95395503D01* +X127292603Y-95406499D01* +X127276770Y-95415989D01* +X127260083Y-95423882D01* +X127242703Y-95430100D01* +X127224796Y-95434586D01* +X127206537Y-95437294D01* +X127188100Y-95438200D01* +X126811900Y-95438200D01* +X126793463Y-95437294D01* +X126775204Y-95434586D01* +X126757297Y-95430100D01* +X126739917Y-95423882D01* +X126723230Y-95415989D01* +X126707397Y-95406499D01* +X126692571Y-95395503D01* +X126678893Y-95383107D01* +X126666497Y-95369429D01* +X126655501Y-95354603D01* +X126646011Y-95338770D01* +X126638118Y-95322083D01* +X126631900Y-95304703D01* +X126627414Y-95286796D01* +X126624706Y-95268537D01* +X126623800Y-95250100D01* +X126623800Y-91473900D01* +X126624706Y-91455463D01* +X126627414Y-91437204D01* +X126631900Y-91419297D01* +X126638118Y-91401917D01* +X126646011Y-91385230D01* +X126655501Y-91369397D01* +X126666497Y-91354571D01* +X126678893Y-91340893D01* +X126692571Y-91328497D01* +X126707397Y-91317501D01* +X126723230Y-91308011D01* +X126739917Y-91300118D01* +X126757297Y-91293900D01* +X126775204Y-91289414D01* +X126793463Y-91286706D01* +X126811900Y-91285800D01* +X127188100Y-91285800D01* +X127206537Y-91286706D01* +X127206537Y-91286706D01* +G37* +D16* +X127000000Y-93362000D03* +D12* +G36* +X125936537Y-91286706D02* +G01* +X125954796Y-91289414D01* +X125972703Y-91293900D01* +X125990083Y-91300118D01* +X126006770Y-91308011D01* +X126022603Y-91317501D01* +X126037429Y-91328497D01* +X126051107Y-91340893D01* +X126063503Y-91354571D01* +X126074499Y-91369397D01* +X126083989Y-91385230D01* +X126091882Y-91401917D01* +X126098100Y-91419297D01* +X126102586Y-91437204D01* +X126105294Y-91455463D01* +X126106200Y-91473900D01* +X126106200Y-95250100D01* +X126105294Y-95268537D01* +X126102586Y-95286796D01* +X126098100Y-95304703D01* +X126091882Y-95322083D01* +X126083989Y-95338770D01* +X126074499Y-95354603D01* +X126063503Y-95369429D01* +X126051107Y-95383107D01* +X126037429Y-95395503D01* +X126022603Y-95406499D01* +X126006770Y-95415989D01* +X125990083Y-95423882D01* +X125972703Y-95430100D01* +X125954796Y-95434586D01* +X125936537Y-95437294D01* +X125918100Y-95438200D01* +X125541900Y-95438200D01* +X125523463Y-95437294D01* +X125505204Y-95434586D01* +X125487297Y-95430100D01* +X125469917Y-95423882D01* +X125453230Y-95415989D01* +X125437397Y-95406499D01* +X125422571Y-95395503D01* +X125408893Y-95383107D01* +X125396497Y-95369429D01* +X125385501Y-95354603D01* +X125376011Y-95338770D01* +X125368118Y-95322083D01* +X125361900Y-95304703D01* +X125357414Y-95286796D01* +X125354706Y-95268537D01* +X125353800Y-95250100D01* +X125353800Y-91473900D01* +X125354706Y-91455463D01* +X125357414Y-91437204D01* +X125361900Y-91419297D01* +X125368118Y-91401917D01* +X125376011Y-91385230D01* +X125385501Y-91369397D01* +X125396497Y-91354571D01* +X125408893Y-91340893D01* +X125422571Y-91328497D01* +X125437397Y-91317501D01* +X125453230Y-91308011D01* +X125469917Y-91300118D01* +X125487297Y-91293900D01* +X125505204Y-91289414D01* +X125523463Y-91286706D01* +X125541900Y-91285800D01* +X125918100Y-91285800D01* +X125936537Y-91286706D01* +X125936537Y-91286706D01* +G37* +D16* +X125730000Y-93362000D03* +D12* +G36* +X124666537Y-91286706D02* +G01* +X124684796Y-91289414D01* +X124702703Y-91293900D01* +X124720083Y-91300118D01* +X124736770Y-91308011D01* +X124752603Y-91317501D01* +X124767429Y-91328497D01* +X124781107Y-91340893D01* +X124793503Y-91354571D01* +X124804499Y-91369397D01* +X124813989Y-91385230D01* +X124821882Y-91401917D01* +X124828100Y-91419297D01* +X124832586Y-91437204D01* +X124835294Y-91455463D01* +X124836200Y-91473900D01* +X124836200Y-95250100D01* +X124835294Y-95268537D01* +X124832586Y-95286796D01* +X124828100Y-95304703D01* +X124821882Y-95322083D01* +X124813989Y-95338770D01* +X124804499Y-95354603D01* +X124793503Y-95369429D01* +X124781107Y-95383107D01* +X124767429Y-95395503D01* +X124752603Y-95406499D01* +X124736770Y-95415989D01* +X124720083Y-95423882D01* +X124702703Y-95430100D01* +X124684796Y-95434586D01* +X124666537Y-95437294D01* +X124648100Y-95438200D01* +X124271900Y-95438200D01* +X124253463Y-95437294D01* +X124235204Y-95434586D01* +X124217297Y-95430100D01* +X124199917Y-95423882D01* +X124183230Y-95415989D01* +X124167397Y-95406499D01* +X124152571Y-95395503D01* +X124138893Y-95383107D01* +X124126497Y-95369429D01* +X124115501Y-95354603D01* +X124106011Y-95338770D01* +X124098118Y-95322083D01* +X124091900Y-95304703D01* +X124087414Y-95286796D01* +X124084706Y-95268537D01* +X124083800Y-95250100D01* +X124083800Y-91473900D01* +X124084706Y-91455463D01* +X124087414Y-91437204D01* +X124091900Y-91419297D01* +X124098118Y-91401917D01* +X124106011Y-91385230D01* +X124115501Y-91369397D01* +X124126497Y-91354571D01* +X124138893Y-91340893D01* +X124152571Y-91328497D01* +X124167397Y-91317501D01* +X124183230Y-91308011D01* +X124199917Y-91300118D01* +X124217297Y-91293900D01* +X124235204Y-91289414D01* +X124253463Y-91286706D01* +X124271900Y-91285800D01* +X124648100Y-91285800D01* +X124666537Y-91286706D01* +X124666537Y-91286706D01* +G37* +D16* +X124460000Y-93362000D03* +D12* +G36* +X123396537Y-91286706D02* +G01* +X123414796Y-91289414D01* +X123432703Y-91293900D01* +X123450083Y-91300118D01* +X123466770Y-91308011D01* +X123482603Y-91317501D01* +X123497429Y-91328497D01* +X123511107Y-91340893D01* +X123523503Y-91354571D01* +X123534499Y-91369397D01* +X123543989Y-91385230D01* +X123551882Y-91401917D01* +X123558100Y-91419297D01* +X123562586Y-91437204D01* +X123565294Y-91455463D01* +X123566200Y-91473900D01* +X123566200Y-95250100D01* +X123565294Y-95268537D01* +X123562586Y-95286796D01* +X123558100Y-95304703D01* +X123551882Y-95322083D01* +X123543989Y-95338770D01* +X123534499Y-95354603D01* +X123523503Y-95369429D01* +X123511107Y-95383107D01* +X123497429Y-95395503D01* +X123482603Y-95406499D01* +X123466770Y-95415989D01* +X123450083Y-95423882D01* +X123432703Y-95430100D01* +X123414796Y-95434586D01* +X123396537Y-95437294D01* +X123378100Y-95438200D01* +X123001900Y-95438200D01* +X122983463Y-95437294D01* +X122965204Y-95434586D01* +X122947297Y-95430100D01* +X122929917Y-95423882D01* +X122913230Y-95415989D01* +X122897397Y-95406499D01* +X122882571Y-95395503D01* +X122868893Y-95383107D01* +X122856497Y-95369429D01* +X122845501Y-95354603D01* +X122836011Y-95338770D01* +X122828118Y-95322083D01* +X122821900Y-95304703D01* +X122817414Y-95286796D01* +X122814706Y-95268537D01* +X122813800Y-95250100D01* +X122813800Y-91473900D01* +X122814706Y-91455463D01* +X122817414Y-91437204D01* +X122821900Y-91419297D01* +X122828118Y-91401917D01* +X122836011Y-91385230D01* +X122845501Y-91369397D01* +X122856497Y-91354571D01* +X122868893Y-91340893D01* +X122882571Y-91328497D01* +X122897397Y-91317501D01* +X122913230Y-91308011D01* +X122929917Y-91300118D01* +X122947297Y-91293900D01* +X122965204Y-91289414D01* +X122983463Y-91286706D01* +X123001900Y-91285800D01* +X123378100Y-91285800D01* +X123396537Y-91286706D01* +X123396537Y-91286706D01* +G37* +D16* +X123190000Y-93362000D03* +D12* +G36* +X122126537Y-91286706D02* +G01* +X122144796Y-91289414D01* +X122162703Y-91293900D01* +X122180083Y-91300118D01* +X122196770Y-91308011D01* +X122212603Y-91317501D01* +X122227429Y-91328497D01* +X122241107Y-91340893D01* +X122253503Y-91354571D01* +X122264499Y-91369397D01* +X122273989Y-91385230D01* +X122281882Y-91401917D01* +X122288100Y-91419297D01* +X122292586Y-91437204D01* +X122295294Y-91455463D01* +X122296200Y-91473900D01* +X122296200Y-95250100D01* +X122295294Y-95268537D01* +X122292586Y-95286796D01* +X122288100Y-95304703D01* +X122281882Y-95322083D01* +X122273989Y-95338770D01* +X122264499Y-95354603D01* +X122253503Y-95369429D01* +X122241107Y-95383107D01* +X122227429Y-95395503D01* +X122212603Y-95406499D01* +X122196770Y-95415989D01* +X122180083Y-95423882D01* +X122162703Y-95430100D01* +X122144796Y-95434586D01* +X122126537Y-95437294D01* +X122108100Y-95438200D01* +X121731900Y-95438200D01* +X121713463Y-95437294D01* +X121695204Y-95434586D01* +X121677297Y-95430100D01* +X121659917Y-95423882D01* +X121643230Y-95415989D01* +X121627397Y-95406499D01* +X121612571Y-95395503D01* +X121598893Y-95383107D01* +X121586497Y-95369429D01* +X121575501Y-95354603D01* +X121566011Y-95338770D01* +X121558118Y-95322083D01* +X121551900Y-95304703D01* +X121547414Y-95286796D01* +X121544706Y-95268537D01* +X121543800Y-95250100D01* +X121543800Y-91473900D01* +X121544706Y-91455463D01* +X121547414Y-91437204D01* +X121551900Y-91419297D01* +X121558118Y-91401917D01* +X121566011Y-91385230D01* +X121575501Y-91369397D01* +X121586497Y-91354571D01* +X121598893Y-91340893D01* +X121612571Y-91328497D01* +X121627397Y-91317501D01* +X121643230Y-91308011D01* +X121659917Y-91300118D01* +X121677297Y-91293900D01* +X121695204Y-91289414D01* +X121713463Y-91286706D01* +X121731900Y-91285800D01* +X122108100Y-91285800D01* +X122126537Y-91286706D01* +X122126537Y-91286706D01* +G37* +D16* +X121920000Y-93362000D03* +D12* +G36* +X128476537Y-83886706D02* +G01* +X128494796Y-83889414D01* +X128512703Y-83893900D01* +X128530083Y-83900118D01* +X128546770Y-83908011D01* +X128562603Y-83917501D01* +X128577429Y-83928497D01* +X128591107Y-83940893D01* +X128603503Y-83954571D01* +X128614499Y-83969397D01* +X128623989Y-83985230D01* +X128631882Y-84001917D01* +X128638100Y-84019297D01* +X128642586Y-84037204D01* +X128645294Y-84055463D01* +X128646200Y-84073900D01* +X128646200Y-87850100D01* +X128645294Y-87868537D01* +X128642586Y-87886796D01* +X128638100Y-87904703D01* +X128631882Y-87922083D01* +X128623989Y-87938770D01* +X128614499Y-87954603D01* +X128603503Y-87969429D01* +X128591107Y-87983107D01* +X128577429Y-87995503D01* +X128562603Y-88006499D01* +X128546770Y-88015989D01* +X128530083Y-88023882D01* +X128512703Y-88030100D01* +X128494796Y-88034586D01* +X128476537Y-88037294D01* +X128458100Y-88038200D01* +X128081900Y-88038200D01* +X128063463Y-88037294D01* +X128045204Y-88034586D01* +X128027297Y-88030100D01* +X128009917Y-88023882D01* +X127993230Y-88015989D01* +X127977397Y-88006499D01* +X127962571Y-87995503D01* +X127948893Y-87983107D01* +X127936497Y-87969429D01* +X127925501Y-87954603D01* +X127916011Y-87938770D01* +X127908118Y-87922083D01* +X127901900Y-87904703D01* +X127897414Y-87886796D01* +X127894706Y-87868537D01* +X127893800Y-87850100D01* +X127893800Y-84073900D01* +X127894706Y-84055463D01* +X127897414Y-84037204D01* +X127901900Y-84019297D01* +X127908118Y-84001917D01* +X127916011Y-83985230D01* +X127925501Y-83969397D01* +X127936497Y-83954571D01* +X127948893Y-83940893D01* +X127962571Y-83928497D01* +X127977397Y-83917501D01* +X127993230Y-83908011D01* +X128009917Y-83900118D01* +X128027297Y-83893900D01* +X128045204Y-83889414D01* +X128063463Y-83886706D01* +X128081900Y-83885800D01* +X128458100Y-83885800D01* +X128476537Y-83886706D01* +X128476537Y-83886706D01* +G37* +D16* +X128270000Y-85962000D03* +D12* +G36* +X128476537Y-91286706D02* +G01* +X128494796Y-91289414D01* +X128512703Y-91293900D01* +X128530083Y-91300118D01* +X128546770Y-91308011D01* +X128562603Y-91317501D01* +X128577429Y-91328497D01* +X128591107Y-91340893D01* +X128603503Y-91354571D01* +X128614499Y-91369397D01* +X128623989Y-91385230D01* +X128631882Y-91401917D01* +X128638100Y-91419297D01* +X128642586Y-91437204D01* +X128645294Y-91455463D01* +X128646200Y-91473900D01* +X128646200Y-95250100D01* +X128645294Y-95268537D01* +X128642586Y-95286796D01* +X128638100Y-95304703D01* +X128631882Y-95322083D01* +X128623989Y-95338770D01* +X128614499Y-95354603D01* +X128603503Y-95369429D01* +X128591107Y-95383107D01* +X128577429Y-95395503D01* +X128562603Y-95406499D01* +X128546770Y-95415989D01* +X128530083Y-95423882D01* +X128512703Y-95430100D01* +X128494796Y-95434586D01* +X128476537Y-95437294D01* +X128458100Y-95438200D01* +X128081900Y-95438200D01* +X128063463Y-95437294D01* +X128045204Y-95434586D01* +X128027297Y-95430100D01* +X128009917Y-95423882D01* +X127993230Y-95415989D01* +X127977397Y-95406499D01* +X127962571Y-95395503D01* +X127948893Y-95383107D01* +X127936497Y-95369429D01* +X127925501Y-95354603D01* +X127916011Y-95338770D01* +X127908118Y-95322083D01* +X127901900Y-95304703D01* +X127897414Y-95286796D01* +X127894706Y-95268537D01* +X127893800Y-95250100D01* +X127893800Y-91473900D01* +X127894706Y-91455463D01* +X127897414Y-91437204D01* +X127901900Y-91419297D01* +X127908118Y-91401917D01* +X127916011Y-91385230D01* +X127925501Y-91369397D01* +X127936497Y-91354571D01* +X127948893Y-91340893D01* +X127962571Y-91328497D01* +X127977397Y-91317501D01* +X127993230Y-91308011D01* +X128009917Y-91300118D01* +X128027297Y-91293900D01* +X128045204Y-91289414D01* +X128063463Y-91286706D01* +X128081900Y-91285800D01* +X128458100Y-91285800D01* +X128476537Y-91286706D01* +X128476537Y-91286706D01* +G37* +D16* +X128270000Y-93362000D03* +D12* +G36* +X131016537Y-83886706D02* +G01* +X131034796Y-83889414D01* +X131052703Y-83893900D01* +X131070083Y-83900118D01* +X131086770Y-83908011D01* +X131102603Y-83917501D01* +X131117429Y-83928497D01* +X131131107Y-83940893D01* +X131143503Y-83954571D01* +X131154499Y-83969397D01* +X131163989Y-83985230D01* +X131171882Y-84001917D01* +X131178100Y-84019297D01* +X131182586Y-84037204D01* +X131185294Y-84055463D01* +X131186200Y-84073900D01* +X131186200Y-87850100D01* +X131185294Y-87868537D01* +X131182586Y-87886796D01* +X131178100Y-87904703D01* +X131171882Y-87922083D01* +X131163989Y-87938770D01* +X131154499Y-87954603D01* +X131143503Y-87969429D01* +X131131107Y-87983107D01* +X131117429Y-87995503D01* +X131102603Y-88006499D01* +X131086770Y-88015989D01* +X131070083Y-88023882D01* +X131052703Y-88030100D01* +X131034796Y-88034586D01* +X131016537Y-88037294D01* +X130998100Y-88038200D01* +X130621900Y-88038200D01* +X130603463Y-88037294D01* +X130585204Y-88034586D01* +X130567297Y-88030100D01* +X130549917Y-88023882D01* +X130533230Y-88015989D01* +X130517397Y-88006499D01* +X130502571Y-87995503D01* +X130488893Y-87983107D01* +X130476497Y-87969429D01* +X130465501Y-87954603D01* +X130456011Y-87938770D01* +X130448118Y-87922083D01* +X130441900Y-87904703D01* +X130437414Y-87886796D01* +X130434706Y-87868537D01* +X130433800Y-87850100D01* +X130433800Y-84073900D01* +X130434706Y-84055463D01* +X130437414Y-84037204D01* +X130441900Y-84019297D01* +X130448118Y-84001917D01* +X130456011Y-83985230D01* +X130465501Y-83969397D01* +X130476497Y-83954571D01* +X130488893Y-83940893D01* +X130502571Y-83928497D01* +X130517397Y-83917501D01* +X130533230Y-83908011D01* +X130549917Y-83900118D01* +X130567297Y-83893900D01* +X130585204Y-83889414D01* +X130603463Y-83886706D01* +X130621900Y-83885800D01* +X130998100Y-83885800D01* +X131016537Y-83886706D01* +X131016537Y-83886706D01* +G37* +D16* +X130810000Y-85962000D03* +D12* +G36* +X131016537Y-91286706D02* +G01* +X131034796Y-91289414D01* +X131052703Y-91293900D01* +X131070083Y-91300118D01* +X131086770Y-91308011D01* +X131102603Y-91317501D01* +X131117429Y-91328497D01* +X131131107Y-91340893D01* +X131143503Y-91354571D01* +X131154499Y-91369397D01* +X131163989Y-91385230D01* +X131171882Y-91401917D01* +X131178100Y-91419297D01* +X131182586Y-91437204D01* +X131185294Y-91455463D01* +X131186200Y-91473900D01* +X131186200Y-95250100D01* +X131185294Y-95268537D01* +X131182586Y-95286796D01* +X131178100Y-95304703D01* +X131171882Y-95322083D01* +X131163989Y-95338770D01* +X131154499Y-95354603D01* +X131143503Y-95369429D01* +X131131107Y-95383107D01* +X131117429Y-95395503D01* +X131102603Y-95406499D01* +X131086770Y-95415989D01* +X131070083Y-95423882D01* +X131052703Y-95430100D01* +X131034796Y-95434586D01* +X131016537Y-95437294D01* +X130998100Y-95438200D01* +X130621900Y-95438200D01* +X130603463Y-95437294D01* +X130585204Y-95434586D01* +X130567297Y-95430100D01* +X130549917Y-95423882D01* +X130533230Y-95415989D01* +X130517397Y-95406499D01* +X130502571Y-95395503D01* +X130488893Y-95383107D01* +X130476497Y-95369429D01* +X130465501Y-95354603D01* +X130456011Y-95338770D01* +X130448118Y-95322083D01* +X130441900Y-95304703D01* +X130437414Y-95286796D01* +X130434706Y-95268537D01* +X130433800Y-95250100D01* +X130433800Y-91473900D01* +X130434706Y-91455463D01* +X130437414Y-91437204D01* +X130441900Y-91419297D01* +X130448118Y-91401917D01* +X130456011Y-91385230D01* +X130465501Y-91369397D01* +X130476497Y-91354571D01* +X130488893Y-91340893D01* +X130502571Y-91328497D01* +X130517397Y-91317501D01* +X130533230Y-91308011D01* +X130549917Y-91300118D01* +X130567297Y-91293900D01* +X130585204Y-91289414D01* +X130603463Y-91286706D01* +X130621900Y-91285800D01* +X130998100Y-91285800D01* +X131016537Y-91286706D01* +X131016537Y-91286706D01* +G37* +D16* +X130810000Y-93362000D03* +D12* +G36* +X96726537Y-91286706D02* +G01* +X96744796Y-91289414D01* +X96762703Y-91293900D01* +X96780083Y-91300118D01* +X96796770Y-91308011D01* +X96812603Y-91317501D01* +X96827429Y-91328497D01* +X96841107Y-91340893D01* +X96853503Y-91354571D01* +X96864499Y-91369397D01* +X96873989Y-91385230D01* +X96881882Y-91401917D01* +X96888100Y-91419297D01* +X96892586Y-91437204D01* +X96895294Y-91455463D01* +X96896200Y-91473900D01* +X96896200Y-95250100D01* +X96895294Y-95268537D01* +X96892586Y-95286796D01* +X96888100Y-95304703D01* +X96881882Y-95322083D01* +X96873989Y-95338770D01* +X96864499Y-95354603D01* +X96853503Y-95369429D01* +X96841107Y-95383107D01* +X96827429Y-95395503D01* +X96812603Y-95406499D01* +X96796770Y-95415989D01* +X96780083Y-95423882D01* +X96762703Y-95430100D01* +X96744796Y-95434586D01* +X96726537Y-95437294D01* +X96708100Y-95438200D01* +X96331900Y-95438200D01* +X96313463Y-95437294D01* +X96295204Y-95434586D01* +X96277297Y-95430100D01* +X96259917Y-95423882D01* +X96243230Y-95415989D01* +X96227397Y-95406499D01* +X96212571Y-95395503D01* +X96198893Y-95383107D01* +X96186497Y-95369429D01* +X96175501Y-95354603D01* +X96166011Y-95338770D01* +X96158118Y-95322083D01* +X96151900Y-95304703D01* +X96147414Y-95286796D01* +X96144706Y-95268537D01* +X96143800Y-95250100D01* +X96143800Y-91473900D01* +X96144706Y-91455463D01* +X96147414Y-91437204D01* +X96151900Y-91419297D01* +X96158118Y-91401917D01* +X96166011Y-91385230D01* +X96175501Y-91369397D01* +X96186497Y-91354571D01* +X96198893Y-91340893D01* +X96212571Y-91328497D01* +X96227397Y-91317501D01* +X96243230Y-91308011D01* +X96259917Y-91300118D01* +X96277297Y-91293900D01* +X96295204Y-91289414D01* +X96313463Y-91286706D01* +X96331900Y-91285800D01* +X96708100Y-91285800D01* +X96726537Y-91286706D01* +X96726537Y-91286706D01* +G37* +D16* +X96520000Y-93362000D03* +D12* +G36* +X96726537Y-83886706D02* +G01* +X96744796Y-83889414D01* +X96762703Y-83893900D01* +X96780083Y-83900118D01* +X96796770Y-83908011D01* +X96812603Y-83917501D01* +X96827429Y-83928497D01* +X96841107Y-83940893D01* +X96853503Y-83954571D01* +X96864499Y-83969397D01* +X96873989Y-83985230D01* +X96881882Y-84001917D01* +X96888100Y-84019297D01* +X96892586Y-84037204D01* +X96895294Y-84055463D01* +X96896200Y-84073900D01* +X96896200Y-87850100D01* +X96895294Y-87868537D01* +X96892586Y-87886796D01* +X96888100Y-87904703D01* +X96881882Y-87922083D01* +X96873989Y-87938770D01* +X96864499Y-87954603D01* +X96853503Y-87969429D01* +X96841107Y-87983107D01* +X96827429Y-87995503D01* +X96812603Y-88006499D01* +X96796770Y-88015989D01* +X96780083Y-88023882D01* +X96762703Y-88030100D01* +X96744796Y-88034586D01* +X96726537Y-88037294D01* +X96708100Y-88038200D01* +X96331900Y-88038200D01* +X96313463Y-88037294D01* +X96295204Y-88034586D01* +X96277297Y-88030100D01* +X96259917Y-88023882D01* +X96243230Y-88015989D01* +X96227397Y-88006499D01* +X96212571Y-87995503D01* +X96198893Y-87983107D01* +X96186497Y-87969429D01* +X96175501Y-87954603D01* +X96166011Y-87938770D01* +X96158118Y-87922083D01* +X96151900Y-87904703D01* +X96147414Y-87886796D01* +X96144706Y-87868537D01* +X96143800Y-87850100D01* +X96143800Y-84073900D01* +X96144706Y-84055463D01* +X96147414Y-84037204D01* +X96151900Y-84019297D01* +X96158118Y-84001917D01* +X96166011Y-83985230D01* +X96175501Y-83969397D01* +X96186497Y-83954571D01* +X96198893Y-83940893D01* +X96212571Y-83928497D01* +X96227397Y-83917501D01* +X96243230Y-83908011D01* +X96259917Y-83900118D01* +X96277297Y-83893900D01* +X96295204Y-83889414D01* +X96313463Y-83886706D01* +X96331900Y-83885800D01* +X96708100Y-83885800D01* +X96726537Y-83886706D01* +X96726537Y-83886706D01* +G37* +D16* +X96520000Y-85962000D03* +D12* +G36* +X94186537Y-91286706D02* +G01* +X94204796Y-91289414D01* +X94222703Y-91293900D01* +X94240083Y-91300118D01* +X94256770Y-91308011D01* +X94272603Y-91317501D01* +X94287429Y-91328497D01* +X94301107Y-91340893D01* +X94313503Y-91354571D01* +X94324499Y-91369397D01* +X94333989Y-91385230D01* +X94341882Y-91401917D01* +X94348100Y-91419297D01* +X94352586Y-91437204D01* +X94355294Y-91455463D01* +X94356200Y-91473900D01* +X94356200Y-95250100D01* +X94355294Y-95268537D01* +X94352586Y-95286796D01* +X94348100Y-95304703D01* +X94341882Y-95322083D01* +X94333989Y-95338770D01* +X94324499Y-95354603D01* +X94313503Y-95369429D01* +X94301107Y-95383107D01* +X94287429Y-95395503D01* +X94272603Y-95406499D01* +X94256770Y-95415989D01* +X94240083Y-95423882D01* +X94222703Y-95430100D01* +X94204796Y-95434586D01* +X94186537Y-95437294D01* +X94168100Y-95438200D01* +X93791900Y-95438200D01* +X93773463Y-95437294D01* +X93755204Y-95434586D01* +X93737297Y-95430100D01* +X93719917Y-95423882D01* +X93703230Y-95415989D01* +X93687397Y-95406499D01* +X93672571Y-95395503D01* +X93658893Y-95383107D01* +X93646497Y-95369429D01* +X93635501Y-95354603D01* +X93626011Y-95338770D01* +X93618118Y-95322083D01* +X93611900Y-95304703D01* +X93607414Y-95286796D01* +X93604706Y-95268537D01* +X93603800Y-95250100D01* +X93603800Y-91473900D01* +X93604706Y-91455463D01* +X93607414Y-91437204D01* +X93611900Y-91419297D01* +X93618118Y-91401917D01* +X93626011Y-91385230D01* +X93635501Y-91369397D01* +X93646497Y-91354571D01* +X93658893Y-91340893D01* +X93672571Y-91328497D01* +X93687397Y-91317501D01* +X93703230Y-91308011D01* +X93719917Y-91300118D01* +X93737297Y-91293900D01* +X93755204Y-91289414D01* +X93773463Y-91286706D01* +X93791900Y-91285800D01* +X94168100Y-91285800D01* +X94186537Y-91286706D01* +X94186537Y-91286706D01* +G37* +D16* +X93980000Y-93362000D03* +D12* +G36* +X94186537Y-83886706D02* +G01* +X94204796Y-83889414D01* +X94222703Y-83893900D01* +X94240083Y-83900118D01* +X94256770Y-83908011D01* +X94272603Y-83917501D01* +X94287429Y-83928497D01* +X94301107Y-83940893D01* +X94313503Y-83954571D01* +X94324499Y-83969397D01* +X94333989Y-83985230D01* +X94341882Y-84001917D01* +X94348100Y-84019297D01* +X94352586Y-84037204D01* +X94355294Y-84055463D01* +X94356200Y-84073900D01* +X94356200Y-87850100D01* +X94355294Y-87868537D01* +X94352586Y-87886796D01* +X94348100Y-87904703D01* +X94341882Y-87922083D01* +X94333989Y-87938770D01* +X94324499Y-87954603D01* +X94313503Y-87969429D01* +X94301107Y-87983107D01* +X94287429Y-87995503D01* +X94272603Y-88006499D01* +X94256770Y-88015989D01* +X94240083Y-88023882D01* +X94222703Y-88030100D01* +X94204796Y-88034586D01* +X94186537Y-88037294D01* +X94168100Y-88038200D01* +X93791900Y-88038200D01* +X93773463Y-88037294D01* +X93755204Y-88034586D01* +X93737297Y-88030100D01* +X93719917Y-88023882D01* +X93703230Y-88015989D01* +X93687397Y-88006499D01* +X93672571Y-87995503D01* +X93658893Y-87983107D01* +X93646497Y-87969429D01* +X93635501Y-87954603D01* +X93626011Y-87938770D01* +X93618118Y-87922083D01* +X93611900Y-87904703D01* +X93607414Y-87886796D01* +X93604706Y-87868537D01* +X93603800Y-87850100D01* +X93603800Y-84073900D01* +X93604706Y-84055463D01* +X93607414Y-84037204D01* +X93611900Y-84019297D01* +X93618118Y-84001917D01* +X93626011Y-83985230D01* +X93635501Y-83969397D01* +X93646497Y-83954571D01* +X93658893Y-83940893D01* +X93672571Y-83928497D01* +X93687397Y-83917501D01* +X93703230Y-83908011D01* +X93719917Y-83900118D01* +X93737297Y-83893900D01* +X93755204Y-83889414D01* +X93773463Y-83886706D01* +X93791900Y-83885800D01* +X94168100Y-83885800D01* +X94186537Y-83886706D01* +X94186537Y-83886706D01* +G37* +D16* +X93980000Y-85962000D03* +D12* +G36* +X87836537Y-91286706D02* +G01* +X87854796Y-91289414D01* +X87872703Y-91293900D01* +X87890083Y-91300118D01* +X87906770Y-91308011D01* +X87922603Y-91317501D01* +X87937429Y-91328497D01* +X87951107Y-91340893D01* +X87963503Y-91354571D01* +X87974499Y-91369397D01* +X87983989Y-91385230D01* +X87991882Y-91401917D01* +X87998100Y-91419297D01* +X88002586Y-91437204D01* +X88005294Y-91455463D01* +X88006200Y-91473900D01* +X88006200Y-95250100D01* +X88005294Y-95268537D01* +X88002586Y-95286796D01* +X87998100Y-95304703D01* +X87991882Y-95322083D01* +X87983989Y-95338770D01* +X87974499Y-95354603D01* +X87963503Y-95369429D01* +X87951107Y-95383107D01* +X87937429Y-95395503D01* +X87922603Y-95406499D01* +X87906770Y-95415989D01* +X87890083Y-95423882D01* +X87872703Y-95430100D01* +X87854796Y-95434586D01* +X87836537Y-95437294D01* +X87818100Y-95438200D01* +X87441900Y-95438200D01* +X87423463Y-95437294D01* +X87405204Y-95434586D01* +X87387297Y-95430100D01* +X87369917Y-95423882D01* +X87353230Y-95415989D01* +X87337397Y-95406499D01* +X87322571Y-95395503D01* +X87308893Y-95383107D01* +X87296497Y-95369429D01* +X87285501Y-95354603D01* +X87276011Y-95338770D01* +X87268118Y-95322083D01* +X87261900Y-95304703D01* +X87257414Y-95286796D01* +X87254706Y-95268537D01* +X87253800Y-95250100D01* +X87253800Y-91473900D01* +X87254706Y-91455463D01* +X87257414Y-91437204D01* +X87261900Y-91419297D01* +X87268118Y-91401917D01* +X87276011Y-91385230D01* +X87285501Y-91369397D01* +X87296497Y-91354571D01* +X87308893Y-91340893D01* +X87322571Y-91328497D01* +X87337397Y-91317501D01* +X87353230Y-91308011D01* +X87369917Y-91300118D01* +X87387297Y-91293900D01* +X87405204Y-91289414D01* +X87423463Y-91286706D01* +X87441900Y-91285800D01* +X87818100Y-91285800D01* +X87836537Y-91286706D01* +X87836537Y-91286706D01* +G37* +D16* +X87630000Y-93362000D03* +D12* +G36* +X89106537Y-91286706D02* +G01* +X89124796Y-91289414D01* +X89142703Y-91293900D01* +X89160083Y-91300118D01* +X89176770Y-91308011D01* +X89192603Y-91317501D01* +X89207429Y-91328497D01* +X89221107Y-91340893D01* +X89233503Y-91354571D01* +X89244499Y-91369397D01* +X89253989Y-91385230D01* +X89261882Y-91401917D01* +X89268100Y-91419297D01* +X89272586Y-91437204D01* +X89275294Y-91455463D01* +X89276200Y-91473900D01* +X89276200Y-95250100D01* +X89275294Y-95268537D01* +X89272586Y-95286796D01* +X89268100Y-95304703D01* +X89261882Y-95322083D01* +X89253989Y-95338770D01* +X89244499Y-95354603D01* +X89233503Y-95369429D01* +X89221107Y-95383107D01* +X89207429Y-95395503D01* +X89192603Y-95406499D01* +X89176770Y-95415989D01* +X89160083Y-95423882D01* +X89142703Y-95430100D01* +X89124796Y-95434586D01* +X89106537Y-95437294D01* +X89088100Y-95438200D01* +X88711900Y-95438200D01* +X88693463Y-95437294D01* +X88675204Y-95434586D01* +X88657297Y-95430100D01* +X88639917Y-95423882D01* +X88623230Y-95415989D01* +X88607397Y-95406499D01* +X88592571Y-95395503D01* +X88578893Y-95383107D01* +X88566497Y-95369429D01* +X88555501Y-95354603D01* +X88546011Y-95338770D01* +X88538118Y-95322083D01* +X88531900Y-95304703D01* +X88527414Y-95286796D01* +X88524706Y-95268537D01* +X88523800Y-95250100D01* +X88523800Y-91473900D01* +X88524706Y-91455463D01* +X88527414Y-91437204D01* +X88531900Y-91419297D01* +X88538118Y-91401917D01* +X88546011Y-91385230D01* +X88555501Y-91369397D01* +X88566497Y-91354571D01* +X88578893Y-91340893D01* +X88592571Y-91328497D01* +X88607397Y-91317501D01* +X88623230Y-91308011D01* +X88639917Y-91300118D01* +X88657297Y-91293900D01* +X88675204Y-91289414D01* +X88693463Y-91286706D01* +X88711900Y-91285800D01* +X89088100Y-91285800D01* +X89106537Y-91286706D01* +X89106537Y-91286706D01* +G37* +D16* +X88900000Y-93362000D03* +D12* +G36* +X90376537Y-91286706D02* +G01* +X90394796Y-91289414D01* +X90412703Y-91293900D01* +X90430083Y-91300118D01* +X90446770Y-91308011D01* +X90462603Y-91317501D01* +X90477429Y-91328497D01* +X90491107Y-91340893D01* +X90503503Y-91354571D01* +X90514499Y-91369397D01* +X90523989Y-91385230D01* +X90531882Y-91401917D01* +X90538100Y-91419297D01* +X90542586Y-91437204D01* +X90545294Y-91455463D01* +X90546200Y-91473900D01* +X90546200Y-95250100D01* +X90545294Y-95268537D01* +X90542586Y-95286796D01* +X90538100Y-95304703D01* +X90531882Y-95322083D01* +X90523989Y-95338770D01* +X90514499Y-95354603D01* +X90503503Y-95369429D01* +X90491107Y-95383107D01* +X90477429Y-95395503D01* +X90462603Y-95406499D01* +X90446770Y-95415989D01* +X90430083Y-95423882D01* +X90412703Y-95430100D01* +X90394796Y-95434586D01* +X90376537Y-95437294D01* +X90358100Y-95438200D01* +X89981900Y-95438200D01* +X89963463Y-95437294D01* +X89945204Y-95434586D01* +X89927297Y-95430100D01* +X89909917Y-95423882D01* +X89893230Y-95415989D01* +X89877397Y-95406499D01* +X89862571Y-95395503D01* +X89848893Y-95383107D01* +X89836497Y-95369429D01* +X89825501Y-95354603D01* +X89816011Y-95338770D01* +X89808118Y-95322083D01* +X89801900Y-95304703D01* +X89797414Y-95286796D01* +X89794706Y-95268537D01* +X89793800Y-95250100D01* +X89793800Y-91473900D01* +X89794706Y-91455463D01* +X89797414Y-91437204D01* +X89801900Y-91419297D01* +X89808118Y-91401917D01* +X89816011Y-91385230D01* +X89825501Y-91369397D01* +X89836497Y-91354571D01* +X89848893Y-91340893D01* +X89862571Y-91328497D01* +X89877397Y-91317501D01* +X89893230Y-91308011D01* +X89909917Y-91300118D01* +X89927297Y-91293900D01* +X89945204Y-91289414D01* +X89963463Y-91286706D01* +X89981900Y-91285800D01* +X90358100Y-91285800D01* +X90376537Y-91286706D01* +X90376537Y-91286706D01* +G37* +D16* +X90170000Y-93362000D03* +D12* +G36* +X91646537Y-91286706D02* +G01* +X91664796Y-91289414D01* +X91682703Y-91293900D01* +X91700083Y-91300118D01* +X91716770Y-91308011D01* +X91732603Y-91317501D01* +X91747429Y-91328497D01* +X91761107Y-91340893D01* +X91773503Y-91354571D01* +X91784499Y-91369397D01* +X91793989Y-91385230D01* +X91801882Y-91401917D01* +X91808100Y-91419297D01* +X91812586Y-91437204D01* +X91815294Y-91455463D01* +X91816200Y-91473900D01* +X91816200Y-95250100D01* +X91815294Y-95268537D01* +X91812586Y-95286796D01* +X91808100Y-95304703D01* +X91801882Y-95322083D01* +X91793989Y-95338770D01* +X91784499Y-95354603D01* +X91773503Y-95369429D01* +X91761107Y-95383107D01* +X91747429Y-95395503D01* +X91732603Y-95406499D01* +X91716770Y-95415989D01* +X91700083Y-95423882D01* +X91682703Y-95430100D01* +X91664796Y-95434586D01* +X91646537Y-95437294D01* +X91628100Y-95438200D01* +X91251900Y-95438200D01* +X91233463Y-95437294D01* +X91215204Y-95434586D01* +X91197297Y-95430100D01* +X91179917Y-95423882D01* +X91163230Y-95415989D01* +X91147397Y-95406499D01* +X91132571Y-95395503D01* +X91118893Y-95383107D01* +X91106497Y-95369429D01* +X91095501Y-95354603D01* +X91086011Y-95338770D01* +X91078118Y-95322083D01* +X91071900Y-95304703D01* +X91067414Y-95286796D01* +X91064706Y-95268537D01* +X91063800Y-95250100D01* +X91063800Y-91473900D01* +X91064706Y-91455463D01* +X91067414Y-91437204D01* +X91071900Y-91419297D01* +X91078118Y-91401917D01* +X91086011Y-91385230D01* +X91095501Y-91369397D01* +X91106497Y-91354571D01* +X91118893Y-91340893D01* +X91132571Y-91328497D01* +X91147397Y-91317501D01* +X91163230Y-91308011D01* +X91179917Y-91300118D01* +X91197297Y-91293900D01* +X91215204Y-91289414D01* +X91233463Y-91286706D01* +X91251900Y-91285800D01* +X91628100Y-91285800D01* +X91646537Y-91286706D01* +X91646537Y-91286706D01* +G37* +D16* +X91440000Y-93362000D03* +D12* +G36* +X92916537Y-91286706D02* +G01* +X92934796Y-91289414D01* +X92952703Y-91293900D01* +X92970083Y-91300118D01* +X92986770Y-91308011D01* +X93002603Y-91317501D01* +X93017429Y-91328497D01* +X93031107Y-91340893D01* +X93043503Y-91354571D01* +X93054499Y-91369397D01* +X93063989Y-91385230D01* +X93071882Y-91401917D01* +X93078100Y-91419297D01* +X93082586Y-91437204D01* +X93085294Y-91455463D01* +X93086200Y-91473900D01* +X93086200Y-95250100D01* +X93085294Y-95268537D01* +X93082586Y-95286796D01* +X93078100Y-95304703D01* +X93071882Y-95322083D01* +X93063989Y-95338770D01* +X93054499Y-95354603D01* +X93043503Y-95369429D01* +X93031107Y-95383107D01* +X93017429Y-95395503D01* +X93002603Y-95406499D01* +X92986770Y-95415989D01* +X92970083Y-95423882D01* +X92952703Y-95430100D01* +X92934796Y-95434586D01* +X92916537Y-95437294D01* +X92898100Y-95438200D01* +X92521900Y-95438200D01* +X92503463Y-95437294D01* +X92485204Y-95434586D01* +X92467297Y-95430100D01* +X92449917Y-95423882D01* +X92433230Y-95415989D01* +X92417397Y-95406499D01* +X92402571Y-95395503D01* +X92388893Y-95383107D01* +X92376497Y-95369429D01* +X92365501Y-95354603D01* +X92356011Y-95338770D01* +X92348118Y-95322083D01* +X92341900Y-95304703D01* +X92337414Y-95286796D01* +X92334706Y-95268537D01* +X92333800Y-95250100D01* +X92333800Y-91473900D01* +X92334706Y-91455463D01* +X92337414Y-91437204D01* +X92341900Y-91419297D01* +X92348118Y-91401917D01* +X92356011Y-91385230D01* +X92365501Y-91369397D01* +X92376497Y-91354571D01* +X92388893Y-91340893D01* +X92402571Y-91328497D01* +X92417397Y-91317501D01* +X92433230Y-91308011D01* +X92449917Y-91300118D01* +X92467297Y-91293900D01* +X92485204Y-91289414D01* +X92503463Y-91286706D01* +X92521900Y-91285800D01* +X92898100Y-91285800D01* +X92916537Y-91286706D01* +X92916537Y-91286706D01* +G37* +D16* +X92710000Y-93362000D03* +D12* +G36* +X97996537Y-91286706D02* +G01* +X98014796Y-91289414D01* +X98032703Y-91293900D01* +X98050083Y-91300118D01* +X98066770Y-91308011D01* +X98082603Y-91317501D01* +X98097429Y-91328497D01* +X98111107Y-91340893D01* +X98123503Y-91354571D01* +X98134499Y-91369397D01* +X98143989Y-91385230D01* +X98151882Y-91401917D01* +X98158100Y-91419297D01* +X98162586Y-91437204D01* +X98165294Y-91455463D01* +X98166200Y-91473900D01* +X98166200Y-95250100D01* +X98165294Y-95268537D01* +X98162586Y-95286796D01* +X98158100Y-95304703D01* +X98151882Y-95322083D01* +X98143989Y-95338770D01* +X98134499Y-95354603D01* +X98123503Y-95369429D01* +X98111107Y-95383107D01* +X98097429Y-95395503D01* +X98082603Y-95406499D01* +X98066770Y-95415989D01* +X98050083Y-95423882D01* +X98032703Y-95430100D01* +X98014796Y-95434586D01* +X97996537Y-95437294D01* +X97978100Y-95438200D01* +X97601900Y-95438200D01* +X97583463Y-95437294D01* +X97565204Y-95434586D01* +X97547297Y-95430100D01* +X97529917Y-95423882D01* +X97513230Y-95415989D01* +X97497397Y-95406499D01* +X97482571Y-95395503D01* +X97468893Y-95383107D01* +X97456497Y-95369429D01* +X97445501Y-95354603D01* +X97436011Y-95338770D01* +X97428118Y-95322083D01* +X97421900Y-95304703D01* +X97417414Y-95286796D01* +X97414706Y-95268537D01* +X97413800Y-95250100D01* +X97413800Y-91473900D01* +X97414706Y-91455463D01* +X97417414Y-91437204D01* +X97421900Y-91419297D01* +X97428118Y-91401917D01* +X97436011Y-91385230D01* +X97445501Y-91369397D01* +X97456497Y-91354571D01* +X97468893Y-91340893D01* +X97482571Y-91328497D01* +X97497397Y-91317501D01* +X97513230Y-91308011D01* +X97529917Y-91300118D01* +X97547297Y-91293900D01* +X97565204Y-91289414D01* +X97583463Y-91286706D01* +X97601900Y-91285800D01* +X97978100Y-91285800D01* +X97996537Y-91286706D01* +X97996537Y-91286706D01* +G37* +D16* +X97790000Y-93362000D03* +D12* +G36* +X99266537Y-91286706D02* +G01* +X99284796Y-91289414D01* +X99302703Y-91293900D01* +X99320083Y-91300118D01* +X99336770Y-91308011D01* +X99352603Y-91317501D01* +X99367429Y-91328497D01* +X99381107Y-91340893D01* +X99393503Y-91354571D01* +X99404499Y-91369397D01* +X99413989Y-91385230D01* +X99421882Y-91401917D01* +X99428100Y-91419297D01* +X99432586Y-91437204D01* +X99435294Y-91455463D01* +X99436200Y-91473900D01* +X99436200Y-95250100D01* +X99435294Y-95268537D01* +X99432586Y-95286796D01* +X99428100Y-95304703D01* +X99421882Y-95322083D01* +X99413989Y-95338770D01* +X99404499Y-95354603D01* +X99393503Y-95369429D01* +X99381107Y-95383107D01* +X99367429Y-95395503D01* +X99352603Y-95406499D01* +X99336770Y-95415989D01* +X99320083Y-95423882D01* +X99302703Y-95430100D01* +X99284796Y-95434586D01* +X99266537Y-95437294D01* +X99248100Y-95438200D01* +X98871900Y-95438200D01* +X98853463Y-95437294D01* +X98835204Y-95434586D01* +X98817297Y-95430100D01* +X98799917Y-95423882D01* +X98783230Y-95415989D01* +X98767397Y-95406499D01* +X98752571Y-95395503D01* +X98738893Y-95383107D01* +X98726497Y-95369429D01* +X98715501Y-95354603D01* +X98706011Y-95338770D01* +X98698118Y-95322083D01* +X98691900Y-95304703D01* +X98687414Y-95286796D01* +X98684706Y-95268537D01* +X98683800Y-95250100D01* +X98683800Y-91473900D01* +X98684706Y-91455463D01* +X98687414Y-91437204D01* +X98691900Y-91419297D01* +X98698118Y-91401917D01* +X98706011Y-91385230D01* +X98715501Y-91369397D01* +X98726497Y-91354571D01* +X98738893Y-91340893D01* +X98752571Y-91328497D01* +X98767397Y-91317501D01* +X98783230Y-91308011D01* +X98799917Y-91300118D01* +X98817297Y-91293900D01* +X98835204Y-91289414D01* +X98853463Y-91286706D01* +X98871900Y-91285800D01* +X99248100Y-91285800D01* +X99266537Y-91286706D01* +X99266537Y-91286706D01* +G37* +D16* +X99060000Y-93362000D03* +D12* +G36* +X100536537Y-91286706D02* +G01* +X100554796Y-91289414D01* +X100572703Y-91293900D01* +X100590083Y-91300118D01* +X100606770Y-91308011D01* +X100622603Y-91317501D01* +X100637429Y-91328497D01* +X100651107Y-91340893D01* +X100663503Y-91354571D01* +X100674499Y-91369397D01* +X100683989Y-91385230D01* +X100691882Y-91401917D01* +X100698100Y-91419297D01* +X100702586Y-91437204D01* +X100705294Y-91455463D01* +X100706200Y-91473900D01* +X100706200Y-95250100D01* +X100705294Y-95268537D01* +X100702586Y-95286796D01* +X100698100Y-95304703D01* +X100691882Y-95322083D01* +X100683989Y-95338770D01* +X100674499Y-95354603D01* +X100663503Y-95369429D01* +X100651107Y-95383107D01* +X100637429Y-95395503D01* +X100622603Y-95406499D01* +X100606770Y-95415989D01* +X100590083Y-95423882D01* +X100572703Y-95430100D01* +X100554796Y-95434586D01* +X100536537Y-95437294D01* +X100518100Y-95438200D01* +X100141900Y-95438200D01* +X100123463Y-95437294D01* +X100105204Y-95434586D01* +X100087297Y-95430100D01* +X100069917Y-95423882D01* +X100053230Y-95415989D01* +X100037397Y-95406499D01* +X100022571Y-95395503D01* +X100008893Y-95383107D01* +X99996497Y-95369429D01* +X99985501Y-95354603D01* +X99976011Y-95338770D01* +X99968118Y-95322083D01* +X99961900Y-95304703D01* +X99957414Y-95286796D01* +X99954706Y-95268537D01* +X99953800Y-95250100D01* +X99953800Y-91473900D01* +X99954706Y-91455463D01* +X99957414Y-91437204D01* +X99961900Y-91419297D01* +X99968118Y-91401917D01* +X99976011Y-91385230D01* +X99985501Y-91369397D01* +X99996497Y-91354571D01* +X100008893Y-91340893D01* +X100022571Y-91328497D01* +X100037397Y-91317501D01* +X100053230Y-91308011D01* +X100069917Y-91300118D01* +X100087297Y-91293900D01* +X100105204Y-91289414D01* +X100123463Y-91286706D01* +X100141900Y-91285800D01* +X100518100Y-91285800D01* +X100536537Y-91286706D01* +X100536537Y-91286706D01* +G37* +D16* +X100330000Y-93362000D03* +D12* +G36* +X101806537Y-91286706D02* +G01* +X101824796Y-91289414D01* +X101842703Y-91293900D01* +X101860083Y-91300118D01* +X101876770Y-91308011D01* +X101892603Y-91317501D01* +X101907429Y-91328497D01* +X101921107Y-91340893D01* +X101933503Y-91354571D01* +X101944499Y-91369397D01* +X101953989Y-91385230D01* +X101961882Y-91401917D01* +X101968100Y-91419297D01* +X101972586Y-91437204D01* +X101975294Y-91455463D01* +X101976200Y-91473900D01* +X101976200Y-95250100D01* +X101975294Y-95268537D01* +X101972586Y-95286796D01* +X101968100Y-95304703D01* +X101961882Y-95322083D01* +X101953989Y-95338770D01* +X101944499Y-95354603D01* +X101933503Y-95369429D01* +X101921107Y-95383107D01* +X101907429Y-95395503D01* +X101892603Y-95406499D01* +X101876770Y-95415989D01* +X101860083Y-95423882D01* +X101842703Y-95430100D01* +X101824796Y-95434586D01* +X101806537Y-95437294D01* +X101788100Y-95438200D01* +X101411900Y-95438200D01* +X101393463Y-95437294D01* +X101375204Y-95434586D01* +X101357297Y-95430100D01* +X101339917Y-95423882D01* +X101323230Y-95415989D01* +X101307397Y-95406499D01* +X101292571Y-95395503D01* +X101278893Y-95383107D01* +X101266497Y-95369429D01* +X101255501Y-95354603D01* +X101246011Y-95338770D01* +X101238118Y-95322083D01* +X101231900Y-95304703D01* +X101227414Y-95286796D01* +X101224706Y-95268537D01* +X101223800Y-95250100D01* +X101223800Y-91473900D01* +X101224706Y-91455463D01* +X101227414Y-91437204D01* +X101231900Y-91419297D01* +X101238118Y-91401917D01* +X101246011Y-91385230D01* +X101255501Y-91369397D01* +X101266497Y-91354571D01* +X101278893Y-91340893D01* +X101292571Y-91328497D01* +X101307397Y-91317501D01* +X101323230Y-91308011D01* +X101339917Y-91300118D01* +X101357297Y-91293900D01* +X101375204Y-91289414D01* +X101393463Y-91286706D01* +X101411900Y-91285800D01* +X101788100Y-91285800D01* +X101806537Y-91286706D01* +X101806537Y-91286706D01* +G37* +D16* +X101600000Y-93362000D03* +D12* +G36* +X103076537Y-91286706D02* +G01* +X103094796Y-91289414D01* +X103112703Y-91293900D01* +X103130083Y-91300118D01* +X103146770Y-91308011D01* +X103162603Y-91317501D01* +X103177429Y-91328497D01* +X103191107Y-91340893D01* +X103203503Y-91354571D01* +X103214499Y-91369397D01* +X103223989Y-91385230D01* +X103231882Y-91401917D01* +X103238100Y-91419297D01* +X103242586Y-91437204D01* +X103245294Y-91455463D01* +X103246200Y-91473900D01* +X103246200Y-95250100D01* +X103245294Y-95268537D01* +X103242586Y-95286796D01* +X103238100Y-95304703D01* +X103231882Y-95322083D01* +X103223989Y-95338770D01* +X103214499Y-95354603D01* +X103203503Y-95369429D01* +X103191107Y-95383107D01* +X103177429Y-95395503D01* +X103162603Y-95406499D01* +X103146770Y-95415989D01* +X103130083Y-95423882D01* +X103112703Y-95430100D01* +X103094796Y-95434586D01* +X103076537Y-95437294D01* +X103058100Y-95438200D01* +X102681900Y-95438200D01* +X102663463Y-95437294D01* +X102645204Y-95434586D01* +X102627297Y-95430100D01* +X102609917Y-95423882D01* +X102593230Y-95415989D01* +X102577397Y-95406499D01* +X102562571Y-95395503D01* +X102548893Y-95383107D01* +X102536497Y-95369429D01* +X102525501Y-95354603D01* +X102516011Y-95338770D01* +X102508118Y-95322083D01* +X102501900Y-95304703D01* +X102497414Y-95286796D01* +X102494706Y-95268537D01* +X102493800Y-95250100D01* +X102493800Y-91473900D01* +X102494706Y-91455463D01* +X102497414Y-91437204D01* +X102501900Y-91419297D01* +X102508118Y-91401917D01* +X102516011Y-91385230D01* +X102525501Y-91369397D01* +X102536497Y-91354571D01* +X102548893Y-91340893D01* +X102562571Y-91328497D01* +X102577397Y-91317501D01* +X102593230Y-91308011D01* +X102609917Y-91300118D01* +X102627297Y-91293900D01* +X102645204Y-91289414D01* +X102663463Y-91286706D01* +X102681900Y-91285800D01* +X103058100Y-91285800D01* +X103076537Y-91286706D01* +X103076537Y-91286706D01* +G37* +D16* +X102870000Y-93362000D03* +D12* +G36* +X101806537Y-83886706D02* +G01* +X101824796Y-83889414D01* +X101842703Y-83893900D01* +X101860083Y-83900118D01* +X101876770Y-83908011D01* +X101892603Y-83917501D01* +X101907429Y-83928497D01* +X101921107Y-83940893D01* +X101933503Y-83954571D01* +X101944499Y-83969397D01* +X101953989Y-83985230D01* +X101961882Y-84001917D01* +X101968100Y-84019297D01* +X101972586Y-84037204D01* +X101975294Y-84055463D01* +X101976200Y-84073900D01* +X101976200Y-87850100D01* +X101975294Y-87868537D01* +X101972586Y-87886796D01* +X101968100Y-87904703D01* +X101961882Y-87922083D01* +X101953989Y-87938770D01* +X101944499Y-87954603D01* +X101933503Y-87969429D01* +X101921107Y-87983107D01* +X101907429Y-87995503D01* +X101892603Y-88006499D01* +X101876770Y-88015989D01* +X101860083Y-88023882D01* +X101842703Y-88030100D01* +X101824796Y-88034586D01* +X101806537Y-88037294D01* +X101788100Y-88038200D01* +X101411900Y-88038200D01* +X101393463Y-88037294D01* +X101375204Y-88034586D01* +X101357297Y-88030100D01* +X101339917Y-88023882D01* +X101323230Y-88015989D01* +X101307397Y-88006499D01* +X101292571Y-87995503D01* +X101278893Y-87983107D01* +X101266497Y-87969429D01* +X101255501Y-87954603D01* +X101246011Y-87938770D01* +X101238118Y-87922083D01* +X101231900Y-87904703D01* +X101227414Y-87886796D01* +X101224706Y-87868537D01* +X101223800Y-87850100D01* +X101223800Y-84073900D01* +X101224706Y-84055463D01* +X101227414Y-84037204D01* +X101231900Y-84019297D01* +X101238118Y-84001917D01* +X101246011Y-83985230D01* +X101255501Y-83969397D01* +X101266497Y-83954571D01* +X101278893Y-83940893D01* +X101292571Y-83928497D01* +X101307397Y-83917501D01* +X101323230Y-83908011D01* +X101339917Y-83900118D01* +X101357297Y-83893900D01* +X101375204Y-83889414D01* +X101393463Y-83886706D01* +X101411900Y-83885800D01* +X101788100Y-83885800D01* +X101806537Y-83886706D01* +X101806537Y-83886706D01* +G37* +D16* +X101600000Y-85962000D03* +D12* +G36* +X100536537Y-83886706D02* +G01* +X100554796Y-83889414D01* +X100572703Y-83893900D01* +X100590083Y-83900118D01* +X100606770Y-83908011D01* +X100622603Y-83917501D01* +X100637429Y-83928497D01* +X100651107Y-83940893D01* +X100663503Y-83954571D01* +X100674499Y-83969397D01* +X100683989Y-83985230D01* +X100691882Y-84001917D01* +X100698100Y-84019297D01* +X100702586Y-84037204D01* +X100705294Y-84055463D01* +X100706200Y-84073900D01* +X100706200Y-87850100D01* +X100705294Y-87868537D01* +X100702586Y-87886796D01* +X100698100Y-87904703D01* +X100691882Y-87922083D01* +X100683989Y-87938770D01* +X100674499Y-87954603D01* +X100663503Y-87969429D01* +X100651107Y-87983107D01* +X100637429Y-87995503D01* +X100622603Y-88006499D01* +X100606770Y-88015989D01* +X100590083Y-88023882D01* +X100572703Y-88030100D01* +X100554796Y-88034586D01* +X100536537Y-88037294D01* +X100518100Y-88038200D01* +X100141900Y-88038200D01* +X100123463Y-88037294D01* +X100105204Y-88034586D01* +X100087297Y-88030100D01* +X100069917Y-88023882D01* +X100053230Y-88015989D01* +X100037397Y-88006499D01* +X100022571Y-87995503D01* +X100008893Y-87983107D01* +X99996497Y-87969429D01* +X99985501Y-87954603D01* +X99976011Y-87938770D01* +X99968118Y-87922083D01* +X99961900Y-87904703D01* +X99957414Y-87886796D01* +X99954706Y-87868537D01* +X99953800Y-87850100D01* +X99953800Y-84073900D01* +X99954706Y-84055463D01* +X99957414Y-84037204D01* +X99961900Y-84019297D01* +X99968118Y-84001917D01* +X99976011Y-83985230D01* +X99985501Y-83969397D01* +X99996497Y-83954571D01* +X100008893Y-83940893D01* +X100022571Y-83928497D01* +X100037397Y-83917501D01* +X100053230Y-83908011D01* +X100069917Y-83900118D01* +X100087297Y-83893900D01* +X100105204Y-83889414D01* +X100123463Y-83886706D01* +X100141900Y-83885800D01* +X100518100Y-83885800D01* +X100536537Y-83886706D01* +X100536537Y-83886706D01* +G37* +D16* +X100330000Y-85962000D03* +D12* +G36* +X99266537Y-83886706D02* +G01* +X99284796Y-83889414D01* +X99302703Y-83893900D01* +X99320083Y-83900118D01* +X99336770Y-83908011D01* +X99352603Y-83917501D01* +X99367429Y-83928497D01* +X99381107Y-83940893D01* +X99393503Y-83954571D01* +X99404499Y-83969397D01* +X99413989Y-83985230D01* +X99421882Y-84001917D01* +X99428100Y-84019297D01* +X99432586Y-84037204D01* +X99435294Y-84055463D01* +X99436200Y-84073900D01* +X99436200Y-87850100D01* +X99435294Y-87868537D01* +X99432586Y-87886796D01* +X99428100Y-87904703D01* +X99421882Y-87922083D01* +X99413989Y-87938770D01* +X99404499Y-87954603D01* +X99393503Y-87969429D01* +X99381107Y-87983107D01* +X99367429Y-87995503D01* +X99352603Y-88006499D01* +X99336770Y-88015989D01* +X99320083Y-88023882D01* +X99302703Y-88030100D01* +X99284796Y-88034586D01* +X99266537Y-88037294D01* +X99248100Y-88038200D01* +X98871900Y-88038200D01* +X98853463Y-88037294D01* +X98835204Y-88034586D01* +X98817297Y-88030100D01* +X98799917Y-88023882D01* +X98783230Y-88015989D01* +X98767397Y-88006499D01* +X98752571Y-87995503D01* +X98738893Y-87983107D01* +X98726497Y-87969429D01* +X98715501Y-87954603D01* +X98706011Y-87938770D01* +X98698118Y-87922083D01* +X98691900Y-87904703D01* +X98687414Y-87886796D01* +X98684706Y-87868537D01* +X98683800Y-87850100D01* +X98683800Y-84073900D01* +X98684706Y-84055463D01* +X98687414Y-84037204D01* +X98691900Y-84019297D01* +X98698118Y-84001917D01* +X98706011Y-83985230D01* +X98715501Y-83969397D01* +X98726497Y-83954571D01* +X98738893Y-83940893D01* +X98752571Y-83928497D01* +X98767397Y-83917501D01* +X98783230Y-83908011D01* +X98799917Y-83900118D01* +X98817297Y-83893900D01* +X98835204Y-83889414D01* +X98853463Y-83886706D01* +X98871900Y-83885800D01* +X99248100Y-83885800D01* +X99266537Y-83886706D01* +X99266537Y-83886706D01* +G37* +D16* +X99060000Y-85962000D03* +D12* +G36* +X97996537Y-83886706D02* +G01* +X98014796Y-83889414D01* +X98032703Y-83893900D01* +X98050083Y-83900118D01* +X98066770Y-83908011D01* +X98082603Y-83917501D01* +X98097429Y-83928497D01* +X98111107Y-83940893D01* +X98123503Y-83954571D01* +X98134499Y-83969397D01* +X98143989Y-83985230D01* +X98151882Y-84001917D01* +X98158100Y-84019297D01* +X98162586Y-84037204D01* +X98165294Y-84055463D01* +X98166200Y-84073900D01* +X98166200Y-87850100D01* +X98165294Y-87868537D01* +X98162586Y-87886796D01* +X98158100Y-87904703D01* +X98151882Y-87922083D01* +X98143989Y-87938770D01* +X98134499Y-87954603D01* +X98123503Y-87969429D01* +X98111107Y-87983107D01* +X98097429Y-87995503D01* +X98082603Y-88006499D01* +X98066770Y-88015989D01* +X98050083Y-88023882D01* +X98032703Y-88030100D01* +X98014796Y-88034586D01* +X97996537Y-88037294D01* +X97978100Y-88038200D01* +X97601900Y-88038200D01* +X97583463Y-88037294D01* +X97565204Y-88034586D01* +X97547297Y-88030100D01* +X97529917Y-88023882D01* +X97513230Y-88015989D01* +X97497397Y-88006499D01* +X97482571Y-87995503D01* +X97468893Y-87983107D01* +X97456497Y-87969429D01* +X97445501Y-87954603D01* +X97436011Y-87938770D01* +X97428118Y-87922083D01* +X97421900Y-87904703D01* +X97417414Y-87886796D01* +X97414706Y-87868537D01* +X97413800Y-87850100D01* +X97413800Y-84073900D01* +X97414706Y-84055463D01* +X97417414Y-84037204D01* +X97421900Y-84019297D01* +X97428118Y-84001917D01* +X97436011Y-83985230D01* +X97445501Y-83969397D01* +X97456497Y-83954571D01* +X97468893Y-83940893D01* +X97482571Y-83928497D01* +X97497397Y-83917501D01* +X97513230Y-83908011D01* +X97529917Y-83900118D01* +X97547297Y-83893900D01* +X97565204Y-83889414D01* +X97583463Y-83886706D01* +X97601900Y-83885800D01* +X97978100Y-83885800D01* +X97996537Y-83886706D01* +X97996537Y-83886706D01* +G37* +D16* +X97790000Y-85962000D03* +D12* +G36* +X92916537Y-83886706D02* +G01* +X92934796Y-83889414D01* +X92952703Y-83893900D01* +X92970083Y-83900118D01* +X92986770Y-83908011D01* +X93002603Y-83917501D01* +X93017429Y-83928497D01* +X93031107Y-83940893D01* +X93043503Y-83954571D01* +X93054499Y-83969397D01* +X93063989Y-83985230D01* +X93071882Y-84001917D01* +X93078100Y-84019297D01* +X93082586Y-84037204D01* +X93085294Y-84055463D01* +X93086200Y-84073900D01* +X93086200Y-87850100D01* +X93085294Y-87868537D01* +X93082586Y-87886796D01* +X93078100Y-87904703D01* +X93071882Y-87922083D01* +X93063989Y-87938770D01* +X93054499Y-87954603D01* +X93043503Y-87969429D01* +X93031107Y-87983107D01* +X93017429Y-87995503D01* +X93002603Y-88006499D01* +X92986770Y-88015989D01* +X92970083Y-88023882D01* +X92952703Y-88030100D01* +X92934796Y-88034586D01* +X92916537Y-88037294D01* +X92898100Y-88038200D01* +X92521900Y-88038200D01* +X92503463Y-88037294D01* +X92485204Y-88034586D01* +X92467297Y-88030100D01* +X92449917Y-88023882D01* +X92433230Y-88015989D01* +X92417397Y-88006499D01* +X92402571Y-87995503D01* +X92388893Y-87983107D01* +X92376497Y-87969429D01* +X92365501Y-87954603D01* +X92356011Y-87938770D01* +X92348118Y-87922083D01* +X92341900Y-87904703D01* +X92337414Y-87886796D01* +X92334706Y-87868537D01* +X92333800Y-87850100D01* +X92333800Y-84073900D01* +X92334706Y-84055463D01* +X92337414Y-84037204D01* +X92341900Y-84019297D01* +X92348118Y-84001917D01* +X92356011Y-83985230D01* +X92365501Y-83969397D01* +X92376497Y-83954571D01* +X92388893Y-83940893D01* +X92402571Y-83928497D01* +X92417397Y-83917501D01* +X92433230Y-83908011D01* +X92449917Y-83900118D01* +X92467297Y-83893900D01* +X92485204Y-83889414D01* +X92503463Y-83886706D01* +X92521900Y-83885800D01* +X92898100Y-83885800D01* +X92916537Y-83886706D01* +X92916537Y-83886706D01* +G37* +D16* +X92710000Y-85962000D03* +D12* +G36* +X91646537Y-83886706D02* +G01* +X91664796Y-83889414D01* +X91682703Y-83893900D01* +X91700083Y-83900118D01* +X91716770Y-83908011D01* +X91732603Y-83917501D01* +X91747429Y-83928497D01* +X91761107Y-83940893D01* +X91773503Y-83954571D01* +X91784499Y-83969397D01* +X91793989Y-83985230D01* +X91801882Y-84001917D01* +X91808100Y-84019297D01* +X91812586Y-84037204D01* +X91815294Y-84055463D01* +X91816200Y-84073900D01* +X91816200Y-87850100D01* +X91815294Y-87868537D01* +X91812586Y-87886796D01* +X91808100Y-87904703D01* +X91801882Y-87922083D01* +X91793989Y-87938770D01* +X91784499Y-87954603D01* +X91773503Y-87969429D01* +X91761107Y-87983107D01* +X91747429Y-87995503D01* +X91732603Y-88006499D01* +X91716770Y-88015989D01* +X91700083Y-88023882D01* +X91682703Y-88030100D01* +X91664796Y-88034586D01* +X91646537Y-88037294D01* +X91628100Y-88038200D01* +X91251900Y-88038200D01* +X91233463Y-88037294D01* +X91215204Y-88034586D01* +X91197297Y-88030100D01* +X91179917Y-88023882D01* +X91163230Y-88015989D01* +X91147397Y-88006499D01* +X91132571Y-87995503D01* +X91118893Y-87983107D01* +X91106497Y-87969429D01* +X91095501Y-87954603D01* +X91086011Y-87938770D01* +X91078118Y-87922083D01* +X91071900Y-87904703D01* +X91067414Y-87886796D01* +X91064706Y-87868537D01* +X91063800Y-87850100D01* +X91063800Y-84073900D01* +X91064706Y-84055463D01* +X91067414Y-84037204D01* +X91071900Y-84019297D01* +X91078118Y-84001917D01* +X91086011Y-83985230D01* +X91095501Y-83969397D01* +X91106497Y-83954571D01* +X91118893Y-83940893D01* +X91132571Y-83928497D01* +X91147397Y-83917501D01* +X91163230Y-83908011D01* +X91179917Y-83900118D01* +X91197297Y-83893900D01* +X91215204Y-83889414D01* +X91233463Y-83886706D01* +X91251900Y-83885800D01* +X91628100Y-83885800D01* +X91646537Y-83886706D01* +X91646537Y-83886706D01* +G37* +D16* +X91440000Y-85962000D03* +D12* +G36* +X90376537Y-83886706D02* +G01* +X90394796Y-83889414D01* +X90412703Y-83893900D01* +X90430083Y-83900118D01* +X90446770Y-83908011D01* +X90462603Y-83917501D01* +X90477429Y-83928497D01* +X90491107Y-83940893D01* +X90503503Y-83954571D01* +X90514499Y-83969397D01* +X90523989Y-83985230D01* +X90531882Y-84001917D01* +X90538100Y-84019297D01* +X90542586Y-84037204D01* +X90545294Y-84055463D01* +X90546200Y-84073900D01* +X90546200Y-87850100D01* +X90545294Y-87868537D01* +X90542586Y-87886796D01* +X90538100Y-87904703D01* +X90531882Y-87922083D01* +X90523989Y-87938770D01* +X90514499Y-87954603D01* +X90503503Y-87969429D01* +X90491107Y-87983107D01* +X90477429Y-87995503D01* +X90462603Y-88006499D01* +X90446770Y-88015989D01* +X90430083Y-88023882D01* +X90412703Y-88030100D01* +X90394796Y-88034586D01* +X90376537Y-88037294D01* +X90358100Y-88038200D01* +X89981900Y-88038200D01* +X89963463Y-88037294D01* +X89945204Y-88034586D01* +X89927297Y-88030100D01* +X89909917Y-88023882D01* +X89893230Y-88015989D01* +X89877397Y-88006499D01* +X89862571Y-87995503D01* +X89848893Y-87983107D01* +X89836497Y-87969429D01* +X89825501Y-87954603D01* +X89816011Y-87938770D01* +X89808118Y-87922083D01* +X89801900Y-87904703D01* +X89797414Y-87886796D01* +X89794706Y-87868537D01* +X89793800Y-87850100D01* +X89793800Y-84073900D01* +X89794706Y-84055463D01* +X89797414Y-84037204D01* +X89801900Y-84019297D01* +X89808118Y-84001917D01* +X89816011Y-83985230D01* +X89825501Y-83969397D01* +X89836497Y-83954571D01* +X89848893Y-83940893D01* +X89862571Y-83928497D01* +X89877397Y-83917501D01* +X89893230Y-83908011D01* +X89909917Y-83900118D01* +X89927297Y-83893900D01* +X89945204Y-83889414D01* +X89963463Y-83886706D01* +X89981900Y-83885800D01* +X90358100Y-83885800D01* +X90376537Y-83886706D01* +X90376537Y-83886706D01* +G37* +D16* +X90170000Y-85962000D03* +D12* +G36* +X89106537Y-83886706D02* +G01* +X89124796Y-83889414D01* +X89142703Y-83893900D01* +X89160083Y-83900118D01* +X89176770Y-83908011D01* +X89192603Y-83917501D01* +X89207429Y-83928497D01* +X89221107Y-83940893D01* +X89233503Y-83954571D01* +X89244499Y-83969397D01* +X89253989Y-83985230D01* +X89261882Y-84001917D01* +X89268100Y-84019297D01* +X89272586Y-84037204D01* +X89275294Y-84055463D01* +X89276200Y-84073900D01* +X89276200Y-87850100D01* +X89275294Y-87868537D01* +X89272586Y-87886796D01* +X89268100Y-87904703D01* +X89261882Y-87922083D01* +X89253989Y-87938770D01* +X89244499Y-87954603D01* +X89233503Y-87969429D01* +X89221107Y-87983107D01* +X89207429Y-87995503D01* +X89192603Y-88006499D01* +X89176770Y-88015989D01* +X89160083Y-88023882D01* +X89142703Y-88030100D01* +X89124796Y-88034586D01* +X89106537Y-88037294D01* +X89088100Y-88038200D01* +X88711900Y-88038200D01* +X88693463Y-88037294D01* +X88675204Y-88034586D01* +X88657297Y-88030100D01* +X88639917Y-88023882D01* +X88623230Y-88015989D01* +X88607397Y-88006499D01* +X88592571Y-87995503D01* +X88578893Y-87983107D01* +X88566497Y-87969429D01* +X88555501Y-87954603D01* +X88546011Y-87938770D01* +X88538118Y-87922083D01* +X88531900Y-87904703D01* +X88527414Y-87886796D01* +X88524706Y-87868537D01* +X88523800Y-87850100D01* +X88523800Y-84073900D01* +X88524706Y-84055463D01* +X88527414Y-84037204D01* +X88531900Y-84019297D01* +X88538118Y-84001917D01* +X88546011Y-83985230D01* +X88555501Y-83969397D01* +X88566497Y-83954571D01* +X88578893Y-83940893D01* +X88592571Y-83928497D01* +X88607397Y-83917501D01* +X88623230Y-83908011D01* +X88639917Y-83900118D01* +X88657297Y-83893900D01* +X88675204Y-83889414D01* +X88693463Y-83886706D01* +X88711900Y-83885800D01* +X89088100Y-83885800D01* +X89106537Y-83886706D01* +X89106537Y-83886706D01* +G37* +D16* +X88900000Y-85962000D03* +D12* +G36* +X87836537Y-83886706D02* +G01* +X87854796Y-83889414D01* +X87872703Y-83893900D01* +X87890083Y-83900118D01* +X87906770Y-83908011D01* +X87922603Y-83917501D01* +X87937429Y-83928497D01* +X87951107Y-83940893D01* +X87963503Y-83954571D01* +X87974499Y-83969397D01* +X87983989Y-83985230D01* +X87991882Y-84001917D01* +X87998100Y-84019297D01* +X88002586Y-84037204D01* +X88005294Y-84055463D01* +X88006200Y-84073900D01* +X88006200Y-87850100D01* +X88005294Y-87868537D01* +X88002586Y-87886796D01* +X87998100Y-87904703D01* +X87991882Y-87922083D01* +X87983989Y-87938770D01* +X87974499Y-87954603D01* +X87963503Y-87969429D01* +X87951107Y-87983107D01* +X87937429Y-87995503D01* +X87922603Y-88006499D01* +X87906770Y-88015989D01* +X87890083Y-88023882D01* +X87872703Y-88030100D01* +X87854796Y-88034586D01* +X87836537Y-88037294D01* +X87818100Y-88038200D01* +X87441900Y-88038200D01* +X87423463Y-88037294D01* +X87405204Y-88034586D01* +X87387297Y-88030100D01* +X87369917Y-88023882D01* +X87353230Y-88015989D01* +X87337397Y-88006499D01* +X87322571Y-87995503D01* +X87308893Y-87983107D01* +X87296497Y-87969429D01* +X87285501Y-87954603D01* +X87276011Y-87938770D01* +X87268118Y-87922083D01* +X87261900Y-87904703D01* +X87257414Y-87886796D01* +X87254706Y-87868537D01* +X87253800Y-87850100D01* +X87253800Y-84073900D01* +X87254706Y-84055463D01* +X87257414Y-84037204D01* +X87261900Y-84019297D01* +X87268118Y-84001917D01* +X87276011Y-83985230D01* +X87285501Y-83969397D01* +X87296497Y-83954571D01* +X87308893Y-83940893D01* +X87322571Y-83928497D01* +X87337397Y-83917501D01* +X87353230Y-83908011D01* +X87369917Y-83900118D01* +X87387297Y-83893900D01* +X87405204Y-83889414D01* +X87423463Y-83886706D01* +X87441900Y-83885800D01* +X87818100Y-83885800D01* +X87836537Y-83886706D01* +X87836537Y-83886706D01* +G37* +D16* +X87630000Y-85962000D03* +D12* +G36* +X103076537Y-83886706D02* +G01* +X103094796Y-83889414D01* +X103112703Y-83893900D01* +X103130083Y-83900118D01* +X103146770Y-83908011D01* +X103162603Y-83917501D01* +X103177429Y-83928497D01* +X103191107Y-83940893D01* +X103203503Y-83954571D01* +X103214499Y-83969397D01* +X103223989Y-83985230D01* +X103231882Y-84001917D01* +X103238100Y-84019297D01* +X103242586Y-84037204D01* +X103245294Y-84055463D01* +X103246200Y-84073900D01* +X103246200Y-87850100D01* +X103245294Y-87868537D01* +X103242586Y-87886796D01* +X103238100Y-87904703D01* +X103231882Y-87922083D01* +X103223989Y-87938770D01* +X103214499Y-87954603D01* +X103203503Y-87969429D01* +X103191107Y-87983107D01* +X103177429Y-87995503D01* +X103162603Y-88006499D01* +X103146770Y-88015989D01* +X103130083Y-88023882D01* +X103112703Y-88030100D01* +X103094796Y-88034586D01* +X103076537Y-88037294D01* +X103058100Y-88038200D01* +X102681900Y-88038200D01* +X102663463Y-88037294D01* +X102645204Y-88034586D01* +X102627297Y-88030100D01* +X102609917Y-88023882D01* +X102593230Y-88015989D01* +X102577397Y-88006499D01* +X102562571Y-87995503D01* +X102548893Y-87983107D01* +X102536497Y-87969429D01* +X102525501Y-87954603D01* +X102516011Y-87938770D01* +X102508118Y-87922083D01* +X102501900Y-87904703D01* +X102497414Y-87886796D01* +X102494706Y-87868537D01* +X102493800Y-87850100D01* +X102493800Y-84073900D01* +X102494706Y-84055463D01* +X102497414Y-84037204D01* +X102501900Y-84019297D01* +X102508118Y-84001917D01* +X102516011Y-83985230D01* +X102525501Y-83969397D01* +X102536497Y-83954571D01* +X102548893Y-83940893D01* +X102562571Y-83928497D01* +X102577397Y-83917501D01* +X102593230Y-83908011D01* +X102609917Y-83900118D01* +X102627297Y-83893900D01* +X102645204Y-83889414D01* +X102663463Y-83886706D01* +X102681900Y-83885800D01* +X103058100Y-83885800D01* +X103076537Y-83886706D01* +X103076537Y-83886706D01* +G37* +D16* +X102870000Y-85962000D03* +D12* +G36* +X87836537Y-92786706D02* +G01* +X87854796Y-92789414D01* +X87872703Y-92793900D01* +X87890083Y-92800118D01* +X87906770Y-92808011D01* +X87922603Y-92817501D01* +X87937429Y-92828497D01* +X87951107Y-92840893D01* +X87963503Y-92854571D01* +X87974499Y-92869397D01* +X87983989Y-92885230D01* +X87991882Y-92901917D01* +X87998100Y-92919297D01* +X88002586Y-92937204D01* +X88005294Y-92955463D01* +X88006200Y-92973900D01* +X88006200Y-95250100D01* +X88005294Y-95268537D01* +X88002586Y-95286796D01* +X87998100Y-95304703D01* +X87991882Y-95322083D01* +X87983989Y-95338770D01* +X87974499Y-95354603D01* +X87963503Y-95369429D01* +X87951107Y-95383107D01* +X87937429Y-95395503D01* +X87922603Y-95406499D01* +X87906770Y-95415989D01* +X87890083Y-95423882D01* +X87872703Y-95430100D01* +X87854796Y-95434586D01* +X87836537Y-95437294D01* +X87818100Y-95438200D01* +X87441900Y-95438200D01* +X87423463Y-95437294D01* +X87405204Y-95434586D01* +X87387297Y-95430100D01* +X87369917Y-95423882D01* +X87353230Y-95415989D01* +X87337397Y-95406499D01* +X87322571Y-95395503D01* +X87308893Y-95383107D01* +X87296497Y-95369429D01* +X87285501Y-95354603D01* +X87276011Y-95338770D01* +X87268118Y-95322083D01* +X87261900Y-95304703D01* +X87257414Y-95286796D01* +X87254706Y-95268537D01* +X87253800Y-95250100D01* +X87253800Y-92973900D01* +X87254706Y-92955463D01* +X87257414Y-92937204D01* +X87261900Y-92919297D01* +X87268118Y-92901917D01* +X87276011Y-92885230D01* +X87285501Y-92869397D01* +X87296497Y-92854571D01* +X87308893Y-92840893D01* +X87322571Y-92828497D01* +X87337397Y-92817501D01* +X87353230Y-92808011D01* +X87369917Y-92800118D01* +X87387297Y-92793900D01* +X87405204Y-92789414D01* +X87423463Y-92786706D01* +X87441900Y-92785800D01* +X87818100Y-92785800D01* +X87836537Y-92786706D01* +X87836537Y-92786706D01* +G37* +D16* +X87630000Y-94112000D03* +D12* +G36* +X89106537Y-92786706D02* +G01* +X89124796Y-92789414D01* +X89142703Y-92793900D01* +X89160083Y-92800118D01* +X89176770Y-92808011D01* +X89192603Y-92817501D01* +X89207429Y-92828497D01* +X89221107Y-92840893D01* +X89233503Y-92854571D01* +X89244499Y-92869397D01* +X89253989Y-92885230D01* +X89261882Y-92901917D01* +X89268100Y-92919297D01* +X89272586Y-92937204D01* +X89275294Y-92955463D01* +X89276200Y-92973900D01* +X89276200Y-95250100D01* +X89275294Y-95268537D01* +X89272586Y-95286796D01* +X89268100Y-95304703D01* +X89261882Y-95322083D01* +X89253989Y-95338770D01* +X89244499Y-95354603D01* +X89233503Y-95369429D01* +X89221107Y-95383107D01* +X89207429Y-95395503D01* +X89192603Y-95406499D01* +X89176770Y-95415989D01* +X89160083Y-95423882D01* +X89142703Y-95430100D01* +X89124796Y-95434586D01* +X89106537Y-95437294D01* +X89088100Y-95438200D01* +X88711900Y-95438200D01* +X88693463Y-95437294D01* +X88675204Y-95434586D01* +X88657297Y-95430100D01* +X88639917Y-95423882D01* +X88623230Y-95415989D01* +X88607397Y-95406499D01* +X88592571Y-95395503D01* +X88578893Y-95383107D01* +X88566497Y-95369429D01* +X88555501Y-95354603D01* +X88546011Y-95338770D01* +X88538118Y-95322083D01* +X88531900Y-95304703D01* +X88527414Y-95286796D01* +X88524706Y-95268537D01* +X88523800Y-95250100D01* +X88523800Y-92973900D01* +X88524706Y-92955463D01* +X88527414Y-92937204D01* +X88531900Y-92919297D01* +X88538118Y-92901917D01* +X88546011Y-92885230D01* +X88555501Y-92869397D01* +X88566497Y-92854571D01* +X88578893Y-92840893D01* +X88592571Y-92828497D01* +X88607397Y-92817501D01* +X88623230Y-92808011D01* +X88639917Y-92800118D01* +X88657297Y-92793900D01* +X88675204Y-92789414D01* +X88693463Y-92786706D01* +X88711900Y-92785800D01* +X89088100Y-92785800D01* +X89106537Y-92786706D01* +X89106537Y-92786706D01* +G37* +D16* +X88900000Y-94112000D03* +D12* +G36* +X90376537Y-92786706D02* +G01* +X90394796Y-92789414D01* +X90412703Y-92793900D01* +X90430083Y-92800118D01* +X90446770Y-92808011D01* +X90462603Y-92817501D01* +X90477429Y-92828497D01* +X90491107Y-92840893D01* +X90503503Y-92854571D01* +X90514499Y-92869397D01* +X90523989Y-92885230D01* +X90531882Y-92901917D01* +X90538100Y-92919297D01* +X90542586Y-92937204D01* +X90545294Y-92955463D01* +X90546200Y-92973900D01* +X90546200Y-95250100D01* +X90545294Y-95268537D01* +X90542586Y-95286796D01* +X90538100Y-95304703D01* +X90531882Y-95322083D01* +X90523989Y-95338770D01* +X90514499Y-95354603D01* +X90503503Y-95369429D01* +X90491107Y-95383107D01* +X90477429Y-95395503D01* +X90462603Y-95406499D01* +X90446770Y-95415989D01* +X90430083Y-95423882D01* +X90412703Y-95430100D01* +X90394796Y-95434586D01* +X90376537Y-95437294D01* +X90358100Y-95438200D01* +X89981900Y-95438200D01* +X89963463Y-95437294D01* +X89945204Y-95434586D01* +X89927297Y-95430100D01* +X89909917Y-95423882D01* +X89893230Y-95415989D01* +X89877397Y-95406499D01* +X89862571Y-95395503D01* +X89848893Y-95383107D01* +X89836497Y-95369429D01* +X89825501Y-95354603D01* +X89816011Y-95338770D01* +X89808118Y-95322083D01* +X89801900Y-95304703D01* +X89797414Y-95286796D01* +X89794706Y-95268537D01* +X89793800Y-95250100D01* +X89793800Y-92973900D01* +X89794706Y-92955463D01* +X89797414Y-92937204D01* +X89801900Y-92919297D01* +X89808118Y-92901917D01* +X89816011Y-92885230D01* +X89825501Y-92869397D01* +X89836497Y-92854571D01* +X89848893Y-92840893D01* +X89862571Y-92828497D01* +X89877397Y-92817501D01* +X89893230Y-92808011D01* +X89909917Y-92800118D01* +X89927297Y-92793900D01* +X89945204Y-92789414D01* +X89963463Y-92786706D01* +X89981900Y-92785800D01* +X90358100Y-92785800D01* +X90376537Y-92786706D01* +X90376537Y-92786706D01* +G37* +D16* +X90170000Y-94112000D03* +D12* +G36* +X91646537Y-92786706D02* +G01* +X91664796Y-92789414D01* +X91682703Y-92793900D01* +X91700083Y-92800118D01* +X91716770Y-92808011D01* +X91732603Y-92817501D01* +X91747429Y-92828497D01* +X91761107Y-92840893D01* +X91773503Y-92854571D01* +X91784499Y-92869397D01* +X91793989Y-92885230D01* +X91801882Y-92901917D01* +X91808100Y-92919297D01* +X91812586Y-92937204D01* +X91815294Y-92955463D01* +X91816200Y-92973900D01* +X91816200Y-95250100D01* +X91815294Y-95268537D01* +X91812586Y-95286796D01* +X91808100Y-95304703D01* +X91801882Y-95322083D01* +X91793989Y-95338770D01* +X91784499Y-95354603D01* +X91773503Y-95369429D01* +X91761107Y-95383107D01* +X91747429Y-95395503D01* +X91732603Y-95406499D01* +X91716770Y-95415989D01* +X91700083Y-95423882D01* +X91682703Y-95430100D01* +X91664796Y-95434586D01* +X91646537Y-95437294D01* +X91628100Y-95438200D01* +X91251900Y-95438200D01* +X91233463Y-95437294D01* +X91215204Y-95434586D01* +X91197297Y-95430100D01* +X91179917Y-95423882D01* +X91163230Y-95415989D01* +X91147397Y-95406499D01* +X91132571Y-95395503D01* +X91118893Y-95383107D01* +X91106497Y-95369429D01* +X91095501Y-95354603D01* +X91086011Y-95338770D01* +X91078118Y-95322083D01* +X91071900Y-95304703D01* +X91067414Y-95286796D01* +X91064706Y-95268537D01* +X91063800Y-95250100D01* +X91063800Y-92973900D01* +X91064706Y-92955463D01* +X91067414Y-92937204D01* +X91071900Y-92919297D01* +X91078118Y-92901917D01* +X91086011Y-92885230D01* +X91095501Y-92869397D01* +X91106497Y-92854571D01* +X91118893Y-92840893D01* +X91132571Y-92828497D01* +X91147397Y-92817501D01* +X91163230Y-92808011D01* +X91179917Y-92800118D01* +X91197297Y-92793900D01* +X91215204Y-92789414D01* +X91233463Y-92786706D01* +X91251900Y-92785800D01* +X91628100Y-92785800D01* +X91646537Y-92786706D01* +X91646537Y-92786706D01* +G37* +D16* +X91440000Y-94112000D03* +D12* +G36* +X92916537Y-92786706D02* +G01* +X92934796Y-92789414D01* +X92952703Y-92793900D01* +X92970083Y-92800118D01* +X92986770Y-92808011D01* +X93002603Y-92817501D01* +X93017429Y-92828497D01* +X93031107Y-92840893D01* +X93043503Y-92854571D01* +X93054499Y-92869397D01* +X93063989Y-92885230D01* +X93071882Y-92901917D01* +X93078100Y-92919297D01* +X93082586Y-92937204D01* +X93085294Y-92955463D01* +X93086200Y-92973900D01* +X93086200Y-95250100D01* +X93085294Y-95268537D01* +X93082586Y-95286796D01* +X93078100Y-95304703D01* +X93071882Y-95322083D01* +X93063989Y-95338770D01* +X93054499Y-95354603D01* +X93043503Y-95369429D01* +X93031107Y-95383107D01* +X93017429Y-95395503D01* +X93002603Y-95406499D01* +X92986770Y-95415989D01* +X92970083Y-95423882D01* +X92952703Y-95430100D01* +X92934796Y-95434586D01* +X92916537Y-95437294D01* +X92898100Y-95438200D01* +X92521900Y-95438200D01* +X92503463Y-95437294D01* +X92485204Y-95434586D01* +X92467297Y-95430100D01* +X92449917Y-95423882D01* +X92433230Y-95415989D01* +X92417397Y-95406499D01* +X92402571Y-95395503D01* +X92388893Y-95383107D01* +X92376497Y-95369429D01* +X92365501Y-95354603D01* +X92356011Y-95338770D01* +X92348118Y-95322083D01* +X92341900Y-95304703D01* +X92337414Y-95286796D01* +X92334706Y-95268537D01* +X92333800Y-95250100D01* +X92333800Y-92973900D01* +X92334706Y-92955463D01* +X92337414Y-92937204D01* +X92341900Y-92919297D01* +X92348118Y-92901917D01* +X92356011Y-92885230D01* +X92365501Y-92869397D01* +X92376497Y-92854571D01* +X92388893Y-92840893D01* +X92402571Y-92828497D01* +X92417397Y-92817501D01* +X92433230Y-92808011D01* +X92449917Y-92800118D01* +X92467297Y-92793900D01* +X92485204Y-92789414D01* +X92503463Y-92786706D01* +X92521900Y-92785800D01* +X92898100Y-92785800D01* +X92916537Y-92786706D01* +X92916537Y-92786706D01* +G37* +D16* +X92710000Y-94112000D03* +D12* +G36* +X94186537Y-92786706D02* +G01* +X94204796Y-92789414D01* +X94222703Y-92793900D01* +X94240083Y-92800118D01* +X94256770Y-92808011D01* +X94272603Y-92817501D01* +X94287429Y-92828497D01* +X94301107Y-92840893D01* +X94313503Y-92854571D01* +X94324499Y-92869397D01* +X94333989Y-92885230D01* +X94341882Y-92901917D01* +X94348100Y-92919297D01* +X94352586Y-92937204D01* +X94355294Y-92955463D01* +X94356200Y-92973900D01* +X94356200Y-95250100D01* +X94355294Y-95268537D01* +X94352586Y-95286796D01* +X94348100Y-95304703D01* +X94341882Y-95322083D01* +X94333989Y-95338770D01* +X94324499Y-95354603D01* +X94313503Y-95369429D01* +X94301107Y-95383107D01* +X94287429Y-95395503D01* +X94272603Y-95406499D01* +X94256770Y-95415989D01* +X94240083Y-95423882D01* +X94222703Y-95430100D01* +X94204796Y-95434586D01* +X94186537Y-95437294D01* +X94168100Y-95438200D01* +X93791900Y-95438200D01* +X93773463Y-95437294D01* +X93755204Y-95434586D01* +X93737297Y-95430100D01* +X93719917Y-95423882D01* +X93703230Y-95415989D01* +X93687397Y-95406499D01* +X93672571Y-95395503D01* +X93658893Y-95383107D01* +X93646497Y-95369429D01* +X93635501Y-95354603D01* +X93626011Y-95338770D01* +X93618118Y-95322083D01* +X93611900Y-95304703D01* +X93607414Y-95286796D01* +X93604706Y-95268537D01* +X93603800Y-95250100D01* +X93603800Y-92973900D01* +X93604706Y-92955463D01* +X93607414Y-92937204D01* +X93611900Y-92919297D01* +X93618118Y-92901917D01* +X93626011Y-92885230D01* +X93635501Y-92869397D01* +X93646497Y-92854571D01* +X93658893Y-92840893D01* +X93672571Y-92828497D01* +X93687397Y-92817501D01* +X93703230Y-92808011D01* +X93719917Y-92800118D01* +X93737297Y-92793900D01* +X93755204Y-92789414D01* +X93773463Y-92786706D01* +X93791900Y-92785800D01* +X94168100Y-92785800D01* +X94186537Y-92786706D01* +X94186537Y-92786706D01* +G37* +D16* +X93980000Y-94112000D03* +D12* +G36* +X96726537Y-92786706D02* +G01* +X96744796Y-92789414D01* +X96762703Y-92793900D01* +X96780083Y-92800118D01* +X96796770Y-92808011D01* +X96812603Y-92817501D01* +X96827429Y-92828497D01* +X96841107Y-92840893D01* +X96853503Y-92854571D01* +X96864499Y-92869397D01* +X96873989Y-92885230D01* +X96881882Y-92901917D01* +X96888100Y-92919297D01* +X96892586Y-92937204D01* +X96895294Y-92955463D01* +X96896200Y-92973900D01* +X96896200Y-95250100D01* +X96895294Y-95268537D01* +X96892586Y-95286796D01* +X96888100Y-95304703D01* +X96881882Y-95322083D01* +X96873989Y-95338770D01* +X96864499Y-95354603D01* +X96853503Y-95369429D01* +X96841107Y-95383107D01* +X96827429Y-95395503D01* +X96812603Y-95406499D01* +X96796770Y-95415989D01* +X96780083Y-95423882D01* +X96762703Y-95430100D01* +X96744796Y-95434586D01* +X96726537Y-95437294D01* +X96708100Y-95438200D01* +X96331900Y-95438200D01* +X96313463Y-95437294D01* +X96295204Y-95434586D01* +X96277297Y-95430100D01* +X96259917Y-95423882D01* +X96243230Y-95415989D01* +X96227397Y-95406499D01* +X96212571Y-95395503D01* +X96198893Y-95383107D01* +X96186497Y-95369429D01* +X96175501Y-95354603D01* +X96166011Y-95338770D01* +X96158118Y-95322083D01* +X96151900Y-95304703D01* +X96147414Y-95286796D01* +X96144706Y-95268537D01* +X96143800Y-95250100D01* +X96143800Y-92973900D01* +X96144706Y-92955463D01* +X96147414Y-92937204D01* +X96151900Y-92919297D01* +X96158118Y-92901917D01* +X96166011Y-92885230D01* +X96175501Y-92869397D01* +X96186497Y-92854571D01* +X96198893Y-92840893D01* +X96212571Y-92828497D01* +X96227397Y-92817501D01* +X96243230Y-92808011D01* +X96259917Y-92800118D01* +X96277297Y-92793900D01* +X96295204Y-92789414D01* +X96313463Y-92786706D01* +X96331900Y-92785800D01* +X96708100Y-92785800D01* +X96726537Y-92786706D01* +X96726537Y-92786706D01* +G37* +D16* +X96520000Y-94112000D03* +D12* +G36* +X97996537Y-92786706D02* +G01* +X98014796Y-92789414D01* +X98032703Y-92793900D01* +X98050083Y-92800118D01* +X98066770Y-92808011D01* +X98082603Y-92817501D01* +X98097429Y-92828497D01* +X98111107Y-92840893D01* +X98123503Y-92854571D01* +X98134499Y-92869397D01* +X98143989Y-92885230D01* +X98151882Y-92901917D01* +X98158100Y-92919297D01* +X98162586Y-92937204D01* +X98165294Y-92955463D01* +X98166200Y-92973900D01* +X98166200Y-95250100D01* +X98165294Y-95268537D01* +X98162586Y-95286796D01* +X98158100Y-95304703D01* +X98151882Y-95322083D01* +X98143989Y-95338770D01* +X98134499Y-95354603D01* +X98123503Y-95369429D01* +X98111107Y-95383107D01* +X98097429Y-95395503D01* +X98082603Y-95406499D01* +X98066770Y-95415989D01* +X98050083Y-95423882D01* +X98032703Y-95430100D01* +X98014796Y-95434586D01* +X97996537Y-95437294D01* +X97978100Y-95438200D01* +X97601900Y-95438200D01* +X97583463Y-95437294D01* +X97565204Y-95434586D01* +X97547297Y-95430100D01* +X97529917Y-95423882D01* +X97513230Y-95415989D01* +X97497397Y-95406499D01* +X97482571Y-95395503D01* +X97468893Y-95383107D01* +X97456497Y-95369429D01* +X97445501Y-95354603D01* +X97436011Y-95338770D01* +X97428118Y-95322083D01* +X97421900Y-95304703D01* +X97417414Y-95286796D01* +X97414706Y-95268537D01* +X97413800Y-95250100D01* +X97413800Y-92973900D01* +X97414706Y-92955463D01* +X97417414Y-92937204D01* +X97421900Y-92919297D01* +X97428118Y-92901917D01* +X97436011Y-92885230D01* +X97445501Y-92869397D01* +X97456497Y-92854571D01* +X97468893Y-92840893D01* +X97482571Y-92828497D01* +X97497397Y-92817501D01* +X97513230Y-92808011D01* +X97529917Y-92800118D01* +X97547297Y-92793900D01* +X97565204Y-92789414D01* +X97583463Y-92786706D01* +X97601900Y-92785800D01* +X97978100Y-92785800D01* +X97996537Y-92786706D01* +X97996537Y-92786706D01* +G37* +D16* +X97790000Y-94112000D03* +D12* +G36* +X99266537Y-92786706D02* +G01* +X99284796Y-92789414D01* +X99302703Y-92793900D01* +X99320083Y-92800118D01* +X99336770Y-92808011D01* +X99352603Y-92817501D01* +X99367429Y-92828497D01* +X99381107Y-92840893D01* +X99393503Y-92854571D01* +X99404499Y-92869397D01* +X99413989Y-92885230D01* +X99421882Y-92901917D01* +X99428100Y-92919297D01* +X99432586Y-92937204D01* +X99435294Y-92955463D01* +X99436200Y-92973900D01* +X99436200Y-95250100D01* +X99435294Y-95268537D01* +X99432586Y-95286796D01* +X99428100Y-95304703D01* +X99421882Y-95322083D01* +X99413989Y-95338770D01* +X99404499Y-95354603D01* +X99393503Y-95369429D01* +X99381107Y-95383107D01* +X99367429Y-95395503D01* +X99352603Y-95406499D01* +X99336770Y-95415989D01* +X99320083Y-95423882D01* +X99302703Y-95430100D01* +X99284796Y-95434586D01* +X99266537Y-95437294D01* +X99248100Y-95438200D01* +X98871900Y-95438200D01* +X98853463Y-95437294D01* +X98835204Y-95434586D01* +X98817297Y-95430100D01* +X98799917Y-95423882D01* +X98783230Y-95415989D01* +X98767397Y-95406499D01* +X98752571Y-95395503D01* +X98738893Y-95383107D01* +X98726497Y-95369429D01* +X98715501Y-95354603D01* +X98706011Y-95338770D01* +X98698118Y-95322083D01* +X98691900Y-95304703D01* +X98687414Y-95286796D01* +X98684706Y-95268537D01* +X98683800Y-95250100D01* +X98683800Y-92973900D01* +X98684706Y-92955463D01* +X98687414Y-92937204D01* +X98691900Y-92919297D01* +X98698118Y-92901917D01* +X98706011Y-92885230D01* +X98715501Y-92869397D01* +X98726497Y-92854571D01* +X98738893Y-92840893D01* +X98752571Y-92828497D01* +X98767397Y-92817501D01* +X98783230Y-92808011D01* +X98799917Y-92800118D01* +X98817297Y-92793900D01* +X98835204Y-92789414D01* +X98853463Y-92786706D01* +X98871900Y-92785800D01* +X99248100Y-92785800D01* +X99266537Y-92786706D01* +X99266537Y-92786706D01* +G37* +D16* +X99060000Y-94112000D03* +D12* +G36* +X100536537Y-92786706D02* +G01* +X100554796Y-92789414D01* +X100572703Y-92793900D01* +X100590083Y-92800118D01* +X100606770Y-92808011D01* +X100622603Y-92817501D01* +X100637429Y-92828497D01* +X100651107Y-92840893D01* +X100663503Y-92854571D01* +X100674499Y-92869397D01* +X100683989Y-92885230D01* +X100691882Y-92901917D01* +X100698100Y-92919297D01* +X100702586Y-92937204D01* +X100705294Y-92955463D01* +X100706200Y-92973900D01* +X100706200Y-95250100D01* +X100705294Y-95268537D01* +X100702586Y-95286796D01* +X100698100Y-95304703D01* +X100691882Y-95322083D01* +X100683989Y-95338770D01* +X100674499Y-95354603D01* +X100663503Y-95369429D01* +X100651107Y-95383107D01* +X100637429Y-95395503D01* +X100622603Y-95406499D01* +X100606770Y-95415989D01* +X100590083Y-95423882D01* +X100572703Y-95430100D01* +X100554796Y-95434586D01* +X100536537Y-95437294D01* +X100518100Y-95438200D01* +X100141900Y-95438200D01* +X100123463Y-95437294D01* +X100105204Y-95434586D01* +X100087297Y-95430100D01* +X100069917Y-95423882D01* +X100053230Y-95415989D01* +X100037397Y-95406499D01* +X100022571Y-95395503D01* +X100008893Y-95383107D01* +X99996497Y-95369429D01* +X99985501Y-95354603D01* +X99976011Y-95338770D01* +X99968118Y-95322083D01* +X99961900Y-95304703D01* +X99957414Y-95286796D01* +X99954706Y-95268537D01* +X99953800Y-95250100D01* +X99953800Y-92973900D01* +X99954706Y-92955463D01* +X99957414Y-92937204D01* +X99961900Y-92919297D01* +X99968118Y-92901917D01* +X99976011Y-92885230D01* +X99985501Y-92869397D01* +X99996497Y-92854571D01* +X100008893Y-92840893D01* +X100022571Y-92828497D01* +X100037397Y-92817501D01* +X100053230Y-92808011D01* +X100069917Y-92800118D01* +X100087297Y-92793900D01* +X100105204Y-92789414D01* +X100123463Y-92786706D01* +X100141900Y-92785800D01* +X100518100Y-92785800D01* +X100536537Y-92786706D01* +X100536537Y-92786706D01* +G37* +D16* +X100330000Y-94112000D03* +D12* +G36* +X101806537Y-92786706D02* +G01* +X101824796Y-92789414D01* +X101842703Y-92793900D01* +X101860083Y-92800118D01* +X101876770Y-92808011D01* +X101892603Y-92817501D01* +X101907429Y-92828497D01* +X101921107Y-92840893D01* +X101933503Y-92854571D01* +X101944499Y-92869397D01* +X101953989Y-92885230D01* +X101961882Y-92901917D01* +X101968100Y-92919297D01* +X101972586Y-92937204D01* +X101975294Y-92955463D01* +X101976200Y-92973900D01* +X101976200Y-95250100D01* +X101975294Y-95268537D01* +X101972586Y-95286796D01* +X101968100Y-95304703D01* +X101961882Y-95322083D01* +X101953989Y-95338770D01* +X101944499Y-95354603D01* +X101933503Y-95369429D01* +X101921107Y-95383107D01* +X101907429Y-95395503D01* +X101892603Y-95406499D01* +X101876770Y-95415989D01* +X101860083Y-95423882D01* +X101842703Y-95430100D01* +X101824796Y-95434586D01* +X101806537Y-95437294D01* +X101788100Y-95438200D01* +X101411900Y-95438200D01* +X101393463Y-95437294D01* +X101375204Y-95434586D01* +X101357297Y-95430100D01* +X101339917Y-95423882D01* +X101323230Y-95415989D01* +X101307397Y-95406499D01* +X101292571Y-95395503D01* +X101278893Y-95383107D01* +X101266497Y-95369429D01* +X101255501Y-95354603D01* +X101246011Y-95338770D01* +X101238118Y-95322083D01* +X101231900Y-95304703D01* +X101227414Y-95286796D01* +X101224706Y-95268537D01* +X101223800Y-95250100D01* +X101223800Y-92973900D01* +X101224706Y-92955463D01* +X101227414Y-92937204D01* +X101231900Y-92919297D01* +X101238118Y-92901917D01* +X101246011Y-92885230D01* +X101255501Y-92869397D01* +X101266497Y-92854571D01* +X101278893Y-92840893D01* +X101292571Y-92828497D01* +X101307397Y-92817501D01* +X101323230Y-92808011D01* +X101339917Y-92800118D01* +X101357297Y-92793900D01* +X101375204Y-92789414D01* +X101393463Y-92786706D01* +X101411900Y-92785800D01* +X101788100Y-92785800D01* +X101806537Y-92786706D01* +X101806537Y-92786706D01* +G37* +D16* +X101600000Y-94112000D03* +D12* +G36* +X103076537Y-92786706D02* +G01* +X103094796Y-92789414D01* +X103112703Y-92793900D01* +X103130083Y-92800118D01* +X103146770Y-92808011D01* +X103162603Y-92817501D01* +X103177429Y-92828497D01* +X103191107Y-92840893D01* +X103203503Y-92854571D01* +X103214499Y-92869397D01* +X103223989Y-92885230D01* +X103231882Y-92901917D01* +X103238100Y-92919297D01* +X103242586Y-92937204D01* +X103245294Y-92955463D01* +X103246200Y-92973900D01* +X103246200Y-95250100D01* +X103245294Y-95268537D01* +X103242586Y-95286796D01* +X103238100Y-95304703D01* +X103231882Y-95322083D01* +X103223989Y-95338770D01* +X103214499Y-95354603D01* +X103203503Y-95369429D01* +X103191107Y-95383107D01* +X103177429Y-95395503D01* +X103162603Y-95406499D01* +X103146770Y-95415989D01* +X103130083Y-95423882D01* +X103112703Y-95430100D01* +X103094796Y-95434586D01* +X103076537Y-95437294D01* +X103058100Y-95438200D01* +X102681900Y-95438200D01* +X102663463Y-95437294D01* +X102645204Y-95434586D01* +X102627297Y-95430100D01* +X102609917Y-95423882D01* +X102593230Y-95415989D01* +X102577397Y-95406499D01* +X102562571Y-95395503D01* +X102548893Y-95383107D01* +X102536497Y-95369429D01* +X102525501Y-95354603D01* +X102516011Y-95338770D01* +X102508118Y-95322083D01* +X102501900Y-95304703D01* +X102497414Y-95286796D01* +X102494706Y-95268537D01* +X102493800Y-95250100D01* +X102493800Y-92973900D01* +X102494706Y-92955463D01* +X102497414Y-92937204D01* +X102501900Y-92919297D01* +X102508118Y-92901917D01* +X102516011Y-92885230D01* +X102525501Y-92869397D01* +X102536497Y-92854571D01* +X102548893Y-92840893D01* +X102562571Y-92828497D01* +X102577397Y-92817501D01* +X102593230Y-92808011D01* +X102609917Y-92800118D01* +X102627297Y-92793900D01* +X102645204Y-92789414D01* +X102663463Y-92786706D01* +X102681900Y-92785800D01* +X103058100Y-92785800D01* +X103076537Y-92786706D01* +X103076537Y-92786706D01* +G37* +D16* +X102870000Y-94112000D03* +D12* +G36* +X103076537Y-83886706D02* +G01* +X103094796Y-83889414D01* +X103112703Y-83893900D01* +X103130083Y-83900118D01* +X103146770Y-83908011D01* +X103162603Y-83917501D01* +X103177429Y-83928497D01* +X103191107Y-83940893D01* +X103203503Y-83954571D01* +X103214499Y-83969397D01* +X103223989Y-83985230D01* +X103231882Y-84001917D01* +X103238100Y-84019297D01* +X103242586Y-84037204D01* +X103245294Y-84055463D01* +X103246200Y-84073900D01* +X103246200Y-86350100D01* +X103245294Y-86368537D01* +X103242586Y-86386796D01* +X103238100Y-86404703D01* +X103231882Y-86422083D01* +X103223989Y-86438770D01* +X103214499Y-86454603D01* +X103203503Y-86469429D01* +X103191107Y-86483107D01* +X103177429Y-86495503D01* +X103162603Y-86506499D01* +X103146770Y-86515989D01* +X103130083Y-86523882D01* +X103112703Y-86530100D01* +X103094796Y-86534586D01* +X103076537Y-86537294D01* +X103058100Y-86538200D01* +X102681900Y-86538200D01* +X102663463Y-86537294D01* +X102645204Y-86534586D01* +X102627297Y-86530100D01* +X102609917Y-86523882D01* +X102593230Y-86515989D01* +X102577397Y-86506499D01* +X102562571Y-86495503D01* +X102548893Y-86483107D01* +X102536497Y-86469429D01* +X102525501Y-86454603D01* +X102516011Y-86438770D01* +X102508118Y-86422083D01* +X102501900Y-86404703D01* +X102497414Y-86386796D01* +X102494706Y-86368537D01* +X102493800Y-86350100D01* +X102493800Y-84073900D01* +X102494706Y-84055463D01* +X102497414Y-84037204D01* +X102501900Y-84019297D01* +X102508118Y-84001917D01* +X102516011Y-83985230D01* +X102525501Y-83969397D01* +X102536497Y-83954571D01* +X102548893Y-83940893D01* +X102562571Y-83928497D01* +X102577397Y-83917501D01* +X102593230Y-83908011D01* +X102609917Y-83900118D01* +X102627297Y-83893900D01* +X102645204Y-83889414D01* +X102663463Y-83886706D01* +X102681900Y-83885800D01* +X103058100Y-83885800D01* +X103076537Y-83886706D01* +X103076537Y-83886706D01* +G37* +D16* +X102870000Y-85212000D03* +D12* +G36* +X101806537Y-83886706D02* +G01* +X101824796Y-83889414D01* +X101842703Y-83893900D01* +X101860083Y-83900118D01* +X101876770Y-83908011D01* +X101892603Y-83917501D01* +X101907429Y-83928497D01* +X101921107Y-83940893D01* +X101933503Y-83954571D01* +X101944499Y-83969397D01* +X101953989Y-83985230D01* +X101961882Y-84001917D01* +X101968100Y-84019297D01* +X101972586Y-84037204D01* +X101975294Y-84055463D01* +X101976200Y-84073900D01* +X101976200Y-86350100D01* +X101975294Y-86368537D01* +X101972586Y-86386796D01* +X101968100Y-86404703D01* +X101961882Y-86422083D01* +X101953989Y-86438770D01* +X101944499Y-86454603D01* +X101933503Y-86469429D01* +X101921107Y-86483107D01* +X101907429Y-86495503D01* +X101892603Y-86506499D01* +X101876770Y-86515989D01* +X101860083Y-86523882D01* +X101842703Y-86530100D01* +X101824796Y-86534586D01* +X101806537Y-86537294D01* +X101788100Y-86538200D01* +X101411900Y-86538200D01* +X101393463Y-86537294D01* +X101375204Y-86534586D01* +X101357297Y-86530100D01* +X101339917Y-86523882D01* +X101323230Y-86515989D01* +X101307397Y-86506499D01* +X101292571Y-86495503D01* +X101278893Y-86483107D01* +X101266497Y-86469429D01* +X101255501Y-86454603D01* +X101246011Y-86438770D01* +X101238118Y-86422083D01* +X101231900Y-86404703D01* +X101227414Y-86386796D01* +X101224706Y-86368537D01* +X101223800Y-86350100D01* +X101223800Y-84073900D01* +X101224706Y-84055463D01* +X101227414Y-84037204D01* +X101231900Y-84019297D01* +X101238118Y-84001917D01* +X101246011Y-83985230D01* +X101255501Y-83969397D01* +X101266497Y-83954571D01* +X101278893Y-83940893D01* +X101292571Y-83928497D01* +X101307397Y-83917501D01* +X101323230Y-83908011D01* +X101339917Y-83900118D01* +X101357297Y-83893900D01* +X101375204Y-83889414D01* +X101393463Y-83886706D01* +X101411900Y-83885800D01* +X101788100Y-83885800D01* +X101806537Y-83886706D01* +X101806537Y-83886706D01* +G37* +D16* +X101600000Y-85212000D03* +D12* +G36* +X100536537Y-83886706D02* +G01* +X100554796Y-83889414D01* +X100572703Y-83893900D01* +X100590083Y-83900118D01* +X100606770Y-83908011D01* +X100622603Y-83917501D01* +X100637429Y-83928497D01* +X100651107Y-83940893D01* +X100663503Y-83954571D01* +X100674499Y-83969397D01* +X100683989Y-83985230D01* +X100691882Y-84001917D01* +X100698100Y-84019297D01* +X100702586Y-84037204D01* +X100705294Y-84055463D01* +X100706200Y-84073900D01* +X100706200Y-86350100D01* +X100705294Y-86368537D01* +X100702586Y-86386796D01* +X100698100Y-86404703D01* +X100691882Y-86422083D01* +X100683989Y-86438770D01* +X100674499Y-86454603D01* +X100663503Y-86469429D01* +X100651107Y-86483107D01* +X100637429Y-86495503D01* +X100622603Y-86506499D01* +X100606770Y-86515989D01* +X100590083Y-86523882D01* +X100572703Y-86530100D01* +X100554796Y-86534586D01* +X100536537Y-86537294D01* +X100518100Y-86538200D01* +X100141900Y-86538200D01* +X100123463Y-86537294D01* +X100105204Y-86534586D01* +X100087297Y-86530100D01* +X100069917Y-86523882D01* +X100053230Y-86515989D01* +X100037397Y-86506499D01* +X100022571Y-86495503D01* +X100008893Y-86483107D01* +X99996497Y-86469429D01* +X99985501Y-86454603D01* +X99976011Y-86438770D01* +X99968118Y-86422083D01* +X99961900Y-86404703D01* +X99957414Y-86386796D01* +X99954706Y-86368537D01* +X99953800Y-86350100D01* +X99953800Y-84073900D01* +X99954706Y-84055463D01* +X99957414Y-84037204D01* +X99961900Y-84019297D01* +X99968118Y-84001917D01* +X99976011Y-83985230D01* +X99985501Y-83969397D01* +X99996497Y-83954571D01* +X100008893Y-83940893D01* +X100022571Y-83928497D01* +X100037397Y-83917501D01* +X100053230Y-83908011D01* +X100069917Y-83900118D01* +X100087297Y-83893900D01* +X100105204Y-83889414D01* +X100123463Y-83886706D01* +X100141900Y-83885800D01* +X100518100Y-83885800D01* +X100536537Y-83886706D01* +X100536537Y-83886706D01* +G37* +D16* +X100330000Y-85212000D03* +D12* +G36* +X99266537Y-83886706D02* +G01* +X99284796Y-83889414D01* +X99302703Y-83893900D01* +X99320083Y-83900118D01* +X99336770Y-83908011D01* +X99352603Y-83917501D01* +X99367429Y-83928497D01* +X99381107Y-83940893D01* +X99393503Y-83954571D01* +X99404499Y-83969397D01* +X99413989Y-83985230D01* +X99421882Y-84001917D01* +X99428100Y-84019297D01* +X99432586Y-84037204D01* +X99435294Y-84055463D01* +X99436200Y-84073900D01* +X99436200Y-86350100D01* +X99435294Y-86368537D01* +X99432586Y-86386796D01* +X99428100Y-86404703D01* +X99421882Y-86422083D01* +X99413989Y-86438770D01* +X99404499Y-86454603D01* +X99393503Y-86469429D01* +X99381107Y-86483107D01* +X99367429Y-86495503D01* +X99352603Y-86506499D01* +X99336770Y-86515989D01* +X99320083Y-86523882D01* +X99302703Y-86530100D01* +X99284796Y-86534586D01* +X99266537Y-86537294D01* +X99248100Y-86538200D01* +X98871900Y-86538200D01* +X98853463Y-86537294D01* +X98835204Y-86534586D01* +X98817297Y-86530100D01* +X98799917Y-86523882D01* +X98783230Y-86515989D01* +X98767397Y-86506499D01* +X98752571Y-86495503D01* +X98738893Y-86483107D01* +X98726497Y-86469429D01* +X98715501Y-86454603D01* +X98706011Y-86438770D01* +X98698118Y-86422083D01* +X98691900Y-86404703D01* +X98687414Y-86386796D01* +X98684706Y-86368537D01* +X98683800Y-86350100D01* +X98683800Y-84073900D01* +X98684706Y-84055463D01* +X98687414Y-84037204D01* +X98691900Y-84019297D01* +X98698118Y-84001917D01* +X98706011Y-83985230D01* +X98715501Y-83969397D01* +X98726497Y-83954571D01* +X98738893Y-83940893D01* +X98752571Y-83928497D01* +X98767397Y-83917501D01* +X98783230Y-83908011D01* +X98799917Y-83900118D01* +X98817297Y-83893900D01* +X98835204Y-83889414D01* +X98853463Y-83886706D01* +X98871900Y-83885800D01* +X99248100Y-83885800D01* +X99266537Y-83886706D01* +X99266537Y-83886706D01* +G37* +D16* +X99060000Y-85212000D03* +D12* +G36* +X97996537Y-83886706D02* +G01* +X98014796Y-83889414D01* +X98032703Y-83893900D01* +X98050083Y-83900118D01* +X98066770Y-83908011D01* +X98082603Y-83917501D01* +X98097429Y-83928497D01* +X98111107Y-83940893D01* +X98123503Y-83954571D01* +X98134499Y-83969397D01* +X98143989Y-83985230D01* +X98151882Y-84001917D01* +X98158100Y-84019297D01* +X98162586Y-84037204D01* +X98165294Y-84055463D01* +X98166200Y-84073900D01* +X98166200Y-86350100D01* +X98165294Y-86368537D01* +X98162586Y-86386796D01* +X98158100Y-86404703D01* +X98151882Y-86422083D01* +X98143989Y-86438770D01* +X98134499Y-86454603D01* +X98123503Y-86469429D01* +X98111107Y-86483107D01* +X98097429Y-86495503D01* +X98082603Y-86506499D01* +X98066770Y-86515989D01* +X98050083Y-86523882D01* +X98032703Y-86530100D01* +X98014796Y-86534586D01* +X97996537Y-86537294D01* +X97978100Y-86538200D01* +X97601900Y-86538200D01* +X97583463Y-86537294D01* +X97565204Y-86534586D01* +X97547297Y-86530100D01* +X97529917Y-86523882D01* +X97513230Y-86515989D01* +X97497397Y-86506499D01* +X97482571Y-86495503D01* +X97468893Y-86483107D01* +X97456497Y-86469429D01* +X97445501Y-86454603D01* +X97436011Y-86438770D01* +X97428118Y-86422083D01* +X97421900Y-86404703D01* +X97417414Y-86386796D01* +X97414706Y-86368537D01* +X97413800Y-86350100D01* +X97413800Y-84073900D01* +X97414706Y-84055463D01* +X97417414Y-84037204D01* +X97421900Y-84019297D01* +X97428118Y-84001917D01* +X97436011Y-83985230D01* +X97445501Y-83969397D01* +X97456497Y-83954571D01* +X97468893Y-83940893D01* +X97482571Y-83928497D01* +X97497397Y-83917501D01* +X97513230Y-83908011D01* +X97529917Y-83900118D01* +X97547297Y-83893900D01* +X97565204Y-83889414D01* +X97583463Y-83886706D01* +X97601900Y-83885800D01* +X97978100Y-83885800D01* +X97996537Y-83886706D01* +X97996537Y-83886706D01* +G37* +D16* +X97790000Y-85212000D03* +D12* +G36* +X96726537Y-83886706D02* +G01* +X96744796Y-83889414D01* +X96762703Y-83893900D01* +X96780083Y-83900118D01* +X96796770Y-83908011D01* +X96812603Y-83917501D01* +X96827429Y-83928497D01* +X96841107Y-83940893D01* +X96853503Y-83954571D01* +X96864499Y-83969397D01* +X96873989Y-83985230D01* +X96881882Y-84001917D01* +X96888100Y-84019297D01* +X96892586Y-84037204D01* +X96895294Y-84055463D01* +X96896200Y-84073900D01* +X96896200Y-86350100D01* +X96895294Y-86368537D01* +X96892586Y-86386796D01* +X96888100Y-86404703D01* +X96881882Y-86422083D01* +X96873989Y-86438770D01* +X96864499Y-86454603D01* +X96853503Y-86469429D01* +X96841107Y-86483107D01* +X96827429Y-86495503D01* +X96812603Y-86506499D01* +X96796770Y-86515989D01* +X96780083Y-86523882D01* +X96762703Y-86530100D01* +X96744796Y-86534586D01* +X96726537Y-86537294D01* +X96708100Y-86538200D01* +X96331900Y-86538200D01* +X96313463Y-86537294D01* +X96295204Y-86534586D01* +X96277297Y-86530100D01* +X96259917Y-86523882D01* +X96243230Y-86515989D01* +X96227397Y-86506499D01* +X96212571Y-86495503D01* +X96198893Y-86483107D01* +X96186497Y-86469429D01* +X96175501Y-86454603D01* +X96166011Y-86438770D01* +X96158118Y-86422083D01* +X96151900Y-86404703D01* +X96147414Y-86386796D01* +X96144706Y-86368537D01* +X96143800Y-86350100D01* +X96143800Y-84073900D01* +X96144706Y-84055463D01* +X96147414Y-84037204D01* +X96151900Y-84019297D01* +X96158118Y-84001917D01* +X96166011Y-83985230D01* +X96175501Y-83969397D01* +X96186497Y-83954571D01* +X96198893Y-83940893D01* +X96212571Y-83928497D01* +X96227397Y-83917501D01* +X96243230Y-83908011D01* +X96259917Y-83900118D01* +X96277297Y-83893900D01* +X96295204Y-83889414D01* +X96313463Y-83886706D01* +X96331900Y-83885800D01* +X96708100Y-83885800D01* +X96726537Y-83886706D01* +X96726537Y-83886706D01* +G37* +D16* +X96520000Y-85212000D03* +D12* +G36* +X94186537Y-83886706D02* +G01* +X94204796Y-83889414D01* +X94222703Y-83893900D01* +X94240083Y-83900118D01* +X94256770Y-83908011D01* +X94272603Y-83917501D01* +X94287429Y-83928497D01* +X94301107Y-83940893D01* +X94313503Y-83954571D01* +X94324499Y-83969397D01* +X94333989Y-83985230D01* +X94341882Y-84001917D01* +X94348100Y-84019297D01* +X94352586Y-84037204D01* +X94355294Y-84055463D01* +X94356200Y-84073900D01* +X94356200Y-86350100D01* +X94355294Y-86368537D01* +X94352586Y-86386796D01* +X94348100Y-86404703D01* +X94341882Y-86422083D01* +X94333989Y-86438770D01* +X94324499Y-86454603D01* +X94313503Y-86469429D01* +X94301107Y-86483107D01* +X94287429Y-86495503D01* +X94272603Y-86506499D01* +X94256770Y-86515989D01* +X94240083Y-86523882D01* +X94222703Y-86530100D01* +X94204796Y-86534586D01* +X94186537Y-86537294D01* +X94168100Y-86538200D01* +X93791900Y-86538200D01* +X93773463Y-86537294D01* +X93755204Y-86534586D01* +X93737297Y-86530100D01* +X93719917Y-86523882D01* +X93703230Y-86515989D01* +X93687397Y-86506499D01* +X93672571Y-86495503D01* +X93658893Y-86483107D01* +X93646497Y-86469429D01* +X93635501Y-86454603D01* +X93626011Y-86438770D01* +X93618118Y-86422083D01* +X93611900Y-86404703D01* +X93607414Y-86386796D01* +X93604706Y-86368537D01* +X93603800Y-86350100D01* +X93603800Y-84073900D01* +X93604706Y-84055463D01* +X93607414Y-84037204D01* +X93611900Y-84019297D01* +X93618118Y-84001917D01* +X93626011Y-83985230D01* +X93635501Y-83969397D01* +X93646497Y-83954571D01* +X93658893Y-83940893D01* +X93672571Y-83928497D01* +X93687397Y-83917501D01* +X93703230Y-83908011D01* +X93719917Y-83900118D01* +X93737297Y-83893900D01* +X93755204Y-83889414D01* +X93773463Y-83886706D01* +X93791900Y-83885800D01* +X94168100Y-83885800D01* +X94186537Y-83886706D01* +X94186537Y-83886706D01* +G37* +D16* +X93980000Y-85212000D03* +D12* +G36* +X92916537Y-83886706D02* +G01* +X92934796Y-83889414D01* +X92952703Y-83893900D01* +X92970083Y-83900118D01* +X92986770Y-83908011D01* +X93002603Y-83917501D01* +X93017429Y-83928497D01* +X93031107Y-83940893D01* +X93043503Y-83954571D01* +X93054499Y-83969397D01* +X93063989Y-83985230D01* +X93071882Y-84001917D01* +X93078100Y-84019297D01* +X93082586Y-84037204D01* +X93085294Y-84055463D01* +X93086200Y-84073900D01* +X93086200Y-86350100D01* +X93085294Y-86368537D01* +X93082586Y-86386796D01* +X93078100Y-86404703D01* +X93071882Y-86422083D01* +X93063989Y-86438770D01* +X93054499Y-86454603D01* +X93043503Y-86469429D01* +X93031107Y-86483107D01* +X93017429Y-86495503D01* +X93002603Y-86506499D01* +X92986770Y-86515989D01* +X92970083Y-86523882D01* +X92952703Y-86530100D01* +X92934796Y-86534586D01* +X92916537Y-86537294D01* +X92898100Y-86538200D01* +X92521900Y-86538200D01* +X92503463Y-86537294D01* +X92485204Y-86534586D01* +X92467297Y-86530100D01* +X92449917Y-86523882D01* +X92433230Y-86515989D01* +X92417397Y-86506499D01* +X92402571Y-86495503D01* +X92388893Y-86483107D01* +X92376497Y-86469429D01* +X92365501Y-86454603D01* +X92356011Y-86438770D01* +X92348118Y-86422083D01* +X92341900Y-86404703D01* +X92337414Y-86386796D01* +X92334706Y-86368537D01* +X92333800Y-86350100D01* +X92333800Y-84073900D01* +X92334706Y-84055463D01* +X92337414Y-84037204D01* +X92341900Y-84019297D01* +X92348118Y-84001917D01* +X92356011Y-83985230D01* +X92365501Y-83969397D01* +X92376497Y-83954571D01* +X92388893Y-83940893D01* +X92402571Y-83928497D01* +X92417397Y-83917501D01* +X92433230Y-83908011D01* +X92449917Y-83900118D01* +X92467297Y-83893900D01* +X92485204Y-83889414D01* +X92503463Y-83886706D01* +X92521900Y-83885800D01* +X92898100Y-83885800D01* +X92916537Y-83886706D01* +X92916537Y-83886706D01* +G37* +D16* +X92710000Y-85212000D03* +D12* +G36* +X91646537Y-83886706D02* +G01* +X91664796Y-83889414D01* +X91682703Y-83893900D01* +X91700083Y-83900118D01* +X91716770Y-83908011D01* +X91732603Y-83917501D01* +X91747429Y-83928497D01* +X91761107Y-83940893D01* +X91773503Y-83954571D01* +X91784499Y-83969397D01* +X91793989Y-83985230D01* +X91801882Y-84001917D01* +X91808100Y-84019297D01* +X91812586Y-84037204D01* +X91815294Y-84055463D01* +X91816200Y-84073900D01* +X91816200Y-86350100D01* +X91815294Y-86368537D01* +X91812586Y-86386796D01* +X91808100Y-86404703D01* +X91801882Y-86422083D01* +X91793989Y-86438770D01* +X91784499Y-86454603D01* +X91773503Y-86469429D01* +X91761107Y-86483107D01* +X91747429Y-86495503D01* +X91732603Y-86506499D01* +X91716770Y-86515989D01* +X91700083Y-86523882D01* +X91682703Y-86530100D01* +X91664796Y-86534586D01* +X91646537Y-86537294D01* +X91628100Y-86538200D01* +X91251900Y-86538200D01* +X91233463Y-86537294D01* +X91215204Y-86534586D01* +X91197297Y-86530100D01* +X91179917Y-86523882D01* +X91163230Y-86515989D01* +X91147397Y-86506499D01* +X91132571Y-86495503D01* +X91118893Y-86483107D01* +X91106497Y-86469429D01* +X91095501Y-86454603D01* +X91086011Y-86438770D01* +X91078118Y-86422083D01* +X91071900Y-86404703D01* +X91067414Y-86386796D01* +X91064706Y-86368537D01* +X91063800Y-86350100D01* +X91063800Y-84073900D01* +X91064706Y-84055463D01* +X91067414Y-84037204D01* +X91071900Y-84019297D01* +X91078118Y-84001917D01* +X91086011Y-83985230D01* +X91095501Y-83969397D01* +X91106497Y-83954571D01* +X91118893Y-83940893D01* +X91132571Y-83928497D01* +X91147397Y-83917501D01* +X91163230Y-83908011D01* +X91179917Y-83900118D01* +X91197297Y-83893900D01* +X91215204Y-83889414D01* +X91233463Y-83886706D01* +X91251900Y-83885800D01* +X91628100Y-83885800D01* +X91646537Y-83886706D01* +X91646537Y-83886706D01* +G37* +D16* +X91440000Y-85212000D03* +D12* +G36* +X90376537Y-83886706D02* +G01* +X90394796Y-83889414D01* +X90412703Y-83893900D01* +X90430083Y-83900118D01* +X90446770Y-83908011D01* +X90462603Y-83917501D01* +X90477429Y-83928497D01* +X90491107Y-83940893D01* +X90503503Y-83954571D01* +X90514499Y-83969397D01* +X90523989Y-83985230D01* +X90531882Y-84001917D01* +X90538100Y-84019297D01* +X90542586Y-84037204D01* +X90545294Y-84055463D01* +X90546200Y-84073900D01* +X90546200Y-86350100D01* +X90545294Y-86368537D01* +X90542586Y-86386796D01* +X90538100Y-86404703D01* +X90531882Y-86422083D01* +X90523989Y-86438770D01* +X90514499Y-86454603D01* +X90503503Y-86469429D01* +X90491107Y-86483107D01* +X90477429Y-86495503D01* +X90462603Y-86506499D01* +X90446770Y-86515989D01* +X90430083Y-86523882D01* +X90412703Y-86530100D01* +X90394796Y-86534586D01* +X90376537Y-86537294D01* +X90358100Y-86538200D01* +X89981900Y-86538200D01* +X89963463Y-86537294D01* +X89945204Y-86534586D01* +X89927297Y-86530100D01* +X89909917Y-86523882D01* +X89893230Y-86515989D01* +X89877397Y-86506499D01* +X89862571Y-86495503D01* +X89848893Y-86483107D01* +X89836497Y-86469429D01* +X89825501Y-86454603D01* +X89816011Y-86438770D01* +X89808118Y-86422083D01* +X89801900Y-86404703D01* +X89797414Y-86386796D01* +X89794706Y-86368537D01* +X89793800Y-86350100D01* +X89793800Y-84073900D01* +X89794706Y-84055463D01* +X89797414Y-84037204D01* +X89801900Y-84019297D01* +X89808118Y-84001917D01* +X89816011Y-83985230D01* +X89825501Y-83969397D01* +X89836497Y-83954571D01* +X89848893Y-83940893D01* +X89862571Y-83928497D01* +X89877397Y-83917501D01* +X89893230Y-83908011D01* +X89909917Y-83900118D01* +X89927297Y-83893900D01* +X89945204Y-83889414D01* +X89963463Y-83886706D01* +X89981900Y-83885800D01* +X90358100Y-83885800D01* +X90376537Y-83886706D01* +X90376537Y-83886706D01* +G37* +D16* +X90170000Y-85212000D03* +D12* +G36* +X89106537Y-83886706D02* +G01* +X89124796Y-83889414D01* +X89142703Y-83893900D01* +X89160083Y-83900118D01* +X89176770Y-83908011D01* +X89192603Y-83917501D01* +X89207429Y-83928497D01* +X89221107Y-83940893D01* +X89233503Y-83954571D01* +X89244499Y-83969397D01* +X89253989Y-83985230D01* +X89261882Y-84001917D01* +X89268100Y-84019297D01* +X89272586Y-84037204D01* +X89275294Y-84055463D01* +X89276200Y-84073900D01* +X89276200Y-86350100D01* +X89275294Y-86368537D01* +X89272586Y-86386796D01* +X89268100Y-86404703D01* +X89261882Y-86422083D01* +X89253989Y-86438770D01* +X89244499Y-86454603D01* +X89233503Y-86469429D01* +X89221107Y-86483107D01* +X89207429Y-86495503D01* +X89192603Y-86506499D01* +X89176770Y-86515989D01* +X89160083Y-86523882D01* +X89142703Y-86530100D01* +X89124796Y-86534586D01* +X89106537Y-86537294D01* +X89088100Y-86538200D01* +X88711900Y-86538200D01* +X88693463Y-86537294D01* +X88675204Y-86534586D01* +X88657297Y-86530100D01* +X88639917Y-86523882D01* +X88623230Y-86515989D01* +X88607397Y-86506499D01* +X88592571Y-86495503D01* +X88578893Y-86483107D01* +X88566497Y-86469429D01* +X88555501Y-86454603D01* +X88546011Y-86438770D01* +X88538118Y-86422083D01* +X88531900Y-86404703D01* +X88527414Y-86386796D01* +X88524706Y-86368537D01* +X88523800Y-86350100D01* +X88523800Y-84073900D01* +X88524706Y-84055463D01* +X88527414Y-84037204D01* +X88531900Y-84019297D01* +X88538118Y-84001917D01* +X88546011Y-83985230D01* +X88555501Y-83969397D01* +X88566497Y-83954571D01* +X88578893Y-83940893D01* +X88592571Y-83928497D01* +X88607397Y-83917501D01* +X88623230Y-83908011D01* +X88639917Y-83900118D01* +X88657297Y-83893900D01* +X88675204Y-83889414D01* +X88693463Y-83886706D01* +X88711900Y-83885800D01* +X89088100Y-83885800D01* +X89106537Y-83886706D01* +X89106537Y-83886706D01* +G37* +D16* +X88900000Y-85212000D03* +D12* +G36* +X87836537Y-83886706D02* +G01* +X87854796Y-83889414D01* +X87872703Y-83893900D01* +X87890083Y-83900118D01* +X87906770Y-83908011D01* +X87922603Y-83917501D01* +X87937429Y-83928497D01* +X87951107Y-83940893D01* +X87963503Y-83954571D01* +X87974499Y-83969397D01* +X87983989Y-83985230D01* +X87991882Y-84001917D01* +X87998100Y-84019297D01* +X88002586Y-84037204D01* +X88005294Y-84055463D01* +X88006200Y-84073900D01* +X88006200Y-86350100D01* +X88005294Y-86368537D01* +X88002586Y-86386796D01* +X87998100Y-86404703D01* +X87991882Y-86422083D01* +X87983989Y-86438770D01* +X87974499Y-86454603D01* +X87963503Y-86469429D01* +X87951107Y-86483107D01* +X87937429Y-86495503D01* +X87922603Y-86506499D01* +X87906770Y-86515989D01* +X87890083Y-86523882D01* +X87872703Y-86530100D01* +X87854796Y-86534586D01* +X87836537Y-86537294D01* +X87818100Y-86538200D01* +X87441900Y-86538200D01* +X87423463Y-86537294D01* +X87405204Y-86534586D01* +X87387297Y-86530100D01* +X87369917Y-86523882D01* +X87353230Y-86515989D01* +X87337397Y-86506499D01* +X87322571Y-86495503D01* +X87308893Y-86483107D01* +X87296497Y-86469429D01* +X87285501Y-86454603D01* +X87276011Y-86438770D01* +X87268118Y-86422083D01* +X87261900Y-86404703D01* +X87257414Y-86386796D01* +X87254706Y-86368537D01* +X87253800Y-86350100D01* +X87253800Y-84073900D01* +X87254706Y-84055463D01* +X87257414Y-84037204D01* +X87261900Y-84019297D01* +X87268118Y-84001917D01* +X87276011Y-83985230D01* +X87285501Y-83969397D01* +X87296497Y-83954571D01* +X87308893Y-83940893D01* +X87322571Y-83928497D01* +X87337397Y-83917501D01* +X87353230Y-83908011D01* +X87369917Y-83900118D01* +X87387297Y-83893900D01* +X87405204Y-83889414D01* +X87423463Y-83886706D01* +X87441900Y-83885800D01* +X87818100Y-83885800D01* +X87836537Y-83886706D01* +X87836537Y-83886706D01* +G37* +D16* +X87630000Y-85212000D03* +D12* +G36* +X105915064Y-91807247D02* +G01* +X105944244Y-91811576D01* +X105972860Y-91818744D01* +X106000635Y-91828682D01* +X106027302Y-91841294D01* +X106052604Y-91856460D01* +X106076299Y-91874033D01* +X106098156Y-91893844D01* +X106117967Y-91915701D01* +X106135540Y-91939396D01* +X106150706Y-91964698D01* +X106163318Y-91991365D01* +X106173256Y-92019140D01* +X106180424Y-92047756D01* +X106184753Y-92076936D01* +X106186200Y-92106400D01* +X106186200Y-92707600D01* +X106184753Y-92737064D01* +X106180424Y-92766244D01* +X106173256Y-92794860D01* +X106163318Y-92822635D01* +X106150706Y-92849302D01* +X106135540Y-92874604D01* +X106117967Y-92898299D01* +X106098156Y-92920156D01* +X106076299Y-92939967D01* +X106052604Y-92957540D01* +X106027302Y-92972706D01* +X106000635Y-92985318D01* +X105972860Y-92995256D01* +X105944244Y-93002424D01* +X105915064Y-93006753D01* +X105885600Y-93008200D01* +X104934400Y-93008200D01* +X104904936Y-93006753D01* +X104875756Y-93002424D01* +X104847140Y-92995256D01* +X104819365Y-92985318D01* +X104792698Y-92972706D01* +X104767396Y-92957540D01* +X104743701Y-92939967D01* +X104721844Y-92920156D01* +X104702033Y-92898299D01* +X104684460Y-92874604D01* +X104669294Y-92849302D01* +X104656682Y-92822635D01* +X104646744Y-92794860D01* +X104639576Y-92766244D01* +X104635247Y-92737064D01* +X104633800Y-92707600D01* +X104633800Y-92106400D01* +X104635247Y-92076936D01* +X104639576Y-92047756D01* +X104646744Y-92019140D01* +X104656682Y-91991365D01* +X104669294Y-91964698D01* +X104684460Y-91939396D01* +X104702033Y-91915701D01* +X104721844Y-91893844D01* +X104743701Y-91874033D01* +X104767396Y-91856460D01* +X104792698Y-91841294D01* +X104819365Y-91828682D01* +X104847140Y-91818744D01* +X104875756Y-91811576D01* +X104904936Y-91807247D01* +X104934400Y-91805800D01* +X105885600Y-91805800D01* +X105915064Y-91807247D01* +X105915064Y-91807247D01* +G37* +D13* +X105410000Y-92407000D03* +D12* +G36* +X105915064Y-93507247D02* +G01* +X105944244Y-93511576D01* +X105972860Y-93518744D01* +X106000635Y-93528682D01* +X106027302Y-93541294D01* +X106052604Y-93556460D01* +X106076299Y-93574033D01* +X106098156Y-93593844D01* +X106117967Y-93615701D01* +X106135540Y-93639396D01* +X106150706Y-93664698D01* +X106163318Y-93691365D01* +X106173256Y-93719140D01* +X106180424Y-93747756D01* +X106184753Y-93776936D01* +X106186200Y-93806400D01* +X106186200Y-94407600D01* +X106184753Y-94437064D01* +X106180424Y-94466244D01* +X106173256Y-94494860D01* +X106163318Y-94522635D01* +X106150706Y-94549302D01* +X106135540Y-94574604D01* +X106117967Y-94598299D01* +X106098156Y-94620156D01* +X106076299Y-94639967D01* +X106052604Y-94657540D01* +X106027302Y-94672706D01* +X106000635Y-94685318D01* +X105972860Y-94695256D01* +X105944244Y-94702424D01* +X105915064Y-94706753D01* +X105885600Y-94708200D01* +X104934400Y-94708200D01* +X104904936Y-94706753D01* +X104875756Y-94702424D01* +X104847140Y-94695256D01* +X104819365Y-94685318D01* +X104792698Y-94672706D01* +X104767396Y-94657540D01* +X104743701Y-94639967D01* +X104721844Y-94620156D01* +X104702033Y-94598299D01* +X104684460Y-94574604D01* +X104669294Y-94549302D01* +X104656682Y-94522635D01* +X104646744Y-94494860D01* +X104639576Y-94466244D01* +X104635247Y-94437064D01* +X104633800Y-94407600D01* +X104633800Y-93806400D01* +X104635247Y-93776936D01* +X104639576Y-93747756D01* +X104646744Y-93719140D01* +X104656682Y-93691365D01* +X104669294Y-93664698D01* +X104684460Y-93639396D01* +X104702033Y-93615701D01* +X104721844Y-93593844D01* +X104743701Y-93574033D01* +X104767396Y-93556460D01* +X104792698Y-93541294D01* +X104819365Y-93528682D01* +X104847140Y-93518744D01* +X104875756Y-93511576D01* +X104904936Y-93507247D01* +X104934400Y-93505800D01* +X105885600Y-93505800D01* +X105915064Y-93507247D01* +X105915064Y-93507247D01* +G37* +D13* +X105410000Y-94107000D03* +D12* +G36* +X119885064Y-93507247D02* +G01* +X119914244Y-93511576D01* +X119942860Y-93518744D01* +X119970635Y-93528682D01* +X119997302Y-93541294D01* +X120022604Y-93556460D01* +X120046299Y-93574033D01* +X120068156Y-93593844D01* +X120087967Y-93615701D01* +X120105540Y-93639396D01* +X120120706Y-93664698D01* +X120133318Y-93691365D01* +X120143256Y-93719140D01* +X120150424Y-93747756D01* +X120154753Y-93776936D01* +X120156200Y-93806400D01* +X120156200Y-94407600D01* +X120154753Y-94437064D01* +X120150424Y-94466244D01* +X120143256Y-94494860D01* +X120133318Y-94522635D01* +X120120706Y-94549302D01* +X120105540Y-94574604D01* +X120087967Y-94598299D01* +X120068156Y-94620156D01* +X120046299Y-94639967D01* +X120022604Y-94657540D01* +X119997302Y-94672706D01* +X119970635Y-94685318D01* +X119942860Y-94695256D01* +X119914244Y-94702424D01* +X119885064Y-94706753D01* +X119855600Y-94708200D01* +X118904400Y-94708200D01* +X118874936Y-94706753D01* +X118845756Y-94702424D01* +X118817140Y-94695256D01* +X118789365Y-94685318D01* +X118762698Y-94672706D01* +X118737396Y-94657540D01* +X118713701Y-94639967D01* +X118691844Y-94620156D01* +X118672033Y-94598299D01* +X118654460Y-94574604D01* +X118639294Y-94549302D01* +X118626682Y-94522635D01* +X118616744Y-94494860D01* +X118609576Y-94466244D01* +X118605247Y-94437064D01* +X118603800Y-94407600D01* +X118603800Y-93806400D01* +X118605247Y-93776936D01* +X118609576Y-93747756D01* +X118616744Y-93719140D01* +X118626682Y-93691365D01* +X118639294Y-93664698D01* +X118654460Y-93639396D01* +X118672033Y-93615701D01* +X118691844Y-93593844D01* +X118713701Y-93574033D01* +X118737396Y-93556460D01* +X118762698Y-93541294D01* +X118789365Y-93528682D01* +X118817140Y-93518744D01* +X118845756Y-93511576D01* +X118874936Y-93507247D01* +X118904400Y-93505800D01* +X119855600Y-93505800D01* +X119885064Y-93507247D01* +X119885064Y-93507247D01* +G37* +D13* +X119380000Y-94107000D03* +D12* +G36* +X119885064Y-91807247D02* +G01* +X119914244Y-91811576D01* +X119942860Y-91818744D01* +X119970635Y-91828682D01* +X119997302Y-91841294D01* +X120022604Y-91856460D01* +X120046299Y-91874033D01* +X120068156Y-91893844D01* +X120087967Y-91915701D01* +X120105540Y-91939396D01* +X120120706Y-91964698D01* +X120133318Y-91991365D01* +X120143256Y-92019140D01* +X120150424Y-92047756D01* +X120154753Y-92076936D01* +X120156200Y-92106400D01* +X120156200Y-92707600D01* +X120154753Y-92737064D01* +X120150424Y-92766244D01* +X120143256Y-92794860D01* +X120133318Y-92822635D01* +X120120706Y-92849302D01* +X120105540Y-92874604D01* +X120087967Y-92898299D01* +X120068156Y-92920156D01* +X120046299Y-92939967D01* +X120022604Y-92957540D01* +X119997302Y-92972706D01* +X119970635Y-92985318D01* +X119942860Y-92995256D01* +X119914244Y-93002424D01* +X119885064Y-93006753D01* +X119855600Y-93008200D01* +X118904400Y-93008200D01* +X118874936Y-93006753D01* +X118845756Y-93002424D01* +X118817140Y-92995256D01* +X118789365Y-92985318D01* +X118762698Y-92972706D01* +X118737396Y-92957540D01* +X118713701Y-92939967D01* +X118691844Y-92920156D01* +X118672033Y-92898299D01* +X118654460Y-92874604D01* +X118639294Y-92849302D01* +X118626682Y-92822635D01* +X118616744Y-92794860D01* +X118609576Y-92766244D01* +X118605247Y-92737064D01* +X118603800Y-92707600D01* +X118603800Y-92106400D01* +X118605247Y-92076936D01* +X118609576Y-92047756D01* +X118616744Y-92019140D01* +X118626682Y-91991365D01* +X118639294Y-91964698D01* +X118654460Y-91939396D01* +X118672033Y-91915701D01* +X118691844Y-91893844D01* +X118713701Y-91874033D01* +X118737396Y-91856460D01* +X118762698Y-91841294D01* +X118789365Y-91828682D01* +X118817140Y-91818744D01* +X118845756Y-91811576D01* +X118874936Y-91807247D01* +X118904400Y-91805800D01* +X119855600Y-91805800D01* +X119885064Y-91807247D01* +X119885064Y-91807247D01* +G37* +D13* +X119380000Y-92407000D03* +D12* +G36* +X157985064Y-93507247D02* +G01* +X158014244Y-93511576D01* +X158042860Y-93518744D01* +X158070635Y-93528682D01* +X158097302Y-93541294D01* +X158122604Y-93556460D01* +X158146299Y-93574033D01* +X158168156Y-93593844D01* +X158187967Y-93615701D01* +X158205540Y-93639396D01* +X158220706Y-93664698D01* +X158233318Y-93691365D01* +X158243256Y-93719140D01* +X158250424Y-93747756D01* +X158254753Y-93776936D01* +X158256200Y-93806400D01* +X158256200Y-94407600D01* +X158254753Y-94437064D01* +X158250424Y-94466244D01* +X158243256Y-94494860D01* +X158233318Y-94522635D01* +X158220706Y-94549302D01* +X158205540Y-94574604D01* +X158187967Y-94598299D01* +X158168156Y-94620156D01* +X158146299Y-94639967D01* +X158122604Y-94657540D01* +X158097302Y-94672706D01* +X158070635Y-94685318D01* +X158042860Y-94695256D01* +X158014244Y-94702424D01* +X157985064Y-94706753D01* +X157955600Y-94708200D01* +X157004400Y-94708200D01* +X156974936Y-94706753D01* +X156945756Y-94702424D01* +X156917140Y-94695256D01* +X156889365Y-94685318D01* +X156862698Y-94672706D01* +X156837396Y-94657540D01* +X156813701Y-94639967D01* +X156791844Y-94620156D01* +X156772033Y-94598299D01* +X156754460Y-94574604D01* +X156739294Y-94549302D01* +X156726682Y-94522635D01* +X156716744Y-94494860D01* +X156709576Y-94466244D01* +X156705247Y-94437064D01* +X156703800Y-94407600D01* +X156703800Y-93806400D01* +X156705247Y-93776936D01* +X156709576Y-93747756D01* +X156716744Y-93719140D01* +X156726682Y-93691365D01* +X156739294Y-93664698D01* +X156754460Y-93639396D01* +X156772033Y-93615701D01* +X156791844Y-93593844D01* +X156813701Y-93574033D01* +X156837396Y-93556460D01* +X156862698Y-93541294D01* +X156889365Y-93528682D01* +X156917140Y-93518744D01* +X156945756Y-93511576D01* +X156974936Y-93507247D01* +X157004400Y-93505800D01* +X157955600Y-93505800D01* +X157985064Y-93507247D01* +X157985064Y-93507247D01* +G37* +D13* +X157480000Y-94107000D03* +D12* +G36* +X157985064Y-91807247D02* +G01* +X158014244Y-91811576D01* +X158042860Y-91818744D01* +X158070635Y-91828682D01* +X158097302Y-91841294D01* +X158122604Y-91856460D01* +X158146299Y-91874033D01* +X158168156Y-91893844D01* +X158187967Y-91915701D01* +X158205540Y-91939396D01* +X158220706Y-91964698D01* +X158233318Y-91991365D01* +X158243256Y-92019140D01* +X158250424Y-92047756D01* +X158254753Y-92076936D01* +X158256200Y-92106400D01* +X158256200Y-92707600D01* +X158254753Y-92737064D01* +X158250424Y-92766244D01* +X158243256Y-92794860D01* +X158233318Y-92822635D01* +X158220706Y-92849302D01* +X158205540Y-92874604D01* +X158187967Y-92898299D01* +X158168156Y-92920156D01* +X158146299Y-92939967D01* +X158122604Y-92957540D01* +X158097302Y-92972706D01* +X158070635Y-92985318D01* +X158042860Y-92995256D01* +X158014244Y-93002424D01* +X157985064Y-93006753D01* +X157955600Y-93008200D01* +X157004400Y-93008200D01* +X156974936Y-93006753D01* +X156945756Y-93002424D01* +X156917140Y-92995256D01* +X156889365Y-92985318D01* +X156862698Y-92972706D01* +X156837396Y-92957540D01* +X156813701Y-92939967D01* +X156791844Y-92920156D01* +X156772033Y-92898299D01* +X156754460Y-92874604D01* +X156739294Y-92849302D01* +X156726682Y-92822635D01* +X156716744Y-92794860D01* +X156709576Y-92766244D01* +X156705247Y-92737064D01* +X156703800Y-92707600D01* +X156703800Y-92106400D01* +X156705247Y-92076936D01* +X156709576Y-92047756D01* +X156716744Y-92019140D01* +X156726682Y-91991365D01* +X156739294Y-91964698D01* +X156754460Y-91939396D01* +X156772033Y-91915701D01* +X156791844Y-91893844D01* +X156813701Y-91874033D01* +X156837396Y-91856460D01* +X156862698Y-91841294D01* +X156889365Y-91828682D01* +X156917140Y-91818744D01* +X156945756Y-91811576D01* +X156974936Y-91807247D01* +X157004400Y-91805800D01* +X157955600Y-91805800D01* +X157985064Y-91807247D01* +X157985064Y-91807247D01* +G37* +D13* +X157480000Y-92407000D03* +D12* +G36* +X140205064Y-93507247D02* +G01* +X140234244Y-93511576D01* +X140262860Y-93518744D01* +X140290635Y-93528682D01* +X140317302Y-93541294D01* +X140342604Y-93556460D01* +X140366299Y-93574033D01* +X140388156Y-93593844D01* +X140407967Y-93615701D01* +X140425540Y-93639396D01* +X140440706Y-93664698D01* +X140453318Y-93691365D01* +X140463256Y-93719140D01* +X140470424Y-93747756D01* +X140474753Y-93776936D01* +X140476200Y-93806400D01* +X140476200Y-94407600D01* +X140474753Y-94437064D01* +X140470424Y-94466244D01* +X140463256Y-94494860D01* +X140453318Y-94522635D01* +X140440706Y-94549302D01* +X140425540Y-94574604D01* +X140407967Y-94598299D01* +X140388156Y-94620156D01* +X140366299Y-94639967D01* +X140342604Y-94657540D01* +X140317302Y-94672706D01* +X140290635Y-94685318D01* +X140262860Y-94695256D01* +X140234244Y-94702424D01* +X140205064Y-94706753D01* +X140175600Y-94708200D01* +X139224400Y-94708200D01* +X139194936Y-94706753D01* +X139165756Y-94702424D01* +X139137140Y-94695256D01* +X139109365Y-94685318D01* +X139082698Y-94672706D01* +X139057396Y-94657540D01* +X139033701Y-94639967D01* +X139011844Y-94620156D01* +X138992033Y-94598299D01* +X138974460Y-94574604D01* +X138959294Y-94549302D01* +X138946682Y-94522635D01* +X138936744Y-94494860D01* +X138929576Y-94466244D01* +X138925247Y-94437064D01* +X138923800Y-94407600D01* +X138923800Y-93806400D01* +X138925247Y-93776936D01* +X138929576Y-93747756D01* +X138936744Y-93719140D01* +X138946682Y-93691365D01* +X138959294Y-93664698D01* +X138974460Y-93639396D01* +X138992033Y-93615701D01* +X139011844Y-93593844D01* +X139033701Y-93574033D01* +X139057396Y-93556460D01* +X139082698Y-93541294D01* +X139109365Y-93528682D01* +X139137140Y-93518744D01* +X139165756Y-93511576D01* +X139194936Y-93507247D01* +X139224400Y-93505800D01* +X140175600Y-93505800D01* +X140205064Y-93507247D01* +X140205064Y-93507247D01* +G37* +D13* +X139700000Y-94107000D03* +D12* +G36* +X140205064Y-91807247D02* +G01* +X140234244Y-91811576D01* +X140262860Y-91818744D01* +X140290635Y-91828682D01* +X140317302Y-91841294D01* +X140342604Y-91856460D01* +X140366299Y-91874033D01* +X140388156Y-91893844D01* +X140407967Y-91915701D01* +X140425540Y-91939396D01* +X140440706Y-91964698D01* +X140453318Y-91991365D01* +X140463256Y-92019140D01* +X140470424Y-92047756D01* +X140474753Y-92076936D01* +X140476200Y-92106400D01* +X140476200Y-92707600D01* +X140474753Y-92737064D01* +X140470424Y-92766244D01* +X140463256Y-92794860D01* +X140453318Y-92822635D01* +X140440706Y-92849302D01* +X140425540Y-92874604D01* +X140407967Y-92898299D01* +X140388156Y-92920156D01* +X140366299Y-92939967D01* +X140342604Y-92957540D01* +X140317302Y-92972706D01* +X140290635Y-92985318D01* +X140262860Y-92995256D01* +X140234244Y-93002424D01* +X140205064Y-93006753D01* +X140175600Y-93008200D01* +X139224400Y-93008200D01* +X139194936Y-93006753D01* +X139165756Y-93002424D01* +X139137140Y-92995256D01* +X139109365Y-92985318D01* +X139082698Y-92972706D01* +X139057396Y-92957540D01* +X139033701Y-92939967D01* +X139011844Y-92920156D01* +X138992033Y-92898299D01* +X138974460Y-92874604D01* +X138959294Y-92849302D01* +X138946682Y-92822635D01* +X138936744Y-92794860D01* +X138929576Y-92766244D01* +X138925247Y-92737064D01* +X138923800Y-92707600D01* +X138923800Y-92106400D01* +X138925247Y-92076936D01* +X138929576Y-92047756D01* +X138936744Y-92019140D01* +X138946682Y-91991365D01* +X138959294Y-91964698D01* +X138974460Y-91939396D01* +X138992033Y-91915701D01* +X139011844Y-91893844D01* +X139033701Y-91874033D01* +X139057396Y-91856460D01* +X139082698Y-91841294D01* +X139109365Y-91828682D01* +X139137140Y-91818744D01* +X139165756Y-91811576D01* +X139194936Y-91807247D01* +X139224400Y-91805800D01* +X140175600Y-91805800D01* +X140205064Y-91807247D01* +X140205064Y-91807247D01* +G37* +D13* +X139700000Y-92407000D03* +M02* diff --git a/gerber/simm-30-4mb-In1_Cu.g2 b/gerber/simm-30-4mb-In1_Cu.g2 new file mode 100644 index 0000000..2e38c7c --- /dev/null +++ b/gerber/simm-30-4mb-In1_Cu.g2 @@ -0,0 +1,7569 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L2,Inr* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.800000*% +%ADD11C,0.508000*% +%ADD12C,1.000000*% +%ADD13C,0.152400*% +G04 APERTURE END LIST* +D10* +X157480000Y-98806000D03* +X154940000Y-98806000D03* +X129540000Y-98806000D03* +X144780000Y-98806000D03* +X139700000Y-98806000D03* +X142240000Y-98806000D03* +X137160000Y-98806000D03* +X132080000Y-98806000D03* +X134620000Y-98806000D03* +X152400000Y-98806000D03* +X147320000Y-98806000D03* +X149860000Y-98806000D03* +X127000000Y-98806000D03* +X121920000Y-98806000D03* +X124460000Y-98806000D03* +X119380000Y-98806000D03* +X114300000Y-98806000D03* +X116840000Y-98806000D03* +X111760000Y-98806000D03* +X106680000Y-98806000D03* +X109220000Y-98806000D03* +X101600000Y-98806000D03* +X104140000Y-98806000D03* +X99060000Y-98806000D03* +X96520000Y-98806000D03* +X91440000Y-98806000D03* +X93980000Y-98806000D03* +X83820000Y-98806000D03* +X86360000Y-98806000D03* +X88900000Y-98806000D03* +X86741000Y-94107000D03* +X121031000Y-94107000D03* +X138049000Y-94107000D03* +X83820000Y-94107000D03* +X85090000Y-95250000D03* +X103759000Y-94107000D03* +X106680000Y-94107000D03* +X118110000Y-94107000D03* +X119380000Y-95250000D03* +D11* +X105410000Y-94996000D03* +D10* +X139700000Y-95250000D03* +X140970000Y-94107000D03* +X156210000Y-94107000D03* +X158750000Y-94107000D03* +D12* +X157480000Y-95377000D03* +D11* +X125730000Y-90932000D03* +X115189000Y-93281500D03* +X127000000Y-90932000D03* +X112522000Y-92456000D03* +X91440000Y-88392000D03* +X121158000Y-90170000D03* +X125730000Y-89027000D03* +D10* +X121031000Y-84836000D03* +X138049000Y-85217000D03* +X103759000Y-84836000D03* +X86741000Y-85217000D03* +D11* +X134620000Y-89408000D03* +X133350000Y-89408000D03* +X132080000Y-89408000D03* +X135890000Y-89408000D03* +X130810000Y-89408000D03* +X108839000Y-95377000D03* +X104267000Y-95377000D03* +X129540000Y-89662000D03* +X95250000Y-89662000D03* +X112395000Y-89408000D03* +X119634000Y-89408000D03* +X92710000Y-96520000D03* +X111252000Y-97536000D03* +X117729000Y-97917000D03* +X118872000Y-97536000D03* +X139065000Y-97409000D03* +X134239000Y-97663000D03* +X89408000Y-97028000D03* +X88138000Y-96266000D03* +X142621000Y-97409000D03* +X114681000Y-95758000D03* +X144145000Y-97409000D03* +X143129000Y-96393000D03* +X112395000Y-84709000D03* +X111379000Y-89916000D03* +X108077000Y-84709000D03* +X116713000Y-84709000D03* +X88900000Y-90043000D03* +X87630000Y-90043000D03* +X90170000Y-90043000D03* +X91948000Y-89662000D03* +X126492000Y-89662000D03* +X92710000Y-88392000D03* +X121412000Y-97536000D03* +X122428000Y-97536000D03* +X97282000Y-83439000D03* +X131572000Y-83439000D03* +X77089000Y-83439000D03* +X164211000Y-83439000D03* +X164211000Y-100711000D03* +X79121000Y-100711000D03* +X77089000Y-94742000D03* +X164211000Y-91440000D03* +X77089000Y-91440000D03* +X77089000Y-87376000D03* +X164211000Y-87376000D03* +X140081000Y-83439000D03* +X88773000Y-83439000D03* +X105791000Y-83439000D03* +X123063000Y-83439000D03* +X114427000Y-83439000D03* +X118745000Y-83439000D03* +X110109000Y-83439000D03* +X127127000Y-83439000D03* +X136017000Y-83439000D03* +X101727000Y-83439000D03* +X92837000Y-83439000D03* +X82804000Y-83439000D03* +X152146000Y-83439000D03* +X158242000Y-83439000D03* +X146050000Y-83439000D03* +X164211000Y-96139000D03* +X82804000Y-87376000D03* +X158242000Y-87376000D03* +X152146000Y-87376000D03* +X146050000Y-87376000D03* +X146050000Y-91440000D03* +X152146000Y-91440000D03* +X152146000Y-95885000D03* +X79883000Y-85344000D03* +X161290000Y-85344000D03* +X155194000Y-85344000D03* +X149098000Y-85344000D03* +X143002000Y-85344000D03* +X149098000Y-89408000D03* +X161290000Y-89408000D03* +X149098000Y-93853000D03* +X161290000Y-93853000D03* +X161290000Y-98552000D03* +X78994000Y-94742000D03* +X80899000Y-97790000D03* +X79883000Y-89281000D03* +X139954000Y-87376000D03* +X143002000Y-89408000D03* +X143002000Y-93853000D03* +X146050000Y-95885000D03* +X131699000Y-97663000D03* +X110109000Y-97917000D03* +X102743000Y-97917000D03* +X99949000Y-97917000D03* +X97409000Y-97917000D03* +X128270000Y-98298000D03* +X147701000Y-97409000D03* +X158623000Y-96139000D03* +X113411000Y-91948000D03* +X116205000Y-92964000D03* +X114935000Y-91948000D03* +X114173000Y-92964000D03* +X112395000Y-93472000D03* +X112776000Y-95377000D03* +X110363000Y-95377000D03* +X111506000Y-92583000D03* +X110617000Y-93345000D03* +X111569500Y-94234000D03* +X82931000Y-95250000D03* +D10* +X83820000Y-92456000D03* +X85090000Y-91313000D03* +D11* +X104013000Y-89408000D03* +D10* +X105410000Y-91313000D03* +X119380000Y-91313000D03* +X117729000Y-91821000D03* +X106807000Y-92075000D03* +X139700000Y-91186000D03* +X140970000Y-92456000D03* +X156210000Y-92456000D03* +X158750000Y-92456000D03* +X157480000Y-91313000D03* +D11* +X132080000Y-90932000D03* +X100330000Y-90932000D03* +X133350000Y-90932000D03* +X99060000Y-90932000D03* +X134620000Y-90932000D03* +X97790000Y-90932000D03* +X135890000Y-90932000D03* +X96520000Y-90932000D03* +X93980000Y-88392000D03* +X135890000Y-88392000D03* +X134620000Y-88392000D03* +X96520000Y-88392000D03* +X97790000Y-88392000D03* +X133350000Y-88392000D03* +X99060000Y-88392000D03* +X132080000Y-88392000D03* +X100330000Y-88392000D03* +X130810000Y-88392000D03* +X130810000Y-90932000D03* +X101600000Y-90932000D03* +X101600000Y-88392000D03* +X128270000Y-88392000D03* +D13* +G36* +X164771401Y-101271400D02* +G01* +X78560600Y-101271400D01* +X78560600Y-99568000D01* +X85775800Y-99568000D01* +X85775800Y-100457000D01* +X85777264Y-100471866D01* +X85781600Y-100486160D01* +X85788642Y-100499334D01* +X85798118Y-100510882D01* +X85809666Y-100520358D01* +X85822840Y-100527400D01* +X85837134Y-100531736D01* +X85852000Y-100533200D01* +X86868000Y-100533200D01* +X86882866Y-100531736D01* +X86897160Y-100527400D01* +X86910334Y-100520358D01* +X86921882Y-100510882D01* +X86931358Y-100499334D01* +X86938400Y-100486160D01* +X86942736Y-100471866D01* +X86944200Y-100457000D01* +X86944200Y-99568000D01* +X88315800Y-99568000D01* +X88315800Y-100457000D01* +X88317264Y-100471866D01* +X88321600Y-100486160D01* +X88328642Y-100499334D01* +X88338118Y-100510882D01* +X88349666Y-100520358D01* +X88362840Y-100527400D01* +X88377134Y-100531736D01* +X88392000Y-100533200D01* +X89408000Y-100533200D01* +X89422866Y-100531736D01* +X89437160Y-100527400D01* +X89450334Y-100520358D01* +X89461882Y-100510882D01* +X89471358Y-100499334D01* +X89478400Y-100486160D01* +X89482736Y-100471866D01* +X89484200Y-100457000D01* +X89484200Y-99568000D01* +X90855800Y-99568000D01* +X90855800Y-100457000D01* +X90857264Y-100471866D01* +X90861600Y-100486160D01* +X90868642Y-100499334D01* +X90878118Y-100510882D01* +X90889666Y-100520358D01* +X90902840Y-100527400D01* +X90917134Y-100531736D01* +X90932000Y-100533200D01* +X91948000Y-100533200D01* +X91962866Y-100531736D01* +X91977160Y-100527400D01* +X91990334Y-100520358D01* +X92001882Y-100510882D01* +X92011358Y-100499334D01* +X92018400Y-100486160D01* +X92022736Y-100471866D01* +X92024200Y-100457000D01* +X92024200Y-99568000D01* +X93395800Y-99568000D01* +X93395800Y-100457000D01* +X93397264Y-100471866D01* +X93401600Y-100486160D01* +X93408642Y-100499334D01* +X93418118Y-100510882D01* +X93429666Y-100520358D01* +X93442840Y-100527400D01* +X93457134Y-100531736D01* +X93472000Y-100533200D01* +X94488000Y-100533200D01* +X94502866Y-100531736D01* +X94517160Y-100527400D01* +X94530334Y-100520358D01* +X94541882Y-100510882D01* +X94551358Y-100499334D01* +X94558400Y-100486160D01* +X94562736Y-100471866D01* +X94564200Y-100457000D01* +X94564200Y-99568000D01* +X95935800Y-99568000D01* +X95935800Y-100457000D01* +X95937264Y-100471866D01* +X95941600Y-100486160D01* +X95948642Y-100499334D01* +X95958118Y-100510882D01* +X95969666Y-100520358D01* +X95982840Y-100527400D01* +X95997134Y-100531736D01* +X96012000Y-100533200D01* +X97028000Y-100533200D01* +X97042866Y-100531736D01* +X97057160Y-100527400D01* +X97070334Y-100520358D01* +X97081882Y-100510882D01* +X97091358Y-100499334D01* +X97098400Y-100486160D01* +X97102736Y-100471866D01* +X97104200Y-100457000D01* +X97104200Y-99568000D01* +X98475800Y-99568000D01* +X98475800Y-100457000D01* +X98477264Y-100471866D01* +X98481600Y-100486160D01* +X98488642Y-100499334D01* +X98498118Y-100510882D01* +X98509666Y-100520358D01* +X98522840Y-100527400D01* +X98537134Y-100531736D01* +X98552000Y-100533200D01* +X99568000Y-100533200D01* +X99582866Y-100531736D01* +X99597160Y-100527400D01* +X99610334Y-100520358D01* +X99621882Y-100510882D01* +X99631358Y-100499334D01* +X99638400Y-100486160D01* +X99642736Y-100471866D01* +X99644200Y-100457000D01* +X99644200Y-99568000D01* +X101015800Y-99568000D01* +X101015800Y-100457000D01* +X101017264Y-100471866D01* +X101021600Y-100486160D01* +X101028642Y-100499334D01* +X101038118Y-100510882D01* +X101049666Y-100520358D01* +X101062840Y-100527400D01* +X101077134Y-100531736D01* +X101092000Y-100533200D01* +X102108000Y-100533200D01* +X102122866Y-100531736D01* +X102137160Y-100527400D01* +X102150334Y-100520358D01* +X102161882Y-100510882D01* +X102171358Y-100499334D01* +X102178400Y-100486160D01* +X102182736Y-100471866D01* +X102184200Y-100457000D01* +X102184200Y-99568000D01* +X106095800Y-99568000D01* +X106095800Y-100457000D01* +X106097264Y-100471866D01* +X106101600Y-100486160D01* +X106108642Y-100499334D01* +X106118118Y-100510882D01* +X106129666Y-100520358D01* +X106142840Y-100527400D01* +X106157134Y-100531736D01* +X106172000Y-100533200D01* +X107188000Y-100533200D01* +X107202866Y-100531736D01* +X107217160Y-100527400D01* +X107230334Y-100520358D01* +X107241882Y-100510882D01* +X107251358Y-100499334D01* +X107258400Y-100486160D01* +X107262736Y-100471866D01* +X107264200Y-100457000D01* +X107264200Y-99568000D01* +X108635800Y-99568000D01* +X108635800Y-100457000D01* +X108637264Y-100471866D01* +X108641600Y-100486160D01* +X108648642Y-100499334D01* +X108658118Y-100510882D01* +X108669666Y-100520358D01* +X108682840Y-100527400D01* +X108697134Y-100531736D01* +X108712000Y-100533200D01* +X109728000Y-100533200D01* +X109742866Y-100531736D01* +X109757160Y-100527400D01* +X109770334Y-100520358D01* +X109781882Y-100510882D01* +X109791358Y-100499334D01* +X109798400Y-100486160D01* +X109802736Y-100471866D01* +X109804200Y-100457000D01* +X109804200Y-99568000D01* +X111175800Y-99568000D01* +X111175800Y-100457000D01* +X111177264Y-100471866D01* +X111181600Y-100486160D01* +X111188642Y-100499334D01* +X111198118Y-100510882D01* +X111209666Y-100520358D01* +X111222840Y-100527400D01* +X111237134Y-100531736D01* +X111252000Y-100533200D01* +X112268000Y-100533200D01* +X112282866Y-100531736D01* +X112297160Y-100527400D01* +X112310334Y-100520358D01* +X112321882Y-100510882D01* +X112331358Y-100499334D01* +X112338400Y-100486160D01* +X112342736Y-100471866D01* +X112344200Y-100457000D01* +X112344200Y-99568000D01* +X113715800Y-99568000D01* +X113715800Y-100457000D01* +X113717264Y-100471866D01* +X113721600Y-100486160D01* +X113728642Y-100499334D01* +X113738118Y-100510882D01* +X113749666Y-100520358D01* +X113762840Y-100527400D01* +X113777134Y-100531736D01* +X113792000Y-100533200D01* +X114808000Y-100533200D01* +X114822866Y-100531736D01* +X114837160Y-100527400D01* +X114850334Y-100520358D01* +X114861882Y-100510882D01* +X114871358Y-100499334D01* +X114878400Y-100486160D01* +X114882736Y-100471866D01* +X114884200Y-100457000D01* +X114884200Y-99568000D01* +X116255800Y-99568000D01* +X116255800Y-100457000D01* +X116257264Y-100471866D01* +X116261600Y-100486160D01* +X116268642Y-100499334D01* +X116278118Y-100510882D01* +X116289666Y-100520358D01* +X116302840Y-100527400D01* +X116317134Y-100531736D01* +X116332000Y-100533200D01* +X117348000Y-100533200D01* +X117362866Y-100531736D01* +X117377160Y-100527400D01* +X117390334Y-100520358D01* +X117401882Y-100510882D01* +X117411358Y-100499334D01* +X117418400Y-100486160D01* +X117422736Y-100471866D01* +X117424200Y-100457000D01* +X117424200Y-99568000D01* +X118795800Y-99568000D01* +X118795800Y-100457000D01* +X118797264Y-100471866D01* +X118801600Y-100486160D01* +X118808642Y-100499334D01* +X118818118Y-100510882D01* +X118829666Y-100520358D01* +X118842840Y-100527400D01* +X118857134Y-100531736D01* +X118872000Y-100533200D01* +X119888000Y-100533200D01* +X119902866Y-100531736D01* +X119917160Y-100527400D01* +X119930334Y-100520358D01* +X119941882Y-100510882D01* +X119951358Y-100499334D01* +X119958400Y-100486160D01* +X119962736Y-100471866D01* +X119964200Y-100457000D01* +X119964200Y-99568000D01* +X121335800Y-99568000D01* +X121335800Y-100457000D01* +X121337264Y-100471866D01* +X121341600Y-100486160D01* +X121348642Y-100499334D01* +X121358118Y-100510882D01* +X121369666Y-100520358D01* +X121382840Y-100527400D01* +X121397134Y-100531736D01* +X121412000Y-100533200D01* +X122428000Y-100533200D01* +X122442866Y-100531736D01* +X122457160Y-100527400D01* +X122470334Y-100520358D01* +X122481882Y-100510882D01* +X122491358Y-100499334D01* +X122498400Y-100486160D01* +X122502736Y-100471866D01* +X122504200Y-100457000D01* +X122504200Y-99568000D01* +X123875800Y-99568000D01* +X123875800Y-100457000D01* +X123877264Y-100471866D01* +X123881600Y-100486160D01* +X123888642Y-100499334D01* +X123898118Y-100510882D01* +X123909666Y-100520358D01* +X123922840Y-100527400D01* +X123937134Y-100531736D01* +X123952000Y-100533200D01* +X124968000Y-100533200D01* +X124982866Y-100531736D01* +X124997160Y-100527400D01* +X125010334Y-100520358D01* +X125021882Y-100510882D01* +X125031358Y-100499334D01* +X125038400Y-100486160D01* +X125042736Y-100471866D01* +X125044200Y-100457000D01* +X125044200Y-99568000D01* +X126415800Y-99568000D01* +X126415800Y-100457000D01* +X126417264Y-100471866D01* +X126421600Y-100486160D01* +X126428642Y-100499334D01* +X126438118Y-100510882D01* +X126449666Y-100520358D01* +X126462840Y-100527400D01* +X126477134Y-100531736D01* +X126492000Y-100533200D01* +X127508000Y-100533200D01* +X127522866Y-100531736D01* +X127537160Y-100527400D01* +X127550334Y-100520358D01* +X127561882Y-100510882D01* +X127571358Y-100499334D01* +X127578400Y-100486160D01* +X127582736Y-100471866D01* +X127584200Y-100457000D01* +X127584200Y-99568000D01* +X128955800Y-99568000D01* +X128955800Y-100457000D01* +X128957264Y-100471866D01* +X128961600Y-100486160D01* +X128968642Y-100499334D01* +X128978118Y-100510882D01* +X128989666Y-100520358D01* +X129002840Y-100527400D01* +X129017134Y-100531736D01* +X129032000Y-100533200D01* +X130048000Y-100533200D01* +X130062866Y-100531736D01* +X130077160Y-100527400D01* +X130090334Y-100520358D01* +X130101882Y-100510882D01* +X130111358Y-100499334D01* +X130118400Y-100486160D01* +X130122736Y-100471866D01* +X130124200Y-100457000D01* +X130124200Y-99568000D01* +X131495800Y-99568000D01* +X131495800Y-100457000D01* +X131497264Y-100471866D01* +X131501600Y-100486160D01* +X131508642Y-100499334D01* +X131518118Y-100510882D01* +X131529666Y-100520358D01* +X131542840Y-100527400D01* +X131557134Y-100531736D01* +X131572000Y-100533200D01* +X132588000Y-100533200D01* +X132602866Y-100531736D01* +X132617160Y-100527400D01* +X132630334Y-100520358D01* +X132641882Y-100510882D01* +X132651358Y-100499334D01* +X132658400Y-100486160D01* +X132662736Y-100471866D01* +X132664200Y-100457000D01* +X132664200Y-99568000D01* +X134035800Y-99568000D01* +X134035800Y-100457000D01* +X134037264Y-100471866D01* +X134041600Y-100486160D01* +X134048642Y-100499334D01* +X134058118Y-100510882D01* +X134069666Y-100520358D01* +X134082840Y-100527400D01* +X134097134Y-100531736D01* +X134112000Y-100533200D01* +X135128000Y-100533200D01* +X135142866Y-100531736D01* +X135157160Y-100527400D01* +X135170334Y-100520358D01* +X135181882Y-100510882D01* +X135191358Y-100499334D01* +X135198400Y-100486160D01* +X135202736Y-100471866D01* +X135204200Y-100457000D01* +X135204200Y-99568000D01* +X139115800Y-99568000D01* +X139115800Y-100457000D01* +X139117264Y-100471866D01* +X139121600Y-100486160D01* +X139128642Y-100499334D01* +X139138118Y-100510882D01* +X139149666Y-100520358D01* +X139162840Y-100527400D01* +X139177134Y-100531736D01* +X139192000Y-100533200D01* +X140208000Y-100533200D01* +X140222866Y-100531736D01* +X140237160Y-100527400D01* +X140250334Y-100520358D01* +X140261882Y-100510882D01* +X140271358Y-100499334D01* +X140278400Y-100486160D01* +X140282736Y-100471866D01* +X140284200Y-100457000D01* +X140284200Y-99568000D01* +X141655800Y-99568000D01* +X141655800Y-100457000D01* +X141657264Y-100471866D01* +X141661600Y-100486160D01* +X141668642Y-100499334D01* +X141678118Y-100510882D01* +X141689666Y-100520358D01* +X141702840Y-100527400D01* +X141717134Y-100531736D01* +X141732000Y-100533200D01* +X142748000Y-100533200D01* +X142762866Y-100531736D01* +X142777160Y-100527400D01* +X142790334Y-100520358D01* +X142801882Y-100510882D01* +X142811358Y-100499334D01* +X142818400Y-100486160D01* +X142822736Y-100471866D01* +X142824200Y-100457000D01* +X142824200Y-99568000D01* +X144195800Y-99568000D01* +X144195800Y-100457000D01* +X144197264Y-100471866D01* +X144201600Y-100486160D01* +X144208642Y-100499334D01* +X144218118Y-100510882D01* +X144229666Y-100520358D01* +X144242840Y-100527400D01* +X144257134Y-100531736D01* +X144272000Y-100533200D01* +X145288000Y-100533200D01* +X145302866Y-100531736D01* +X145317160Y-100527400D01* +X145330334Y-100520358D01* +X145341882Y-100510882D01* +X145351358Y-100499334D01* +X145358400Y-100486160D01* +X145362736Y-100471866D01* +X145364200Y-100457000D01* +X145364200Y-99568000D01* +X146735800Y-99568000D01* +X146735800Y-100457000D01* +X146737264Y-100471866D01* +X146741600Y-100486160D01* +X146748642Y-100499334D01* +X146758118Y-100510882D01* +X146769666Y-100520358D01* +X146782840Y-100527400D01* +X146797134Y-100531736D01* +X146812000Y-100533200D01* +X147828000Y-100533200D01* +X147842866Y-100531736D01* +X147857160Y-100527400D01* +X147870334Y-100520358D01* +X147881882Y-100510882D01* +X147891358Y-100499334D01* +X147898400Y-100486160D01* +X147902736Y-100471866D01* +X147904200Y-100457000D01* +X147904200Y-99568000D01* +X149275800Y-99568000D01* +X149275800Y-100457000D01* +X149277264Y-100471866D01* +X149281600Y-100486160D01* +X149288642Y-100499334D01* +X149298118Y-100510882D01* +X149309666Y-100520358D01* +X149322840Y-100527400D01* +X149337134Y-100531736D01* +X149352000Y-100533200D01* +X150368000Y-100533200D01* +X150382866Y-100531736D01* +X150397160Y-100527400D01* +X150410334Y-100520358D01* +X150421882Y-100510882D01* +X150431358Y-100499334D01* +X150438400Y-100486160D01* +X150442736Y-100471866D01* +X150444200Y-100457000D01* +X150444200Y-99568000D01* +X151815800Y-99568000D01* +X151815800Y-100457000D01* +X151817264Y-100471866D01* +X151821600Y-100486160D01* +X151828642Y-100499334D01* +X151838118Y-100510882D01* +X151849666Y-100520358D01* +X151862840Y-100527400D01* +X151877134Y-100531736D01* +X151892000Y-100533200D01* +X152908000Y-100533200D01* +X152922866Y-100531736D01* +X152937160Y-100527400D01* +X152950334Y-100520358D01* +X152961882Y-100510882D01* +X152971358Y-100499334D01* +X152978400Y-100486160D01* +X152982736Y-100471866D01* +X152984200Y-100457000D01* +X152984200Y-99568000D01* +X154355800Y-99568000D01* +X154355800Y-100457000D01* +X154357264Y-100471866D01* +X154361600Y-100486160D01* +X154368642Y-100499334D01* +X154378118Y-100510882D01* +X154389666Y-100520358D01* +X154402840Y-100527400D01* +X154417134Y-100531736D01* +X154432000Y-100533200D01* +X155448000Y-100533200D01* +X155462866Y-100531736D01* +X155477160Y-100527400D01* +X155490334Y-100520358D01* +X155501882Y-100510882D01* +X155511358Y-100499334D01* +X155518400Y-100486160D01* +X155522736Y-100471866D01* +X155524200Y-100457000D01* +X155524200Y-99568000D01* +X155522736Y-99553134D01* +X155518400Y-99538840D01* +X155511358Y-99525666D01* +X155501882Y-99514118D01* +X155490334Y-99504642D01* +X155477160Y-99497600D01* +X155462866Y-99493264D01* +X155448000Y-99491800D01* +X154432000Y-99491800D01* +X154417134Y-99493264D01* +X154402840Y-99497600D01* +X154389666Y-99504642D01* +X154378118Y-99514118D01* +X154368642Y-99525666D01* +X154361600Y-99538840D01* +X154357264Y-99553134D01* +X154355800Y-99568000D01* +X152984200Y-99568000D01* +X152982736Y-99553134D01* +X152978400Y-99538840D01* +X152971358Y-99525666D01* +X152961882Y-99514118D01* +X152950334Y-99504642D01* +X152937160Y-99497600D01* +X152922866Y-99493264D01* +X152908000Y-99491800D01* +X151892000Y-99491800D01* +X151877134Y-99493264D01* +X151862840Y-99497600D01* +X151849666Y-99504642D01* +X151838118Y-99514118D01* +X151828642Y-99525666D01* +X151821600Y-99538840D01* +X151817264Y-99553134D01* +X151815800Y-99568000D01* +X150444200Y-99568000D01* +X150442736Y-99553134D01* +X150438400Y-99538840D01* +X150431358Y-99525666D01* +X150421882Y-99514118D01* +X150410334Y-99504642D01* +X150397160Y-99497600D01* +X150382866Y-99493264D01* +X150368000Y-99491800D01* +X149352000Y-99491800D01* +X149337134Y-99493264D01* +X149322840Y-99497600D01* +X149309666Y-99504642D01* +X149298118Y-99514118D01* +X149288642Y-99525666D01* +X149281600Y-99538840D01* +X149277264Y-99553134D01* +X149275800Y-99568000D01* +X147904200Y-99568000D01* +X147902736Y-99553134D01* +X147898400Y-99538840D01* +X147891358Y-99525666D01* +X147881882Y-99514118D01* +X147870334Y-99504642D01* +X147857160Y-99497600D01* +X147842866Y-99493264D01* +X147828000Y-99491800D01* +X146812000Y-99491800D01* +X146797134Y-99493264D01* +X146782840Y-99497600D01* +X146769666Y-99504642D01* +X146758118Y-99514118D01* +X146748642Y-99525666D01* +X146741600Y-99538840D01* +X146737264Y-99553134D01* +X146735800Y-99568000D01* +X145364200Y-99568000D01* +X145362736Y-99553134D01* +X145358400Y-99538840D01* +X145351358Y-99525666D01* +X145341882Y-99514118D01* +X145330334Y-99504642D01* +X145317160Y-99497600D01* +X145302866Y-99493264D01* +X145288000Y-99491800D01* +X144272000Y-99491800D01* +X144257134Y-99493264D01* +X144242840Y-99497600D01* +X144229666Y-99504642D01* +X144218118Y-99514118D01* +X144208642Y-99525666D01* +X144201600Y-99538840D01* +X144197264Y-99553134D01* +X144195800Y-99568000D01* +X142824200Y-99568000D01* +X142822736Y-99553134D01* +X142818400Y-99538840D01* +X142811358Y-99525666D01* +X142801882Y-99514118D01* +X142790334Y-99504642D01* +X142777160Y-99497600D01* +X142762866Y-99493264D01* +X142748000Y-99491800D01* +X141732000Y-99491800D01* +X141717134Y-99493264D01* +X141702840Y-99497600D01* +X141689666Y-99504642D01* +X141678118Y-99514118D01* +X141668642Y-99525666D01* +X141661600Y-99538840D01* +X141657264Y-99553134D01* +X141655800Y-99568000D01* +X140284200Y-99568000D01* +X140282736Y-99553134D01* +X140278400Y-99538840D01* +X140271358Y-99525666D01* +X140261882Y-99514118D01* +X140250334Y-99504642D01* +X140237160Y-99497600D01* +X140222866Y-99493264D01* +X140208000Y-99491800D01* +X139192000Y-99491800D01* +X139177134Y-99493264D01* +X139162840Y-99497600D01* +X139149666Y-99504642D01* +X139138118Y-99514118D01* +X139128642Y-99525666D01* +X139121600Y-99538840D01* +X139117264Y-99553134D01* +X139115800Y-99568000D01* +X135204200Y-99568000D01* +X135202736Y-99553134D01* +X135198400Y-99538840D01* +X135191358Y-99525666D01* +X135181882Y-99514118D01* +X135170334Y-99504642D01* +X135157160Y-99497600D01* +X135142866Y-99493264D01* +X135128000Y-99491800D01* +X134112000Y-99491800D01* +X134097134Y-99493264D01* +X134082840Y-99497600D01* +X134069666Y-99504642D01* +X134058118Y-99514118D01* +X134048642Y-99525666D01* +X134041600Y-99538840D01* +X134037264Y-99553134D01* +X134035800Y-99568000D01* +X132664200Y-99568000D01* +X132662736Y-99553134D01* +X132658400Y-99538840D01* +X132651358Y-99525666D01* +X132641882Y-99514118D01* +X132630334Y-99504642D01* +X132617160Y-99497600D01* +X132602866Y-99493264D01* +X132588000Y-99491800D01* +X131572000Y-99491800D01* +X131557134Y-99493264D01* +X131542840Y-99497600D01* +X131529666Y-99504642D01* +X131518118Y-99514118D01* +X131508642Y-99525666D01* +X131501600Y-99538840D01* +X131497264Y-99553134D01* +X131495800Y-99568000D01* +X130124200Y-99568000D01* +X130122736Y-99553134D01* +X130118400Y-99538840D01* +X130111358Y-99525666D01* +X130101882Y-99514118D01* +X130090334Y-99504642D01* +X130077160Y-99497600D01* +X130062866Y-99493264D01* +X130048000Y-99491800D01* +X129032000Y-99491800D01* +X129017134Y-99493264D01* +X129002840Y-99497600D01* +X128989666Y-99504642D01* +X128978118Y-99514118D01* +X128968642Y-99525666D01* +X128961600Y-99538840D01* +X128957264Y-99553134D01* +X128955800Y-99568000D01* +X127584200Y-99568000D01* +X127582736Y-99553134D01* +X127578400Y-99538840D01* +X127571358Y-99525666D01* +X127561882Y-99514118D01* +X127550334Y-99504642D01* +X127537160Y-99497600D01* +X127522866Y-99493264D01* +X127508000Y-99491800D01* +X126492000Y-99491800D01* +X126477134Y-99493264D01* +X126462840Y-99497600D01* +X126449666Y-99504642D01* +X126438118Y-99514118D01* +X126428642Y-99525666D01* +X126421600Y-99538840D01* +X126417264Y-99553134D01* +X126415800Y-99568000D01* +X125044200Y-99568000D01* +X125042736Y-99553134D01* +X125038400Y-99538840D01* +X125031358Y-99525666D01* +X125021882Y-99514118D01* +X125010334Y-99504642D01* +X124997160Y-99497600D01* +X124982866Y-99493264D01* +X124968000Y-99491800D01* +X123952000Y-99491800D01* +X123937134Y-99493264D01* +X123922840Y-99497600D01* +X123909666Y-99504642D01* +X123898118Y-99514118D01* +X123888642Y-99525666D01* +X123881600Y-99538840D01* +X123877264Y-99553134D01* +X123875800Y-99568000D01* +X122504200Y-99568000D01* +X122502736Y-99553134D01* +X122498400Y-99538840D01* +X122491358Y-99525666D01* +X122481882Y-99514118D01* +X122470334Y-99504642D01* +X122457160Y-99497600D01* +X122442866Y-99493264D01* +X122428000Y-99491800D01* +X121412000Y-99491800D01* +X121397134Y-99493264D01* +X121382840Y-99497600D01* +X121369666Y-99504642D01* +X121358118Y-99514118D01* +X121348642Y-99525666D01* +X121341600Y-99538840D01* +X121337264Y-99553134D01* +X121335800Y-99568000D01* +X119964200Y-99568000D01* +X119962736Y-99553134D01* +X119958400Y-99538840D01* +X119951358Y-99525666D01* +X119941882Y-99514118D01* +X119930334Y-99504642D01* +X119917160Y-99497600D01* +X119902866Y-99493264D01* +X119888000Y-99491800D01* +X118872000Y-99491800D01* +X118857134Y-99493264D01* +X118842840Y-99497600D01* +X118829666Y-99504642D01* +X118818118Y-99514118D01* +X118808642Y-99525666D01* +X118801600Y-99538840D01* +X118797264Y-99553134D01* +X118795800Y-99568000D01* +X117424200Y-99568000D01* +X117422736Y-99553134D01* +X117418400Y-99538840D01* +X117411358Y-99525666D01* +X117401882Y-99514118D01* +X117390334Y-99504642D01* +X117377160Y-99497600D01* +X117362866Y-99493264D01* +X117348000Y-99491800D01* +X116332000Y-99491800D01* +X116317134Y-99493264D01* +X116302840Y-99497600D01* +X116289666Y-99504642D01* +X116278118Y-99514118D01* +X116268642Y-99525666D01* +X116261600Y-99538840D01* +X116257264Y-99553134D01* +X116255800Y-99568000D01* +X114884200Y-99568000D01* +X114882736Y-99553134D01* +X114878400Y-99538840D01* +X114871358Y-99525666D01* +X114861882Y-99514118D01* +X114850334Y-99504642D01* +X114837160Y-99497600D01* +X114822866Y-99493264D01* +X114808000Y-99491800D01* +X113792000Y-99491800D01* +X113777134Y-99493264D01* +X113762840Y-99497600D01* +X113749666Y-99504642D01* +X113738118Y-99514118D01* +X113728642Y-99525666D01* +X113721600Y-99538840D01* +X113717264Y-99553134D01* +X113715800Y-99568000D01* +X112344200Y-99568000D01* +X112342736Y-99553134D01* +X112338400Y-99538840D01* +X112331358Y-99525666D01* +X112321882Y-99514118D01* +X112310334Y-99504642D01* +X112297160Y-99497600D01* +X112282866Y-99493264D01* +X112268000Y-99491800D01* +X111252000Y-99491800D01* +X111237134Y-99493264D01* +X111222840Y-99497600D01* +X111209666Y-99504642D01* +X111198118Y-99514118D01* +X111188642Y-99525666D01* +X111181600Y-99538840D01* +X111177264Y-99553134D01* +X111175800Y-99568000D01* +X109804200Y-99568000D01* +X109802736Y-99553134D01* +X109798400Y-99538840D01* +X109791358Y-99525666D01* +X109781882Y-99514118D01* +X109770334Y-99504642D01* +X109757160Y-99497600D01* +X109742866Y-99493264D01* +X109728000Y-99491800D01* +X108712000Y-99491800D01* +X108697134Y-99493264D01* +X108682840Y-99497600D01* +X108669666Y-99504642D01* +X108658118Y-99514118D01* +X108648642Y-99525666D01* +X108641600Y-99538840D01* +X108637264Y-99553134D01* +X108635800Y-99568000D01* +X107264200Y-99568000D01* +X107262736Y-99553134D01* +X107258400Y-99538840D01* +X107251358Y-99525666D01* +X107241882Y-99514118D01* +X107230334Y-99504642D01* +X107217160Y-99497600D01* +X107202866Y-99493264D01* +X107188000Y-99491800D01* +X106172000Y-99491800D01* +X106157134Y-99493264D01* +X106142840Y-99497600D01* +X106129666Y-99504642D01* +X106118118Y-99514118D01* +X106108642Y-99525666D01* +X106101600Y-99538840D01* +X106097264Y-99553134D01* +X106095800Y-99568000D01* +X102184200Y-99568000D01* +X102182736Y-99553134D01* +X102178400Y-99538840D01* +X102171358Y-99525666D01* +X102161882Y-99514118D01* +X102150334Y-99504642D01* +X102137160Y-99497600D01* +X102122866Y-99493264D01* +X102108000Y-99491800D01* +X101092000Y-99491800D01* +X101077134Y-99493264D01* +X101062840Y-99497600D01* +X101049666Y-99504642D01* +X101038118Y-99514118D01* +X101028642Y-99525666D01* +X101021600Y-99538840D01* +X101017264Y-99553134D01* +X101015800Y-99568000D01* +X99644200Y-99568000D01* +X99642736Y-99553134D01* +X99638400Y-99538840D01* +X99631358Y-99525666D01* +X99621882Y-99514118D01* +X99610334Y-99504642D01* +X99597160Y-99497600D01* +X99582866Y-99493264D01* +X99568000Y-99491800D01* +X98552000Y-99491800D01* +X98537134Y-99493264D01* +X98522840Y-99497600D01* +X98509666Y-99504642D01* +X98498118Y-99514118D01* +X98488642Y-99525666D01* +X98481600Y-99538840D01* +X98477264Y-99553134D01* +X98475800Y-99568000D01* +X97104200Y-99568000D01* +X97102736Y-99553134D01* +X97098400Y-99538840D01* +X97091358Y-99525666D01* +X97081882Y-99514118D01* +X97070334Y-99504642D01* +X97057160Y-99497600D01* +X97042866Y-99493264D01* +X97028000Y-99491800D01* +X96012000Y-99491800D01* +X95997134Y-99493264D01* +X95982840Y-99497600D01* +X95969666Y-99504642D01* +X95958118Y-99514118D01* +X95948642Y-99525666D01* +X95941600Y-99538840D01* +X95937264Y-99553134D01* +X95935800Y-99568000D01* +X94564200Y-99568000D01* +X94562736Y-99553134D01* +X94558400Y-99538840D01* +X94551358Y-99525666D01* +X94541882Y-99514118D01* +X94530334Y-99504642D01* +X94517160Y-99497600D01* +X94502866Y-99493264D01* +X94488000Y-99491800D01* +X93472000Y-99491800D01* +X93457134Y-99493264D01* +X93442840Y-99497600D01* +X93429666Y-99504642D01* +X93418118Y-99514118D01* +X93408642Y-99525666D01* +X93401600Y-99538840D01* +X93397264Y-99553134D01* +X93395800Y-99568000D01* +X92024200Y-99568000D01* +X92022736Y-99553134D01* +X92018400Y-99538840D01* +X92011358Y-99525666D01* +X92001882Y-99514118D01* +X91990334Y-99504642D01* +X91977160Y-99497600D01* +X91962866Y-99493264D01* +X91948000Y-99491800D01* +X90932000Y-99491800D01* +X90917134Y-99493264D01* +X90902840Y-99497600D01* +X90889666Y-99504642D01* +X90878118Y-99514118D01* +X90868642Y-99525666D01* +X90861600Y-99538840D01* +X90857264Y-99553134D01* +X90855800Y-99568000D01* +X89484200Y-99568000D01* +X89482736Y-99553134D01* +X89478400Y-99538840D01* +X89471358Y-99525666D01* +X89461882Y-99514118D01* +X89450334Y-99504642D01* +X89437160Y-99497600D01* +X89422866Y-99493264D01* +X89408000Y-99491800D01* +X88392000Y-99491800D01* +X88377134Y-99493264D01* +X88362840Y-99497600D01* +X88349666Y-99504642D01* +X88338118Y-99514118D01* +X88328642Y-99525666D01* +X88321600Y-99538840D01* +X88317264Y-99553134D01* +X88315800Y-99568000D01* +X86944200Y-99568000D01* +X86942736Y-99553134D01* +X86938400Y-99538840D01* +X86931358Y-99525666D01* +X86921882Y-99514118D01* +X86910334Y-99504642D01* +X86897160Y-99497600D01* +X86882866Y-99493264D01* +X86868000Y-99491800D01* +X85852000Y-99491800D01* +X85837134Y-99493264D01* +X85822840Y-99497600D01* +X85809666Y-99504642D01* +X85798118Y-99514118D01* +X85788642Y-99525666D01* +X85781600Y-99538840D01* +X85777264Y-99553134D01* +X85775800Y-99568000D01* +X78560600Y-99568000D01* +X78560600Y-98744088D01* +X83191400Y-98744088D01* +X83191400Y-98867912D01* +X83215556Y-98989356D01* +X83262941Y-99103754D01* +X83331734Y-99206709D01* +X83419291Y-99294266D01* +X83522246Y-99363059D01* +X83636644Y-99410444D01* +X83758088Y-99434600D01* +X83881912Y-99434600D01* +X84003356Y-99410444D01* +X84117754Y-99363059D01* +X84220709Y-99294266D01* +X84308266Y-99206709D01* +X84377059Y-99103754D01* +X84424444Y-98989356D01* +X84448600Y-98867912D01* +X84448600Y-98744088D01* +X85731400Y-98744088D01* +X85731400Y-98867912D01* +X85755556Y-98989356D01* +X85802941Y-99103754D01* +X85871734Y-99206709D01* +X85959291Y-99294266D01* +X86062246Y-99363059D01* +X86176644Y-99410444D01* +X86298088Y-99434600D01* +X86421912Y-99434600D01* +X86543356Y-99410444D01* +X86657754Y-99363059D01* +X86760709Y-99294266D01* +X86848266Y-99206709D01* +X86917059Y-99103754D01* +X86964444Y-98989356D01* +X86988600Y-98867912D01* +X86988600Y-98744088D01* +X88271400Y-98744088D01* +X88271400Y-98867912D01* +X88295556Y-98989356D01* +X88342941Y-99103754D01* +X88411734Y-99206709D01* +X88499291Y-99294266D01* +X88602246Y-99363059D01* +X88716644Y-99410444D01* +X88838088Y-99434600D01* +X88961912Y-99434600D01* +X89083356Y-99410444D01* +X89197754Y-99363059D01* +X89300709Y-99294266D01* +X89388266Y-99206709D01* +X89457059Y-99103754D01* +X89504444Y-98989356D01* +X89528600Y-98867912D01* +X89528600Y-98744088D01* +X90811400Y-98744088D01* +X90811400Y-98867912D01* +X90835556Y-98989356D01* +X90882941Y-99103754D01* +X90951734Y-99206709D01* +X91039291Y-99294266D01* +X91142246Y-99363059D01* +X91256644Y-99410444D01* +X91378088Y-99434600D01* +X91501912Y-99434600D01* +X91623356Y-99410444D01* +X91737754Y-99363059D01* +X91840709Y-99294266D01* +X91928266Y-99206709D01* +X91997059Y-99103754D01* +X92044444Y-98989356D01* +X92068600Y-98867912D01* +X92068600Y-98744088D01* +X93351400Y-98744088D01* +X93351400Y-98867912D01* +X93375556Y-98989356D01* +X93422941Y-99103754D01* +X93491734Y-99206709D01* +X93579291Y-99294266D01* +X93682246Y-99363059D01* +X93796644Y-99410444D01* +X93918088Y-99434600D01* +X94041912Y-99434600D01* +X94163356Y-99410444D01* +X94277754Y-99363059D01* +X94380709Y-99294266D01* +X94468266Y-99206709D01* +X94537059Y-99103754D01* +X94584444Y-98989356D01* +X94608600Y-98867912D01* +X94608600Y-98744088D01* +X95891400Y-98744088D01* +X95891400Y-98867912D01* +X95915556Y-98989356D01* +X95962941Y-99103754D01* +X96031734Y-99206709D01* +X96119291Y-99294266D01* +X96222246Y-99363059D01* +X96336644Y-99410444D01* +X96458088Y-99434600D01* +X96581912Y-99434600D01* +X96703356Y-99410444D01* +X96817754Y-99363059D01* +X96920709Y-99294266D01* +X97008266Y-99206709D01* +X97077059Y-99103754D01* +X97124444Y-98989356D01* +X97148600Y-98867912D01* +X97148600Y-98744088D01* +X98431400Y-98744088D01* +X98431400Y-98867912D01* +X98455556Y-98989356D01* +X98502941Y-99103754D01* +X98571734Y-99206709D01* +X98659291Y-99294266D01* +X98762246Y-99363059D01* +X98876644Y-99410444D01* +X98998088Y-99434600D01* +X99121912Y-99434600D01* +X99243356Y-99410444D01* +X99357754Y-99363059D01* +X99460709Y-99294266D01* +X99548266Y-99206709D01* +X99617059Y-99103754D01* +X99664444Y-98989356D01* +X99688600Y-98867912D01* +X99688600Y-98744088D01* +X100971400Y-98744088D01* +X100971400Y-98867912D01* +X100995556Y-98989356D01* +X101042941Y-99103754D01* +X101111734Y-99206709D01* +X101199291Y-99294266D01* +X101302246Y-99363059D01* +X101416644Y-99410444D01* +X101538088Y-99434600D01* +X101661912Y-99434600D01* +X101783356Y-99410444D01* +X101897754Y-99363059D01* +X102000709Y-99294266D01* +X102033929Y-99261046D01* +X103789323Y-99261046D01* +X103830978Y-99356888D01* +X103944389Y-99406590D01* +X104065317Y-99433211D01* +X104189115Y-99435729D01* +X104311025Y-99414047D01* +X104426363Y-99368998D01* +X104449022Y-99356888D01* +X104490677Y-99261046D01* +X104140000Y-98910369D01* +X103789323Y-99261046D01* +X102033929Y-99261046D01* +X102088266Y-99206709D01* +X102157059Y-99103754D01* +X102204444Y-98989356D01* +X102228600Y-98867912D01* +X102228600Y-98855115D01* +X103510271Y-98855115D01* +X103531953Y-98977025D01* +X103577002Y-99092363D01* +X103589112Y-99115022D01* +X103684954Y-99156677D01* +X104035631Y-98806000D01* +X104244369Y-98806000D01* +X104595046Y-99156677D01* +X104690888Y-99115022D01* +X104740590Y-99001611D01* +X104767211Y-98880683D01* +X104769729Y-98756885D01* +X104767454Y-98744088D01* +X106051400Y-98744088D01* +X106051400Y-98867912D01* +X106075556Y-98989356D01* +X106122941Y-99103754D01* +X106191734Y-99206709D01* +X106279291Y-99294266D01* +X106382246Y-99363059D01* +X106496644Y-99410444D01* +X106618088Y-99434600D01* +X106741912Y-99434600D01* +X106863356Y-99410444D01* +X106977754Y-99363059D01* +X107080709Y-99294266D01* +X107168266Y-99206709D01* +X107237059Y-99103754D01* +X107284444Y-98989356D01* +X107308600Y-98867912D01* +X107308600Y-98744088D01* +X108591400Y-98744088D01* +X108591400Y-98867912D01* +X108615556Y-98989356D01* +X108662941Y-99103754D01* +X108731734Y-99206709D01* +X108819291Y-99294266D01* +X108922246Y-99363059D01* +X109036644Y-99410444D01* +X109158088Y-99434600D01* +X109281912Y-99434600D01* +X109403356Y-99410444D01* +X109517754Y-99363059D01* +X109620709Y-99294266D01* +X109708266Y-99206709D01* +X109777059Y-99103754D01* +X109824444Y-98989356D01* +X109848600Y-98867912D01* +X109848600Y-98744088D01* +X111131400Y-98744088D01* +X111131400Y-98867912D01* +X111155556Y-98989356D01* +X111202941Y-99103754D01* +X111271734Y-99206709D01* +X111359291Y-99294266D01* +X111462246Y-99363059D01* +X111576644Y-99410444D01* +X111698088Y-99434600D01* +X111821912Y-99434600D01* +X111943356Y-99410444D01* +X112057754Y-99363059D01* +X112160709Y-99294266D01* +X112248266Y-99206709D01* +X112317059Y-99103754D01* +X112364444Y-98989356D01* +X112388600Y-98867912D01* +X112388600Y-98744088D01* +X113671400Y-98744088D01* +X113671400Y-98867912D01* +X113695556Y-98989356D01* +X113742941Y-99103754D01* +X113811734Y-99206709D01* +X113899291Y-99294266D01* +X114002246Y-99363059D01* +X114116644Y-99410444D01* +X114238088Y-99434600D01* +X114361912Y-99434600D01* +X114483356Y-99410444D01* +X114597754Y-99363059D01* +X114700709Y-99294266D01* +X114788266Y-99206709D01* +X114857059Y-99103754D01* +X114904444Y-98989356D01* +X114928600Y-98867912D01* +X114928600Y-98744088D01* +X116211400Y-98744088D01* +X116211400Y-98867912D01* +X116235556Y-98989356D01* +X116282941Y-99103754D01* +X116351734Y-99206709D01* +X116439291Y-99294266D01* +X116542246Y-99363059D01* +X116656644Y-99410444D01* +X116778088Y-99434600D01* +X116901912Y-99434600D01* +X117023356Y-99410444D01* +X117137754Y-99363059D01* +X117240709Y-99294266D01* +X117328266Y-99206709D01* +X117397059Y-99103754D01* +X117444444Y-98989356D01* +X117468600Y-98867912D01* +X117468600Y-98744088D01* +X118751400Y-98744088D01* +X118751400Y-98867912D01* +X118775556Y-98989356D01* +X118822941Y-99103754D01* +X118891734Y-99206709D01* +X118979291Y-99294266D01* +X119082246Y-99363059D01* +X119196644Y-99410444D01* +X119318088Y-99434600D01* +X119441912Y-99434600D01* +X119563356Y-99410444D01* +X119677754Y-99363059D01* +X119780709Y-99294266D01* +X119868266Y-99206709D01* +X119937059Y-99103754D01* +X119984444Y-98989356D01* +X120008600Y-98867912D01* +X120008600Y-98744088D01* +X121291400Y-98744088D01* +X121291400Y-98867912D01* +X121315556Y-98989356D01* +X121362941Y-99103754D01* +X121431734Y-99206709D01* +X121519291Y-99294266D01* +X121622246Y-99363059D01* +X121736644Y-99410444D01* +X121858088Y-99434600D01* +X121981912Y-99434600D01* +X122103356Y-99410444D01* +X122217754Y-99363059D01* +X122320709Y-99294266D01* +X122408266Y-99206709D01* +X122477059Y-99103754D01* +X122524444Y-98989356D01* +X122548600Y-98867912D01* +X122548600Y-98744088D01* +X123831400Y-98744088D01* +X123831400Y-98867912D01* +X123855556Y-98989356D01* +X123902941Y-99103754D01* +X123971734Y-99206709D01* +X124059291Y-99294266D01* +X124162246Y-99363059D01* +X124276644Y-99410444D01* +X124398088Y-99434600D01* +X124521912Y-99434600D01* +X124643356Y-99410444D01* +X124757754Y-99363059D01* +X124860709Y-99294266D01* +X124948266Y-99206709D01* +X125017059Y-99103754D01* +X125064444Y-98989356D01* +X125088600Y-98867912D01* +X125088600Y-98744088D01* +X126371400Y-98744088D01* +X126371400Y-98867912D01* +X126395556Y-98989356D01* +X126442941Y-99103754D01* +X126511734Y-99206709D01* +X126599291Y-99294266D01* +X126702246Y-99363059D01* +X126816644Y-99410444D01* +X126938088Y-99434600D01* +X127061912Y-99434600D01* +X127183356Y-99410444D01* +X127297754Y-99363059D01* +X127400709Y-99294266D01* +X127488266Y-99206709D01* +X127557059Y-99103754D01* +X127604444Y-98989356D01* +X127628600Y-98867912D01* +X127628600Y-98744088D01* +X128911400Y-98744088D01* +X128911400Y-98867912D01* +X128935556Y-98989356D01* +X128982941Y-99103754D01* +X129051734Y-99206709D01* +X129139291Y-99294266D01* +X129242246Y-99363059D01* +X129356644Y-99410444D01* +X129478088Y-99434600D01* +X129601912Y-99434600D01* +X129723356Y-99410444D01* +X129837754Y-99363059D01* +X129940709Y-99294266D01* +X130028266Y-99206709D01* +X130097059Y-99103754D01* +X130144444Y-98989356D01* +X130168600Y-98867912D01* +X130168600Y-98744088D01* +X131451400Y-98744088D01* +X131451400Y-98867912D01* +X131475556Y-98989356D01* +X131522941Y-99103754D01* +X131591734Y-99206709D01* +X131679291Y-99294266D01* +X131782246Y-99363059D01* +X131896644Y-99410444D01* +X132018088Y-99434600D01* +X132141912Y-99434600D01* +X132263356Y-99410444D01* +X132377754Y-99363059D01* +X132480709Y-99294266D01* +X132568266Y-99206709D01* +X132637059Y-99103754D01* +X132684444Y-98989356D01* +X132708600Y-98867912D01* +X132708600Y-98744088D01* +X133991400Y-98744088D01* +X133991400Y-98867912D01* +X134015556Y-98989356D01* +X134062941Y-99103754D01* +X134131734Y-99206709D01* +X134219291Y-99294266D01* +X134322246Y-99363059D01* +X134436644Y-99410444D01* +X134558088Y-99434600D01* +X134681912Y-99434600D01* +X134803356Y-99410444D01* +X134917754Y-99363059D01* +X135020709Y-99294266D01* +X135053929Y-99261046D01* +X136809323Y-99261046D01* +X136850978Y-99356888D01* +X136964389Y-99406590D01* +X137085317Y-99433211D01* +X137209115Y-99435729D01* +X137331025Y-99414047D01* +X137446363Y-99368998D01* +X137469022Y-99356888D01* +X137510677Y-99261046D01* +X137160000Y-98910369D01* +X136809323Y-99261046D01* +X135053929Y-99261046D01* +X135108266Y-99206709D01* +X135177059Y-99103754D01* +X135224444Y-98989356D01* +X135248600Y-98867912D01* +X135248600Y-98855115D01* +X136530271Y-98855115D01* +X136551953Y-98977025D01* +X136597002Y-99092363D01* +X136609112Y-99115022D01* +X136704954Y-99156677D01* +X137055631Y-98806000D01* +X137264369Y-98806000D01* +X137615046Y-99156677D01* +X137710888Y-99115022D01* +X137760590Y-99001611D01* +X137787211Y-98880683D01* +X137789729Y-98756885D01* +X137787454Y-98744088D01* +X139071400Y-98744088D01* +X139071400Y-98867912D01* +X139095556Y-98989356D01* +X139142941Y-99103754D01* +X139211734Y-99206709D01* +X139299291Y-99294266D01* +X139402246Y-99363059D01* +X139516644Y-99410444D01* +X139638088Y-99434600D01* +X139761912Y-99434600D01* +X139883356Y-99410444D01* +X139997754Y-99363059D01* +X140100709Y-99294266D01* +X140188266Y-99206709D01* +X140257059Y-99103754D01* +X140304444Y-98989356D01* +X140328600Y-98867912D01* +X140328600Y-98744088D01* +X141611400Y-98744088D01* +X141611400Y-98867912D01* +X141635556Y-98989356D01* +X141682941Y-99103754D01* +X141751734Y-99206709D01* +X141839291Y-99294266D01* +X141942246Y-99363059D01* +X142056644Y-99410444D01* +X142178088Y-99434600D01* +X142301912Y-99434600D01* +X142423356Y-99410444D01* +X142537754Y-99363059D01* +X142640709Y-99294266D01* +X142728266Y-99206709D01* +X142797059Y-99103754D01* +X142844444Y-98989356D01* +X142868600Y-98867912D01* +X142868600Y-98744088D01* +X144151400Y-98744088D01* +X144151400Y-98867912D01* +X144175556Y-98989356D01* +X144222941Y-99103754D01* +X144291734Y-99206709D01* +X144379291Y-99294266D01* +X144482246Y-99363059D01* +X144596644Y-99410444D01* +X144718088Y-99434600D01* +X144841912Y-99434600D01* +X144963356Y-99410444D01* +X145077754Y-99363059D01* +X145180709Y-99294266D01* +X145268266Y-99206709D01* +X145337059Y-99103754D01* +X145384444Y-98989356D01* +X145408600Y-98867912D01* +X145408600Y-98744088D01* +X146691400Y-98744088D01* +X146691400Y-98867912D01* +X146715556Y-98989356D01* +X146762941Y-99103754D01* +X146831734Y-99206709D01* +X146919291Y-99294266D01* +X147022246Y-99363059D01* +X147136644Y-99410444D01* +X147258088Y-99434600D01* +X147381912Y-99434600D01* +X147503356Y-99410444D01* +X147617754Y-99363059D01* +X147720709Y-99294266D01* +X147808266Y-99206709D01* +X147877059Y-99103754D01* +X147924444Y-98989356D01* +X147948600Y-98867912D01* +X147948600Y-98744088D01* +X149231400Y-98744088D01* +X149231400Y-98867912D01* +X149255556Y-98989356D01* +X149302941Y-99103754D01* +X149371734Y-99206709D01* +X149459291Y-99294266D01* +X149562246Y-99363059D01* +X149676644Y-99410444D01* +X149798088Y-99434600D01* +X149921912Y-99434600D01* +X150043356Y-99410444D01* +X150157754Y-99363059D01* +X150260709Y-99294266D01* +X150348266Y-99206709D01* +X150417059Y-99103754D01* +X150464444Y-98989356D01* +X150488600Y-98867912D01* +X150488600Y-98744088D01* +X151771400Y-98744088D01* +X151771400Y-98867912D01* +X151795556Y-98989356D01* +X151842941Y-99103754D01* +X151911734Y-99206709D01* +X151999291Y-99294266D01* +X152102246Y-99363059D01* +X152216644Y-99410444D01* +X152338088Y-99434600D01* +X152461912Y-99434600D01* +X152583356Y-99410444D01* +X152697754Y-99363059D01* +X152800709Y-99294266D01* +X152888266Y-99206709D01* +X152957059Y-99103754D01* +X153004444Y-98989356D01* +X153028600Y-98867912D01* +X153028600Y-98744088D01* +X154311400Y-98744088D01* +X154311400Y-98867912D01* +X154335556Y-98989356D01* +X154382941Y-99103754D01* +X154451734Y-99206709D01* +X154539291Y-99294266D01* +X154642246Y-99363059D01* +X154756644Y-99410444D01* +X154878088Y-99434600D01* +X155001912Y-99434600D01* +X155123356Y-99410444D01* +X155237754Y-99363059D01* +X155340709Y-99294266D01* +X155428266Y-99206709D01* +X155497059Y-99103754D01* +X155544444Y-98989356D01* +X155568600Y-98867912D01* +X155568600Y-98744088D01* +X156851400Y-98744088D01* +X156851400Y-98867912D01* +X156875556Y-98989356D01* +X156922941Y-99103754D01* +X156991734Y-99206709D01* +X157079291Y-99294266D01* +X157182246Y-99363059D01* +X157296644Y-99410444D01* +X157418088Y-99434600D01* +X157541912Y-99434600D01* +X157663356Y-99410444D01* +X157777754Y-99363059D01* +X157880709Y-99294266D01* +X157968266Y-99206709D01* +X158037059Y-99103754D01* +X158084444Y-98989356D01* +X158108600Y-98867912D01* +X158108600Y-98744088D01* +X158084444Y-98622644D01* +X158037059Y-98508246D01* +X157968266Y-98405291D01* +X157880709Y-98317734D01* +X157777754Y-98248941D01* +X157663356Y-98201556D01* +X157541912Y-98177400D01* +X157418088Y-98177400D01* +X157296644Y-98201556D01* +X157182246Y-98248941D01* +X157079291Y-98317734D01* +X156991734Y-98405291D01* +X156922941Y-98508246D01* +X156875556Y-98622644D01* +X156851400Y-98744088D01* +X155568600Y-98744088D01* +X155544444Y-98622644D01* +X155497059Y-98508246D01* +X155428266Y-98405291D01* +X155340709Y-98317734D01* +X155237754Y-98248941D01* +X155123356Y-98201556D01* +X155001912Y-98177400D01* +X154878088Y-98177400D01* +X154756644Y-98201556D01* +X154642246Y-98248941D01* +X154539291Y-98317734D01* +X154451734Y-98405291D01* +X154382941Y-98508246D01* +X154335556Y-98622644D01* +X154311400Y-98744088D01* +X153028600Y-98744088D01* +X153004444Y-98622644D01* +X152957059Y-98508246D01* +X152888266Y-98405291D01* +X152800709Y-98317734D01* +X152697754Y-98248941D01* +X152583356Y-98201556D01* +X152461912Y-98177400D01* +X152338088Y-98177400D01* +X152216644Y-98201556D01* +X152102246Y-98248941D01* +X151999291Y-98317734D01* +X151911734Y-98405291D01* +X151842941Y-98508246D01* +X151795556Y-98622644D01* +X151771400Y-98744088D01* +X150488600Y-98744088D01* +X150464444Y-98622644D01* +X150417059Y-98508246D01* +X150348266Y-98405291D01* +X150260709Y-98317734D01* +X150157754Y-98248941D01* +X150043356Y-98201556D01* +X149921912Y-98177400D01* +X149798088Y-98177400D01* +X149676644Y-98201556D01* +X149562246Y-98248941D01* +X149459291Y-98317734D01* +X149371734Y-98405291D01* +X149302941Y-98508246D01* +X149255556Y-98622644D01* +X149231400Y-98744088D01* +X147948600Y-98744088D01* +X147924444Y-98622644D01* +X147877059Y-98508246D01* +X147808266Y-98405291D01* +X147720709Y-98317734D01* +X147617754Y-98248941D01* +X147503356Y-98201556D01* +X147381912Y-98177400D01* +X147258088Y-98177400D01* +X147136644Y-98201556D01* +X147022246Y-98248941D01* +X146919291Y-98317734D01* +X146831734Y-98405291D01* +X146762941Y-98508246D01* +X146715556Y-98622644D01* +X146691400Y-98744088D01* +X145408600Y-98744088D01* +X145384444Y-98622644D01* +X145337059Y-98508246D01* +X145268266Y-98405291D01* +X145180709Y-98317734D01* +X145077754Y-98248941D01* +X144963356Y-98201556D01* +X144841912Y-98177400D01* +X144718088Y-98177400D01* +X144596644Y-98201556D01* +X144482246Y-98248941D01* +X144379291Y-98317734D01* +X144291734Y-98405291D01* +X144222941Y-98508246D01* +X144175556Y-98622644D01* +X144151400Y-98744088D01* +X142868600Y-98744088D01* +X142844444Y-98622644D01* +X142797059Y-98508246D01* +X142728266Y-98405291D01* +X142640709Y-98317734D01* +X142537754Y-98248941D01* +X142423356Y-98201556D01* +X142301912Y-98177400D01* +X142178088Y-98177400D01* +X142056644Y-98201556D01* +X141942246Y-98248941D01* +X141839291Y-98317734D01* +X141751734Y-98405291D01* +X141682941Y-98508246D01* +X141635556Y-98622644D01* +X141611400Y-98744088D01* +X140328600Y-98744088D01* +X140304444Y-98622644D01* +X140257059Y-98508246D01* +X140188266Y-98405291D01* +X140100709Y-98317734D01* +X139997754Y-98248941D01* +X139883356Y-98201556D01* +X139761912Y-98177400D01* +X139638088Y-98177400D01* +X139516644Y-98201556D01* +X139402246Y-98248941D01* +X139299291Y-98317734D01* +X139211734Y-98405291D01* +X139142941Y-98508246D01* +X139095556Y-98622644D01* +X139071400Y-98744088D01* +X137787454Y-98744088D01* +X137768047Y-98634975D01* +X137722998Y-98519637D01* +X137710888Y-98496978D01* +X137615046Y-98455323D01* +X137264369Y-98806000D01* +X137055631Y-98806000D01* +X136704954Y-98455323D01* +X136609112Y-98496978D01* +X136559410Y-98610389D01* +X136532789Y-98731317D01* +X136530271Y-98855115D01* +X135248600Y-98855115D01* +X135248600Y-98744088D01* +X135224444Y-98622644D01* +X135177059Y-98508246D01* +X135108266Y-98405291D01* +X135053929Y-98350954D01* +X136809323Y-98350954D01* +X137160000Y-98701631D01* +X137510677Y-98350954D01* +X137469022Y-98255112D01* +X137355611Y-98205410D01* +X137234683Y-98178789D01* +X137110885Y-98176271D01* +X136988975Y-98197953D01* +X136873637Y-98243002D01* +X136850978Y-98255112D01* +X136809323Y-98350954D01* +X135053929Y-98350954D01* +X135020709Y-98317734D01* +X134917754Y-98248941D01* +X134803356Y-98201556D01* +X134681912Y-98177400D01* +X134558088Y-98177400D01* +X134436644Y-98201556D01* +X134322246Y-98248941D01* +X134219291Y-98317734D01* +X134131734Y-98405291D01* +X134062941Y-98508246D01* +X134015556Y-98622644D01* +X133991400Y-98744088D01* +X132708600Y-98744088D01* +X132684444Y-98622644D01* +X132637059Y-98508246D01* +X132568266Y-98405291D01* +X132480709Y-98317734D01* +X132377754Y-98248941D01* +X132263356Y-98201556D01* +X132141912Y-98177400D01* +X132018088Y-98177400D01* +X131896644Y-98201556D01* +X131782246Y-98248941D01* +X131679291Y-98317734D01* +X131591734Y-98405291D01* +X131522941Y-98508246D01* +X131475556Y-98622644D01* +X131451400Y-98744088D01* +X130168600Y-98744088D01* +X130144444Y-98622644D01* +X130097059Y-98508246D01* +X130028266Y-98405291D01* +X129940709Y-98317734D01* +X129837754Y-98248941D01* +X129723356Y-98201556D01* +X129601912Y-98177400D01* +X129478088Y-98177400D01* +X129356644Y-98201556D01* +X129242246Y-98248941D01* +X129139291Y-98317734D01* +X129051734Y-98405291D01* +X128982941Y-98508246D01* +X128935556Y-98622644D01* +X128911400Y-98744088D01* +X127628600Y-98744088D01* +X127604444Y-98622644D01* +X127557059Y-98508246D01* +X127488266Y-98405291D01* +X127400709Y-98317734D01* +X127297754Y-98248941D01* +X127183356Y-98201556D01* +X127061912Y-98177400D01* +X126938088Y-98177400D01* +X126816644Y-98201556D01* +X126702246Y-98248941D01* +X126599291Y-98317734D01* +X126511734Y-98405291D01* +X126442941Y-98508246D01* +X126395556Y-98622644D01* +X126371400Y-98744088D01* +X125088600Y-98744088D01* +X125064444Y-98622644D01* +X125017059Y-98508246D01* +X124948266Y-98405291D01* +X124860709Y-98317734D01* +X124757754Y-98248941D01* +X124643356Y-98201556D01* +X124521912Y-98177400D01* +X124398088Y-98177400D01* +X124276644Y-98201556D01* +X124162246Y-98248941D01* +X124059291Y-98317734D01* +X123971734Y-98405291D01* +X123902941Y-98508246D01* +X123855556Y-98622644D01* +X123831400Y-98744088D01* +X122548600Y-98744088D01* +X122524444Y-98622644D01* +X122477059Y-98508246D01* +X122408266Y-98405291D01* +X122320709Y-98317734D01* +X122217754Y-98248941D01* +X122103356Y-98201556D01* +X121981912Y-98177400D01* +X121858088Y-98177400D01* +X121736644Y-98201556D01* +X121622246Y-98248941D01* +X121519291Y-98317734D01* +X121431734Y-98405291D01* +X121362941Y-98508246D01* +X121315556Y-98622644D01* +X121291400Y-98744088D01* +X120008600Y-98744088D01* +X119984444Y-98622644D01* +X119937059Y-98508246D01* +X119868266Y-98405291D01* +X119780709Y-98317734D01* +X119677754Y-98248941D01* +X119563356Y-98201556D01* +X119441912Y-98177400D01* +X119318088Y-98177400D01* +X119196644Y-98201556D01* +X119082246Y-98248941D01* +X118979291Y-98317734D01* +X118891734Y-98405291D01* +X118822941Y-98508246D01* +X118775556Y-98622644D01* +X118751400Y-98744088D01* +X117468600Y-98744088D01* +X117444444Y-98622644D01* +X117397059Y-98508246D01* +X117328266Y-98405291D01* +X117240709Y-98317734D01* +X117137754Y-98248941D01* +X117023356Y-98201556D01* +X116901912Y-98177400D01* +X116778088Y-98177400D01* +X116656644Y-98201556D01* +X116542246Y-98248941D01* +X116439291Y-98317734D01* +X116351734Y-98405291D01* +X116282941Y-98508246D01* +X116235556Y-98622644D01* +X116211400Y-98744088D01* +X114928600Y-98744088D01* +X114904444Y-98622644D01* +X114857059Y-98508246D01* +X114788266Y-98405291D01* +X114700709Y-98317734D01* +X114597754Y-98248941D01* +X114483356Y-98201556D01* +X114361912Y-98177400D01* +X114238088Y-98177400D01* +X114116644Y-98201556D01* +X114002246Y-98248941D01* +X113899291Y-98317734D01* +X113811734Y-98405291D01* +X113742941Y-98508246D01* +X113695556Y-98622644D01* +X113671400Y-98744088D01* +X112388600Y-98744088D01* +X112364444Y-98622644D01* +X112317059Y-98508246D01* +X112248266Y-98405291D01* +X112160709Y-98317734D01* +X112057754Y-98248941D01* +X111943356Y-98201556D01* +X111821912Y-98177400D01* +X111698088Y-98177400D01* +X111576644Y-98201556D01* +X111462246Y-98248941D01* +X111359291Y-98317734D01* +X111271734Y-98405291D01* +X111202941Y-98508246D01* +X111155556Y-98622644D01* +X111131400Y-98744088D01* +X109848600Y-98744088D01* +X109824444Y-98622644D01* +X109777059Y-98508246D01* +X109708266Y-98405291D01* +X109620709Y-98317734D01* +X109517754Y-98248941D01* +X109403356Y-98201556D01* +X109281912Y-98177400D01* +X109158088Y-98177400D01* +X109036644Y-98201556D01* +X108922246Y-98248941D01* +X108819291Y-98317734D01* +X108731734Y-98405291D01* +X108662941Y-98508246D01* +X108615556Y-98622644D01* +X108591400Y-98744088D01* +X107308600Y-98744088D01* +X107284444Y-98622644D01* +X107237059Y-98508246D01* +X107168266Y-98405291D01* +X107080709Y-98317734D01* +X106977754Y-98248941D01* +X106863356Y-98201556D01* +X106741912Y-98177400D01* +X106618088Y-98177400D01* +X106496644Y-98201556D01* +X106382246Y-98248941D01* +X106279291Y-98317734D01* +X106191734Y-98405291D01* +X106122941Y-98508246D01* +X106075556Y-98622644D01* +X106051400Y-98744088D01* +X104767454Y-98744088D01* +X104748047Y-98634975D01* +X104702998Y-98519637D01* +X104690888Y-98496978D01* +X104595046Y-98455323D01* +X104244369Y-98806000D01* +X104035631Y-98806000D01* +X103684954Y-98455323D01* +X103589112Y-98496978D01* +X103539410Y-98610389D01* +X103512789Y-98731317D01* +X103510271Y-98855115D01* +X102228600Y-98855115D01* +X102228600Y-98744088D01* +X102204444Y-98622644D01* +X102157059Y-98508246D01* +X102088266Y-98405291D01* +X102033929Y-98350954D01* +X103789323Y-98350954D01* +X104140000Y-98701631D01* +X104490677Y-98350954D01* +X104449022Y-98255112D01* +X104335611Y-98205410D01* +X104214683Y-98178789D01* +X104090885Y-98176271D01* +X103968975Y-98197953D01* +X103853637Y-98243002D01* +X103830978Y-98255112D01* +X103789323Y-98350954D01* +X102033929Y-98350954D01* +X102000709Y-98317734D01* +X101897754Y-98248941D01* +X101783356Y-98201556D01* +X101661912Y-98177400D01* +X101538088Y-98177400D01* +X101416644Y-98201556D01* +X101302246Y-98248941D01* +X101199291Y-98317734D01* +X101111734Y-98405291D01* +X101042941Y-98508246D01* +X100995556Y-98622644D01* +X100971400Y-98744088D01* +X99688600Y-98744088D01* +X99664444Y-98622644D01* +X99617059Y-98508246D01* +X99548266Y-98405291D01* +X99460709Y-98317734D01* +X99357754Y-98248941D01* +X99243356Y-98201556D01* +X99121912Y-98177400D01* +X98998088Y-98177400D01* +X98876644Y-98201556D01* +X98762246Y-98248941D01* +X98659291Y-98317734D01* +X98571734Y-98405291D01* +X98502941Y-98508246D01* +X98455556Y-98622644D01* +X98431400Y-98744088D01* +X97148600Y-98744088D01* +X97124444Y-98622644D01* +X97077059Y-98508246D01* +X97008266Y-98405291D01* +X96920709Y-98317734D01* +X96817754Y-98248941D01* +X96703356Y-98201556D01* +X96581912Y-98177400D01* +X96458088Y-98177400D01* +X96336644Y-98201556D01* +X96222246Y-98248941D01* +X96119291Y-98317734D01* +X96031734Y-98405291D01* +X95962941Y-98508246D01* +X95915556Y-98622644D01* +X95891400Y-98744088D01* +X94608600Y-98744088D01* +X94584444Y-98622644D01* +X94537059Y-98508246D01* +X94468266Y-98405291D01* +X94380709Y-98317734D01* +X94277754Y-98248941D01* +X94163356Y-98201556D01* +X94041912Y-98177400D01* +X93918088Y-98177400D01* +X93796644Y-98201556D01* +X93682246Y-98248941D01* +X93579291Y-98317734D01* +X93491734Y-98405291D01* +X93422941Y-98508246D01* +X93375556Y-98622644D01* +X93351400Y-98744088D01* +X92068600Y-98744088D01* +X92044444Y-98622644D01* +X91997059Y-98508246D01* +X91928266Y-98405291D01* +X91840709Y-98317734D01* +X91737754Y-98248941D01* +X91623356Y-98201556D01* +X91501912Y-98177400D01* +X91378088Y-98177400D01* +X91256644Y-98201556D01* +X91142246Y-98248941D01* +X91039291Y-98317734D01* +X90951734Y-98405291D01* +X90882941Y-98508246D01* +X90835556Y-98622644D01* +X90811400Y-98744088D01* +X89528600Y-98744088D01* +X89504444Y-98622644D01* +X89457059Y-98508246D01* +X89388266Y-98405291D01* +X89300709Y-98317734D01* +X89197754Y-98248941D01* +X89083356Y-98201556D01* +X88961912Y-98177400D01* +X88838088Y-98177400D01* +X88716644Y-98201556D01* +X88602246Y-98248941D01* +X88499291Y-98317734D01* +X88411734Y-98405291D01* +X88342941Y-98508246D01* +X88295556Y-98622644D01* +X88271400Y-98744088D01* +X86988600Y-98744088D01* +X86964444Y-98622644D01* +X86917059Y-98508246D01* +X86848266Y-98405291D01* +X86760709Y-98317734D01* +X86657754Y-98248941D01* +X86543356Y-98201556D01* +X86421912Y-98177400D01* +X86298088Y-98177400D01* +X86176644Y-98201556D01* +X86062246Y-98248941D01* +X85959291Y-98317734D01* +X85871734Y-98405291D01* +X85802941Y-98508246D01* +X85755556Y-98622644D01* +X85731400Y-98744088D01* +X84448600Y-98744088D01* +X84424444Y-98622644D01* +X84377059Y-98508246D01* +X84308266Y-98405291D01* +X84220709Y-98317734D01* +X84117754Y-98248941D01* +X84003356Y-98201556D01* +X83881912Y-98177400D01* +X83758088Y-98177400D01* +X83636644Y-98201556D01* +X83522246Y-98248941D01* +X83419291Y-98317734D01* +X83331734Y-98405291D01* +X83262941Y-98508246D01* +X83215556Y-98622644D01* +X83191400Y-98744088D01* +X78560600Y-98744088D01* +X78560600Y-97265865D01* +X78559136Y-97251000D01* +X78559208Y-97240692D01* +X78558760Y-97236126D01* +X78525079Y-96915672D01* +X78519080Y-96886446D01* +X78513509Y-96857242D01* +X78512185Y-96852858D01* +X78512184Y-96852851D01* +X78512181Y-96852845D01* +X78416900Y-96545042D01* +X78405368Y-96517608D01* +X78394203Y-96489973D01* +X78392049Y-96485922D01* +X78238793Y-96202483D01* +X78222151Y-96177810D01* +X78205832Y-96152871D01* +X78202932Y-96149316D01* +X77997542Y-95901042D01* +X77976410Y-95880057D01* +X77955571Y-95858777D01* +X77952036Y-95855852D01* +X77702334Y-95652200D01* +X77677513Y-95635709D01* +X77652954Y-95618893D01* +X77648918Y-95616711D01* +X77364416Y-95465438D01* +X77336876Y-95454086D01* +X77309505Y-95442355D01* +X77305130Y-95441001D01* +X77305124Y-95440999D01* +X77305118Y-95440998D01* +X76996656Y-95347868D01* +X76967429Y-95342081D01* +X76938310Y-95335891D01* +X76933747Y-95335411D01* +X76613066Y-95303968D01* +X76613056Y-95303968D01* +X76597135Y-95302400D01* +X76528600Y-95302400D01* +X76528600Y-95188088D01* +X84461400Y-95188088D01* +X84461400Y-95311912D01* +X84485556Y-95433356D01* +X84532941Y-95547754D01* +X84601734Y-95650709D01* +X84689291Y-95738266D01* +X84792246Y-95807059D01* +X84906644Y-95854444D01* +X85028088Y-95878600D01* +X85151912Y-95878600D01* +X85273356Y-95854444D01* +X85387754Y-95807059D01* +X85490709Y-95738266D01* +X85578266Y-95650709D01* +X85647059Y-95547754D01* +X85694444Y-95433356D01* +X85718600Y-95311912D01* +X85718600Y-95188088D01* +X85694444Y-95066644D01* +X85647059Y-94952246D01* +X85644535Y-94948468D01* +X104927400Y-94948468D01* +X104927400Y-95043532D01* +X104945946Y-95136769D01* +X104982326Y-95224597D01* +X105035140Y-95303640D01* +X105102360Y-95370860D01* +X105181403Y-95423674D01* +X105269231Y-95460054D01* +X105362468Y-95478600D01* +X105457532Y-95478600D01* +X105550769Y-95460054D01* +X105638597Y-95423674D01* +X105717640Y-95370860D01* +X105784860Y-95303640D01* +X105837674Y-95224597D01* +X105852796Y-95188088D01* +X118751400Y-95188088D01* +X118751400Y-95311912D01* +X118775556Y-95433356D01* +X118822941Y-95547754D01* +X118891734Y-95650709D01* +X118979291Y-95738266D01* +X119082246Y-95807059D01* +X119196644Y-95854444D01* +X119318088Y-95878600D01* +X119441912Y-95878600D01* +X119563356Y-95854444D01* +X119677754Y-95807059D01* +X119780709Y-95738266D01* +X119868266Y-95650709D01* +X119937059Y-95547754D01* +X119984444Y-95433356D01* +X120008600Y-95311912D01* +X120008600Y-95188088D01* +X139071400Y-95188088D01* +X139071400Y-95311912D01* +X139095556Y-95433356D01* +X139142941Y-95547754D01* +X139211734Y-95650709D01* +X139299291Y-95738266D01* +X139402246Y-95807059D01* +X139516644Y-95854444D01* +X139638088Y-95878600D01* +X139761912Y-95878600D01* +X139883356Y-95854444D01* +X139997754Y-95807059D01* +X140100709Y-95738266D01* +X140188266Y-95650709D01* +X140257059Y-95547754D01* +X140304444Y-95433356D01* +X140328600Y-95311912D01* +X140328600Y-95305239D01* +X156751400Y-95305239D01* +X156751400Y-95448761D01* +X156779400Y-95589525D01* +X156834323Y-95722121D01* +X156914060Y-95841455D01* +X157015545Y-95942940D01* +X157134879Y-96022677D01* +X157267475Y-96077600D01* +X157408239Y-96105600D01* +X157551761Y-96105600D01* +X157692525Y-96077600D01* +X157825121Y-96022677D01* +X157944455Y-95942940D01* +X158045940Y-95841455D01* +X158125677Y-95722121D01* +X158180600Y-95589525D01* +X158208600Y-95448761D01* +X158208600Y-95305239D01* +X158180600Y-95164475D01* +X158125677Y-95031879D01* +X158045940Y-94912545D01* +X157944455Y-94811060D01* +X157825121Y-94731323D01* +X157692525Y-94676400D01* +X157551761Y-94648400D01* +X157408239Y-94648400D01* +X157267475Y-94676400D01* +X157134879Y-94731323D01* +X157015545Y-94811060D01* +X156914060Y-94912545D01* +X156834323Y-95031879D01* +X156779400Y-95164475D01* +X156751400Y-95305239D01* +X140328600Y-95305239D01* +X140328600Y-95188088D01* +X140304444Y-95066644D01* +X140257059Y-94952246D01* +X140188266Y-94849291D01* +X140100709Y-94761734D01* +X139997754Y-94692941D01* +X139883356Y-94645556D01* +X139761912Y-94621400D01* +X139638088Y-94621400D01* +X139516644Y-94645556D01* +X139402246Y-94692941D01* +X139299291Y-94761734D01* +X139211734Y-94849291D01* +X139142941Y-94952246D01* +X139095556Y-95066644D01* +X139071400Y-95188088D01* +X120008600Y-95188088D01* +X119984444Y-95066644D01* +X119937059Y-94952246D01* +X119868266Y-94849291D01* +X119780709Y-94761734D01* +X119677754Y-94692941D01* +X119563356Y-94645556D01* +X119441912Y-94621400D01* +X119318088Y-94621400D01* +X119196644Y-94645556D01* +X119082246Y-94692941D01* +X118979291Y-94761734D01* +X118891734Y-94849291D01* +X118822941Y-94952246D01* +X118775556Y-95066644D01* +X118751400Y-95188088D01* +X105852796Y-95188088D01* +X105874054Y-95136769D01* +X105892600Y-95043532D01* +X105892600Y-94948468D01* +X105874054Y-94855231D01* +X105837674Y-94767403D01* +X105784860Y-94688360D01* +X105717640Y-94621140D01* +X105638597Y-94568326D01* +X105550769Y-94531946D01* +X105457532Y-94513400D01* +X105362468Y-94513400D01* +X105269231Y-94531946D01* +X105181403Y-94568326D01* +X105102360Y-94621140D01* +X105035140Y-94688360D01* +X104982326Y-94767403D01* +X104945946Y-94855231D01* +X104927400Y-94948468D01* +X85644535Y-94948468D01* +X85578266Y-94849291D01* +X85490709Y-94761734D01* +X85387754Y-94692941D01* +X85273356Y-94645556D01* +X85151912Y-94621400D01* +X85028088Y-94621400D01* +X84906644Y-94645556D01* +X84792246Y-94692941D01* +X84689291Y-94761734D01* +X84601734Y-94849291D01* +X84532941Y-94952246D01* +X84485556Y-95066644D01* +X84461400Y-95188088D01* +X76528600Y-95188088D01* +X76528600Y-94045088D01* +X83191400Y-94045088D01* +X83191400Y-94168912D01* +X83215556Y-94290356D01* +X83262941Y-94404754D01* +X83331734Y-94507709D01* +X83419291Y-94595266D01* +X83522246Y-94664059D01* +X83636644Y-94711444D01* +X83758088Y-94735600D01* +X83881912Y-94735600D01* +X84003356Y-94711444D01* +X84117754Y-94664059D01* +X84220709Y-94595266D01* +X84308266Y-94507709D01* +X84377059Y-94404754D01* +X84424444Y-94290356D01* +X84448600Y-94168912D01* +X84448600Y-94045088D01* +X86112400Y-94045088D01* +X86112400Y-94168912D01* +X86136556Y-94290356D01* +X86183941Y-94404754D01* +X86252734Y-94507709D01* +X86340291Y-94595266D01* +X86443246Y-94664059D01* +X86557644Y-94711444D01* +X86679088Y-94735600D01* +X86802912Y-94735600D01* +X86924356Y-94711444D01* +X87038754Y-94664059D01* +X87141709Y-94595266D01* +X87229266Y-94507709D01* +X87298059Y-94404754D01* +X87345444Y-94290356D01* +X87369600Y-94168912D01* +X87369600Y-94045088D01* +X103130400Y-94045088D01* +X103130400Y-94168912D01* +X103154556Y-94290356D01* +X103201941Y-94404754D01* +X103270734Y-94507709D01* +X103358291Y-94595266D01* +X103461246Y-94664059D01* +X103575644Y-94711444D01* +X103697088Y-94735600D01* +X103820912Y-94735600D01* +X103942356Y-94711444D01* +X104056754Y-94664059D01* +X104159709Y-94595266D01* +X104247266Y-94507709D01* +X104316059Y-94404754D01* +X104363444Y-94290356D01* +X104387600Y-94168912D01* +X104387600Y-94045088D01* +X106051400Y-94045088D01* +X106051400Y-94168912D01* +X106075556Y-94290356D01* +X106122941Y-94404754D01* +X106191734Y-94507709D01* +X106279291Y-94595266D01* +X106382246Y-94664059D01* +X106496644Y-94711444D01* +X106618088Y-94735600D01* +X106741912Y-94735600D01* +X106863356Y-94711444D01* +X106977754Y-94664059D01* +X107080709Y-94595266D01* +X107168266Y-94507709D01* +X107237059Y-94404754D01* +X107284444Y-94290356D01* +X107308600Y-94168912D01* +X107308600Y-94045088D01* +X117481400Y-94045088D01* +X117481400Y-94168912D01* +X117505556Y-94290356D01* +X117552941Y-94404754D01* +X117621734Y-94507709D01* +X117709291Y-94595266D01* +X117812246Y-94664059D01* +X117926644Y-94711444D01* +X118048088Y-94735600D01* +X118171912Y-94735600D01* +X118293356Y-94711444D01* +X118407754Y-94664059D01* +X118510709Y-94595266D01* +X118598266Y-94507709D01* +X118667059Y-94404754D01* +X118714444Y-94290356D01* +X118738600Y-94168912D01* +X118738600Y-94045088D01* +X120402400Y-94045088D01* +X120402400Y-94168912D01* +X120426556Y-94290356D01* +X120473941Y-94404754D01* +X120542734Y-94507709D01* +X120630291Y-94595266D01* +X120733246Y-94664059D01* +X120847644Y-94711444D01* +X120969088Y-94735600D01* +X121092912Y-94735600D01* +X121214356Y-94711444D01* +X121328754Y-94664059D01* +X121431709Y-94595266D01* +X121519266Y-94507709D01* +X121588059Y-94404754D01* +X121635444Y-94290356D01* +X121659600Y-94168912D01* +X121659600Y-94045088D01* +X137420400Y-94045088D01* +X137420400Y-94168912D01* +X137444556Y-94290356D01* +X137491941Y-94404754D01* +X137560734Y-94507709D01* +X137648291Y-94595266D01* +X137751246Y-94664059D01* +X137865644Y-94711444D01* +X137987088Y-94735600D01* +X138110912Y-94735600D01* +X138232356Y-94711444D01* +X138346754Y-94664059D01* +X138449709Y-94595266D01* +X138537266Y-94507709D01* +X138606059Y-94404754D01* +X138653444Y-94290356D01* +X138677600Y-94168912D01* +X138677600Y-94045088D01* +X140341400Y-94045088D01* +X140341400Y-94168912D01* +X140365556Y-94290356D01* +X140412941Y-94404754D01* +X140481734Y-94507709D01* +X140569291Y-94595266D01* +X140672246Y-94664059D01* +X140786644Y-94711444D01* +X140908088Y-94735600D01* +X141031912Y-94735600D01* +X141153356Y-94711444D01* +X141267754Y-94664059D01* +X141370709Y-94595266D01* +X141458266Y-94507709D01* +X141527059Y-94404754D01* +X141574444Y-94290356D01* +X141598600Y-94168912D01* +X141598600Y-94045088D01* +X155581400Y-94045088D01* +X155581400Y-94168912D01* +X155605556Y-94290356D01* +X155652941Y-94404754D01* +X155721734Y-94507709D01* +X155809291Y-94595266D01* +X155912246Y-94664059D01* +X156026644Y-94711444D01* +X156148088Y-94735600D01* +X156271912Y-94735600D01* +X156393356Y-94711444D01* +X156507754Y-94664059D01* +X156610709Y-94595266D01* +X156698266Y-94507709D01* +X156767059Y-94404754D01* +X156814444Y-94290356D01* +X156838600Y-94168912D01* +X156838600Y-94045088D01* +X158121400Y-94045088D01* +X158121400Y-94168912D01* +X158145556Y-94290356D01* +X158192941Y-94404754D01* +X158261734Y-94507709D01* +X158349291Y-94595266D01* +X158452246Y-94664059D01* +X158566644Y-94711444D01* +X158688088Y-94735600D01* +X158811912Y-94735600D01* +X158933356Y-94711444D01* +X159047754Y-94664059D01* +X159150709Y-94595266D01* +X159238266Y-94507709D01* +X159307059Y-94404754D01* +X159354444Y-94290356D01* +X159378600Y-94168912D01* +X159378600Y-94045088D01* +X159354444Y-93923644D01* +X159307059Y-93809246D01* +X159238266Y-93706291D01* +X159150709Y-93618734D01* +X159047754Y-93549941D01* +X158933356Y-93502556D01* +X158811912Y-93478400D01* +X158688088Y-93478400D01* +X158566644Y-93502556D01* +X158452246Y-93549941D01* +X158349291Y-93618734D01* +X158261734Y-93706291D01* +X158192941Y-93809246D01* +X158145556Y-93923644D01* +X158121400Y-94045088D01* +X156838600Y-94045088D01* +X156814444Y-93923644D01* +X156767059Y-93809246D01* +X156698266Y-93706291D01* +X156610709Y-93618734D01* +X156507754Y-93549941D01* +X156393356Y-93502556D01* +X156271912Y-93478400D01* +X156148088Y-93478400D01* +X156026644Y-93502556D01* +X155912246Y-93549941D01* +X155809291Y-93618734D01* +X155721734Y-93706291D01* +X155652941Y-93809246D01* +X155605556Y-93923644D01* +X155581400Y-94045088D01* +X141598600Y-94045088D01* +X141574444Y-93923644D01* +X141527059Y-93809246D01* +X141458266Y-93706291D01* +X141370709Y-93618734D01* +X141267754Y-93549941D01* +X141153356Y-93502556D01* +X141031912Y-93478400D01* +X140908088Y-93478400D01* +X140786644Y-93502556D01* +X140672246Y-93549941D01* +X140569291Y-93618734D01* +X140481734Y-93706291D01* +X140412941Y-93809246D01* +X140365556Y-93923644D01* +X140341400Y-94045088D01* +X138677600Y-94045088D01* +X138653444Y-93923644D01* +X138606059Y-93809246D01* +X138537266Y-93706291D01* +X138449709Y-93618734D01* +X138346754Y-93549941D01* +X138232356Y-93502556D01* +X138110912Y-93478400D01* +X137987088Y-93478400D01* +X137865644Y-93502556D01* +X137751246Y-93549941D01* +X137648291Y-93618734D01* +X137560734Y-93706291D01* +X137491941Y-93809246D01* +X137444556Y-93923644D01* +X137420400Y-94045088D01* +X121659600Y-94045088D01* +X121635444Y-93923644D01* +X121588059Y-93809246D01* +X121519266Y-93706291D01* +X121431709Y-93618734D01* +X121328754Y-93549941D01* +X121214356Y-93502556D01* +X121092912Y-93478400D01* +X120969088Y-93478400D01* +X120847644Y-93502556D01* +X120733246Y-93549941D01* +X120630291Y-93618734D01* +X120542734Y-93706291D01* +X120473941Y-93809246D01* +X120426556Y-93923644D01* +X120402400Y-94045088D01* +X118738600Y-94045088D01* +X118714444Y-93923644D01* +X118667059Y-93809246D01* +X118598266Y-93706291D01* +X118510709Y-93618734D01* +X118407754Y-93549941D01* +X118293356Y-93502556D01* +X118171912Y-93478400D01* +X118048088Y-93478400D01* +X117926644Y-93502556D01* +X117812246Y-93549941D01* +X117709291Y-93618734D01* +X117621734Y-93706291D01* +X117552941Y-93809246D01* +X117505556Y-93923644D01* +X117481400Y-94045088D01* +X107308600Y-94045088D01* +X107284444Y-93923644D01* +X107237059Y-93809246D01* +X107168266Y-93706291D01* +X107080709Y-93618734D01* +X106977754Y-93549941D01* +X106863356Y-93502556D01* +X106741912Y-93478400D01* +X106618088Y-93478400D01* +X106496644Y-93502556D01* +X106382246Y-93549941D01* +X106279291Y-93618734D01* +X106191734Y-93706291D01* +X106122941Y-93809246D01* +X106075556Y-93923644D01* +X106051400Y-94045088D01* +X104387600Y-94045088D01* +X104363444Y-93923644D01* +X104316059Y-93809246D01* +X104247266Y-93706291D01* +X104159709Y-93618734D01* +X104056754Y-93549941D01* +X103942356Y-93502556D01* +X103820912Y-93478400D01* +X103697088Y-93478400D01* +X103575644Y-93502556D01* +X103461246Y-93549941D01* +X103358291Y-93618734D01* +X103270734Y-93706291D01* +X103201941Y-93809246D01* +X103154556Y-93923644D01* +X103130400Y-94045088D01* +X87369600Y-94045088D01* +X87345444Y-93923644D01* +X87298059Y-93809246D01* +X87229266Y-93706291D01* +X87141709Y-93618734D01* +X87038754Y-93549941D01* +X86924356Y-93502556D01* +X86802912Y-93478400D01* +X86679088Y-93478400D01* +X86557644Y-93502556D01* +X86443246Y-93549941D01* +X86340291Y-93618734D01* +X86252734Y-93706291D01* +X86183941Y-93809246D01* +X86136556Y-93923644D01* +X86112400Y-94045088D01* +X84448600Y-94045088D01* +X84424444Y-93923644D01* +X84377059Y-93809246D01* +X84308266Y-93706291D01* +X84220709Y-93618734D01* +X84117754Y-93549941D01* +X84003356Y-93502556D01* +X83881912Y-93478400D01* +X83758088Y-93478400D01* +X83636644Y-93502556D01* +X83522246Y-93549941D01* +X83419291Y-93618734D01* +X83331734Y-93706291D01* +X83262941Y-93809246D01* +X83215556Y-93923644D01* +X83191400Y-94045088D01* +X76528600Y-94045088D01* +X76528600Y-91466683D01* +X77654586Y-91466683D01* +X77696162Y-91837340D01* +X77808940Y-92192862D01* +X77988626Y-92519708D01* +X78228373Y-92805429D01* +X78519052Y-93039140D01* +X78849589Y-93211941D01* +X79207396Y-93317249D01* +X79578842Y-93351053D01* +X79949780Y-93312066D01* +X80202075Y-93233968D01* +X114706400Y-93233968D01* +X114706400Y-93329032D01* +X114724946Y-93422269D01* +X114761326Y-93510097D01* +X114814140Y-93589140D01* +X114881360Y-93656360D01* +X114960403Y-93709174D01* +X115048231Y-93745554D01* +X115141468Y-93764100D01* +X115236532Y-93764100D01* +X115329769Y-93745554D01* +X115417597Y-93709174D01* +X115496640Y-93656360D01* +X115563860Y-93589140D01* +X115616674Y-93510097D01* +X115653054Y-93422269D01* +X115671600Y-93329032D01* +X115671600Y-93233968D01* +X115653054Y-93140731D01* +X115616674Y-93052903D01* +X115563860Y-92973860D01* +X115496640Y-92906640D01* +X115417597Y-92853826D01* +X115329769Y-92817446D01* +X115236532Y-92798900D01* +X115141468Y-92798900D01* +X115048231Y-92817446D01* +X114960403Y-92853826D01* +X114881360Y-92906640D01* +X114814140Y-92973860D01* +X114761326Y-93052903D01* +X114724946Y-93140731D01* +X114706400Y-93233968D01* +X80202075Y-93233968D01* +X80306081Y-93201773D01* +X80634174Y-93024374D01* +X80921561Y-92786626D01* +X81157296Y-92497587D01* +X81204681Y-92408468D01* +X112039400Y-92408468D01* +X112039400Y-92503532D01* +X112057946Y-92596769D01* +X112094326Y-92684597D01* +X112147140Y-92763640D01* +X112214360Y-92830860D01* +X112293403Y-92883674D01* +X112381231Y-92920054D01* +X112474468Y-92938600D01* +X112569532Y-92938600D01* +X112662769Y-92920054D01* +X112750597Y-92883674D01* +X112829640Y-92830860D01* +X112896860Y-92763640D01* +X112949674Y-92684597D01* +X112986054Y-92596769D01* +X113004600Y-92503532D01* +X113004600Y-92408468D01* +X112986054Y-92315231D01* +X112949674Y-92227403D01* +X112896860Y-92148360D01* +X112829640Y-92081140D01* +X112750597Y-92028326D01* +X112662769Y-91991946D01* +X112569532Y-91973400D01* +X112474468Y-91973400D01* +X112381231Y-91991946D01* +X112293403Y-92028326D01* +X112214360Y-92081140D01* +X112147140Y-92148360D01* +X112094326Y-92227403D01* +X112057946Y-92315231D01* +X112039400Y-92408468D01* +X81204681Y-92408468D01* +X81332400Y-92168264D01* +X81440203Y-91811201D01* +X81473983Y-91466683D01* +X159823586Y-91466683D01* +X159865162Y-91837340D01* +X159977940Y-92192862D01* +X160157626Y-92519708D01* +X160397373Y-92805429D01* +X160688052Y-93039140D01* +X161018589Y-93211941D01* +X161376396Y-93317249D01* +X161747842Y-93351053D01* +X162118780Y-93312066D01* +X162475081Y-93201773D01* +X162803174Y-93024374D01* +X163090561Y-92786626D01* +X163326296Y-92497587D01* +X163501400Y-92168264D01* +X163609203Y-91811201D01* +X163645600Y-91440000D01* +X163644855Y-91386639D01* +X163598108Y-91016599D01* +X163480377Y-90662686D01* +X163296146Y-90338380D01* +X163052432Y-90056035D01* +X162758519Y-89826405D01* +X162425601Y-89658236D01* +X162066359Y-89557934D01* +X161694477Y-89529319D01* +X161324119Y-89573482D01* +X160969393Y-89688739D01* +X160643809Y-89870702D01* +X160359770Y-90112438D01* +X160128093Y-90404741D01* +X159957604Y-90736477D01* +X159854797Y-91095010D01* +X159823586Y-91466683D01* +X81473983Y-91466683D01* +X81476600Y-91440000D01* +X81475855Y-91386639D01* +X81429108Y-91016599D01* +X81385154Y-90884468D01* +X96037400Y-90884468D01* +X96037400Y-90979532D01* +X96055946Y-91072769D01* +X96092326Y-91160597D01* +X96145140Y-91239640D01* +X96212360Y-91306860D01* +X96291403Y-91359674D01* +X96379231Y-91396054D01* +X96472468Y-91414600D01* +X96567532Y-91414600D01* +X96660769Y-91396054D01* +X96748597Y-91359674D01* +X96827640Y-91306860D01* +X96894860Y-91239640D01* +X96947674Y-91160597D01* +X96984054Y-91072769D01* +X97002600Y-90979532D01* +X97002600Y-90884468D01* +X97307400Y-90884468D01* +X97307400Y-90979532D01* +X97325946Y-91072769D01* +X97362326Y-91160597D01* +X97415140Y-91239640D01* +X97482360Y-91306860D01* +X97561403Y-91359674D01* +X97649231Y-91396054D01* +X97742468Y-91414600D01* +X97837532Y-91414600D01* +X97930769Y-91396054D01* +X98018597Y-91359674D01* +X98097640Y-91306860D01* +X98164860Y-91239640D01* +X98217674Y-91160597D01* +X98254054Y-91072769D01* +X98272600Y-90979532D01* +X98272600Y-90884468D01* +X98577400Y-90884468D01* +X98577400Y-90979532D01* +X98595946Y-91072769D01* +X98632326Y-91160597D01* +X98685140Y-91239640D01* +X98752360Y-91306860D01* +X98831403Y-91359674D01* +X98919231Y-91396054D01* +X99012468Y-91414600D01* +X99107532Y-91414600D01* +X99200769Y-91396054D01* +X99288597Y-91359674D01* +X99367640Y-91306860D01* +X99434860Y-91239640D01* +X99487674Y-91160597D01* +X99524054Y-91072769D01* +X99542600Y-90979532D01* +X99542600Y-90884468D01* +X99847400Y-90884468D01* +X99847400Y-90979532D01* +X99865946Y-91072769D01* +X99902326Y-91160597D01* +X99955140Y-91239640D01* +X100022360Y-91306860D01* +X100101403Y-91359674D01* +X100189231Y-91396054D01* +X100282468Y-91414600D01* +X100377532Y-91414600D01* +X100470769Y-91396054D01* +X100558597Y-91359674D01* +X100637640Y-91306860D01* +X100704860Y-91239640D01* +X100757674Y-91160597D01* +X100794054Y-91072769D01* +X100812600Y-90979532D01* +X100812600Y-90884468D01* +X101117400Y-90884468D01* +X101117400Y-90979532D01* +X101135946Y-91072769D01* +X101172326Y-91160597D01* +X101225140Y-91239640D01* +X101292360Y-91306860D01* +X101371403Y-91359674D01* +X101459231Y-91396054D01* +X101552468Y-91414600D01* +X101647532Y-91414600D01* +X101740769Y-91396054D01* +X101828597Y-91359674D01* +X101907640Y-91306860D01* +X101974860Y-91239640D01* +X102027674Y-91160597D01* +X102064054Y-91072769D01* +X102082600Y-90979532D01* +X102082600Y-90884468D01* +X125247400Y-90884468D01* +X125247400Y-90979532D01* +X125265946Y-91072769D01* +X125302326Y-91160597D01* +X125355140Y-91239640D01* +X125422360Y-91306860D01* +X125501403Y-91359674D01* +X125589231Y-91396054D01* +X125682468Y-91414600D01* +X125777532Y-91414600D01* +X125870769Y-91396054D01* +X125958597Y-91359674D01* +X126037640Y-91306860D01* +X126104860Y-91239640D01* +X126157674Y-91160597D01* +X126194054Y-91072769D01* +X126212600Y-90979532D01* +X126212600Y-90884468D01* +X126517400Y-90884468D01* +X126517400Y-90979532D01* +X126535946Y-91072769D01* +X126572326Y-91160597D01* +X126625140Y-91239640D01* +X126692360Y-91306860D01* +X126771403Y-91359674D01* +X126859231Y-91396054D01* +X126952468Y-91414600D01* +X127047532Y-91414600D01* +X127140769Y-91396054D01* +X127228597Y-91359674D01* +X127307640Y-91306860D01* +X127374860Y-91239640D01* +X127427674Y-91160597D01* +X127464054Y-91072769D01* +X127482600Y-90979532D01* +X127482600Y-90884468D01* +X130327400Y-90884468D01* +X130327400Y-90979532D01* +X130345946Y-91072769D01* +X130382326Y-91160597D01* +X130435140Y-91239640D01* +X130502360Y-91306860D01* +X130581403Y-91359674D01* +X130669231Y-91396054D01* +X130762468Y-91414600D01* +X130857532Y-91414600D01* +X130950769Y-91396054D01* +X131038597Y-91359674D01* +X131117640Y-91306860D01* +X131184860Y-91239640D01* +X131237674Y-91160597D01* +X131274054Y-91072769D01* +X131292600Y-90979532D01* +X131292600Y-90884468D01* +X131597400Y-90884468D01* +X131597400Y-90979532D01* +X131615946Y-91072769D01* +X131652326Y-91160597D01* +X131705140Y-91239640D01* +X131772360Y-91306860D01* +X131851403Y-91359674D01* +X131939231Y-91396054D01* +X132032468Y-91414600D01* +X132127532Y-91414600D01* +X132220769Y-91396054D01* +X132308597Y-91359674D01* +X132387640Y-91306860D01* +X132454860Y-91239640D01* +X132507674Y-91160597D01* +X132544054Y-91072769D01* +X132562600Y-90979532D01* +X132562600Y-90884468D01* +X132867400Y-90884468D01* +X132867400Y-90979532D01* +X132885946Y-91072769D01* +X132922326Y-91160597D01* +X132975140Y-91239640D01* +X133042360Y-91306860D01* +X133121403Y-91359674D01* +X133209231Y-91396054D01* +X133302468Y-91414600D01* +X133397532Y-91414600D01* +X133490769Y-91396054D01* +X133578597Y-91359674D01* +X133657640Y-91306860D01* +X133724860Y-91239640D01* +X133777674Y-91160597D01* +X133814054Y-91072769D01* +X133832600Y-90979532D01* +X133832600Y-90884468D01* +X134137400Y-90884468D01* +X134137400Y-90979532D01* +X134155946Y-91072769D01* +X134192326Y-91160597D01* +X134245140Y-91239640D01* +X134312360Y-91306860D01* +X134391403Y-91359674D01* +X134479231Y-91396054D01* +X134572468Y-91414600D01* +X134667532Y-91414600D01* +X134760769Y-91396054D01* +X134848597Y-91359674D01* +X134927640Y-91306860D01* +X134994860Y-91239640D01* +X135047674Y-91160597D01* +X135084054Y-91072769D01* +X135102600Y-90979532D01* +X135102600Y-90884468D01* +X135407400Y-90884468D01* +X135407400Y-90979532D01* +X135425946Y-91072769D01* +X135462326Y-91160597D01* +X135515140Y-91239640D01* +X135582360Y-91306860D01* +X135661403Y-91359674D01* +X135749231Y-91396054D01* +X135842468Y-91414600D01* +X135937532Y-91414600D01* +X136030769Y-91396054D01* +X136118597Y-91359674D01* +X136197640Y-91306860D01* +X136264860Y-91239640D01* +X136317674Y-91160597D01* +X136354054Y-91072769D01* +X136372600Y-90979532D01* +X136372600Y-90884468D01* +X136354054Y-90791231D01* +X136317674Y-90703403D01* +X136264860Y-90624360D01* +X136197640Y-90557140D01* +X136118597Y-90504326D01* +X136030769Y-90467946D01* +X135937532Y-90449400D01* +X135842468Y-90449400D01* +X135749231Y-90467946D01* +X135661403Y-90504326D01* +X135582360Y-90557140D01* +X135515140Y-90624360D01* +X135462326Y-90703403D01* +X135425946Y-90791231D01* +X135407400Y-90884468D01* +X135102600Y-90884468D01* +X135084054Y-90791231D01* +X135047674Y-90703403D01* +X134994860Y-90624360D01* +X134927640Y-90557140D01* +X134848597Y-90504326D01* +X134760769Y-90467946D01* +X134667532Y-90449400D01* +X134572468Y-90449400D01* +X134479231Y-90467946D01* +X134391403Y-90504326D01* +X134312360Y-90557140D01* +X134245140Y-90624360D01* +X134192326Y-90703403D01* +X134155946Y-90791231D01* +X134137400Y-90884468D01* +X133832600Y-90884468D01* +X133814054Y-90791231D01* +X133777674Y-90703403D01* +X133724860Y-90624360D01* +X133657640Y-90557140D01* +X133578597Y-90504326D01* +X133490769Y-90467946D01* +X133397532Y-90449400D01* +X133302468Y-90449400D01* +X133209231Y-90467946D01* +X133121403Y-90504326D01* +X133042360Y-90557140D01* +X132975140Y-90624360D01* +X132922326Y-90703403D01* +X132885946Y-90791231D01* +X132867400Y-90884468D01* +X132562600Y-90884468D01* +X132544054Y-90791231D01* +X132507674Y-90703403D01* +X132454860Y-90624360D01* +X132387640Y-90557140D01* +X132308597Y-90504326D01* +X132220769Y-90467946D01* +X132127532Y-90449400D01* +X132032468Y-90449400D01* +X131939231Y-90467946D01* +X131851403Y-90504326D01* +X131772360Y-90557140D01* +X131705140Y-90624360D01* +X131652326Y-90703403D01* +X131615946Y-90791231D01* +X131597400Y-90884468D01* +X131292600Y-90884468D01* +X131274054Y-90791231D01* +X131237674Y-90703403D01* +X131184860Y-90624360D01* +X131117640Y-90557140D01* +X131038597Y-90504326D01* +X130950769Y-90467946D01* +X130857532Y-90449400D01* +X130762468Y-90449400D01* +X130669231Y-90467946D01* +X130581403Y-90504326D01* +X130502360Y-90557140D01* +X130435140Y-90624360D01* +X130382326Y-90703403D01* +X130345946Y-90791231D01* +X130327400Y-90884468D01* +X127482600Y-90884468D01* +X127464054Y-90791231D01* +X127427674Y-90703403D01* +X127374860Y-90624360D01* +X127307640Y-90557140D01* +X127228597Y-90504326D01* +X127140769Y-90467946D01* +X127047532Y-90449400D01* +X126952468Y-90449400D01* +X126859231Y-90467946D01* +X126771403Y-90504326D01* +X126692360Y-90557140D01* +X126625140Y-90624360D01* +X126572326Y-90703403D01* +X126535946Y-90791231D01* +X126517400Y-90884468D01* +X126212600Y-90884468D01* +X126194054Y-90791231D01* +X126157674Y-90703403D01* +X126104860Y-90624360D01* +X126037640Y-90557140D01* +X125958597Y-90504326D01* +X125870769Y-90467946D01* +X125777532Y-90449400D01* +X125682468Y-90449400D01* +X125589231Y-90467946D01* +X125501403Y-90504326D01* +X125422360Y-90557140D01* +X125355140Y-90624360D01* +X125302326Y-90703403D01* +X125265946Y-90791231D01* +X125247400Y-90884468D01* +X102082600Y-90884468D01* +X102064054Y-90791231D01* +X102027674Y-90703403D01* +X101974860Y-90624360D01* +X101907640Y-90557140D01* +X101828597Y-90504326D01* +X101740769Y-90467946D01* +X101647532Y-90449400D01* +X101552468Y-90449400D01* +X101459231Y-90467946D01* +X101371403Y-90504326D01* +X101292360Y-90557140D01* +X101225140Y-90624360D01* +X101172326Y-90703403D01* +X101135946Y-90791231D01* +X101117400Y-90884468D01* +X100812600Y-90884468D01* +X100794054Y-90791231D01* +X100757674Y-90703403D01* +X100704860Y-90624360D01* +X100637640Y-90557140D01* +X100558597Y-90504326D01* +X100470769Y-90467946D01* +X100377532Y-90449400D01* +X100282468Y-90449400D01* +X100189231Y-90467946D01* +X100101403Y-90504326D01* +X100022360Y-90557140D01* +X99955140Y-90624360D01* +X99902326Y-90703403D01* +X99865946Y-90791231D01* +X99847400Y-90884468D01* +X99542600Y-90884468D01* +X99524054Y-90791231D01* +X99487674Y-90703403D01* +X99434860Y-90624360D01* +X99367640Y-90557140D01* +X99288597Y-90504326D01* +X99200769Y-90467946D01* +X99107532Y-90449400D01* +X99012468Y-90449400D01* +X98919231Y-90467946D01* +X98831403Y-90504326D01* +X98752360Y-90557140D01* +X98685140Y-90624360D01* +X98632326Y-90703403D01* +X98595946Y-90791231D01* +X98577400Y-90884468D01* +X98272600Y-90884468D01* +X98254054Y-90791231D01* +X98217674Y-90703403D01* +X98164860Y-90624360D01* +X98097640Y-90557140D01* +X98018597Y-90504326D01* +X97930769Y-90467946D01* +X97837532Y-90449400D01* +X97742468Y-90449400D01* +X97649231Y-90467946D01* +X97561403Y-90504326D01* +X97482360Y-90557140D01* +X97415140Y-90624360D01* +X97362326Y-90703403D01* +X97325946Y-90791231D01* +X97307400Y-90884468D01* +X97002600Y-90884468D01* +X96984054Y-90791231D01* +X96947674Y-90703403D01* +X96894860Y-90624360D01* +X96827640Y-90557140D01* +X96748597Y-90504326D01* +X96660769Y-90467946D01* +X96567532Y-90449400D01* +X96472468Y-90449400D01* +X96379231Y-90467946D01* +X96291403Y-90504326D01* +X96212360Y-90557140D01* +X96145140Y-90624360D01* +X96092326Y-90703403D01* +X96055946Y-90791231D01* +X96037400Y-90884468D01* +X81385154Y-90884468D01* +X81311377Y-90662686D01* +X81127146Y-90338380D01* +X80940776Y-90122468D01* +X120675400Y-90122468D01* +X120675400Y-90217532D01* +X120693946Y-90310769D01* +X120730326Y-90398597D01* +X120783140Y-90477640D01* +X120850360Y-90544860D01* +X120929403Y-90597674D01* +X121017231Y-90634054D01* +X121110468Y-90652600D01* +X121205532Y-90652600D01* +X121298769Y-90634054D01* +X121386597Y-90597674D01* +X121465640Y-90544860D01* +X121532860Y-90477640D01* +X121585674Y-90398597D01* +X121622054Y-90310769D01* +X121640600Y-90217532D01* +X121640600Y-90122468D01* +X121622054Y-90029231D01* +X121585674Y-89941403D01* +X121532860Y-89862360D01* +X121465640Y-89795140D01* +X121386597Y-89742326D01* +X121298769Y-89705946D01* +X121205532Y-89687400D01* +X121110468Y-89687400D01* +X121017231Y-89705946D01* +X120929403Y-89742326D01* +X120850360Y-89795140D01* +X120783140Y-89862360D01* +X120730326Y-89941403D01* +X120693946Y-90029231D01* +X120675400Y-90122468D01* +X80940776Y-90122468D01* +X80883432Y-90056035D01* +X80589519Y-89826405D01* +X80256601Y-89658236D01* +X79897359Y-89557934D01* +X79525477Y-89529319D01* +X79155119Y-89573482D01* +X78800393Y-89688739D01* +X78474809Y-89870702D01* +X78190770Y-90112438D01* +X77959093Y-90404741D01* +X77788604Y-90736477D01* +X77685797Y-91095010D01* +X77654586Y-91466683D01* +X76528600Y-91466683D01* +X76528600Y-88979468D01* +X125247400Y-88979468D01* +X125247400Y-89074532D01* +X125265946Y-89167769D01* +X125302326Y-89255597D01* +X125355140Y-89334640D01* +X125422360Y-89401860D01* +X125501403Y-89454674D01* +X125589231Y-89491054D01* +X125682468Y-89509600D01* +X125777532Y-89509600D01* +X125870769Y-89491054D01* +X125958597Y-89454674D01* +X126037640Y-89401860D01* +X126104860Y-89334640D01* +X126157674Y-89255597D01* +X126194054Y-89167769D01* +X126212600Y-89074532D01* +X126212600Y-88979468D01* +X126194054Y-88886231D01* +X126157674Y-88798403D01* +X126104860Y-88719360D01* +X126037640Y-88652140D01* +X125958597Y-88599326D01* +X125870769Y-88562946D01* +X125777532Y-88544400D01* +X125682468Y-88544400D01* +X125589231Y-88562946D01* +X125501403Y-88599326D01* +X125422360Y-88652140D01* +X125355140Y-88719360D01* +X125302326Y-88798403D01* +X125265946Y-88886231D01* +X125247400Y-88979468D01* +X76528600Y-88979468D01* +X76528600Y-88344468D01* +X90957400Y-88344468D01* +X90957400Y-88439532D01* +X90975946Y-88532769D01* +X91012326Y-88620597D01* +X91065140Y-88699640D01* +X91132360Y-88766860D01* +X91211403Y-88819674D01* +X91299231Y-88856054D01* +X91392468Y-88874600D01* +X91487532Y-88874600D01* +X91580769Y-88856054D01* +X91668597Y-88819674D01* +X91747640Y-88766860D01* +X91814860Y-88699640D01* +X91867674Y-88620597D01* +X91904054Y-88532769D01* +X91922600Y-88439532D01* +X91922600Y-88344468D01* +X93497400Y-88344468D01* +X93497400Y-88439532D01* +X93515946Y-88532769D01* +X93552326Y-88620597D01* +X93605140Y-88699640D01* +X93672360Y-88766860D01* +X93751403Y-88819674D01* +X93839231Y-88856054D01* +X93932468Y-88874600D01* +X94027532Y-88874600D01* +X94120769Y-88856054D01* +X94208597Y-88819674D01* +X94287640Y-88766860D01* +X94354860Y-88699640D01* +X94407674Y-88620597D01* +X94444054Y-88532769D01* +X94462600Y-88439532D01* +X94462600Y-88344468D01* +X96037400Y-88344468D01* +X96037400Y-88439532D01* +X96055946Y-88532769D01* +X96092326Y-88620597D01* +X96145140Y-88699640D01* +X96212360Y-88766860D01* +X96291403Y-88819674D01* +X96379231Y-88856054D01* +X96472468Y-88874600D01* +X96567532Y-88874600D01* +X96660769Y-88856054D01* +X96748597Y-88819674D01* +X96827640Y-88766860D01* +X96894860Y-88699640D01* +X96947674Y-88620597D01* +X96984054Y-88532769D01* +X97002600Y-88439532D01* +X97002600Y-88344468D01* +X97307400Y-88344468D01* +X97307400Y-88439532D01* +X97325946Y-88532769D01* +X97362326Y-88620597D01* +X97415140Y-88699640D01* +X97482360Y-88766860D01* +X97561403Y-88819674D01* +X97649231Y-88856054D01* +X97742468Y-88874600D01* +X97837532Y-88874600D01* +X97930769Y-88856054D01* +X98018597Y-88819674D01* +X98097640Y-88766860D01* +X98164860Y-88699640D01* +X98217674Y-88620597D01* +X98254054Y-88532769D01* +X98272600Y-88439532D01* +X98272600Y-88344468D01* +X98577400Y-88344468D01* +X98577400Y-88439532D01* +X98595946Y-88532769D01* +X98632326Y-88620597D01* +X98685140Y-88699640D01* +X98752360Y-88766860D01* +X98831403Y-88819674D01* +X98919231Y-88856054D01* +X99012468Y-88874600D01* +X99107532Y-88874600D01* +X99200769Y-88856054D01* +X99288597Y-88819674D01* +X99367640Y-88766860D01* +X99434860Y-88699640D01* +X99487674Y-88620597D01* +X99524054Y-88532769D01* +X99542600Y-88439532D01* +X99542600Y-88344468D01* +X99847400Y-88344468D01* +X99847400Y-88439532D01* +X99865946Y-88532769D01* +X99902326Y-88620597D01* +X99955140Y-88699640D01* +X100022360Y-88766860D01* +X100101403Y-88819674D01* +X100189231Y-88856054D01* +X100282468Y-88874600D01* +X100377532Y-88874600D01* +X100470769Y-88856054D01* +X100558597Y-88819674D01* +X100637640Y-88766860D01* +X100704860Y-88699640D01* +X100757674Y-88620597D01* +X100794054Y-88532769D01* +X100812600Y-88439532D01* +X100812600Y-88344468D01* +X101117400Y-88344468D01* +X101117400Y-88439532D01* +X101135946Y-88532769D01* +X101172326Y-88620597D01* +X101225140Y-88699640D01* +X101292360Y-88766860D01* +X101371403Y-88819674D01* +X101459231Y-88856054D01* +X101552468Y-88874600D01* +X101647532Y-88874600D01* +X101740769Y-88856054D01* +X101828597Y-88819674D01* +X101907640Y-88766860D01* +X101974860Y-88699640D01* +X102027674Y-88620597D01* +X102064054Y-88532769D01* +X102082600Y-88439532D01* +X102082600Y-88344468D01* +X127787400Y-88344468D01* +X127787400Y-88439532D01* +X127805946Y-88532769D01* +X127842326Y-88620597D01* +X127895140Y-88699640D01* +X127962360Y-88766860D01* +X128041403Y-88819674D01* +X128129231Y-88856054D01* +X128222468Y-88874600D01* +X128317532Y-88874600D01* +X128410769Y-88856054D01* +X128498597Y-88819674D01* +X128577640Y-88766860D01* +X128644860Y-88699640D01* +X128697674Y-88620597D01* +X128734054Y-88532769D01* +X128752600Y-88439532D01* +X128752600Y-88344468D01* +X130327400Y-88344468D01* +X130327400Y-88439532D01* +X130345946Y-88532769D01* +X130382326Y-88620597D01* +X130435140Y-88699640D01* +X130502360Y-88766860D01* +X130581403Y-88819674D01* +X130669231Y-88856054D01* +X130762468Y-88874600D01* +X130857532Y-88874600D01* +X130950769Y-88856054D01* +X131038597Y-88819674D01* +X131117640Y-88766860D01* +X131184860Y-88699640D01* +X131237674Y-88620597D01* +X131274054Y-88532769D01* +X131292600Y-88439532D01* +X131292600Y-88344468D01* +X131597400Y-88344468D01* +X131597400Y-88439532D01* +X131615946Y-88532769D01* +X131652326Y-88620597D01* +X131705140Y-88699640D01* +X131772360Y-88766860D01* +X131851403Y-88819674D01* +X131939231Y-88856054D01* +X132032468Y-88874600D01* +X132127532Y-88874600D01* +X132220769Y-88856054D01* +X132308597Y-88819674D01* +X132387640Y-88766860D01* +X132454860Y-88699640D01* +X132507674Y-88620597D01* +X132544054Y-88532769D01* +X132562600Y-88439532D01* +X132562600Y-88344468D01* +X132867400Y-88344468D01* +X132867400Y-88439532D01* +X132885946Y-88532769D01* +X132922326Y-88620597D01* +X132975140Y-88699640D01* +X133042360Y-88766860D01* +X133121403Y-88819674D01* +X133209231Y-88856054D01* +X133302468Y-88874600D01* +X133397532Y-88874600D01* +X133490769Y-88856054D01* +X133578597Y-88819674D01* +X133657640Y-88766860D01* +X133724860Y-88699640D01* +X133777674Y-88620597D01* +X133814054Y-88532769D01* +X133832600Y-88439532D01* +X133832600Y-88344468D01* +X134137400Y-88344468D01* +X134137400Y-88439532D01* +X134155946Y-88532769D01* +X134192326Y-88620597D01* +X134245140Y-88699640D01* +X134312360Y-88766860D01* +X134391403Y-88819674D01* +X134479231Y-88856054D01* +X134572468Y-88874600D01* +X134667532Y-88874600D01* +X134760769Y-88856054D01* +X134848597Y-88819674D01* +X134927640Y-88766860D01* +X134994860Y-88699640D01* +X135047674Y-88620597D01* +X135084054Y-88532769D01* +X135102600Y-88439532D01* +X135102600Y-88344468D01* +X135407400Y-88344468D01* +X135407400Y-88439532D01* +X135425946Y-88532769D01* +X135462326Y-88620597D01* +X135515140Y-88699640D01* +X135582360Y-88766860D01* +X135661403Y-88819674D01* +X135749231Y-88856054D01* +X135842468Y-88874600D01* +X135937532Y-88874600D01* +X136030769Y-88856054D01* +X136118597Y-88819674D01* +X136197640Y-88766860D01* +X136264860Y-88699640D01* +X136317674Y-88620597D01* +X136354054Y-88532769D01* +X136372600Y-88439532D01* +X136372600Y-88344468D01* +X136354054Y-88251231D01* +X136317674Y-88163403D01* +X136264860Y-88084360D01* +X136197640Y-88017140D01* +X136118597Y-87964326D01* +X136030769Y-87927946D01* +X135937532Y-87909400D01* +X135842468Y-87909400D01* +X135749231Y-87927946D01* +X135661403Y-87964326D01* +X135582360Y-88017140D01* +X135515140Y-88084360D01* +X135462326Y-88163403D01* +X135425946Y-88251231D01* +X135407400Y-88344468D01* +X135102600Y-88344468D01* +X135084054Y-88251231D01* +X135047674Y-88163403D01* +X134994860Y-88084360D01* +X134927640Y-88017140D01* +X134848597Y-87964326D01* +X134760769Y-87927946D01* +X134667532Y-87909400D01* +X134572468Y-87909400D01* +X134479231Y-87927946D01* +X134391403Y-87964326D01* +X134312360Y-88017140D01* +X134245140Y-88084360D01* +X134192326Y-88163403D01* +X134155946Y-88251231D01* +X134137400Y-88344468D01* +X133832600Y-88344468D01* +X133814054Y-88251231D01* +X133777674Y-88163403D01* +X133724860Y-88084360D01* +X133657640Y-88017140D01* +X133578597Y-87964326D01* +X133490769Y-87927946D01* +X133397532Y-87909400D01* +X133302468Y-87909400D01* +X133209231Y-87927946D01* +X133121403Y-87964326D01* +X133042360Y-88017140D01* +X132975140Y-88084360D01* +X132922326Y-88163403D01* +X132885946Y-88251231D01* +X132867400Y-88344468D01* +X132562600Y-88344468D01* +X132544054Y-88251231D01* +X132507674Y-88163403D01* +X132454860Y-88084360D01* +X132387640Y-88017140D01* +X132308597Y-87964326D01* +X132220769Y-87927946D01* +X132127532Y-87909400D01* +X132032468Y-87909400D01* +X131939231Y-87927946D01* +X131851403Y-87964326D01* +X131772360Y-88017140D01* +X131705140Y-88084360D01* +X131652326Y-88163403D01* +X131615946Y-88251231D01* +X131597400Y-88344468D01* +X131292600Y-88344468D01* +X131274054Y-88251231D01* +X131237674Y-88163403D01* +X131184860Y-88084360D01* +X131117640Y-88017140D01* +X131038597Y-87964326D01* +X130950769Y-87927946D01* +X130857532Y-87909400D01* +X130762468Y-87909400D01* +X130669231Y-87927946D01* +X130581403Y-87964326D01* +X130502360Y-88017140D01* +X130435140Y-88084360D01* +X130382326Y-88163403D01* +X130345946Y-88251231D01* +X130327400Y-88344468D01* +X128752600Y-88344468D01* +X128734054Y-88251231D01* +X128697674Y-88163403D01* +X128644860Y-88084360D01* +X128577640Y-88017140D01* +X128498597Y-87964326D01* +X128410769Y-87927946D01* +X128317532Y-87909400D01* +X128222468Y-87909400D01* +X128129231Y-87927946D01* +X128041403Y-87964326D01* +X127962360Y-88017140D01* +X127895140Y-88084360D01* +X127842326Y-88163403D01* +X127805946Y-88251231D01* +X127787400Y-88344468D01* +X102082600Y-88344468D01* +X102064054Y-88251231D01* +X102027674Y-88163403D01* +X101974860Y-88084360D01* +X101907640Y-88017140D01* +X101828597Y-87964326D01* +X101740769Y-87927946D01* +X101647532Y-87909400D01* +X101552468Y-87909400D01* +X101459231Y-87927946D01* +X101371403Y-87964326D01* +X101292360Y-88017140D01* +X101225140Y-88084360D01* +X101172326Y-88163403D01* +X101135946Y-88251231D01* +X101117400Y-88344468D01* +X100812600Y-88344468D01* +X100794054Y-88251231D01* +X100757674Y-88163403D01* +X100704860Y-88084360D01* +X100637640Y-88017140D01* +X100558597Y-87964326D01* +X100470769Y-87927946D01* +X100377532Y-87909400D01* +X100282468Y-87909400D01* +X100189231Y-87927946D01* +X100101403Y-87964326D01* +X100022360Y-88017140D01* +X99955140Y-88084360D01* +X99902326Y-88163403D01* +X99865946Y-88251231D01* +X99847400Y-88344468D01* +X99542600Y-88344468D01* +X99524054Y-88251231D01* +X99487674Y-88163403D01* +X99434860Y-88084360D01* +X99367640Y-88017140D01* +X99288597Y-87964326D01* +X99200769Y-87927946D01* +X99107532Y-87909400D01* +X99012468Y-87909400D01* +X98919231Y-87927946D01* +X98831403Y-87964326D01* +X98752360Y-88017140D01* +X98685140Y-88084360D01* +X98632326Y-88163403D01* +X98595946Y-88251231D01* +X98577400Y-88344468D01* +X98272600Y-88344468D01* +X98254054Y-88251231D01* +X98217674Y-88163403D01* +X98164860Y-88084360D01* +X98097640Y-88017140D01* +X98018597Y-87964326D01* +X97930769Y-87927946D01* +X97837532Y-87909400D01* +X97742468Y-87909400D01* +X97649231Y-87927946D01* +X97561403Y-87964326D01* +X97482360Y-88017140D01* +X97415140Y-88084360D01* +X97362326Y-88163403D01* +X97325946Y-88251231D01* +X97307400Y-88344468D01* +X97002600Y-88344468D01* +X96984054Y-88251231D01* +X96947674Y-88163403D01* +X96894860Y-88084360D01* +X96827640Y-88017140D01* +X96748597Y-87964326D01* +X96660769Y-87927946D01* +X96567532Y-87909400D01* +X96472468Y-87909400D01* +X96379231Y-87927946D01* +X96291403Y-87964326D01* +X96212360Y-88017140D01* +X96145140Y-88084360D01* +X96092326Y-88163403D01* +X96055946Y-88251231D01* +X96037400Y-88344468D01* +X94462600Y-88344468D01* +X94444054Y-88251231D01* +X94407674Y-88163403D01* +X94354860Y-88084360D01* +X94287640Y-88017140D01* +X94208597Y-87964326D01* +X94120769Y-87927946D01* +X94027532Y-87909400D01* +X93932468Y-87909400D01* +X93839231Y-87927946D01* +X93751403Y-87964326D01* +X93672360Y-88017140D01* +X93605140Y-88084360D01* +X93552326Y-88163403D01* +X93515946Y-88251231D01* +X93497400Y-88344468D01* +X91922600Y-88344468D01* +X91904054Y-88251231D01* +X91867674Y-88163403D01* +X91814860Y-88084360D01* +X91747640Y-88017140D01* +X91668597Y-87964326D01* +X91580769Y-87927946D01* +X91487532Y-87909400D01* +X91392468Y-87909400D01* +X91299231Y-87927946D01* +X91211403Y-87964326D01* +X91132360Y-88017140D01* +X91065140Y-88084360D01* +X91012326Y-88163403D01* +X90975946Y-88251231D01* +X90957400Y-88344468D01* +X76528600Y-88344468D01* +X76528600Y-82878600D01* +X164771400Y-82878600D01* +X164771401Y-101271400D01* +X164771401Y-101271400D01* +G37* +X164771401Y-101271400D02* +X78560600Y-101271400D01* +X78560600Y-99568000D01* +X85775800Y-99568000D01* +X85775800Y-100457000D01* +X85777264Y-100471866D01* +X85781600Y-100486160D01* +X85788642Y-100499334D01* +X85798118Y-100510882D01* +X85809666Y-100520358D01* +X85822840Y-100527400D01* +X85837134Y-100531736D01* +X85852000Y-100533200D01* +X86868000Y-100533200D01* +X86882866Y-100531736D01* +X86897160Y-100527400D01* +X86910334Y-100520358D01* +X86921882Y-100510882D01* +X86931358Y-100499334D01* +X86938400Y-100486160D01* +X86942736Y-100471866D01* +X86944200Y-100457000D01* +X86944200Y-99568000D01* +X88315800Y-99568000D01* +X88315800Y-100457000D01* +X88317264Y-100471866D01* +X88321600Y-100486160D01* +X88328642Y-100499334D01* +X88338118Y-100510882D01* +X88349666Y-100520358D01* +X88362840Y-100527400D01* +X88377134Y-100531736D01* +X88392000Y-100533200D01* +X89408000Y-100533200D01* +X89422866Y-100531736D01* +X89437160Y-100527400D01* +X89450334Y-100520358D01* +X89461882Y-100510882D01* +X89471358Y-100499334D01* +X89478400Y-100486160D01* +X89482736Y-100471866D01* +X89484200Y-100457000D01* +X89484200Y-99568000D01* +X90855800Y-99568000D01* +X90855800Y-100457000D01* +X90857264Y-100471866D01* +X90861600Y-100486160D01* +X90868642Y-100499334D01* +X90878118Y-100510882D01* +X90889666Y-100520358D01* +X90902840Y-100527400D01* +X90917134Y-100531736D01* +X90932000Y-100533200D01* +X91948000Y-100533200D01* +X91962866Y-100531736D01* +X91977160Y-100527400D01* +X91990334Y-100520358D01* +X92001882Y-100510882D01* +X92011358Y-100499334D01* +X92018400Y-100486160D01* +X92022736Y-100471866D01* +X92024200Y-100457000D01* +X92024200Y-99568000D01* +X93395800Y-99568000D01* +X93395800Y-100457000D01* +X93397264Y-100471866D01* +X93401600Y-100486160D01* +X93408642Y-100499334D01* +X93418118Y-100510882D01* +X93429666Y-100520358D01* +X93442840Y-100527400D01* +X93457134Y-100531736D01* +X93472000Y-100533200D01* +X94488000Y-100533200D01* +X94502866Y-100531736D01* +X94517160Y-100527400D01* +X94530334Y-100520358D01* +X94541882Y-100510882D01* +X94551358Y-100499334D01* +X94558400Y-100486160D01* +X94562736Y-100471866D01* +X94564200Y-100457000D01* +X94564200Y-99568000D01* +X95935800Y-99568000D01* +X95935800Y-100457000D01* +X95937264Y-100471866D01* +X95941600Y-100486160D01* +X95948642Y-100499334D01* +X95958118Y-100510882D01* +X95969666Y-100520358D01* +X95982840Y-100527400D01* +X95997134Y-100531736D01* +X96012000Y-100533200D01* +X97028000Y-100533200D01* +X97042866Y-100531736D01* +X97057160Y-100527400D01* +X97070334Y-100520358D01* +X97081882Y-100510882D01* +X97091358Y-100499334D01* +X97098400Y-100486160D01* +X97102736Y-100471866D01* +X97104200Y-100457000D01* +X97104200Y-99568000D01* +X98475800Y-99568000D01* +X98475800Y-100457000D01* +X98477264Y-100471866D01* +X98481600Y-100486160D01* +X98488642Y-100499334D01* +X98498118Y-100510882D01* +X98509666Y-100520358D01* +X98522840Y-100527400D01* +X98537134Y-100531736D01* +X98552000Y-100533200D01* +X99568000Y-100533200D01* +X99582866Y-100531736D01* +X99597160Y-100527400D01* +X99610334Y-100520358D01* +X99621882Y-100510882D01* +X99631358Y-100499334D01* +X99638400Y-100486160D01* +X99642736Y-100471866D01* +X99644200Y-100457000D01* +X99644200Y-99568000D01* +X101015800Y-99568000D01* +X101015800Y-100457000D01* +X101017264Y-100471866D01* +X101021600Y-100486160D01* +X101028642Y-100499334D01* +X101038118Y-100510882D01* +X101049666Y-100520358D01* +X101062840Y-100527400D01* +X101077134Y-100531736D01* +X101092000Y-100533200D01* +X102108000Y-100533200D01* +X102122866Y-100531736D01* +X102137160Y-100527400D01* +X102150334Y-100520358D01* +X102161882Y-100510882D01* +X102171358Y-100499334D01* +X102178400Y-100486160D01* +X102182736Y-100471866D01* +X102184200Y-100457000D01* +X102184200Y-99568000D01* +X106095800Y-99568000D01* +X106095800Y-100457000D01* +X106097264Y-100471866D01* +X106101600Y-100486160D01* +X106108642Y-100499334D01* +X106118118Y-100510882D01* +X106129666Y-100520358D01* +X106142840Y-100527400D01* +X106157134Y-100531736D01* +X106172000Y-100533200D01* +X107188000Y-100533200D01* +X107202866Y-100531736D01* +X107217160Y-100527400D01* +X107230334Y-100520358D01* +X107241882Y-100510882D01* +X107251358Y-100499334D01* +X107258400Y-100486160D01* +X107262736Y-100471866D01* +X107264200Y-100457000D01* +X107264200Y-99568000D01* +X108635800Y-99568000D01* +X108635800Y-100457000D01* +X108637264Y-100471866D01* +X108641600Y-100486160D01* +X108648642Y-100499334D01* +X108658118Y-100510882D01* +X108669666Y-100520358D01* +X108682840Y-100527400D01* +X108697134Y-100531736D01* +X108712000Y-100533200D01* +X109728000Y-100533200D01* +X109742866Y-100531736D01* +X109757160Y-100527400D01* +X109770334Y-100520358D01* +X109781882Y-100510882D01* +X109791358Y-100499334D01* +X109798400Y-100486160D01* +X109802736Y-100471866D01* +X109804200Y-100457000D01* +X109804200Y-99568000D01* +X111175800Y-99568000D01* +X111175800Y-100457000D01* +X111177264Y-100471866D01* +X111181600Y-100486160D01* +X111188642Y-100499334D01* +X111198118Y-100510882D01* +X111209666Y-100520358D01* +X111222840Y-100527400D01* +X111237134Y-100531736D01* +X111252000Y-100533200D01* +X112268000Y-100533200D01* +X112282866Y-100531736D01* +X112297160Y-100527400D01* +X112310334Y-100520358D01* +X112321882Y-100510882D01* +X112331358Y-100499334D01* +X112338400Y-100486160D01* +X112342736Y-100471866D01* +X112344200Y-100457000D01* +X112344200Y-99568000D01* +X113715800Y-99568000D01* +X113715800Y-100457000D01* +X113717264Y-100471866D01* +X113721600Y-100486160D01* +X113728642Y-100499334D01* +X113738118Y-100510882D01* +X113749666Y-100520358D01* +X113762840Y-100527400D01* +X113777134Y-100531736D01* +X113792000Y-100533200D01* +X114808000Y-100533200D01* +X114822866Y-100531736D01* +X114837160Y-100527400D01* +X114850334Y-100520358D01* +X114861882Y-100510882D01* +X114871358Y-100499334D01* +X114878400Y-100486160D01* +X114882736Y-100471866D01* +X114884200Y-100457000D01* +X114884200Y-99568000D01* +X116255800Y-99568000D01* +X116255800Y-100457000D01* +X116257264Y-100471866D01* +X116261600Y-100486160D01* +X116268642Y-100499334D01* +X116278118Y-100510882D01* +X116289666Y-100520358D01* +X116302840Y-100527400D01* +X116317134Y-100531736D01* +X116332000Y-100533200D01* +X117348000Y-100533200D01* +X117362866Y-100531736D01* +X117377160Y-100527400D01* +X117390334Y-100520358D01* +X117401882Y-100510882D01* +X117411358Y-100499334D01* +X117418400Y-100486160D01* +X117422736Y-100471866D01* +X117424200Y-100457000D01* +X117424200Y-99568000D01* +X118795800Y-99568000D01* +X118795800Y-100457000D01* +X118797264Y-100471866D01* +X118801600Y-100486160D01* +X118808642Y-100499334D01* +X118818118Y-100510882D01* +X118829666Y-100520358D01* +X118842840Y-100527400D01* +X118857134Y-100531736D01* +X118872000Y-100533200D01* +X119888000Y-100533200D01* +X119902866Y-100531736D01* +X119917160Y-100527400D01* +X119930334Y-100520358D01* +X119941882Y-100510882D01* +X119951358Y-100499334D01* +X119958400Y-100486160D01* +X119962736Y-100471866D01* +X119964200Y-100457000D01* +X119964200Y-99568000D01* +X121335800Y-99568000D01* +X121335800Y-100457000D01* +X121337264Y-100471866D01* +X121341600Y-100486160D01* +X121348642Y-100499334D01* +X121358118Y-100510882D01* +X121369666Y-100520358D01* +X121382840Y-100527400D01* +X121397134Y-100531736D01* +X121412000Y-100533200D01* +X122428000Y-100533200D01* +X122442866Y-100531736D01* +X122457160Y-100527400D01* +X122470334Y-100520358D01* +X122481882Y-100510882D01* +X122491358Y-100499334D01* +X122498400Y-100486160D01* +X122502736Y-100471866D01* +X122504200Y-100457000D01* +X122504200Y-99568000D01* +X123875800Y-99568000D01* +X123875800Y-100457000D01* +X123877264Y-100471866D01* +X123881600Y-100486160D01* +X123888642Y-100499334D01* +X123898118Y-100510882D01* +X123909666Y-100520358D01* +X123922840Y-100527400D01* +X123937134Y-100531736D01* +X123952000Y-100533200D01* +X124968000Y-100533200D01* +X124982866Y-100531736D01* +X124997160Y-100527400D01* +X125010334Y-100520358D01* +X125021882Y-100510882D01* +X125031358Y-100499334D01* +X125038400Y-100486160D01* +X125042736Y-100471866D01* +X125044200Y-100457000D01* +X125044200Y-99568000D01* +X126415800Y-99568000D01* +X126415800Y-100457000D01* +X126417264Y-100471866D01* +X126421600Y-100486160D01* +X126428642Y-100499334D01* +X126438118Y-100510882D01* +X126449666Y-100520358D01* +X126462840Y-100527400D01* +X126477134Y-100531736D01* +X126492000Y-100533200D01* +X127508000Y-100533200D01* +X127522866Y-100531736D01* +X127537160Y-100527400D01* +X127550334Y-100520358D01* +X127561882Y-100510882D01* +X127571358Y-100499334D01* +X127578400Y-100486160D01* +X127582736Y-100471866D01* +X127584200Y-100457000D01* +X127584200Y-99568000D01* +X128955800Y-99568000D01* +X128955800Y-100457000D01* +X128957264Y-100471866D01* +X128961600Y-100486160D01* +X128968642Y-100499334D01* +X128978118Y-100510882D01* +X128989666Y-100520358D01* +X129002840Y-100527400D01* +X129017134Y-100531736D01* +X129032000Y-100533200D01* +X130048000Y-100533200D01* +X130062866Y-100531736D01* +X130077160Y-100527400D01* +X130090334Y-100520358D01* +X130101882Y-100510882D01* +X130111358Y-100499334D01* +X130118400Y-100486160D01* +X130122736Y-100471866D01* +X130124200Y-100457000D01* +X130124200Y-99568000D01* +X131495800Y-99568000D01* +X131495800Y-100457000D01* +X131497264Y-100471866D01* +X131501600Y-100486160D01* +X131508642Y-100499334D01* +X131518118Y-100510882D01* +X131529666Y-100520358D01* +X131542840Y-100527400D01* +X131557134Y-100531736D01* +X131572000Y-100533200D01* +X132588000Y-100533200D01* +X132602866Y-100531736D01* +X132617160Y-100527400D01* +X132630334Y-100520358D01* +X132641882Y-100510882D01* +X132651358Y-100499334D01* +X132658400Y-100486160D01* +X132662736Y-100471866D01* +X132664200Y-100457000D01* +X132664200Y-99568000D01* +X134035800Y-99568000D01* +X134035800Y-100457000D01* +X134037264Y-100471866D01* +X134041600Y-100486160D01* +X134048642Y-100499334D01* +X134058118Y-100510882D01* +X134069666Y-100520358D01* +X134082840Y-100527400D01* +X134097134Y-100531736D01* +X134112000Y-100533200D01* +X135128000Y-100533200D01* +X135142866Y-100531736D01* +X135157160Y-100527400D01* +X135170334Y-100520358D01* +X135181882Y-100510882D01* +X135191358Y-100499334D01* +X135198400Y-100486160D01* +X135202736Y-100471866D01* +X135204200Y-100457000D01* +X135204200Y-99568000D01* +X139115800Y-99568000D01* +X139115800Y-100457000D01* +X139117264Y-100471866D01* +X139121600Y-100486160D01* +X139128642Y-100499334D01* +X139138118Y-100510882D01* +X139149666Y-100520358D01* +X139162840Y-100527400D01* +X139177134Y-100531736D01* +X139192000Y-100533200D01* +X140208000Y-100533200D01* +X140222866Y-100531736D01* +X140237160Y-100527400D01* +X140250334Y-100520358D01* +X140261882Y-100510882D01* +X140271358Y-100499334D01* +X140278400Y-100486160D01* +X140282736Y-100471866D01* +X140284200Y-100457000D01* +X140284200Y-99568000D01* +X141655800Y-99568000D01* +X141655800Y-100457000D01* +X141657264Y-100471866D01* +X141661600Y-100486160D01* +X141668642Y-100499334D01* +X141678118Y-100510882D01* +X141689666Y-100520358D01* +X141702840Y-100527400D01* +X141717134Y-100531736D01* +X141732000Y-100533200D01* +X142748000Y-100533200D01* +X142762866Y-100531736D01* +X142777160Y-100527400D01* +X142790334Y-100520358D01* +X142801882Y-100510882D01* +X142811358Y-100499334D01* +X142818400Y-100486160D01* +X142822736Y-100471866D01* +X142824200Y-100457000D01* +X142824200Y-99568000D01* +X144195800Y-99568000D01* +X144195800Y-100457000D01* +X144197264Y-100471866D01* +X144201600Y-100486160D01* +X144208642Y-100499334D01* +X144218118Y-100510882D01* +X144229666Y-100520358D01* +X144242840Y-100527400D01* +X144257134Y-100531736D01* +X144272000Y-100533200D01* +X145288000Y-100533200D01* +X145302866Y-100531736D01* +X145317160Y-100527400D01* +X145330334Y-100520358D01* +X145341882Y-100510882D01* +X145351358Y-100499334D01* +X145358400Y-100486160D01* +X145362736Y-100471866D01* +X145364200Y-100457000D01* +X145364200Y-99568000D01* +X146735800Y-99568000D01* +X146735800Y-100457000D01* +X146737264Y-100471866D01* +X146741600Y-100486160D01* +X146748642Y-100499334D01* +X146758118Y-100510882D01* +X146769666Y-100520358D01* +X146782840Y-100527400D01* +X146797134Y-100531736D01* +X146812000Y-100533200D01* +X147828000Y-100533200D01* +X147842866Y-100531736D01* +X147857160Y-100527400D01* +X147870334Y-100520358D01* +X147881882Y-100510882D01* +X147891358Y-100499334D01* +X147898400Y-100486160D01* +X147902736Y-100471866D01* +X147904200Y-100457000D01* +X147904200Y-99568000D01* +X149275800Y-99568000D01* +X149275800Y-100457000D01* +X149277264Y-100471866D01* +X149281600Y-100486160D01* +X149288642Y-100499334D01* +X149298118Y-100510882D01* +X149309666Y-100520358D01* +X149322840Y-100527400D01* +X149337134Y-100531736D01* +X149352000Y-100533200D01* +X150368000Y-100533200D01* +X150382866Y-100531736D01* +X150397160Y-100527400D01* +X150410334Y-100520358D01* +X150421882Y-100510882D01* +X150431358Y-100499334D01* +X150438400Y-100486160D01* +X150442736Y-100471866D01* +X150444200Y-100457000D01* +X150444200Y-99568000D01* +X151815800Y-99568000D01* +X151815800Y-100457000D01* +X151817264Y-100471866D01* +X151821600Y-100486160D01* +X151828642Y-100499334D01* +X151838118Y-100510882D01* +X151849666Y-100520358D01* +X151862840Y-100527400D01* +X151877134Y-100531736D01* +X151892000Y-100533200D01* +X152908000Y-100533200D01* +X152922866Y-100531736D01* +X152937160Y-100527400D01* +X152950334Y-100520358D01* +X152961882Y-100510882D01* +X152971358Y-100499334D01* +X152978400Y-100486160D01* +X152982736Y-100471866D01* +X152984200Y-100457000D01* +X152984200Y-99568000D01* +X154355800Y-99568000D01* +X154355800Y-100457000D01* +X154357264Y-100471866D01* +X154361600Y-100486160D01* +X154368642Y-100499334D01* +X154378118Y-100510882D01* +X154389666Y-100520358D01* +X154402840Y-100527400D01* +X154417134Y-100531736D01* +X154432000Y-100533200D01* +X155448000Y-100533200D01* +X155462866Y-100531736D01* +X155477160Y-100527400D01* +X155490334Y-100520358D01* +X155501882Y-100510882D01* +X155511358Y-100499334D01* +X155518400Y-100486160D01* +X155522736Y-100471866D01* +X155524200Y-100457000D01* +X155524200Y-99568000D01* +X155522736Y-99553134D01* +X155518400Y-99538840D01* +X155511358Y-99525666D01* +X155501882Y-99514118D01* +X155490334Y-99504642D01* +X155477160Y-99497600D01* +X155462866Y-99493264D01* +X155448000Y-99491800D01* +X154432000Y-99491800D01* +X154417134Y-99493264D01* +X154402840Y-99497600D01* +X154389666Y-99504642D01* +X154378118Y-99514118D01* +X154368642Y-99525666D01* +X154361600Y-99538840D01* +X154357264Y-99553134D01* +X154355800Y-99568000D01* +X152984200Y-99568000D01* +X152982736Y-99553134D01* +X152978400Y-99538840D01* +X152971358Y-99525666D01* +X152961882Y-99514118D01* +X152950334Y-99504642D01* +X152937160Y-99497600D01* +X152922866Y-99493264D01* +X152908000Y-99491800D01* +X151892000Y-99491800D01* +X151877134Y-99493264D01* +X151862840Y-99497600D01* +X151849666Y-99504642D01* +X151838118Y-99514118D01* +X151828642Y-99525666D01* +X151821600Y-99538840D01* +X151817264Y-99553134D01* +X151815800Y-99568000D01* +X150444200Y-99568000D01* +X150442736Y-99553134D01* +X150438400Y-99538840D01* +X150431358Y-99525666D01* +X150421882Y-99514118D01* +X150410334Y-99504642D01* +X150397160Y-99497600D01* +X150382866Y-99493264D01* +X150368000Y-99491800D01* +X149352000Y-99491800D01* +X149337134Y-99493264D01* +X149322840Y-99497600D01* +X149309666Y-99504642D01* +X149298118Y-99514118D01* +X149288642Y-99525666D01* +X149281600Y-99538840D01* +X149277264Y-99553134D01* +X149275800Y-99568000D01* +X147904200Y-99568000D01* +X147902736Y-99553134D01* +X147898400Y-99538840D01* +X147891358Y-99525666D01* +X147881882Y-99514118D01* +X147870334Y-99504642D01* +X147857160Y-99497600D01* +X147842866Y-99493264D01* +X147828000Y-99491800D01* +X146812000Y-99491800D01* +X146797134Y-99493264D01* +X146782840Y-99497600D01* +X146769666Y-99504642D01* +X146758118Y-99514118D01* +X146748642Y-99525666D01* +X146741600Y-99538840D01* +X146737264Y-99553134D01* +X146735800Y-99568000D01* +X145364200Y-99568000D01* +X145362736Y-99553134D01* +X145358400Y-99538840D01* +X145351358Y-99525666D01* +X145341882Y-99514118D01* +X145330334Y-99504642D01* +X145317160Y-99497600D01* +X145302866Y-99493264D01* +X145288000Y-99491800D01* +X144272000Y-99491800D01* +X144257134Y-99493264D01* +X144242840Y-99497600D01* +X144229666Y-99504642D01* +X144218118Y-99514118D01* +X144208642Y-99525666D01* +X144201600Y-99538840D01* +X144197264Y-99553134D01* +X144195800Y-99568000D01* +X142824200Y-99568000D01* +X142822736Y-99553134D01* +X142818400Y-99538840D01* +X142811358Y-99525666D01* +X142801882Y-99514118D01* +X142790334Y-99504642D01* +X142777160Y-99497600D01* +X142762866Y-99493264D01* +X142748000Y-99491800D01* +X141732000Y-99491800D01* +X141717134Y-99493264D01* +X141702840Y-99497600D01* +X141689666Y-99504642D01* +X141678118Y-99514118D01* +X141668642Y-99525666D01* +X141661600Y-99538840D01* +X141657264Y-99553134D01* +X141655800Y-99568000D01* +X140284200Y-99568000D01* +X140282736Y-99553134D01* +X140278400Y-99538840D01* +X140271358Y-99525666D01* +X140261882Y-99514118D01* +X140250334Y-99504642D01* +X140237160Y-99497600D01* +X140222866Y-99493264D01* +X140208000Y-99491800D01* +X139192000Y-99491800D01* +X139177134Y-99493264D01* +X139162840Y-99497600D01* +X139149666Y-99504642D01* +X139138118Y-99514118D01* +X139128642Y-99525666D01* +X139121600Y-99538840D01* +X139117264Y-99553134D01* +X139115800Y-99568000D01* +X135204200Y-99568000D01* +X135202736Y-99553134D01* +X135198400Y-99538840D01* +X135191358Y-99525666D01* +X135181882Y-99514118D01* +X135170334Y-99504642D01* +X135157160Y-99497600D01* +X135142866Y-99493264D01* +X135128000Y-99491800D01* +X134112000Y-99491800D01* +X134097134Y-99493264D01* +X134082840Y-99497600D01* +X134069666Y-99504642D01* +X134058118Y-99514118D01* +X134048642Y-99525666D01* +X134041600Y-99538840D01* +X134037264Y-99553134D01* +X134035800Y-99568000D01* +X132664200Y-99568000D01* +X132662736Y-99553134D01* +X132658400Y-99538840D01* +X132651358Y-99525666D01* +X132641882Y-99514118D01* +X132630334Y-99504642D01* +X132617160Y-99497600D01* +X132602866Y-99493264D01* +X132588000Y-99491800D01* +X131572000Y-99491800D01* +X131557134Y-99493264D01* +X131542840Y-99497600D01* +X131529666Y-99504642D01* +X131518118Y-99514118D01* +X131508642Y-99525666D01* +X131501600Y-99538840D01* +X131497264Y-99553134D01* +X131495800Y-99568000D01* +X130124200Y-99568000D01* +X130122736Y-99553134D01* +X130118400Y-99538840D01* +X130111358Y-99525666D01* +X130101882Y-99514118D01* +X130090334Y-99504642D01* +X130077160Y-99497600D01* +X130062866Y-99493264D01* +X130048000Y-99491800D01* +X129032000Y-99491800D01* +X129017134Y-99493264D01* +X129002840Y-99497600D01* +X128989666Y-99504642D01* +X128978118Y-99514118D01* +X128968642Y-99525666D01* +X128961600Y-99538840D01* +X128957264Y-99553134D01* +X128955800Y-99568000D01* +X127584200Y-99568000D01* +X127582736Y-99553134D01* +X127578400Y-99538840D01* +X127571358Y-99525666D01* +X127561882Y-99514118D01* +X127550334Y-99504642D01* +X127537160Y-99497600D01* +X127522866Y-99493264D01* +X127508000Y-99491800D01* +X126492000Y-99491800D01* +X126477134Y-99493264D01* +X126462840Y-99497600D01* +X126449666Y-99504642D01* +X126438118Y-99514118D01* +X126428642Y-99525666D01* +X126421600Y-99538840D01* +X126417264Y-99553134D01* +X126415800Y-99568000D01* +X125044200Y-99568000D01* +X125042736Y-99553134D01* +X125038400Y-99538840D01* +X125031358Y-99525666D01* +X125021882Y-99514118D01* +X125010334Y-99504642D01* +X124997160Y-99497600D01* +X124982866Y-99493264D01* +X124968000Y-99491800D01* +X123952000Y-99491800D01* +X123937134Y-99493264D01* +X123922840Y-99497600D01* +X123909666Y-99504642D01* +X123898118Y-99514118D01* +X123888642Y-99525666D01* +X123881600Y-99538840D01* +X123877264Y-99553134D01* +X123875800Y-99568000D01* +X122504200Y-99568000D01* +X122502736Y-99553134D01* +X122498400Y-99538840D01* +X122491358Y-99525666D01* +X122481882Y-99514118D01* +X122470334Y-99504642D01* +X122457160Y-99497600D01* +X122442866Y-99493264D01* +X122428000Y-99491800D01* +X121412000Y-99491800D01* +X121397134Y-99493264D01* +X121382840Y-99497600D01* +X121369666Y-99504642D01* +X121358118Y-99514118D01* +X121348642Y-99525666D01* +X121341600Y-99538840D01* +X121337264Y-99553134D01* +X121335800Y-99568000D01* +X119964200Y-99568000D01* +X119962736Y-99553134D01* +X119958400Y-99538840D01* +X119951358Y-99525666D01* +X119941882Y-99514118D01* +X119930334Y-99504642D01* +X119917160Y-99497600D01* +X119902866Y-99493264D01* +X119888000Y-99491800D01* +X118872000Y-99491800D01* +X118857134Y-99493264D01* +X118842840Y-99497600D01* +X118829666Y-99504642D01* +X118818118Y-99514118D01* +X118808642Y-99525666D01* +X118801600Y-99538840D01* +X118797264Y-99553134D01* +X118795800Y-99568000D01* +X117424200Y-99568000D01* +X117422736Y-99553134D01* +X117418400Y-99538840D01* +X117411358Y-99525666D01* +X117401882Y-99514118D01* +X117390334Y-99504642D01* +X117377160Y-99497600D01* +X117362866Y-99493264D01* +X117348000Y-99491800D01* +X116332000Y-99491800D01* +X116317134Y-99493264D01* +X116302840Y-99497600D01* +X116289666Y-99504642D01* +X116278118Y-99514118D01* +X116268642Y-99525666D01* +X116261600Y-99538840D01* +X116257264Y-99553134D01* +X116255800Y-99568000D01* +X114884200Y-99568000D01* +X114882736Y-99553134D01* +X114878400Y-99538840D01* +X114871358Y-99525666D01* +X114861882Y-99514118D01* +X114850334Y-99504642D01* +X114837160Y-99497600D01* +X114822866Y-99493264D01* +X114808000Y-99491800D01* +X113792000Y-99491800D01* +X113777134Y-99493264D01* +X113762840Y-99497600D01* +X113749666Y-99504642D01* +X113738118Y-99514118D01* +X113728642Y-99525666D01* +X113721600Y-99538840D01* +X113717264Y-99553134D01* +X113715800Y-99568000D01* +X112344200Y-99568000D01* +X112342736Y-99553134D01* +X112338400Y-99538840D01* +X112331358Y-99525666D01* +X112321882Y-99514118D01* +X112310334Y-99504642D01* +X112297160Y-99497600D01* +X112282866Y-99493264D01* +X112268000Y-99491800D01* +X111252000Y-99491800D01* +X111237134Y-99493264D01* +X111222840Y-99497600D01* +X111209666Y-99504642D01* +X111198118Y-99514118D01* +X111188642Y-99525666D01* +X111181600Y-99538840D01* +X111177264Y-99553134D01* +X111175800Y-99568000D01* +X109804200Y-99568000D01* +X109802736Y-99553134D01* +X109798400Y-99538840D01* +X109791358Y-99525666D01* +X109781882Y-99514118D01* +X109770334Y-99504642D01* +X109757160Y-99497600D01* +X109742866Y-99493264D01* +X109728000Y-99491800D01* +X108712000Y-99491800D01* +X108697134Y-99493264D01* +X108682840Y-99497600D01* +X108669666Y-99504642D01* +X108658118Y-99514118D01* +X108648642Y-99525666D01* +X108641600Y-99538840D01* +X108637264Y-99553134D01* +X108635800Y-99568000D01* +X107264200Y-99568000D01* +X107262736Y-99553134D01* +X107258400Y-99538840D01* +X107251358Y-99525666D01* +X107241882Y-99514118D01* +X107230334Y-99504642D01* +X107217160Y-99497600D01* +X107202866Y-99493264D01* +X107188000Y-99491800D01* +X106172000Y-99491800D01* +X106157134Y-99493264D01* +X106142840Y-99497600D01* +X106129666Y-99504642D01* +X106118118Y-99514118D01* +X106108642Y-99525666D01* +X106101600Y-99538840D01* +X106097264Y-99553134D01* +X106095800Y-99568000D01* +X102184200Y-99568000D01* +X102182736Y-99553134D01* +X102178400Y-99538840D01* +X102171358Y-99525666D01* +X102161882Y-99514118D01* +X102150334Y-99504642D01* +X102137160Y-99497600D01* +X102122866Y-99493264D01* +X102108000Y-99491800D01* +X101092000Y-99491800D01* +X101077134Y-99493264D01* +X101062840Y-99497600D01* +X101049666Y-99504642D01* +X101038118Y-99514118D01* +X101028642Y-99525666D01* +X101021600Y-99538840D01* +X101017264Y-99553134D01* +X101015800Y-99568000D01* +X99644200Y-99568000D01* +X99642736Y-99553134D01* +X99638400Y-99538840D01* +X99631358Y-99525666D01* +X99621882Y-99514118D01* +X99610334Y-99504642D01* +X99597160Y-99497600D01* +X99582866Y-99493264D01* +X99568000Y-99491800D01* +X98552000Y-99491800D01* +X98537134Y-99493264D01* +X98522840Y-99497600D01* +X98509666Y-99504642D01* +X98498118Y-99514118D01* +X98488642Y-99525666D01* +X98481600Y-99538840D01* +X98477264Y-99553134D01* +X98475800Y-99568000D01* +X97104200Y-99568000D01* +X97102736Y-99553134D01* +X97098400Y-99538840D01* +X97091358Y-99525666D01* +X97081882Y-99514118D01* +X97070334Y-99504642D01* +X97057160Y-99497600D01* +X97042866Y-99493264D01* +X97028000Y-99491800D01* +X96012000Y-99491800D01* +X95997134Y-99493264D01* +X95982840Y-99497600D01* +X95969666Y-99504642D01* +X95958118Y-99514118D01* +X95948642Y-99525666D01* +X95941600Y-99538840D01* +X95937264Y-99553134D01* +X95935800Y-99568000D01* +X94564200Y-99568000D01* +X94562736Y-99553134D01* +X94558400Y-99538840D01* +X94551358Y-99525666D01* +X94541882Y-99514118D01* +X94530334Y-99504642D01* +X94517160Y-99497600D01* +X94502866Y-99493264D01* +X94488000Y-99491800D01* +X93472000Y-99491800D01* +X93457134Y-99493264D01* +X93442840Y-99497600D01* +X93429666Y-99504642D01* +X93418118Y-99514118D01* +X93408642Y-99525666D01* +X93401600Y-99538840D01* +X93397264Y-99553134D01* +X93395800Y-99568000D01* +X92024200Y-99568000D01* +X92022736Y-99553134D01* +X92018400Y-99538840D01* +X92011358Y-99525666D01* +X92001882Y-99514118D01* +X91990334Y-99504642D01* +X91977160Y-99497600D01* +X91962866Y-99493264D01* +X91948000Y-99491800D01* +X90932000Y-99491800D01* +X90917134Y-99493264D01* +X90902840Y-99497600D01* +X90889666Y-99504642D01* +X90878118Y-99514118D01* +X90868642Y-99525666D01* +X90861600Y-99538840D01* +X90857264Y-99553134D01* +X90855800Y-99568000D01* +X89484200Y-99568000D01* +X89482736Y-99553134D01* +X89478400Y-99538840D01* +X89471358Y-99525666D01* +X89461882Y-99514118D01* +X89450334Y-99504642D01* +X89437160Y-99497600D01* +X89422866Y-99493264D01* +X89408000Y-99491800D01* +X88392000Y-99491800D01* +X88377134Y-99493264D01* +X88362840Y-99497600D01* +X88349666Y-99504642D01* +X88338118Y-99514118D01* +X88328642Y-99525666D01* +X88321600Y-99538840D01* +X88317264Y-99553134D01* +X88315800Y-99568000D01* +X86944200Y-99568000D01* +X86942736Y-99553134D01* +X86938400Y-99538840D01* +X86931358Y-99525666D01* +X86921882Y-99514118D01* +X86910334Y-99504642D01* +X86897160Y-99497600D01* +X86882866Y-99493264D01* +X86868000Y-99491800D01* +X85852000Y-99491800D01* +X85837134Y-99493264D01* +X85822840Y-99497600D01* +X85809666Y-99504642D01* +X85798118Y-99514118D01* +X85788642Y-99525666D01* +X85781600Y-99538840D01* +X85777264Y-99553134D01* +X85775800Y-99568000D01* +X78560600Y-99568000D01* +X78560600Y-98744088D01* +X83191400Y-98744088D01* +X83191400Y-98867912D01* +X83215556Y-98989356D01* +X83262941Y-99103754D01* +X83331734Y-99206709D01* +X83419291Y-99294266D01* +X83522246Y-99363059D01* +X83636644Y-99410444D01* +X83758088Y-99434600D01* +X83881912Y-99434600D01* +X84003356Y-99410444D01* +X84117754Y-99363059D01* +X84220709Y-99294266D01* +X84308266Y-99206709D01* +X84377059Y-99103754D01* +X84424444Y-98989356D01* +X84448600Y-98867912D01* +X84448600Y-98744088D01* +X85731400Y-98744088D01* +X85731400Y-98867912D01* +X85755556Y-98989356D01* +X85802941Y-99103754D01* +X85871734Y-99206709D01* +X85959291Y-99294266D01* +X86062246Y-99363059D01* +X86176644Y-99410444D01* +X86298088Y-99434600D01* +X86421912Y-99434600D01* +X86543356Y-99410444D01* +X86657754Y-99363059D01* +X86760709Y-99294266D01* +X86848266Y-99206709D01* +X86917059Y-99103754D01* +X86964444Y-98989356D01* +X86988600Y-98867912D01* +X86988600Y-98744088D01* +X88271400Y-98744088D01* +X88271400Y-98867912D01* +X88295556Y-98989356D01* +X88342941Y-99103754D01* +X88411734Y-99206709D01* +X88499291Y-99294266D01* +X88602246Y-99363059D01* +X88716644Y-99410444D01* +X88838088Y-99434600D01* +X88961912Y-99434600D01* +X89083356Y-99410444D01* +X89197754Y-99363059D01* +X89300709Y-99294266D01* +X89388266Y-99206709D01* +X89457059Y-99103754D01* +X89504444Y-98989356D01* +X89528600Y-98867912D01* +X89528600Y-98744088D01* +X90811400Y-98744088D01* +X90811400Y-98867912D01* +X90835556Y-98989356D01* +X90882941Y-99103754D01* +X90951734Y-99206709D01* +X91039291Y-99294266D01* +X91142246Y-99363059D01* +X91256644Y-99410444D01* +X91378088Y-99434600D01* +X91501912Y-99434600D01* +X91623356Y-99410444D01* +X91737754Y-99363059D01* +X91840709Y-99294266D01* +X91928266Y-99206709D01* +X91997059Y-99103754D01* +X92044444Y-98989356D01* +X92068600Y-98867912D01* +X92068600Y-98744088D01* +X93351400Y-98744088D01* +X93351400Y-98867912D01* +X93375556Y-98989356D01* +X93422941Y-99103754D01* +X93491734Y-99206709D01* +X93579291Y-99294266D01* +X93682246Y-99363059D01* +X93796644Y-99410444D01* +X93918088Y-99434600D01* +X94041912Y-99434600D01* +X94163356Y-99410444D01* +X94277754Y-99363059D01* +X94380709Y-99294266D01* +X94468266Y-99206709D01* +X94537059Y-99103754D01* +X94584444Y-98989356D01* +X94608600Y-98867912D01* +X94608600Y-98744088D01* +X95891400Y-98744088D01* +X95891400Y-98867912D01* +X95915556Y-98989356D01* +X95962941Y-99103754D01* +X96031734Y-99206709D01* +X96119291Y-99294266D01* +X96222246Y-99363059D01* +X96336644Y-99410444D01* +X96458088Y-99434600D01* +X96581912Y-99434600D01* +X96703356Y-99410444D01* +X96817754Y-99363059D01* +X96920709Y-99294266D01* +X97008266Y-99206709D01* +X97077059Y-99103754D01* +X97124444Y-98989356D01* +X97148600Y-98867912D01* +X97148600Y-98744088D01* +X98431400Y-98744088D01* +X98431400Y-98867912D01* +X98455556Y-98989356D01* +X98502941Y-99103754D01* +X98571734Y-99206709D01* +X98659291Y-99294266D01* +X98762246Y-99363059D01* +X98876644Y-99410444D01* +X98998088Y-99434600D01* +X99121912Y-99434600D01* +X99243356Y-99410444D01* +X99357754Y-99363059D01* +X99460709Y-99294266D01* +X99548266Y-99206709D01* +X99617059Y-99103754D01* +X99664444Y-98989356D01* +X99688600Y-98867912D01* +X99688600Y-98744088D01* +X100971400Y-98744088D01* +X100971400Y-98867912D01* +X100995556Y-98989356D01* +X101042941Y-99103754D01* +X101111734Y-99206709D01* +X101199291Y-99294266D01* +X101302246Y-99363059D01* +X101416644Y-99410444D01* +X101538088Y-99434600D01* +X101661912Y-99434600D01* +X101783356Y-99410444D01* +X101897754Y-99363059D01* +X102000709Y-99294266D01* +X102033929Y-99261046D01* +X103789323Y-99261046D01* +X103830978Y-99356888D01* +X103944389Y-99406590D01* +X104065317Y-99433211D01* +X104189115Y-99435729D01* +X104311025Y-99414047D01* +X104426363Y-99368998D01* +X104449022Y-99356888D01* +X104490677Y-99261046D01* +X104140000Y-98910369D01* +X103789323Y-99261046D01* +X102033929Y-99261046D01* +X102088266Y-99206709D01* +X102157059Y-99103754D01* +X102204444Y-98989356D01* +X102228600Y-98867912D01* +X102228600Y-98855115D01* +X103510271Y-98855115D01* +X103531953Y-98977025D01* +X103577002Y-99092363D01* +X103589112Y-99115022D01* +X103684954Y-99156677D01* +X104035631Y-98806000D01* +X104244369Y-98806000D01* +X104595046Y-99156677D01* +X104690888Y-99115022D01* +X104740590Y-99001611D01* +X104767211Y-98880683D01* +X104769729Y-98756885D01* +X104767454Y-98744088D01* +X106051400Y-98744088D01* +X106051400Y-98867912D01* +X106075556Y-98989356D01* +X106122941Y-99103754D01* +X106191734Y-99206709D01* +X106279291Y-99294266D01* +X106382246Y-99363059D01* +X106496644Y-99410444D01* +X106618088Y-99434600D01* +X106741912Y-99434600D01* +X106863356Y-99410444D01* +X106977754Y-99363059D01* +X107080709Y-99294266D01* +X107168266Y-99206709D01* +X107237059Y-99103754D01* +X107284444Y-98989356D01* +X107308600Y-98867912D01* +X107308600Y-98744088D01* +X108591400Y-98744088D01* +X108591400Y-98867912D01* +X108615556Y-98989356D01* +X108662941Y-99103754D01* +X108731734Y-99206709D01* +X108819291Y-99294266D01* +X108922246Y-99363059D01* +X109036644Y-99410444D01* +X109158088Y-99434600D01* +X109281912Y-99434600D01* +X109403356Y-99410444D01* +X109517754Y-99363059D01* +X109620709Y-99294266D01* +X109708266Y-99206709D01* +X109777059Y-99103754D01* +X109824444Y-98989356D01* +X109848600Y-98867912D01* +X109848600Y-98744088D01* +X111131400Y-98744088D01* +X111131400Y-98867912D01* +X111155556Y-98989356D01* +X111202941Y-99103754D01* +X111271734Y-99206709D01* +X111359291Y-99294266D01* +X111462246Y-99363059D01* +X111576644Y-99410444D01* +X111698088Y-99434600D01* +X111821912Y-99434600D01* +X111943356Y-99410444D01* +X112057754Y-99363059D01* +X112160709Y-99294266D01* +X112248266Y-99206709D01* +X112317059Y-99103754D01* +X112364444Y-98989356D01* +X112388600Y-98867912D01* +X112388600Y-98744088D01* +X113671400Y-98744088D01* +X113671400Y-98867912D01* +X113695556Y-98989356D01* +X113742941Y-99103754D01* +X113811734Y-99206709D01* +X113899291Y-99294266D01* +X114002246Y-99363059D01* +X114116644Y-99410444D01* +X114238088Y-99434600D01* +X114361912Y-99434600D01* +X114483356Y-99410444D01* +X114597754Y-99363059D01* +X114700709Y-99294266D01* +X114788266Y-99206709D01* +X114857059Y-99103754D01* +X114904444Y-98989356D01* +X114928600Y-98867912D01* +X114928600Y-98744088D01* +X116211400Y-98744088D01* +X116211400Y-98867912D01* +X116235556Y-98989356D01* +X116282941Y-99103754D01* +X116351734Y-99206709D01* +X116439291Y-99294266D01* +X116542246Y-99363059D01* +X116656644Y-99410444D01* +X116778088Y-99434600D01* +X116901912Y-99434600D01* +X117023356Y-99410444D01* +X117137754Y-99363059D01* +X117240709Y-99294266D01* +X117328266Y-99206709D01* +X117397059Y-99103754D01* +X117444444Y-98989356D01* +X117468600Y-98867912D01* +X117468600Y-98744088D01* +X118751400Y-98744088D01* +X118751400Y-98867912D01* +X118775556Y-98989356D01* +X118822941Y-99103754D01* +X118891734Y-99206709D01* +X118979291Y-99294266D01* +X119082246Y-99363059D01* +X119196644Y-99410444D01* +X119318088Y-99434600D01* +X119441912Y-99434600D01* +X119563356Y-99410444D01* +X119677754Y-99363059D01* +X119780709Y-99294266D01* +X119868266Y-99206709D01* +X119937059Y-99103754D01* +X119984444Y-98989356D01* +X120008600Y-98867912D01* +X120008600Y-98744088D01* +X121291400Y-98744088D01* +X121291400Y-98867912D01* +X121315556Y-98989356D01* +X121362941Y-99103754D01* +X121431734Y-99206709D01* +X121519291Y-99294266D01* +X121622246Y-99363059D01* +X121736644Y-99410444D01* +X121858088Y-99434600D01* +X121981912Y-99434600D01* +X122103356Y-99410444D01* +X122217754Y-99363059D01* +X122320709Y-99294266D01* +X122408266Y-99206709D01* +X122477059Y-99103754D01* +X122524444Y-98989356D01* +X122548600Y-98867912D01* +X122548600Y-98744088D01* +X123831400Y-98744088D01* +X123831400Y-98867912D01* +X123855556Y-98989356D01* +X123902941Y-99103754D01* +X123971734Y-99206709D01* +X124059291Y-99294266D01* +X124162246Y-99363059D01* +X124276644Y-99410444D01* +X124398088Y-99434600D01* +X124521912Y-99434600D01* +X124643356Y-99410444D01* +X124757754Y-99363059D01* +X124860709Y-99294266D01* +X124948266Y-99206709D01* +X125017059Y-99103754D01* +X125064444Y-98989356D01* +X125088600Y-98867912D01* +X125088600Y-98744088D01* +X126371400Y-98744088D01* +X126371400Y-98867912D01* +X126395556Y-98989356D01* +X126442941Y-99103754D01* +X126511734Y-99206709D01* +X126599291Y-99294266D01* +X126702246Y-99363059D01* +X126816644Y-99410444D01* +X126938088Y-99434600D01* +X127061912Y-99434600D01* +X127183356Y-99410444D01* +X127297754Y-99363059D01* +X127400709Y-99294266D01* +X127488266Y-99206709D01* +X127557059Y-99103754D01* +X127604444Y-98989356D01* +X127628600Y-98867912D01* +X127628600Y-98744088D01* +X128911400Y-98744088D01* +X128911400Y-98867912D01* +X128935556Y-98989356D01* +X128982941Y-99103754D01* +X129051734Y-99206709D01* +X129139291Y-99294266D01* +X129242246Y-99363059D01* +X129356644Y-99410444D01* +X129478088Y-99434600D01* +X129601912Y-99434600D01* +X129723356Y-99410444D01* +X129837754Y-99363059D01* +X129940709Y-99294266D01* +X130028266Y-99206709D01* +X130097059Y-99103754D01* +X130144444Y-98989356D01* +X130168600Y-98867912D01* +X130168600Y-98744088D01* +X131451400Y-98744088D01* +X131451400Y-98867912D01* +X131475556Y-98989356D01* +X131522941Y-99103754D01* +X131591734Y-99206709D01* +X131679291Y-99294266D01* +X131782246Y-99363059D01* +X131896644Y-99410444D01* +X132018088Y-99434600D01* +X132141912Y-99434600D01* +X132263356Y-99410444D01* +X132377754Y-99363059D01* +X132480709Y-99294266D01* +X132568266Y-99206709D01* +X132637059Y-99103754D01* +X132684444Y-98989356D01* +X132708600Y-98867912D01* +X132708600Y-98744088D01* +X133991400Y-98744088D01* +X133991400Y-98867912D01* +X134015556Y-98989356D01* +X134062941Y-99103754D01* +X134131734Y-99206709D01* +X134219291Y-99294266D01* +X134322246Y-99363059D01* +X134436644Y-99410444D01* +X134558088Y-99434600D01* +X134681912Y-99434600D01* +X134803356Y-99410444D01* +X134917754Y-99363059D01* +X135020709Y-99294266D01* +X135053929Y-99261046D01* +X136809323Y-99261046D01* +X136850978Y-99356888D01* +X136964389Y-99406590D01* +X137085317Y-99433211D01* +X137209115Y-99435729D01* +X137331025Y-99414047D01* +X137446363Y-99368998D01* +X137469022Y-99356888D01* +X137510677Y-99261046D01* +X137160000Y-98910369D01* +X136809323Y-99261046D01* +X135053929Y-99261046D01* +X135108266Y-99206709D01* +X135177059Y-99103754D01* +X135224444Y-98989356D01* +X135248600Y-98867912D01* +X135248600Y-98855115D01* +X136530271Y-98855115D01* +X136551953Y-98977025D01* +X136597002Y-99092363D01* +X136609112Y-99115022D01* +X136704954Y-99156677D01* +X137055631Y-98806000D01* +X137264369Y-98806000D01* +X137615046Y-99156677D01* +X137710888Y-99115022D01* +X137760590Y-99001611D01* +X137787211Y-98880683D01* +X137789729Y-98756885D01* +X137787454Y-98744088D01* +X139071400Y-98744088D01* +X139071400Y-98867912D01* +X139095556Y-98989356D01* +X139142941Y-99103754D01* +X139211734Y-99206709D01* +X139299291Y-99294266D01* +X139402246Y-99363059D01* +X139516644Y-99410444D01* +X139638088Y-99434600D01* +X139761912Y-99434600D01* +X139883356Y-99410444D01* +X139997754Y-99363059D01* +X140100709Y-99294266D01* +X140188266Y-99206709D01* +X140257059Y-99103754D01* +X140304444Y-98989356D01* +X140328600Y-98867912D01* +X140328600Y-98744088D01* +X141611400Y-98744088D01* +X141611400Y-98867912D01* +X141635556Y-98989356D01* +X141682941Y-99103754D01* +X141751734Y-99206709D01* +X141839291Y-99294266D01* +X141942246Y-99363059D01* +X142056644Y-99410444D01* +X142178088Y-99434600D01* +X142301912Y-99434600D01* +X142423356Y-99410444D01* +X142537754Y-99363059D01* +X142640709Y-99294266D01* +X142728266Y-99206709D01* +X142797059Y-99103754D01* +X142844444Y-98989356D01* +X142868600Y-98867912D01* +X142868600Y-98744088D01* +X144151400Y-98744088D01* +X144151400Y-98867912D01* +X144175556Y-98989356D01* +X144222941Y-99103754D01* +X144291734Y-99206709D01* +X144379291Y-99294266D01* +X144482246Y-99363059D01* +X144596644Y-99410444D01* +X144718088Y-99434600D01* +X144841912Y-99434600D01* +X144963356Y-99410444D01* +X145077754Y-99363059D01* +X145180709Y-99294266D01* +X145268266Y-99206709D01* +X145337059Y-99103754D01* +X145384444Y-98989356D01* +X145408600Y-98867912D01* +X145408600Y-98744088D01* +X146691400Y-98744088D01* +X146691400Y-98867912D01* +X146715556Y-98989356D01* +X146762941Y-99103754D01* +X146831734Y-99206709D01* +X146919291Y-99294266D01* +X147022246Y-99363059D01* +X147136644Y-99410444D01* +X147258088Y-99434600D01* +X147381912Y-99434600D01* +X147503356Y-99410444D01* +X147617754Y-99363059D01* +X147720709Y-99294266D01* +X147808266Y-99206709D01* +X147877059Y-99103754D01* +X147924444Y-98989356D01* +X147948600Y-98867912D01* +X147948600Y-98744088D01* +X149231400Y-98744088D01* +X149231400Y-98867912D01* +X149255556Y-98989356D01* +X149302941Y-99103754D01* +X149371734Y-99206709D01* +X149459291Y-99294266D01* +X149562246Y-99363059D01* +X149676644Y-99410444D01* +X149798088Y-99434600D01* +X149921912Y-99434600D01* +X150043356Y-99410444D01* +X150157754Y-99363059D01* +X150260709Y-99294266D01* +X150348266Y-99206709D01* +X150417059Y-99103754D01* +X150464444Y-98989356D01* +X150488600Y-98867912D01* +X150488600Y-98744088D01* +X151771400Y-98744088D01* +X151771400Y-98867912D01* +X151795556Y-98989356D01* +X151842941Y-99103754D01* +X151911734Y-99206709D01* +X151999291Y-99294266D01* +X152102246Y-99363059D01* +X152216644Y-99410444D01* +X152338088Y-99434600D01* +X152461912Y-99434600D01* +X152583356Y-99410444D01* +X152697754Y-99363059D01* +X152800709Y-99294266D01* +X152888266Y-99206709D01* +X152957059Y-99103754D01* +X153004444Y-98989356D01* +X153028600Y-98867912D01* +X153028600Y-98744088D01* +X154311400Y-98744088D01* +X154311400Y-98867912D01* +X154335556Y-98989356D01* +X154382941Y-99103754D01* +X154451734Y-99206709D01* +X154539291Y-99294266D01* +X154642246Y-99363059D01* +X154756644Y-99410444D01* +X154878088Y-99434600D01* +X155001912Y-99434600D01* +X155123356Y-99410444D01* +X155237754Y-99363059D01* +X155340709Y-99294266D01* +X155428266Y-99206709D01* +X155497059Y-99103754D01* +X155544444Y-98989356D01* +X155568600Y-98867912D01* +X155568600Y-98744088D01* +X156851400Y-98744088D01* +X156851400Y-98867912D01* +X156875556Y-98989356D01* +X156922941Y-99103754D01* +X156991734Y-99206709D01* +X157079291Y-99294266D01* +X157182246Y-99363059D01* +X157296644Y-99410444D01* +X157418088Y-99434600D01* +X157541912Y-99434600D01* +X157663356Y-99410444D01* +X157777754Y-99363059D01* +X157880709Y-99294266D01* +X157968266Y-99206709D01* +X158037059Y-99103754D01* +X158084444Y-98989356D01* +X158108600Y-98867912D01* +X158108600Y-98744088D01* +X158084444Y-98622644D01* +X158037059Y-98508246D01* +X157968266Y-98405291D01* +X157880709Y-98317734D01* +X157777754Y-98248941D01* +X157663356Y-98201556D01* +X157541912Y-98177400D01* +X157418088Y-98177400D01* +X157296644Y-98201556D01* +X157182246Y-98248941D01* +X157079291Y-98317734D01* +X156991734Y-98405291D01* +X156922941Y-98508246D01* +X156875556Y-98622644D01* +X156851400Y-98744088D01* +X155568600Y-98744088D01* +X155544444Y-98622644D01* +X155497059Y-98508246D01* +X155428266Y-98405291D01* +X155340709Y-98317734D01* +X155237754Y-98248941D01* +X155123356Y-98201556D01* +X155001912Y-98177400D01* +X154878088Y-98177400D01* +X154756644Y-98201556D01* +X154642246Y-98248941D01* +X154539291Y-98317734D01* +X154451734Y-98405291D01* +X154382941Y-98508246D01* +X154335556Y-98622644D01* +X154311400Y-98744088D01* +X153028600Y-98744088D01* +X153004444Y-98622644D01* +X152957059Y-98508246D01* +X152888266Y-98405291D01* +X152800709Y-98317734D01* +X152697754Y-98248941D01* +X152583356Y-98201556D01* +X152461912Y-98177400D01* +X152338088Y-98177400D01* +X152216644Y-98201556D01* +X152102246Y-98248941D01* +X151999291Y-98317734D01* +X151911734Y-98405291D01* +X151842941Y-98508246D01* +X151795556Y-98622644D01* +X151771400Y-98744088D01* +X150488600Y-98744088D01* +X150464444Y-98622644D01* +X150417059Y-98508246D01* +X150348266Y-98405291D01* +X150260709Y-98317734D01* +X150157754Y-98248941D01* +X150043356Y-98201556D01* +X149921912Y-98177400D01* +X149798088Y-98177400D01* +X149676644Y-98201556D01* +X149562246Y-98248941D01* +X149459291Y-98317734D01* +X149371734Y-98405291D01* +X149302941Y-98508246D01* +X149255556Y-98622644D01* +X149231400Y-98744088D01* +X147948600Y-98744088D01* +X147924444Y-98622644D01* +X147877059Y-98508246D01* +X147808266Y-98405291D01* +X147720709Y-98317734D01* +X147617754Y-98248941D01* +X147503356Y-98201556D01* +X147381912Y-98177400D01* +X147258088Y-98177400D01* +X147136644Y-98201556D01* +X147022246Y-98248941D01* +X146919291Y-98317734D01* +X146831734Y-98405291D01* +X146762941Y-98508246D01* +X146715556Y-98622644D01* +X146691400Y-98744088D01* +X145408600Y-98744088D01* +X145384444Y-98622644D01* +X145337059Y-98508246D01* +X145268266Y-98405291D01* +X145180709Y-98317734D01* +X145077754Y-98248941D01* +X144963356Y-98201556D01* +X144841912Y-98177400D01* +X144718088Y-98177400D01* +X144596644Y-98201556D01* +X144482246Y-98248941D01* +X144379291Y-98317734D01* +X144291734Y-98405291D01* +X144222941Y-98508246D01* +X144175556Y-98622644D01* +X144151400Y-98744088D01* +X142868600Y-98744088D01* +X142844444Y-98622644D01* +X142797059Y-98508246D01* +X142728266Y-98405291D01* +X142640709Y-98317734D01* +X142537754Y-98248941D01* +X142423356Y-98201556D01* +X142301912Y-98177400D01* +X142178088Y-98177400D01* +X142056644Y-98201556D01* +X141942246Y-98248941D01* +X141839291Y-98317734D01* +X141751734Y-98405291D01* +X141682941Y-98508246D01* +X141635556Y-98622644D01* +X141611400Y-98744088D01* +X140328600Y-98744088D01* +X140304444Y-98622644D01* +X140257059Y-98508246D01* +X140188266Y-98405291D01* +X140100709Y-98317734D01* +X139997754Y-98248941D01* +X139883356Y-98201556D01* +X139761912Y-98177400D01* +X139638088Y-98177400D01* +X139516644Y-98201556D01* +X139402246Y-98248941D01* +X139299291Y-98317734D01* +X139211734Y-98405291D01* +X139142941Y-98508246D01* +X139095556Y-98622644D01* +X139071400Y-98744088D01* +X137787454Y-98744088D01* +X137768047Y-98634975D01* +X137722998Y-98519637D01* +X137710888Y-98496978D01* +X137615046Y-98455323D01* +X137264369Y-98806000D01* +X137055631Y-98806000D01* +X136704954Y-98455323D01* +X136609112Y-98496978D01* +X136559410Y-98610389D01* +X136532789Y-98731317D01* +X136530271Y-98855115D01* +X135248600Y-98855115D01* +X135248600Y-98744088D01* +X135224444Y-98622644D01* +X135177059Y-98508246D01* +X135108266Y-98405291D01* +X135053929Y-98350954D01* +X136809323Y-98350954D01* +X137160000Y-98701631D01* +X137510677Y-98350954D01* +X137469022Y-98255112D01* +X137355611Y-98205410D01* +X137234683Y-98178789D01* +X137110885Y-98176271D01* +X136988975Y-98197953D01* +X136873637Y-98243002D01* +X136850978Y-98255112D01* +X136809323Y-98350954D01* +X135053929Y-98350954D01* +X135020709Y-98317734D01* +X134917754Y-98248941D01* +X134803356Y-98201556D01* +X134681912Y-98177400D01* +X134558088Y-98177400D01* +X134436644Y-98201556D01* +X134322246Y-98248941D01* +X134219291Y-98317734D01* +X134131734Y-98405291D01* +X134062941Y-98508246D01* +X134015556Y-98622644D01* +X133991400Y-98744088D01* +X132708600Y-98744088D01* +X132684444Y-98622644D01* +X132637059Y-98508246D01* +X132568266Y-98405291D01* +X132480709Y-98317734D01* +X132377754Y-98248941D01* +X132263356Y-98201556D01* +X132141912Y-98177400D01* +X132018088Y-98177400D01* +X131896644Y-98201556D01* +X131782246Y-98248941D01* +X131679291Y-98317734D01* +X131591734Y-98405291D01* +X131522941Y-98508246D01* +X131475556Y-98622644D01* +X131451400Y-98744088D01* +X130168600Y-98744088D01* +X130144444Y-98622644D01* +X130097059Y-98508246D01* +X130028266Y-98405291D01* +X129940709Y-98317734D01* +X129837754Y-98248941D01* +X129723356Y-98201556D01* +X129601912Y-98177400D01* +X129478088Y-98177400D01* +X129356644Y-98201556D01* +X129242246Y-98248941D01* +X129139291Y-98317734D01* +X129051734Y-98405291D01* +X128982941Y-98508246D01* +X128935556Y-98622644D01* +X128911400Y-98744088D01* +X127628600Y-98744088D01* +X127604444Y-98622644D01* +X127557059Y-98508246D01* +X127488266Y-98405291D01* +X127400709Y-98317734D01* +X127297754Y-98248941D01* +X127183356Y-98201556D01* +X127061912Y-98177400D01* +X126938088Y-98177400D01* +X126816644Y-98201556D01* +X126702246Y-98248941D01* +X126599291Y-98317734D01* +X126511734Y-98405291D01* +X126442941Y-98508246D01* +X126395556Y-98622644D01* +X126371400Y-98744088D01* +X125088600Y-98744088D01* +X125064444Y-98622644D01* +X125017059Y-98508246D01* +X124948266Y-98405291D01* +X124860709Y-98317734D01* +X124757754Y-98248941D01* +X124643356Y-98201556D01* +X124521912Y-98177400D01* +X124398088Y-98177400D01* +X124276644Y-98201556D01* +X124162246Y-98248941D01* +X124059291Y-98317734D01* +X123971734Y-98405291D01* +X123902941Y-98508246D01* +X123855556Y-98622644D01* +X123831400Y-98744088D01* +X122548600Y-98744088D01* +X122524444Y-98622644D01* +X122477059Y-98508246D01* +X122408266Y-98405291D01* +X122320709Y-98317734D01* +X122217754Y-98248941D01* +X122103356Y-98201556D01* +X121981912Y-98177400D01* +X121858088Y-98177400D01* +X121736644Y-98201556D01* +X121622246Y-98248941D01* +X121519291Y-98317734D01* +X121431734Y-98405291D01* +X121362941Y-98508246D01* +X121315556Y-98622644D01* +X121291400Y-98744088D01* +X120008600Y-98744088D01* +X119984444Y-98622644D01* +X119937059Y-98508246D01* +X119868266Y-98405291D01* +X119780709Y-98317734D01* +X119677754Y-98248941D01* +X119563356Y-98201556D01* +X119441912Y-98177400D01* +X119318088Y-98177400D01* +X119196644Y-98201556D01* +X119082246Y-98248941D01* +X118979291Y-98317734D01* +X118891734Y-98405291D01* +X118822941Y-98508246D01* +X118775556Y-98622644D01* +X118751400Y-98744088D01* +X117468600Y-98744088D01* +X117444444Y-98622644D01* +X117397059Y-98508246D01* +X117328266Y-98405291D01* +X117240709Y-98317734D01* +X117137754Y-98248941D01* +X117023356Y-98201556D01* +X116901912Y-98177400D01* +X116778088Y-98177400D01* +X116656644Y-98201556D01* +X116542246Y-98248941D01* +X116439291Y-98317734D01* +X116351734Y-98405291D01* +X116282941Y-98508246D01* +X116235556Y-98622644D01* +X116211400Y-98744088D01* +X114928600Y-98744088D01* +X114904444Y-98622644D01* +X114857059Y-98508246D01* +X114788266Y-98405291D01* +X114700709Y-98317734D01* +X114597754Y-98248941D01* +X114483356Y-98201556D01* +X114361912Y-98177400D01* +X114238088Y-98177400D01* +X114116644Y-98201556D01* +X114002246Y-98248941D01* +X113899291Y-98317734D01* +X113811734Y-98405291D01* +X113742941Y-98508246D01* +X113695556Y-98622644D01* +X113671400Y-98744088D01* +X112388600Y-98744088D01* +X112364444Y-98622644D01* +X112317059Y-98508246D01* +X112248266Y-98405291D01* +X112160709Y-98317734D01* +X112057754Y-98248941D01* +X111943356Y-98201556D01* +X111821912Y-98177400D01* +X111698088Y-98177400D01* +X111576644Y-98201556D01* +X111462246Y-98248941D01* +X111359291Y-98317734D01* +X111271734Y-98405291D01* +X111202941Y-98508246D01* +X111155556Y-98622644D01* +X111131400Y-98744088D01* +X109848600Y-98744088D01* +X109824444Y-98622644D01* +X109777059Y-98508246D01* +X109708266Y-98405291D01* +X109620709Y-98317734D01* +X109517754Y-98248941D01* +X109403356Y-98201556D01* +X109281912Y-98177400D01* +X109158088Y-98177400D01* +X109036644Y-98201556D01* +X108922246Y-98248941D01* +X108819291Y-98317734D01* +X108731734Y-98405291D01* +X108662941Y-98508246D01* +X108615556Y-98622644D01* +X108591400Y-98744088D01* +X107308600Y-98744088D01* +X107284444Y-98622644D01* +X107237059Y-98508246D01* +X107168266Y-98405291D01* +X107080709Y-98317734D01* +X106977754Y-98248941D01* +X106863356Y-98201556D01* +X106741912Y-98177400D01* +X106618088Y-98177400D01* +X106496644Y-98201556D01* +X106382246Y-98248941D01* +X106279291Y-98317734D01* +X106191734Y-98405291D01* +X106122941Y-98508246D01* +X106075556Y-98622644D01* +X106051400Y-98744088D01* +X104767454Y-98744088D01* +X104748047Y-98634975D01* +X104702998Y-98519637D01* +X104690888Y-98496978D01* +X104595046Y-98455323D01* +X104244369Y-98806000D01* +X104035631Y-98806000D01* +X103684954Y-98455323D01* +X103589112Y-98496978D01* +X103539410Y-98610389D01* +X103512789Y-98731317D01* +X103510271Y-98855115D01* +X102228600Y-98855115D01* +X102228600Y-98744088D01* +X102204444Y-98622644D01* +X102157059Y-98508246D01* +X102088266Y-98405291D01* +X102033929Y-98350954D01* +X103789323Y-98350954D01* +X104140000Y-98701631D01* +X104490677Y-98350954D01* +X104449022Y-98255112D01* +X104335611Y-98205410D01* +X104214683Y-98178789D01* +X104090885Y-98176271D01* +X103968975Y-98197953D01* +X103853637Y-98243002D01* +X103830978Y-98255112D01* +X103789323Y-98350954D01* +X102033929Y-98350954D01* +X102000709Y-98317734D01* +X101897754Y-98248941D01* +X101783356Y-98201556D01* +X101661912Y-98177400D01* +X101538088Y-98177400D01* +X101416644Y-98201556D01* +X101302246Y-98248941D01* +X101199291Y-98317734D01* +X101111734Y-98405291D01* +X101042941Y-98508246D01* +X100995556Y-98622644D01* +X100971400Y-98744088D01* +X99688600Y-98744088D01* +X99664444Y-98622644D01* +X99617059Y-98508246D01* +X99548266Y-98405291D01* +X99460709Y-98317734D01* +X99357754Y-98248941D01* +X99243356Y-98201556D01* +X99121912Y-98177400D01* +X98998088Y-98177400D01* +X98876644Y-98201556D01* +X98762246Y-98248941D01* +X98659291Y-98317734D01* +X98571734Y-98405291D01* +X98502941Y-98508246D01* +X98455556Y-98622644D01* +X98431400Y-98744088D01* +X97148600Y-98744088D01* +X97124444Y-98622644D01* +X97077059Y-98508246D01* +X97008266Y-98405291D01* +X96920709Y-98317734D01* +X96817754Y-98248941D01* +X96703356Y-98201556D01* +X96581912Y-98177400D01* +X96458088Y-98177400D01* +X96336644Y-98201556D01* +X96222246Y-98248941D01* +X96119291Y-98317734D01* +X96031734Y-98405291D01* +X95962941Y-98508246D01* +X95915556Y-98622644D01* +X95891400Y-98744088D01* +X94608600Y-98744088D01* +X94584444Y-98622644D01* +X94537059Y-98508246D01* +X94468266Y-98405291D01* +X94380709Y-98317734D01* +X94277754Y-98248941D01* +X94163356Y-98201556D01* +X94041912Y-98177400D01* +X93918088Y-98177400D01* +X93796644Y-98201556D01* +X93682246Y-98248941D01* +X93579291Y-98317734D01* +X93491734Y-98405291D01* +X93422941Y-98508246D01* +X93375556Y-98622644D01* +X93351400Y-98744088D01* +X92068600Y-98744088D01* +X92044444Y-98622644D01* +X91997059Y-98508246D01* +X91928266Y-98405291D01* +X91840709Y-98317734D01* +X91737754Y-98248941D01* +X91623356Y-98201556D01* +X91501912Y-98177400D01* +X91378088Y-98177400D01* +X91256644Y-98201556D01* +X91142246Y-98248941D01* +X91039291Y-98317734D01* +X90951734Y-98405291D01* +X90882941Y-98508246D01* +X90835556Y-98622644D01* +X90811400Y-98744088D01* +X89528600Y-98744088D01* +X89504444Y-98622644D01* +X89457059Y-98508246D01* +X89388266Y-98405291D01* +X89300709Y-98317734D01* +X89197754Y-98248941D01* +X89083356Y-98201556D01* +X88961912Y-98177400D01* +X88838088Y-98177400D01* +X88716644Y-98201556D01* +X88602246Y-98248941D01* +X88499291Y-98317734D01* +X88411734Y-98405291D01* +X88342941Y-98508246D01* +X88295556Y-98622644D01* +X88271400Y-98744088D01* +X86988600Y-98744088D01* +X86964444Y-98622644D01* +X86917059Y-98508246D01* +X86848266Y-98405291D01* +X86760709Y-98317734D01* +X86657754Y-98248941D01* +X86543356Y-98201556D01* +X86421912Y-98177400D01* +X86298088Y-98177400D01* +X86176644Y-98201556D01* +X86062246Y-98248941D01* +X85959291Y-98317734D01* +X85871734Y-98405291D01* +X85802941Y-98508246D01* +X85755556Y-98622644D01* +X85731400Y-98744088D01* +X84448600Y-98744088D01* +X84424444Y-98622644D01* +X84377059Y-98508246D01* +X84308266Y-98405291D01* +X84220709Y-98317734D01* +X84117754Y-98248941D01* +X84003356Y-98201556D01* +X83881912Y-98177400D01* +X83758088Y-98177400D01* +X83636644Y-98201556D01* +X83522246Y-98248941D01* +X83419291Y-98317734D01* +X83331734Y-98405291D01* +X83262941Y-98508246D01* +X83215556Y-98622644D01* +X83191400Y-98744088D01* +X78560600Y-98744088D01* +X78560600Y-97265865D01* +X78559136Y-97251000D01* +X78559208Y-97240692D01* +X78558760Y-97236126D01* +X78525079Y-96915672D01* +X78519080Y-96886446D01* +X78513509Y-96857242D01* +X78512185Y-96852858D01* +X78512184Y-96852851D01* +X78512181Y-96852845D01* +X78416900Y-96545042D01* +X78405368Y-96517608D01* +X78394203Y-96489973D01* +X78392049Y-96485922D01* +X78238793Y-96202483D01* +X78222151Y-96177810D01* +X78205832Y-96152871D01* +X78202932Y-96149316D01* +X77997542Y-95901042D01* +X77976410Y-95880057D01* +X77955571Y-95858777D01* +X77952036Y-95855852D01* +X77702334Y-95652200D01* +X77677513Y-95635709D01* +X77652954Y-95618893D01* +X77648918Y-95616711D01* +X77364416Y-95465438D01* +X77336876Y-95454086D01* +X77309505Y-95442355D01* +X77305130Y-95441001D01* +X77305124Y-95440999D01* +X77305118Y-95440998D01* +X76996656Y-95347868D01* +X76967429Y-95342081D01* +X76938310Y-95335891D01* +X76933747Y-95335411D01* +X76613066Y-95303968D01* +X76613056Y-95303968D01* +X76597135Y-95302400D01* +X76528600Y-95302400D01* +X76528600Y-95188088D01* +X84461400Y-95188088D01* +X84461400Y-95311912D01* +X84485556Y-95433356D01* +X84532941Y-95547754D01* +X84601734Y-95650709D01* +X84689291Y-95738266D01* +X84792246Y-95807059D01* +X84906644Y-95854444D01* +X85028088Y-95878600D01* +X85151912Y-95878600D01* +X85273356Y-95854444D01* +X85387754Y-95807059D01* +X85490709Y-95738266D01* +X85578266Y-95650709D01* +X85647059Y-95547754D01* +X85694444Y-95433356D01* +X85718600Y-95311912D01* +X85718600Y-95188088D01* +X85694444Y-95066644D01* +X85647059Y-94952246D01* +X85644535Y-94948468D01* +X104927400Y-94948468D01* +X104927400Y-95043532D01* +X104945946Y-95136769D01* +X104982326Y-95224597D01* +X105035140Y-95303640D01* +X105102360Y-95370860D01* +X105181403Y-95423674D01* +X105269231Y-95460054D01* +X105362468Y-95478600D01* +X105457532Y-95478600D01* +X105550769Y-95460054D01* +X105638597Y-95423674D01* +X105717640Y-95370860D01* +X105784860Y-95303640D01* +X105837674Y-95224597D01* +X105852796Y-95188088D01* +X118751400Y-95188088D01* +X118751400Y-95311912D01* +X118775556Y-95433356D01* +X118822941Y-95547754D01* +X118891734Y-95650709D01* +X118979291Y-95738266D01* +X119082246Y-95807059D01* +X119196644Y-95854444D01* +X119318088Y-95878600D01* +X119441912Y-95878600D01* +X119563356Y-95854444D01* +X119677754Y-95807059D01* +X119780709Y-95738266D01* +X119868266Y-95650709D01* +X119937059Y-95547754D01* +X119984444Y-95433356D01* +X120008600Y-95311912D01* +X120008600Y-95188088D01* +X139071400Y-95188088D01* +X139071400Y-95311912D01* +X139095556Y-95433356D01* +X139142941Y-95547754D01* +X139211734Y-95650709D01* +X139299291Y-95738266D01* +X139402246Y-95807059D01* +X139516644Y-95854444D01* +X139638088Y-95878600D01* +X139761912Y-95878600D01* +X139883356Y-95854444D01* +X139997754Y-95807059D01* +X140100709Y-95738266D01* +X140188266Y-95650709D01* +X140257059Y-95547754D01* +X140304444Y-95433356D01* +X140328600Y-95311912D01* +X140328600Y-95305239D01* +X156751400Y-95305239D01* +X156751400Y-95448761D01* +X156779400Y-95589525D01* +X156834323Y-95722121D01* +X156914060Y-95841455D01* +X157015545Y-95942940D01* +X157134879Y-96022677D01* +X157267475Y-96077600D01* +X157408239Y-96105600D01* +X157551761Y-96105600D01* +X157692525Y-96077600D01* +X157825121Y-96022677D01* +X157944455Y-95942940D01* +X158045940Y-95841455D01* +X158125677Y-95722121D01* +X158180600Y-95589525D01* +X158208600Y-95448761D01* +X158208600Y-95305239D01* +X158180600Y-95164475D01* +X158125677Y-95031879D01* +X158045940Y-94912545D01* +X157944455Y-94811060D01* +X157825121Y-94731323D01* +X157692525Y-94676400D01* +X157551761Y-94648400D01* +X157408239Y-94648400D01* +X157267475Y-94676400D01* +X157134879Y-94731323D01* +X157015545Y-94811060D01* +X156914060Y-94912545D01* +X156834323Y-95031879D01* +X156779400Y-95164475D01* +X156751400Y-95305239D01* +X140328600Y-95305239D01* +X140328600Y-95188088D01* +X140304444Y-95066644D01* +X140257059Y-94952246D01* +X140188266Y-94849291D01* +X140100709Y-94761734D01* +X139997754Y-94692941D01* +X139883356Y-94645556D01* +X139761912Y-94621400D01* +X139638088Y-94621400D01* +X139516644Y-94645556D01* +X139402246Y-94692941D01* +X139299291Y-94761734D01* +X139211734Y-94849291D01* +X139142941Y-94952246D01* +X139095556Y-95066644D01* +X139071400Y-95188088D01* +X120008600Y-95188088D01* +X119984444Y-95066644D01* +X119937059Y-94952246D01* +X119868266Y-94849291D01* +X119780709Y-94761734D01* +X119677754Y-94692941D01* +X119563356Y-94645556D01* +X119441912Y-94621400D01* +X119318088Y-94621400D01* +X119196644Y-94645556D01* +X119082246Y-94692941D01* +X118979291Y-94761734D01* +X118891734Y-94849291D01* +X118822941Y-94952246D01* +X118775556Y-95066644D01* +X118751400Y-95188088D01* +X105852796Y-95188088D01* +X105874054Y-95136769D01* +X105892600Y-95043532D01* +X105892600Y-94948468D01* +X105874054Y-94855231D01* +X105837674Y-94767403D01* +X105784860Y-94688360D01* +X105717640Y-94621140D01* +X105638597Y-94568326D01* +X105550769Y-94531946D01* +X105457532Y-94513400D01* +X105362468Y-94513400D01* +X105269231Y-94531946D01* +X105181403Y-94568326D01* +X105102360Y-94621140D01* +X105035140Y-94688360D01* +X104982326Y-94767403D01* +X104945946Y-94855231D01* +X104927400Y-94948468D01* +X85644535Y-94948468D01* +X85578266Y-94849291D01* +X85490709Y-94761734D01* +X85387754Y-94692941D01* +X85273356Y-94645556D01* +X85151912Y-94621400D01* +X85028088Y-94621400D01* +X84906644Y-94645556D01* +X84792246Y-94692941D01* +X84689291Y-94761734D01* +X84601734Y-94849291D01* +X84532941Y-94952246D01* +X84485556Y-95066644D01* +X84461400Y-95188088D01* +X76528600Y-95188088D01* +X76528600Y-94045088D01* +X83191400Y-94045088D01* +X83191400Y-94168912D01* +X83215556Y-94290356D01* +X83262941Y-94404754D01* +X83331734Y-94507709D01* +X83419291Y-94595266D01* +X83522246Y-94664059D01* +X83636644Y-94711444D01* +X83758088Y-94735600D01* +X83881912Y-94735600D01* +X84003356Y-94711444D01* +X84117754Y-94664059D01* +X84220709Y-94595266D01* +X84308266Y-94507709D01* +X84377059Y-94404754D01* +X84424444Y-94290356D01* +X84448600Y-94168912D01* +X84448600Y-94045088D01* +X86112400Y-94045088D01* +X86112400Y-94168912D01* +X86136556Y-94290356D01* +X86183941Y-94404754D01* +X86252734Y-94507709D01* +X86340291Y-94595266D01* +X86443246Y-94664059D01* +X86557644Y-94711444D01* +X86679088Y-94735600D01* +X86802912Y-94735600D01* +X86924356Y-94711444D01* +X87038754Y-94664059D01* +X87141709Y-94595266D01* +X87229266Y-94507709D01* +X87298059Y-94404754D01* +X87345444Y-94290356D01* +X87369600Y-94168912D01* +X87369600Y-94045088D01* +X103130400Y-94045088D01* +X103130400Y-94168912D01* +X103154556Y-94290356D01* +X103201941Y-94404754D01* +X103270734Y-94507709D01* +X103358291Y-94595266D01* +X103461246Y-94664059D01* +X103575644Y-94711444D01* +X103697088Y-94735600D01* +X103820912Y-94735600D01* +X103942356Y-94711444D01* +X104056754Y-94664059D01* +X104159709Y-94595266D01* +X104247266Y-94507709D01* +X104316059Y-94404754D01* +X104363444Y-94290356D01* +X104387600Y-94168912D01* +X104387600Y-94045088D01* +X106051400Y-94045088D01* +X106051400Y-94168912D01* +X106075556Y-94290356D01* +X106122941Y-94404754D01* +X106191734Y-94507709D01* +X106279291Y-94595266D01* +X106382246Y-94664059D01* +X106496644Y-94711444D01* +X106618088Y-94735600D01* +X106741912Y-94735600D01* +X106863356Y-94711444D01* +X106977754Y-94664059D01* +X107080709Y-94595266D01* +X107168266Y-94507709D01* +X107237059Y-94404754D01* +X107284444Y-94290356D01* +X107308600Y-94168912D01* +X107308600Y-94045088D01* +X117481400Y-94045088D01* +X117481400Y-94168912D01* +X117505556Y-94290356D01* +X117552941Y-94404754D01* +X117621734Y-94507709D01* +X117709291Y-94595266D01* +X117812246Y-94664059D01* +X117926644Y-94711444D01* +X118048088Y-94735600D01* +X118171912Y-94735600D01* +X118293356Y-94711444D01* +X118407754Y-94664059D01* +X118510709Y-94595266D01* +X118598266Y-94507709D01* +X118667059Y-94404754D01* +X118714444Y-94290356D01* +X118738600Y-94168912D01* +X118738600Y-94045088D01* +X120402400Y-94045088D01* +X120402400Y-94168912D01* +X120426556Y-94290356D01* +X120473941Y-94404754D01* +X120542734Y-94507709D01* +X120630291Y-94595266D01* +X120733246Y-94664059D01* +X120847644Y-94711444D01* +X120969088Y-94735600D01* +X121092912Y-94735600D01* +X121214356Y-94711444D01* +X121328754Y-94664059D01* +X121431709Y-94595266D01* +X121519266Y-94507709D01* +X121588059Y-94404754D01* +X121635444Y-94290356D01* +X121659600Y-94168912D01* +X121659600Y-94045088D01* +X137420400Y-94045088D01* +X137420400Y-94168912D01* +X137444556Y-94290356D01* +X137491941Y-94404754D01* +X137560734Y-94507709D01* +X137648291Y-94595266D01* +X137751246Y-94664059D01* +X137865644Y-94711444D01* +X137987088Y-94735600D01* +X138110912Y-94735600D01* +X138232356Y-94711444D01* +X138346754Y-94664059D01* +X138449709Y-94595266D01* +X138537266Y-94507709D01* +X138606059Y-94404754D01* +X138653444Y-94290356D01* +X138677600Y-94168912D01* +X138677600Y-94045088D01* +X140341400Y-94045088D01* +X140341400Y-94168912D01* +X140365556Y-94290356D01* +X140412941Y-94404754D01* +X140481734Y-94507709D01* +X140569291Y-94595266D01* +X140672246Y-94664059D01* +X140786644Y-94711444D01* +X140908088Y-94735600D01* +X141031912Y-94735600D01* +X141153356Y-94711444D01* +X141267754Y-94664059D01* +X141370709Y-94595266D01* +X141458266Y-94507709D01* +X141527059Y-94404754D01* +X141574444Y-94290356D01* +X141598600Y-94168912D01* +X141598600Y-94045088D01* +X155581400Y-94045088D01* +X155581400Y-94168912D01* +X155605556Y-94290356D01* +X155652941Y-94404754D01* +X155721734Y-94507709D01* +X155809291Y-94595266D01* +X155912246Y-94664059D01* +X156026644Y-94711444D01* +X156148088Y-94735600D01* +X156271912Y-94735600D01* +X156393356Y-94711444D01* +X156507754Y-94664059D01* +X156610709Y-94595266D01* +X156698266Y-94507709D01* +X156767059Y-94404754D01* +X156814444Y-94290356D01* +X156838600Y-94168912D01* +X156838600Y-94045088D01* +X158121400Y-94045088D01* +X158121400Y-94168912D01* +X158145556Y-94290356D01* +X158192941Y-94404754D01* +X158261734Y-94507709D01* +X158349291Y-94595266D01* +X158452246Y-94664059D01* +X158566644Y-94711444D01* +X158688088Y-94735600D01* +X158811912Y-94735600D01* +X158933356Y-94711444D01* +X159047754Y-94664059D01* +X159150709Y-94595266D01* +X159238266Y-94507709D01* +X159307059Y-94404754D01* +X159354444Y-94290356D01* +X159378600Y-94168912D01* +X159378600Y-94045088D01* +X159354444Y-93923644D01* +X159307059Y-93809246D01* +X159238266Y-93706291D01* +X159150709Y-93618734D01* +X159047754Y-93549941D01* +X158933356Y-93502556D01* +X158811912Y-93478400D01* +X158688088Y-93478400D01* +X158566644Y-93502556D01* +X158452246Y-93549941D01* +X158349291Y-93618734D01* +X158261734Y-93706291D01* +X158192941Y-93809246D01* +X158145556Y-93923644D01* +X158121400Y-94045088D01* +X156838600Y-94045088D01* +X156814444Y-93923644D01* +X156767059Y-93809246D01* +X156698266Y-93706291D01* +X156610709Y-93618734D01* +X156507754Y-93549941D01* +X156393356Y-93502556D01* +X156271912Y-93478400D01* +X156148088Y-93478400D01* +X156026644Y-93502556D01* +X155912246Y-93549941D01* +X155809291Y-93618734D01* +X155721734Y-93706291D01* +X155652941Y-93809246D01* +X155605556Y-93923644D01* +X155581400Y-94045088D01* +X141598600Y-94045088D01* +X141574444Y-93923644D01* +X141527059Y-93809246D01* +X141458266Y-93706291D01* +X141370709Y-93618734D01* +X141267754Y-93549941D01* +X141153356Y-93502556D01* +X141031912Y-93478400D01* +X140908088Y-93478400D01* +X140786644Y-93502556D01* +X140672246Y-93549941D01* +X140569291Y-93618734D01* +X140481734Y-93706291D01* +X140412941Y-93809246D01* +X140365556Y-93923644D01* +X140341400Y-94045088D01* +X138677600Y-94045088D01* +X138653444Y-93923644D01* +X138606059Y-93809246D01* +X138537266Y-93706291D01* +X138449709Y-93618734D01* +X138346754Y-93549941D01* +X138232356Y-93502556D01* +X138110912Y-93478400D01* +X137987088Y-93478400D01* +X137865644Y-93502556D01* +X137751246Y-93549941D01* +X137648291Y-93618734D01* +X137560734Y-93706291D01* +X137491941Y-93809246D01* +X137444556Y-93923644D01* +X137420400Y-94045088D01* +X121659600Y-94045088D01* +X121635444Y-93923644D01* +X121588059Y-93809246D01* +X121519266Y-93706291D01* +X121431709Y-93618734D01* +X121328754Y-93549941D01* +X121214356Y-93502556D01* +X121092912Y-93478400D01* +X120969088Y-93478400D01* +X120847644Y-93502556D01* +X120733246Y-93549941D01* +X120630291Y-93618734D01* +X120542734Y-93706291D01* +X120473941Y-93809246D01* +X120426556Y-93923644D01* +X120402400Y-94045088D01* +X118738600Y-94045088D01* +X118714444Y-93923644D01* +X118667059Y-93809246D01* +X118598266Y-93706291D01* +X118510709Y-93618734D01* +X118407754Y-93549941D01* +X118293356Y-93502556D01* +X118171912Y-93478400D01* +X118048088Y-93478400D01* +X117926644Y-93502556D01* +X117812246Y-93549941D01* +X117709291Y-93618734D01* +X117621734Y-93706291D01* +X117552941Y-93809246D01* +X117505556Y-93923644D01* +X117481400Y-94045088D01* +X107308600Y-94045088D01* +X107284444Y-93923644D01* +X107237059Y-93809246D01* +X107168266Y-93706291D01* +X107080709Y-93618734D01* +X106977754Y-93549941D01* +X106863356Y-93502556D01* +X106741912Y-93478400D01* +X106618088Y-93478400D01* +X106496644Y-93502556D01* +X106382246Y-93549941D01* +X106279291Y-93618734D01* +X106191734Y-93706291D01* +X106122941Y-93809246D01* +X106075556Y-93923644D01* +X106051400Y-94045088D01* +X104387600Y-94045088D01* +X104363444Y-93923644D01* +X104316059Y-93809246D01* +X104247266Y-93706291D01* +X104159709Y-93618734D01* +X104056754Y-93549941D01* +X103942356Y-93502556D01* +X103820912Y-93478400D01* +X103697088Y-93478400D01* +X103575644Y-93502556D01* +X103461246Y-93549941D01* +X103358291Y-93618734D01* +X103270734Y-93706291D01* +X103201941Y-93809246D01* +X103154556Y-93923644D01* +X103130400Y-94045088D01* +X87369600Y-94045088D01* +X87345444Y-93923644D01* +X87298059Y-93809246D01* +X87229266Y-93706291D01* +X87141709Y-93618734D01* +X87038754Y-93549941D01* +X86924356Y-93502556D01* +X86802912Y-93478400D01* +X86679088Y-93478400D01* +X86557644Y-93502556D01* +X86443246Y-93549941D01* +X86340291Y-93618734D01* +X86252734Y-93706291D01* +X86183941Y-93809246D01* +X86136556Y-93923644D01* +X86112400Y-94045088D01* +X84448600Y-94045088D01* +X84424444Y-93923644D01* +X84377059Y-93809246D01* +X84308266Y-93706291D01* +X84220709Y-93618734D01* +X84117754Y-93549941D01* +X84003356Y-93502556D01* +X83881912Y-93478400D01* +X83758088Y-93478400D01* +X83636644Y-93502556D01* +X83522246Y-93549941D01* +X83419291Y-93618734D01* +X83331734Y-93706291D01* +X83262941Y-93809246D01* +X83215556Y-93923644D01* +X83191400Y-94045088D01* +X76528600Y-94045088D01* +X76528600Y-91466683D01* +X77654586Y-91466683D01* +X77696162Y-91837340D01* +X77808940Y-92192862D01* +X77988626Y-92519708D01* +X78228373Y-92805429D01* +X78519052Y-93039140D01* +X78849589Y-93211941D01* +X79207396Y-93317249D01* +X79578842Y-93351053D01* +X79949780Y-93312066D01* +X80202075Y-93233968D01* +X114706400Y-93233968D01* +X114706400Y-93329032D01* +X114724946Y-93422269D01* +X114761326Y-93510097D01* +X114814140Y-93589140D01* +X114881360Y-93656360D01* +X114960403Y-93709174D01* +X115048231Y-93745554D01* +X115141468Y-93764100D01* +X115236532Y-93764100D01* +X115329769Y-93745554D01* +X115417597Y-93709174D01* +X115496640Y-93656360D01* +X115563860Y-93589140D01* +X115616674Y-93510097D01* +X115653054Y-93422269D01* +X115671600Y-93329032D01* +X115671600Y-93233968D01* +X115653054Y-93140731D01* +X115616674Y-93052903D01* +X115563860Y-92973860D01* +X115496640Y-92906640D01* +X115417597Y-92853826D01* +X115329769Y-92817446D01* +X115236532Y-92798900D01* +X115141468Y-92798900D01* +X115048231Y-92817446D01* +X114960403Y-92853826D01* +X114881360Y-92906640D01* +X114814140Y-92973860D01* +X114761326Y-93052903D01* +X114724946Y-93140731D01* +X114706400Y-93233968D01* +X80202075Y-93233968D01* +X80306081Y-93201773D01* +X80634174Y-93024374D01* +X80921561Y-92786626D01* +X81157296Y-92497587D01* +X81204681Y-92408468D01* +X112039400Y-92408468D01* +X112039400Y-92503532D01* +X112057946Y-92596769D01* +X112094326Y-92684597D01* +X112147140Y-92763640D01* +X112214360Y-92830860D01* +X112293403Y-92883674D01* +X112381231Y-92920054D01* +X112474468Y-92938600D01* +X112569532Y-92938600D01* +X112662769Y-92920054D01* +X112750597Y-92883674D01* +X112829640Y-92830860D01* +X112896860Y-92763640D01* +X112949674Y-92684597D01* +X112986054Y-92596769D01* +X113004600Y-92503532D01* +X113004600Y-92408468D01* +X112986054Y-92315231D01* +X112949674Y-92227403D01* +X112896860Y-92148360D01* +X112829640Y-92081140D01* +X112750597Y-92028326D01* +X112662769Y-91991946D01* +X112569532Y-91973400D01* +X112474468Y-91973400D01* +X112381231Y-91991946D01* +X112293403Y-92028326D01* +X112214360Y-92081140D01* +X112147140Y-92148360D01* +X112094326Y-92227403D01* +X112057946Y-92315231D01* +X112039400Y-92408468D01* +X81204681Y-92408468D01* +X81332400Y-92168264D01* +X81440203Y-91811201D01* +X81473983Y-91466683D01* +X159823586Y-91466683D01* +X159865162Y-91837340D01* +X159977940Y-92192862D01* +X160157626Y-92519708D01* +X160397373Y-92805429D01* +X160688052Y-93039140D01* +X161018589Y-93211941D01* +X161376396Y-93317249D01* +X161747842Y-93351053D01* +X162118780Y-93312066D01* +X162475081Y-93201773D01* +X162803174Y-93024374D01* +X163090561Y-92786626D01* +X163326296Y-92497587D01* +X163501400Y-92168264D01* +X163609203Y-91811201D01* +X163645600Y-91440000D01* +X163644855Y-91386639D01* +X163598108Y-91016599D01* +X163480377Y-90662686D01* +X163296146Y-90338380D01* +X163052432Y-90056035D01* +X162758519Y-89826405D01* +X162425601Y-89658236D01* +X162066359Y-89557934D01* +X161694477Y-89529319D01* +X161324119Y-89573482D01* +X160969393Y-89688739D01* +X160643809Y-89870702D01* +X160359770Y-90112438D01* +X160128093Y-90404741D01* +X159957604Y-90736477D01* +X159854797Y-91095010D01* +X159823586Y-91466683D01* +X81473983Y-91466683D01* +X81476600Y-91440000D01* +X81475855Y-91386639D01* +X81429108Y-91016599D01* +X81385154Y-90884468D01* +X96037400Y-90884468D01* +X96037400Y-90979532D01* +X96055946Y-91072769D01* +X96092326Y-91160597D01* +X96145140Y-91239640D01* +X96212360Y-91306860D01* +X96291403Y-91359674D01* +X96379231Y-91396054D01* +X96472468Y-91414600D01* +X96567532Y-91414600D01* +X96660769Y-91396054D01* +X96748597Y-91359674D01* +X96827640Y-91306860D01* +X96894860Y-91239640D01* +X96947674Y-91160597D01* +X96984054Y-91072769D01* +X97002600Y-90979532D01* +X97002600Y-90884468D01* +X97307400Y-90884468D01* +X97307400Y-90979532D01* +X97325946Y-91072769D01* +X97362326Y-91160597D01* +X97415140Y-91239640D01* +X97482360Y-91306860D01* +X97561403Y-91359674D01* +X97649231Y-91396054D01* +X97742468Y-91414600D01* +X97837532Y-91414600D01* +X97930769Y-91396054D01* +X98018597Y-91359674D01* +X98097640Y-91306860D01* +X98164860Y-91239640D01* +X98217674Y-91160597D01* +X98254054Y-91072769D01* +X98272600Y-90979532D01* +X98272600Y-90884468D01* +X98577400Y-90884468D01* +X98577400Y-90979532D01* +X98595946Y-91072769D01* +X98632326Y-91160597D01* +X98685140Y-91239640D01* +X98752360Y-91306860D01* +X98831403Y-91359674D01* +X98919231Y-91396054D01* +X99012468Y-91414600D01* +X99107532Y-91414600D01* +X99200769Y-91396054D01* +X99288597Y-91359674D01* +X99367640Y-91306860D01* +X99434860Y-91239640D01* +X99487674Y-91160597D01* +X99524054Y-91072769D01* +X99542600Y-90979532D01* +X99542600Y-90884468D01* +X99847400Y-90884468D01* +X99847400Y-90979532D01* +X99865946Y-91072769D01* +X99902326Y-91160597D01* +X99955140Y-91239640D01* +X100022360Y-91306860D01* +X100101403Y-91359674D01* +X100189231Y-91396054D01* +X100282468Y-91414600D01* +X100377532Y-91414600D01* +X100470769Y-91396054D01* +X100558597Y-91359674D01* +X100637640Y-91306860D01* +X100704860Y-91239640D01* +X100757674Y-91160597D01* +X100794054Y-91072769D01* +X100812600Y-90979532D01* +X100812600Y-90884468D01* +X101117400Y-90884468D01* +X101117400Y-90979532D01* +X101135946Y-91072769D01* +X101172326Y-91160597D01* +X101225140Y-91239640D01* +X101292360Y-91306860D01* +X101371403Y-91359674D01* +X101459231Y-91396054D01* +X101552468Y-91414600D01* +X101647532Y-91414600D01* +X101740769Y-91396054D01* +X101828597Y-91359674D01* +X101907640Y-91306860D01* +X101974860Y-91239640D01* +X102027674Y-91160597D01* +X102064054Y-91072769D01* +X102082600Y-90979532D01* +X102082600Y-90884468D01* +X125247400Y-90884468D01* +X125247400Y-90979532D01* +X125265946Y-91072769D01* +X125302326Y-91160597D01* +X125355140Y-91239640D01* +X125422360Y-91306860D01* +X125501403Y-91359674D01* +X125589231Y-91396054D01* +X125682468Y-91414600D01* +X125777532Y-91414600D01* +X125870769Y-91396054D01* +X125958597Y-91359674D01* +X126037640Y-91306860D01* +X126104860Y-91239640D01* +X126157674Y-91160597D01* +X126194054Y-91072769D01* +X126212600Y-90979532D01* +X126212600Y-90884468D01* +X126517400Y-90884468D01* +X126517400Y-90979532D01* +X126535946Y-91072769D01* +X126572326Y-91160597D01* +X126625140Y-91239640D01* +X126692360Y-91306860D01* +X126771403Y-91359674D01* +X126859231Y-91396054D01* +X126952468Y-91414600D01* +X127047532Y-91414600D01* +X127140769Y-91396054D01* +X127228597Y-91359674D01* +X127307640Y-91306860D01* +X127374860Y-91239640D01* +X127427674Y-91160597D01* +X127464054Y-91072769D01* +X127482600Y-90979532D01* +X127482600Y-90884468D01* +X130327400Y-90884468D01* +X130327400Y-90979532D01* +X130345946Y-91072769D01* +X130382326Y-91160597D01* +X130435140Y-91239640D01* +X130502360Y-91306860D01* +X130581403Y-91359674D01* +X130669231Y-91396054D01* +X130762468Y-91414600D01* +X130857532Y-91414600D01* +X130950769Y-91396054D01* +X131038597Y-91359674D01* +X131117640Y-91306860D01* +X131184860Y-91239640D01* +X131237674Y-91160597D01* +X131274054Y-91072769D01* +X131292600Y-90979532D01* +X131292600Y-90884468D01* +X131597400Y-90884468D01* +X131597400Y-90979532D01* +X131615946Y-91072769D01* +X131652326Y-91160597D01* +X131705140Y-91239640D01* +X131772360Y-91306860D01* +X131851403Y-91359674D01* +X131939231Y-91396054D01* +X132032468Y-91414600D01* +X132127532Y-91414600D01* +X132220769Y-91396054D01* +X132308597Y-91359674D01* +X132387640Y-91306860D01* +X132454860Y-91239640D01* +X132507674Y-91160597D01* +X132544054Y-91072769D01* +X132562600Y-90979532D01* +X132562600Y-90884468D01* +X132867400Y-90884468D01* +X132867400Y-90979532D01* +X132885946Y-91072769D01* +X132922326Y-91160597D01* +X132975140Y-91239640D01* +X133042360Y-91306860D01* +X133121403Y-91359674D01* +X133209231Y-91396054D01* +X133302468Y-91414600D01* +X133397532Y-91414600D01* +X133490769Y-91396054D01* +X133578597Y-91359674D01* +X133657640Y-91306860D01* +X133724860Y-91239640D01* +X133777674Y-91160597D01* +X133814054Y-91072769D01* +X133832600Y-90979532D01* +X133832600Y-90884468D01* +X134137400Y-90884468D01* +X134137400Y-90979532D01* +X134155946Y-91072769D01* +X134192326Y-91160597D01* +X134245140Y-91239640D01* +X134312360Y-91306860D01* +X134391403Y-91359674D01* +X134479231Y-91396054D01* +X134572468Y-91414600D01* +X134667532Y-91414600D01* +X134760769Y-91396054D01* +X134848597Y-91359674D01* +X134927640Y-91306860D01* +X134994860Y-91239640D01* +X135047674Y-91160597D01* +X135084054Y-91072769D01* +X135102600Y-90979532D01* +X135102600Y-90884468D01* +X135407400Y-90884468D01* +X135407400Y-90979532D01* +X135425946Y-91072769D01* +X135462326Y-91160597D01* +X135515140Y-91239640D01* +X135582360Y-91306860D01* +X135661403Y-91359674D01* +X135749231Y-91396054D01* +X135842468Y-91414600D01* +X135937532Y-91414600D01* +X136030769Y-91396054D01* +X136118597Y-91359674D01* +X136197640Y-91306860D01* +X136264860Y-91239640D01* +X136317674Y-91160597D01* +X136354054Y-91072769D01* +X136372600Y-90979532D01* +X136372600Y-90884468D01* +X136354054Y-90791231D01* +X136317674Y-90703403D01* +X136264860Y-90624360D01* +X136197640Y-90557140D01* +X136118597Y-90504326D01* +X136030769Y-90467946D01* +X135937532Y-90449400D01* +X135842468Y-90449400D01* +X135749231Y-90467946D01* +X135661403Y-90504326D01* +X135582360Y-90557140D01* +X135515140Y-90624360D01* +X135462326Y-90703403D01* +X135425946Y-90791231D01* +X135407400Y-90884468D01* +X135102600Y-90884468D01* +X135084054Y-90791231D01* +X135047674Y-90703403D01* +X134994860Y-90624360D01* +X134927640Y-90557140D01* +X134848597Y-90504326D01* +X134760769Y-90467946D01* +X134667532Y-90449400D01* +X134572468Y-90449400D01* +X134479231Y-90467946D01* +X134391403Y-90504326D01* +X134312360Y-90557140D01* +X134245140Y-90624360D01* +X134192326Y-90703403D01* +X134155946Y-90791231D01* +X134137400Y-90884468D01* +X133832600Y-90884468D01* +X133814054Y-90791231D01* +X133777674Y-90703403D01* +X133724860Y-90624360D01* +X133657640Y-90557140D01* +X133578597Y-90504326D01* +X133490769Y-90467946D01* +X133397532Y-90449400D01* +X133302468Y-90449400D01* +X133209231Y-90467946D01* +X133121403Y-90504326D01* +X133042360Y-90557140D01* +X132975140Y-90624360D01* +X132922326Y-90703403D01* +X132885946Y-90791231D01* +X132867400Y-90884468D01* +X132562600Y-90884468D01* +X132544054Y-90791231D01* +X132507674Y-90703403D01* +X132454860Y-90624360D01* +X132387640Y-90557140D01* +X132308597Y-90504326D01* +X132220769Y-90467946D01* +X132127532Y-90449400D01* +X132032468Y-90449400D01* +X131939231Y-90467946D01* +X131851403Y-90504326D01* +X131772360Y-90557140D01* +X131705140Y-90624360D01* +X131652326Y-90703403D01* +X131615946Y-90791231D01* +X131597400Y-90884468D01* +X131292600Y-90884468D01* +X131274054Y-90791231D01* +X131237674Y-90703403D01* +X131184860Y-90624360D01* +X131117640Y-90557140D01* +X131038597Y-90504326D01* +X130950769Y-90467946D01* +X130857532Y-90449400D01* +X130762468Y-90449400D01* +X130669231Y-90467946D01* +X130581403Y-90504326D01* +X130502360Y-90557140D01* +X130435140Y-90624360D01* +X130382326Y-90703403D01* +X130345946Y-90791231D01* +X130327400Y-90884468D01* +X127482600Y-90884468D01* +X127464054Y-90791231D01* +X127427674Y-90703403D01* +X127374860Y-90624360D01* +X127307640Y-90557140D01* +X127228597Y-90504326D01* +X127140769Y-90467946D01* +X127047532Y-90449400D01* +X126952468Y-90449400D01* +X126859231Y-90467946D01* +X126771403Y-90504326D01* +X126692360Y-90557140D01* +X126625140Y-90624360D01* +X126572326Y-90703403D01* +X126535946Y-90791231D01* +X126517400Y-90884468D01* +X126212600Y-90884468D01* +X126194054Y-90791231D01* +X126157674Y-90703403D01* +X126104860Y-90624360D01* +X126037640Y-90557140D01* +X125958597Y-90504326D01* +X125870769Y-90467946D01* +X125777532Y-90449400D01* +X125682468Y-90449400D01* +X125589231Y-90467946D01* +X125501403Y-90504326D01* +X125422360Y-90557140D01* +X125355140Y-90624360D01* +X125302326Y-90703403D01* +X125265946Y-90791231D01* +X125247400Y-90884468D01* +X102082600Y-90884468D01* +X102064054Y-90791231D01* +X102027674Y-90703403D01* +X101974860Y-90624360D01* +X101907640Y-90557140D01* +X101828597Y-90504326D01* +X101740769Y-90467946D01* +X101647532Y-90449400D01* +X101552468Y-90449400D01* +X101459231Y-90467946D01* +X101371403Y-90504326D01* +X101292360Y-90557140D01* +X101225140Y-90624360D01* +X101172326Y-90703403D01* +X101135946Y-90791231D01* +X101117400Y-90884468D01* +X100812600Y-90884468D01* +X100794054Y-90791231D01* +X100757674Y-90703403D01* +X100704860Y-90624360D01* +X100637640Y-90557140D01* +X100558597Y-90504326D01* +X100470769Y-90467946D01* +X100377532Y-90449400D01* +X100282468Y-90449400D01* +X100189231Y-90467946D01* +X100101403Y-90504326D01* +X100022360Y-90557140D01* +X99955140Y-90624360D01* +X99902326Y-90703403D01* +X99865946Y-90791231D01* +X99847400Y-90884468D01* +X99542600Y-90884468D01* +X99524054Y-90791231D01* +X99487674Y-90703403D01* +X99434860Y-90624360D01* +X99367640Y-90557140D01* +X99288597Y-90504326D01* +X99200769Y-90467946D01* +X99107532Y-90449400D01* +X99012468Y-90449400D01* +X98919231Y-90467946D01* +X98831403Y-90504326D01* +X98752360Y-90557140D01* +X98685140Y-90624360D01* +X98632326Y-90703403D01* +X98595946Y-90791231D01* +X98577400Y-90884468D01* +X98272600Y-90884468D01* +X98254054Y-90791231D01* +X98217674Y-90703403D01* +X98164860Y-90624360D01* +X98097640Y-90557140D01* +X98018597Y-90504326D01* +X97930769Y-90467946D01* +X97837532Y-90449400D01* +X97742468Y-90449400D01* +X97649231Y-90467946D01* +X97561403Y-90504326D01* +X97482360Y-90557140D01* +X97415140Y-90624360D01* +X97362326Y-90703403D01* +X97325946Y-90791231D01* +X97307400Y-90884468D01* +X97002600Y-90884468D01* +X96984054Y-90791231D01* +X96947674Y-90703403D01* +X96894860Y-90624360D01* +X96827640Y-90557140D01* +X96748597Y-90504326D01* +X96660769Y-90467946D01* +X96567532Y-90449400D01* +X96472468Y-90449400D01* +X96379231Y-90467946D01* +X96291403Y-90504326D01* +X96212360Y-90557140D01* +X96145140Y-90624360D01* +X96092326Y-90703403D01* +X96055946Y-90791231D01* +X96037400Y-90884468D01* +X81385154Y-90884468D01* +X81311377Y-90662686D01* +X81127146Y-90338380D01* +X80940776Y-90122468D01* +X120675400Y-90122468D01* +X120675400Y-90217532D01* +X120693946Y-90310769D01* +X120730326Y-90398597D01* +X120783140Y-90477640D01* +X120850360Y-90544860D01* +X120929403Y-90597674D01* +X121017231Y-90634054D01* +X121110468Y-90652600D01* +X121205532Y-90652600D01* +X121298769Y-90634054D01* +X121386597Y-90597674D01* +X121465640Y-90544860D01* +X121532860Y-90477640D01* +X121585674Y-90398597D01* +X121622054Y-90310769D01* +X121640600Y-90217532D01* +X121640600Y-90122468D01* +X121622054Y-90029231D01* +X121585674Y-89941403D01* +X121532860Y-89862360D01* +X121465640Y-89795140D01* +X121386597Y-89742326D01* +X121298769Y-89705946D01* +X121205532Y-89687400D01* +X121110468Y-89687400D01* +X121017231Y-89705946D01* +X120929403Y-89742326D01* +X120850360Y-89795140D01* +X120783140Y-89862360D01* +X120730326Y-89941403D01* +X120693946Y-90029231D01* +X120675400Y-90122468D01* +X80940776Y-90122468D01* +X80883432Y-90056035D01* +X80589519Y-89826405D01* +X80256601Y-89658236D01* +X79897359Y-89557934D01* +X79525477Y-89529319D01* +X79155119Y-89573482D01* +X78800393Y-89688739D01* +X78474809Y-89870702D01* +X78190770Y-90112438D01* +X77959093Y-90404741D01* +X77788604Y-90736477D01* +X77685797Y-91095010D01* +X77654586Y-91466683D01* +X76528600Y-91466683D01* +X76528600Y-88979468D01* +X125247400Y-88979468D01* +X125247400Y-89074532D01* +X125265946Y-89167769D01* +X125302326Y-89255597D01* +X125355140Y-89334640D01* +X125422360Y-89401860D01* +X125501403Y-89454674D01* +X125589231Y-89491054D01* +X125682468Y-89509600D01* +X125777532Y-89509600D01* +X125870769Y-89491054D01* +X125958597Y-89454674D01* +X126037640Y-89401860D01* +X126104860Y-89334640D01* +X126157674Y-89255597D01* +X126194054Y-89167769D01* +X126212600Y-89074532D01* +X126212600Y-88979468D01* +X126194054Y-88886231D01* +X126157674Y-88798403D01* +X126104860Y-88719360D01* +X126037640Y-88652140D01* +X125958597Y-88599326D01* +X125870769Y-88562946D01* +X125777532Y-88544400D01* +X125682468Y-88544400D01* +X125589231Y-88562946D01* +X125501403Y-88599326D01* +X125422360Y-88652140D01* +X125355140Y-88719360D01* +X125302326Y-88798403D01* +X125265946Y-88886231D01* +X125247400Y-88979468D01* +X76528600Y-88979468D01* +X76528600Y-88344468D01* +X90957400Y-88344468D01* +X90957400Y-88439532D01* +X90975946Y-88532769D01* +X91012326Y-88620597D01* +X91065140Y-88699640D01* +X91132360Y-88766860D01* +X91211403Y-88819674D01* +X91299231Y-88856054D01* +X91392468Y-88874600D01* +X91487532Y-88874600D01* +X91580769Y-88856054D01* +X91668597Y-88819674D01* +X91747640Y-88766860D01* +X91814860Y-88699640D01* +X91867674Y-88620597D01* +X91904054Y-88532769D01* +X91922600Y-88439532D01* +X91922600Y-88344468D01* +X93497400Y-88344468D01* +X93497400Y-88439532D01* +X93515946Y-88532769D01* +X93552326Y-88620597D01* +X93605140Y-88699640D01* +X93672360Y-88766860D01* +X93751403Y-88819674D01* +X93839231Y-88856054D01* +X93932468Y-88874600D01* +X94027532Y-88874600D01* +X94120769Y-88856054D01* +X94208597Y-88819674D01* +X94287640Y-88766860D01* +X94354860Y-88699640D01* +X94407674Y-88620597D01* +X94444054Y-88532769D01* +X94462600Y-88439532D01* +X94462600Y-88344468D01* +X96037400Y-88344468D01* +X96037400Y-88439532D01* +X96055946Y-88532769D01* +X96092326Y-88620597D01* +X96145140Y-88699640D01* +X96212360Y-88766860D01* +X96291403Y-88819674D01* +X96379231Y-88856054D01* +X96472468Y-88874600D01* +X96567532Y-88874600D01* +X96660769Y-88856054D01* +X96748597Y-88819674D01* +X96827640Y-88766860D01* +X96894860Y-88699640D01* +X96947674Y-88620597D01* +X96984054Y-88532769D01* +X97002600Y-88439532D01* +X97002600Y-88344468D01* +X97307400Y-88344468D01* +X97307400Y-88439532D01* +X97325946Y-88532769D01* +X97362326Y-88620597D01* +X97415140Y-88699640D01* +X97482360Y-88766860D01* +X97561403Y-88819674D01* +X97649231Y-88856054D01* +X97742468Y-88874600D01* +X97837532Y-88874600D01* +X97930769Y-88856054D01* +X98018597Y-88819674D01* +X98097640Y-88766860D01* +X98164860Y-88699640D01* +X98217674Y-88620597D01* +X98254054Y-88532769D01* +X98272600Y-88439532D01* +X98272600Y-88344468D01* +X98577400Y-88344468D01* +X98577400Y-88439532D01* +X98595946Y-88532769D01* +X98632326Y-88620597D01* +X98685140Y-88699640D01* +X98752360Y-88766860D01* +X98831403Y-88819674D01* +X98919231Y-88856054D01* +X99012468Y-88874600D01* +X99107532Y-88874600D01* +X99200769Y-88856054D01* +X99288597Y-88819674D01* +X99367640Y-88766860D01* +X99434860Y-88699640D01* +X99487674Y-88620597D01* +X99524054Y-88532769D01* +X99542600Y-88439532D01* +X99542600Y-88344468D01* +X99847400Y-88344468D01* +X99847400Y-88439532D01* +X99865946Y-88532769D01* +X99902326Y-88620597D01* +X99955140Y-88699640D01* +X100022360Y-88766860D01* +X100101403Y-88819674D01* +X100189231Y-88856054D01* +X100282468Y-88874600D01* +X100377532Y-88874600D01* +X100470769Y-88856054D01* +X100558597Y-88819674D01* +X100637640Y-88766860D01* +X100704860Y-88699640D01* +X100757674Y-88620597D01* +X100794054Y-88532769D01* +X100812600Y-88439532D01* +X100812600Y-88344468D01* +X101117400Y-88344468D01* +X101117400Y-88439532D01* +X101135946Y-88532769D01* +X101172326Y-88620597D01* +X101225140Y-88699640D01* +X101292360Y-88766860D01* +X101371403Y-88819674D01* +X101459231Y-88856054D01* +X101552468Y-88874600D01* +X101647532Y-88874600D01* +X101740769Y-88856054D01* +X101828597Y-88819674D01* +X101907640Y-88766860D01* +X101974860Y-88699640D01* +X102027674Y-88620597D01* +X102064054Y-88532769D01* +X102082600Y-88439532D01* +X102082600Y-88344468D01* +X127787400Y-88344468D01* +X127787400Y-88439532D01* +X127805946Y-88532769D01* +X127842326Y-88620597D01* +X127895140Y-88699640D01* +X127962360Y-88766860D01* +X128041403Y-88819674D01* +X128129231Y-88856054D01* +X128222468Y-88874600D01* +X128317532Y-88874600D01* +X128410769Y-88856054D01* +X128498597Y-88819674D01* +X128577640Y-88766860D01* +X128644860Y-88699640D01* +X128697674Y-88620597D01* +X128734054Y-88532769D01* +X128752600Y-88439532D01* +X128752600Y-88344468D01* +X130327400Y-88344468D01* +X130327400Y-88439532D01* +X130345946Y-88532769D01* +X130382326Y-88620597D01* +X130435140Y-88699640D01* +X130502360Y-88766860D01* +X130581403Y-88819674D01* +X130669231Y-88856054D01* +X130762468Y-88874600D01* +X130857532Y-88874600D01* +X130950769Y-88856054D01* +X131038597Y-88819674D01* +X131117640Y-88766860D01* +X131184860Y-88699640D01* +X131237674Y-88620597D01* +X131274054Y-88532769D01* +X131292600Y-88439532D01* +X131292600Y-88344468D01* +X131597400Y-88344468D01* +X131597400Y-88439532D01* +X131615946Y-88532769D01* +X131652326Y-88620597D01* +X131705140Y-88699640D01* +X131772360Y-88766860D01* +X131851403Y-88819674D01* +X131939231Y-88856054D01* +X132032468Y-88874600D01* +X132127532Y-88874600D01* +X132220769Y-88856054D01* +X132308597Y-88819674D01* +X132387640Y-88766860D01* +X132454860Y-88699640D01* +X132507674Y-88620597D01* +X132544054Y-88532769D01* +X132562600Y-88439532D01* +X132562600Y-88344468D01* +X132867400Y-88344468D01* +X132867400Y-88439532D01* +X132885946Y-88532769D01* +X132922326Y-88620597D01* +X132975140Y-88699640D01* +X133042360Y-88766860D01* +X133121403Y-88819674D01* +X133209231Y-88856054D01* +X133302468Y-88874600D01* +X133397532Y-88874600D01* +X133490769Y-88856054D01* +X133578597Y-88819674D01* +X133657640Y-88766860D01* +X133724860Y-88699640D01* +X133777674Y-88620597D01* +X133814054Y-88532769D01* +X133832600Y-88439532D01* +X133832600Y-88344468D01* +X134137400Y-88344468D01* +X134137400Y-88439532D01* +X134155946Y-88532769D01* +X134192326Y-88620597D01* +X134245140Y-88699640D01* +X134312360Y-88766860D01* +X134391403Y-88819674D01* +X134479231Y-88856054D01* +X134572468Y-88874600D01* +X134667532Y-88874600D01* +X134760769Y-88856054D01* +X134848597Y-88819674D01* +X134927640Y-88766860D01* +X134994860Y-88699640D01* +X135047674Y-88620597D01* +X135084054Y-88532769D01* +X135102600Y-88439532D01* +X135102600Y-88344468D01* +X135407400Y-88344468D01* +X135407400Y-88439532D01* +X135425946Y-88532769D01* +X135462326Y-88620597D01* +X135515140Y-88699640D01* +X135582360Y-88766860D01* +X135661403Y-88819674D01* +X135749231Y-88856054D01* +X135842468Y-88874600D01* +X135937532Y-88874600D01* +X136030769Y-88856054D01* +X136118597Y-88819674D01* +X136197640Y-88766860D01* +X136264860Y-88699640D01* +X136317674Y-88620597D01* +X136354054Y-88532769D01* +X136372600Y-88439532D01* +X136372600Y-88344468D01* +X136354054Y-88251231D01* +X136317674Y-88163403D01* +X136264860Y-88084360D01* +X136197640Y-88017140D01* +X136118597Y-87964326D01* +X136030769Y-87927946D01* +X135937532Y-87909400D01* +X135842468Y-87909400D01* +X135749231Y-87927946D01* +X135661403Y-87964326D01* +X135582360Y-88017140D01* +X135515140Y-88084360D01* +X135462326Y-88163403D01* +X135425946Y-88251231D01* +X135407400Y-88344468D01* +X135102600Y-88344468D01* +X135084054Y-88251231D01* +X135047674Y-88163403D01* +X134994860Y-88084360D01* +X134927640Y-88017140D01* +X134848597Y-87964326D01* +X134760769Y-87927946D01* +X134667532Y-87909400D01* +X134572468Y-87909400D01* +X134479231Y-87927946D01* +X134391403Y-87964326D01* +X134312360Y-88017140D01* +X134245140Y-88084360D01* +X134192326Y-88163403D01* +X134155946Y-88251231D01* +X134137400Y-88344468D01* +X133832600Y-88344468D01* +X133814054Y-88251231D01* +X133777674Y-88163403D01* +X133724860Y-88084360D01* +X133657640Y-88017140D01* +X133578597Y-87964326D01* +X133490769Y-87927946D01* +X133397532Y-87909400D01* +X133302468Y-87909400D01* +X133209231Y-87927946D01* +X133121403Y-87964326D01* +X133042360Y-88017140D01* +X132975140Y-88084360D01* +X132922326Y-88163403D01* +X132885946Y-88251231D01* +X132867400Y-88344468D01* +X132562600Y-88344468D01* +X132544054Y-88251231D01* +X132507674Y-88163403D01* +X132454860Y-88084360D01* +X132387640Y-88017140D01* +X132308597Y-87964326D01* +X132220769Y-87927946D01* +X132127532Y-87909400D01* +X132032468Y-87909400D01* +X131939231Y-87927946D01* +X131851403Y-87964326D01* +X131772360Y-88017140D01* +X131705140Y-88084360D01* +X131652326Y-88163403D01* +X131615946Y-88251231D01* +X131597400Y-88344468D01* +X131292600Y-88344468D01* +X131274054Y-88251231D01* +X131237674Y-88163403D01* +X131184860Y-88084360D01* +X131117640Y-88017140D01* +X131038597Y-87964326D01* +X130950769Y-87927946D01* +X130857532Y-87909400D01* +X130762468Y-87909400D01* +X130669231Y-87927946D01* +X130581403Y-87964326D01* +X130502360Y-88017140D01* +X130435140Y-88084360D01* +X130382326Y-88163403D01* +X130345946Y-88251231D01* +X130327400Y-88344468D01* +X128752600Y-88344468D01* +X128734054Y-88251231D01* +X128697674Y-88163403D01* +X128644860Y-88084360D01* +X128577640Y-88017140D01* +X128498597Y-87964326D01* +X128410769Y-87927946D01* +X128317532Y-87909400D01* +X128222468Y-87909400D01* +X128129231Y-87927946D01* +X128041403Y-87964326D01* +X127962360Y-88017140D01* +X127895140Y-88084360D01* +X127842326Y-88163403D01* +X127805946Y-88251231D01* +X127787400Y-88344468D01* +X102082600Y-88344468D01* +X102064054Y-88251231D01* +X102027674Y-88163403D01* +X101974860Y-88084360D01* +X101907640Y-88017140D01* +X101828597Y-87964326D01* +X101740769Y-87927946D01* +X101647532Y-87909400D01* +X101552468Y-87909400D01* +X101459231Y-87927946D01* +X101371403Y-87964326D01* +X101292360Y-88017140D01* +X101225140Y-88084360D01* +X101172326Y-88163403D01* +X101135946Y-88251231D01* +X101117400Y-88344468D01* +X100812600Y-88344468D01* +X100794054Y-88251231D01* +X100757674Y-88163403D01* +X100704860Y-88084360D01* +X100637640Y-88017140D01* +X100558597Y-87964326D01* +X100470769Y-87927946D01* +X100377532Y-87909400D01* +X100282468Y-87909400D01* +X100189231Y-87927946D01* +X100101403Y-87964326D01* +X100022360Y-88017140D01* +X99955140Y-88084360D01* +X99902326Y-88163403D01* +X99865946Y-88251231D01* +X99847400Y-88344468D01* +X99542600Y-88344468D01* +X99524054Y-88251231D01* +X99487674Y-88163403D01* +X99434860Y-88084360D01* +X99367640Y-88017140D01* +X99288597Y-87964326D01* +X99200769Y-87927946D01* +X99107532Y-87909400D01* +X99012468Y-87909400D01* +X98919231Y-87927946D01* +X98831403Y-87964326D01* +X98752360Y-88017140D01* +X98685140Y-88084360D01* +X98632326Y-88163403D01* +X98595946Y-88251231D01* +X98577400Y-88344468D01* +X98272600Y-88344468D01* +X98254054Y-88251231D01* +X98217674Y-88163403D01* +X98164860Y-88084360D01* +X98097640Y-88017140D01* +X98018597Y-87964326D01* +X97930769Y-87927946D01* +X97837532Y-87909400D01* +X97742468Y-87909400D01* +X97649231Y-87927946D01* +X97561403Y-87964326D01* +X97482360Y-88017140D01* +X97415140Y-88084360D01* +X97362326Y-88163403D01* +X97325946Y-88251231D01* +X97307400Y-88344468D01* +X97002600Y-88344468D01* +X96984054Y-88251231D01* +X96947674Y-88163403D01* +X96894860Y-88084360D01* +X96827640Y-88017140D01* +X96748597Y-87964326D01* +X96660769Y-87927946D01* +X96567532Y-87909400D01* +X96472468Y-87909400D01* +X96379231Y-87927946D01* +X96291403Y-87964326D01* +X96212360Y-88017140D01* +X96145140Y-88084360D01* +X96092326Y-88163403D01* +X96055946Y-88251231D01* +X96037400Y-88344468D01* +X94462600Y-88344468D01* +X94444054Y-88251231D01* +X94407674Y-88163403D01* +X94354860Y-88084360D01* +X94287640Y-88017140D01* +X94208597Y-87964326D01* +X94120769Y-87927946D01* +X94027532Y-87909400D01* +X93932468Y-87909400D01* +X93839231Y-87927946D01* +X93751403Y-87964326D01* +X93672360Y-88017140D01* +X93605140Y-88084360D01* +X93552326Y-88163403D01* +X93515946Y-88251231D01* +X93497400Y-88344468D01* +X91922600Y-88344468D01* +X91904054Y-88251231D01* +X91867674Y-88163403D01* +X91814860Y-88084360D01* +X91747640Y-88017140D01* +X91668597Y-87964326D01* +X91580769Y-87927946D01* +X91487532Y-87909400D01* +X91392468Y-87909400D01* +X91299231Y-87927946D01* +X91211403Y-87964326D01* +X91132360Y-88017140D01* +X91065140Y-88084360D01* +X91012326Y-88163403D01* +X90975946Y-88251231D01* +X90957400Y-88344468D01* +X76528600Y-88344468D01* +X76528600Y-82878600D01* +X164771400Y-82878600D01* +X164771401Y-101271400D01* +M02* diff --git a/gerber/simm-30-4mb-In2_Cu.g3 b/gerber/simm-30-4mb-In2_Cu.g3 new file mode 100644 index 0000000..48930b3 --- /dev/null +++ b/gerber/simm-30-4mb-In2_Cu.g3 @@ -0,0 +1,7663 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-29T17:11:23-04:00* +G04 #@! TF.ProjectId,simm-30-4mb,73696d6d-2d33-4302-9d34-6d622e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L3,Inr* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-29 17:11:23* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.800000*% +%ADD11C,0.508000*% +%ADD12C,1.000000*% +%ADD13C,0.152400*% +G04 APERTURE END LIST* +D10* +X157480000Y-98806000D03* +X154940000Y-98806000D03* +X129540000Y-98806000D03* +X144780000Y-98806000D03* +X139700000Y-98806000D03* +X142240000Y-98806000D03* +X137160000Y-98806000D03* +X132080000Y-98806000D03* +X134620000Y-98806000D03* +X152400000Y-98806000D03* +X147320000Y-98806000D03* +X149860000Y-98806000D03* +X127000000Y-98806000D03* +X121920000Y-98806000D03* +X124460000Y-98806000D03* +X119380000Y-98806000D03* +X114300000Y-98806000D03* +X116840000Y-98806000D03* +X111760000Y-98806000D03* +X106680000Y-98806000D03* +X109220000Y-98806000D03* +X101600000Y-98806000D03* +X104140000Y-98806000D03* +X99060000Y-98806000D03* +X96520000Y-98806000D03* +X91440000Y-98806000D03* +X93980000Y-98806000D03* +X83820000Y-98806000D03* +X86360000Y-98806000D03* +X88900000Y-98806000D03* +X86741000Y-94107000D03* +X121031000Y-94107000D03* +X138049000Y-94107000D03* +X83820000Y-94107000D03* +X85090000Y-95250000D03* +X103759000Y-94107000D03* +X106680000Y-94107000D03* +X118110000Y-94107000D03* +X119380000Y-95250000D03* +D11* +X105410000Y-94996000D03* +D10* +X139700000Y-95250000D03* +X140970000Y-94107000D03* +X156210000Y-94107000D03* +X158750000Y-94107000D03* +D12* +X157480000Y-95377000D03* +D11* +X125730000Y-90932000D03* +X115189000Y-93281500D03* +X127000000Y-90932000D03* +X112522000Y-92456000D03* +X91440000Y-88392000D03* +X121158000Y-90170000D03* +X125730000Y-89027000D03* +D10* +X121031000Y-84836000D03* +X138049000Y-85217000D03* +X103759000Y-84836000D03* +X86741000Y-85217000D03* +D11* +X134620000Y-89408000D03* +X133350000Y-89408000D03* +X132080000Y-89408000D03* +X135890000Y-89408000D03* +X130810000Y-89408000D03* +X108839000Y-95377000D03* +X104267000Y-95377000D03* +X129540000Y-89662000D03* +X95250000Y-89662000D03* +X112395000Y-89408000D03* +X119634000Y-89408000D03* +X92710000Y-96520000D03* +X111252000Y-97536000D03* +X117729000Y-97917000D03* +X118872000Y-97536000D03* +X139065000Y-97409000D03* +X134239000Y-97663000D03* +X89408000Y-97028000D03* +X88138000Y-96266000D03* +X142621000Y-97409000D03* +X114681000Y-95758000D03* +X144145000Y-97409000D03* +X143129000Y-96393000D03* +X112395000Y-84709000D03* +X111379000Y-89916000D03* +X108077000Y-84709000D03* +X116713000Y-84709000D03* +X88900000Y-90043000D03* +X87630000Y-90043000D03* +X90170000Y-90043000D03* +X91948000Y-89662000D03* +X126492000Y-89662000D03* +X92710000Y-88392000D03* +X121412000Y-97536000D03* +X122428000Y-97536000D03* +X97282000Y-83439000D03* +X131572000Y-83439000D03* +X77089000Y-83439000D03* +X164211000Y-83439000D03* +X164211000Y-100711000D03* +X79121000Y-100711000D03* +X77089000Y-94742000D03* +X164211000Y-91440000D03* +X77089000Y-91440000D03* +X77089000Y-87376000D03* +X164211000Y-87376000D03* +X140081000Y-83439000D03* +X88773000Y-83439000D03* +X105791000Y-83439000D03* +X123063000Y-83439000D03* +X114427000Y-83439000D03* +X118745000Y-83439000D03* +X110109000Y-83439000D03* +X127127000Y-83439000D03* +X136017000Y-83439000D03* +X101727000Y-83439000D03* +X92837000Y-83439000D03* +X82804000Y-83439000D03* +X152146000Y-83439000D03* +X158242000Y-83439000D03* +X146050000Y-83439000D03* +X164211000Y-96139000D03* +X82804000Y-87376000D03* +X158242000Y-87376000D03* +X152146000Y-87376000D03* +X146050000Y-87376000D03* +X146050000Y-91440000D03* +X152146000Y-91440000D03* +X152146000Y-95885000D03* +X79883000Y-85344000D03* +X161290000Y-85344000D03* +X155194000Y-85344000D03* +X149098000Y-85344000D03* +X143002000Y-85344000D03* +X149098000Y-89408000D03* +X161290000Y-89408000D03* +X149098000Y-93853000D03* +X161290000Y-93853000D03* +X161290000Y-98552000D03* +X78994000Y-94742000D03* +X80899000Y-97790000D03* +X79883000Y-89281000D03* +X139954000Y-87376000D03* +X143002000Y-89408000D03* +X143002000Y-93853000D03* +X146050000Y-95885000D03* +X131699000Y-97663000D03* +X110109000Y-97917000D03* +X102743000Y-97917000D03* +X99949000Y-97917000D03* +X97409000Y-97917000D03* +X128270000Y-98298000D03* +X147701000Y-97409000D03* +X158623000Y-96139000D03* +X113411000Y-91948000D03* +X116205000Y-92964000D03* +X114935000Y-91948000D03* +X114173000Y-92964000D03* +X112395000Y-93472000D03* +X112776000Y-95377000D03* +X110363000Y-95377000D03* +X111506000Y-92583000D03* +X110617000Y-93345000D03* +X111569500Y-94234000D03* +X82931000Y-95250000D03* +D10* +X83820000Y-92456000D03* +X85090000Y-91313000D03* +D11* +X104013000Y-89408000D03* +D10* +X105410000Y-91313000D03* +X119380000Y-91313000D03* +X117729000Y-91821000D03* +X106807000Y-92075000D03* +X139700000Y-91186000D03* +X140970000Y-92456000D03* +X156210000Y-92456000D03* +X158750000Y-92456000D03* +X157480000Y-91313000D03* +D11* +X132080000Y-90932000D03* +X100330000Y-90932000D03* +X133350000Y-90932000D03* +X99060000Y-90932000D03* +X134620000Y-90932000D03* +X97790000Y-90932000D03* +X135890000Y-90932000D03* +X96520000Y-90932000D03* +X93980000Y-88392000D03* +X135890000Y-88392000D03* +X134620000Y-88392000D03* +X96520000Y-88392000D03* +X97790000Y-88392000D03* +X133350000Y-88392000D03* +X99060000Y-88392000D03* +X132080000Y-88392000D03* +X100330000Y-88392000D03* +X130810000Y-88392000D03* +X130810000Y-90932000D03* +X101600000Y-90932000D03* +X101600000Y-88392000D03* +X128270000Y-88392000D03* +D13* +X125730000Y-90932000D02* +X125603000Y-90932000D01* +X125730000Y-90932000D02* +X125984000Y-91186000D01* +X125603000Y-90932000D02* +X125349000Y-91186000D01* +X125730000Y-90932000D02* +X125476000Y-91186000D01* +X125476000Y-91186000D02* +X125984000Y-91186000D01* +X125349000Y-91186000D02* +X125476000Y-91186000D01* +X116268500Y-93599000D02* +X116776500Y-93091000D01* +X116776500Y-93091000D02* +X123444000Y-93091000D01* +X123444000Y-93091000D02* +X125349000Y-91186000D01* +X115506500Y-93599000D02* +X116268500Y-93599000D01* +X115189000Y-93281500D02* +X115506500Y-93599000D01* +X133477000Y-97663000D02* +X134620000Y-98806000D01* +X132461000Y-97663000D02* +X133477000Y-97663000D01* +X125984000Y-91186000D02* +X132461000Y-97663000D01* +X126111000Y-90043000D02* +X127000000Y-90932000D01* +X125476000Y-90043000D02* +X126111000Y-90043000D01* +X123063000Y-92456000D02* +X125476000Y-90043000D01* +X112522000Y-92456000D02* +X123063000Y-92456000D01* +X147955000Y-96901000D02* +X149860000Y-98806000D01* +X132969000Y-96901000D02* +X147955000Y-96901000D01* +X127000000Y-90932000D02* +X132969000Y-96901000D01* +X91440000Y-93726000D02* +X91440000Y-88392000D01* +X86360000Y-98806000D02* +X91440000Y-93726000D01* +X124714000Y-89027000D02* +X123571000Y-90170000D01* +X123571000Y-90170000D02* +X121158000Y-90170000D01* +X125730000Y-89027000D02* +X124714000Y-89027000D01* +X114046000Y-97409000D02* +X117983000Y-97409000D01* +X113284000Y-96647000D02* +X114046000Y-97409000D01* +X117983000Y-97409000D02* +X119380000Y-98806000D01* +X103759000Y-96647000D02* +X113284000Y-96647000D01* +X100330000Y-93218000D02* +X103759000Y-96647000D01* +X100330000Y-90932000D02* +X100330000Y-93218000D01* +X102108000Y-87122000D02* +X100838000Y-87122000D01* +X102743000Y-87757000D02* +X102108000Y-87122000D01* +X122555000Y-87757000D02* +X102743000Y-87757000D01* +X131445000Y-88265000D02* +X130175000Y-86995000D01* +X130175000Y-86995000D02* +X123317000Y-86995000D01* +X99695000Y-89281000D02* +X100330000Y-89916000D01* +X131445000Y-90297000D02* +X131445000Y-88265000D01* +X100838000Y-87122000D02* +X99695000Y-88265000D01* +X123317000Y-86995000D02* +X122555000Y-87757000D01* +X99695000Y-88265000D02* +X99695000Y-89281000D01* +X100330000Y-89916000D02* +X100330000Y-90932000D01* +X132080000Y-90932000D02* +X131445000Y-90297000D01* +X103505000Y-97409000D02* +X99060000Y-92964000D01* +X110363000Y-97409000D02* +X103505000Y-97409000D01* +X99060000Y-92964000D02* +X99060000Y-90932000D01* +X111760000Y-98806000D02* +X110363000Y-97409000D01* +X99060000Y-89916000D02* +X99060000Y-90932000D01* +X133350000Y-90932000D02* +X132715000Y-90297000D01* +X102362000Y-86360000D02* +X100330000Y-86360000D01* +X100330000Y-86360000D02* +X98425000Y-88265000D01* +X102997000Y-86995000D02* +X102362000Y-86360000D01* +X123063000Y-86233000D02* +X122301000Y-86995000D01* +X122301000Y-86995000D02* +X102997000Y-86995000D01* +X132715000Y-88265000D02* +X130683000Y-86233000D01* +X98425000Y-89281000D02* +X99060000Y-89916000D01* +X98425000Y-88265000D02* +X98425000Y-89281000D01* +X130683000Y-86233000D02* +X123063000Y-86233000D01* +X132715000Y-90297000D02* +X132715000Y-88265000D01* +X97790000Y-94996000D02* +X97790000Y-90932000D01* +X101600000Y-98806000D02* +X97790000Y-94996000D01* +X122047000Y-86233000D02* +X103251000Y-86233000D01* +X102616000Y-85598000D02* +X99822000Y-85598000D01* +X97155000Y-88265000D02* +X97155000Y-89281000D01* +X97790000Y-89916000D02* +X97790000Y-90932000D01* +X99822000Y-85598000D02* +X97155000Y-88265000D01* +X97155000Y-89281000D02* +X97790000Y-89916000D01* +X122809000Y-85471000D02* +X122047000Y-86233000D01* +X131191000Y-85471000D02* +X122809000Y-85471000D01* +X133985000Y-88265000D02* +X131191000Y-85471000D01* +X103251000Y-86233000D02* +X102616000Y-85598000D01* +X133985000Y-90297000D02* +X133985000Y-88265000D01* +X134620000Y-90932000D02* +X133985000Y-90297000D01* +X96520000Y-96266000D02* +X96520000Y-90932000D01* +X93980000Y-98806000D02* +X96520000Y-96266000D01* +X135255000Y-90297000D02* +X135890000Y-90932000D01* +X122555000Y-84709000D02* +X131699000Y-84709000D01* +X135255000Y-88265000D02* +X135255000Y-90297000D01* +X131699000Y-84709000D02* +X135255000Y-88265000D01* +X121793000Y-85471000D02* +X122555000Y-84709000D01* +X103505000Y-85471000D02* +X121793000Y-85471000D01* +X102870000Y-84836000D02* +X103505000Y-85471000D01* +X99377500Y-84836000D02* +X102870000Y-84836000D01* +X96520000Y-90932000D02* +X96520000Y-89916000D01* +X95885000Y-89281000D02* +X95885000Y-88328500D01* +X96520000Y-89916000D02* +X95885000Y-89281000D01* +X95885000Y-88328500D02* +X99377500Y-84836000D01* +X93980000Y-96266000D02* +X91440000Y-98806000D01* +X93980000Y-88392000D02* +X93980000Y-96266000D01* +X98298000Y-84074000D02* +X93980000Y-88392000D01* +X131699000Y-84074000D02* +X98298000Y-84074000D01* +X135890000Y-88265000D02* +X131699000Y-84074000D01* +X135890000Y-88392000D02* +X135890000Y-88265000D01* +X96520000Y-89281000D02* +X96520000Y-88392000D01* +X97155000Y-89916000D02* +X96520000Y-89281000D01* +X97155000Y-96901000D02* +X97155000Y-89916000D01* +X99060000Y-98806000D02* +X97155000Y-96901000D01* +X134620000Y-88265000D02* +X134620000Y-88392000D01* +X131445000Y-85090000D02* +X134620000Y-88265000D01* +X122682000Y-85090000D02* +X131445000Y-85090000D01* +X121920000Y-85852000D02* +X122682000Y-85090000D01* +X103378000Y-85852000D02* +X121920000Y-85852000D01* +X102743000Y-85217000D02* +X103378000Y-85852000D01* +X99568000Y-85217000D02* +X102743000Y-85217000D01* +X96520000Y-88265000D02* +X99568000Y-85217000D01* +X96520000Y-88392000D02* +X96520000Y-88265000D01* +X97790000Y-89281000D02* +X97790000Y-88392000D01* +X98425000Y-92837000D02* +X98425000Y-89916000D01* +X103378000Y-97790000D02* +X98425000Y-92837000D01* +X98425000Y-89916000D02* +X97790000Y-89281000D01* +X108204000Y-97790000D02* +X103378000Y-97790000D01* +X109220000Y-98806000D02* +X108204000Y-97790000D01* +X97790000Y-88265000D02* +X97790000Y-88392000D01* +X100076000Y-85979000D02* +X97790000Y-88265000D01* +X102489000Y-85979000D02* +X100076000Y-85979000D01* +X103124000Y-86614000D02* +X102489000Y-85979000D01* +X122174000Y-86614000D02* +X103124000Y-86614000D01* +X122936000Y-85852000D02* +X122174000Y-86614000D01* +X130937000Y-85852000D02* +X122936000Y-85852000D01* +X133350000Y-88265000D02* +X130937000Y-85852000D01* +X133350000Y-88392000D02* +X133350000Y-88265000D01* +X116840000Y-98806000D02* +X116840000Y-98552000D01* +X115824000Y-97790000D02* +X116840000Y-98806000D01* +X99695000Y-93091000D02* +X103632000Y-97028000D01* +X103632000Y-97028000D02* +X113157000Y-97028000D01* +X99695000Y-89916000D02* +X99695000Y-93091000D01* +X113919000Y-97790000D02* +X115824000Y-97790000D01* +X113157000Y-97028000D02* +X113919000Y-97790000D01* +X99060000Y-89281000D02* +X99695000Y-89916000D01* +X99060000Y-88392000D02* +X99060000Y-89281000D01* +X132080000Y-88265000D02* +X132080000Y-88392000D01* +X130429000Y-86614000D02* +X132080000Y-88265000D01* +X122428000Y-87376000D02* +X123190000Y-86614000D01* +X102235000Y-86741000D02* +X102870000Y-87376000D01* +X100584000Y-86741000D02* +X102235000Y-86741000D01* +X99060000Y-88265000D02* +X100584000Y-86741000D01* +X102870000Y-87376000D02* +X122428000Y-87376000D01* +X123190000Y-86614000D02* +X130429000Y-86614000D01* +X99060000Y-88392000D02* +X99060000Y-88265000D01* +X100330000Y-89281000D02* +X100330000Y-88392000D01* +X100965000Y-89916000D02* +X100330000Y-89281000D01* +X100965000Y-93345000D02* +X100965000Y-89916000D01* +X113411000Y-96266000D02* +X103886000Y-96266000D01* +X114173000Y-97028000D02* +X113411000Y-96266000D01* +X122682000Y-97028000D02* +X114173000Y-97028000D01* +X103886000Y-96266000D02* +X100965000Y-93345000D01* +X124460000Y-98806000D02* +X122682000Y-97028000D01* +X130810000Y-88265000D02* +X130810000Y-88392000D01* +X129921000Y-87376000D02* +X130810000Y-88265000D01* +X123444000Y-87376000D02* +X129921000Y-87376000D01* +X122682000Y-88138000D02* +X123444000Y-87376000D01* +X102616000Y-88138000D02* +X122682000Y-88138000D01* +X101981000Y-87503000D02* +X102616000Y-88138000D01* +X101092000Y-87503000D02* +X101981000Y-87503000D01* +X100330000Y-88265000D02* +X101092000Y-87503000D01* +X100330000Y-88392000D02* +X100330000Y-88265000D01* +X101600000Y-93472000D02* +X101600000Y-90932000D01* +X114300000Y-96647000D02* +X113538000Y-95885000D01* +X104013000Y-95885000D02* +X101600000Y-93472000D01* +X127000000Y-98806000D02* +X124841000Y-96647000D01* +X113538000Y-95885000D02* +X104013000Y-95885000D01* +X124841000Y-96647000D02* +X114300000Y-96647000D01* +X122809000Y-88519000D02* +X123571000Y-87757000D01* +X130175000Y-90297000D02* +X130810000Y-90932000D01* +X123571000Y-87757000D02* +X129667000Y-87757000D01* +X100965000Y-89281000D02* +X100965000Y-88138000D01* +X130175000Y-88265000D02* +X130175000Y-90297000D01* +X101854000Y-87884000D02* +X102489000Y-88519000D01* +X102489000Y-88519000D02* +X122809000Y-88519000D01* +X100965000Y-88138000D02* +X101219000Y-87884000D01* +X101219000Y-87884000D02* +X101854000Y-87884000D01* +X101600000Y-89916000D02* +X100965000Y-89281000D01* +X129667000Y-87757000D02* +X130175000Y-88265000D01* +X101600000Y-90932000D02* +X101600000Y-89916000D01* +X102108000Y-88900000D02* +X101600000Y-88392000D01* +X102108000Y-88900000D02* +X102489000Y-89281000D01* +X102362000Y-89027000D02* +X102489000Y-89154000D01* +X102362000Y-88900000D02* +X102362000Y-89027000D01* +X102489000Y-88900000D02* +X102362000Y-88900000D01* +X102489000Y-89154000D02* +X102489000Y-88900000D01* +X102489000Y-89281000D02* +X102489000Y-89154000D01* +X102362000Y-88900000D02* +X102108000Y-88900000D01* +X123698000Y-88138000D02* +X128016000Y-88138000D01* +X122936000Y-88900000D02* +X123698000Y-88138000D01* +X128016000Y-88138000D02* +X128270000Y-88392000D01* +X102489000Y-88900000D02* +X122936000Y-88900000D01* +X114427000Y-96266000D02* +X127000000Y-96266000D01* +X102489000Y-90932000D02* +X104267000Y-92710000D01* +X127000000Y-96266000D02* +X128270000Y-97536000D01* +X104267000Y-92710000D02* +X110871000Y-92710000D01* +X128270000Y-97536000D02* +X129540000Y-98806000D01* +X110871000Y-92710000D02* +X114427000Y-96266000D01* +X102489000Y-89281000D02* +X102489000Y-90932000D01* +G36* +X164771401Y-101271400D02* +G01* +X78560600Y-101271400D01* +X78560600Y-99568000D01* +X85775800Y-99568000D01* +X85775800Y-100457000D01* +X85777264Y-100471866D01* +X85781600Y-100486160D01* +X85788642Y-100499334D01* +X85798118Y-100510882D01* +X85809666Y-100520358D01* +X85822840Y-100527400D01* +X85837134Y-100531736D01* +X85852000Y-100533200D01* +X86868000Y-100533200D01* +X86882866Y-100531736D01* +X86897160Y-100527400D01* +X86910334Y-100520358D01* +X86921882Y-100510882D01* +X86931358Y-100499334D01* +X86938400Y-100486160D01* +X86942736Y-100471866D01* +X86944200Y-100457000D01* +X86944200Y-99568000D01* +X88315800Y-99568000D01* +X88315800Y-100457000D01* +X88317264Y-100471866D01* +X88321600Y-100486160D01* +X88328642Y-100499334D01* +X88338118Y-100510882D01* +X88349666Y-100520358D01* +X88362840Y-100527400D01* +X88377134Y-100531736D01* +X88392000Y-100533200D01* +X89408000Y-100533200D01* +X89422866Y-100531736D01* +X89437160Y-100527400D01* +X89450334Y-100520358D01* +X89461882Y-100510882D01* +X89471358Y-100499334D01* +X89478400Y-100486160D01* +X89482736Y-100471866D01* +X89484200Y-100457000D01* +X89484200Y-99568000D01* +X90855800Y-99568000D01* +X90855800Y-100457000D01* +X90857264Y-100471866D01* +X90861600Y-100486160D01* +X90868642Y-100499334D01* +X90878118Y-100510882D01* +X90889666Y-100520358D01* +X90902840Y-100527400D01* +X90917134Y-100531736D01* +X90932000Y-100533200D01* +X91948000Y-100533200D01* +X91962866Y-100531736D01* +X91977160Y-100527400D01* +X91990334Y-100520358D01* +X92001882Y-100510882D01* +X92011358Y-100499334D01* +X92018400Y-100486160D01* +X92022736Y-100471866D01* +X92024200Y-100457000D01* +X92024200Y-99568000D01* +X93395800Y-99568000D01* +X93395800Y-100457000D01* +X93397264Y-100471866D01* +X93401600Y-100486160D01* +X93408642Y-100499334D01* +X93418118Y-100510882D01* +X93429666Y-100520358D01* +X93442840Y-100527400D01* +X93457134Y-100531736D01* +X93472000Y-100533200D01* +X94488000Y-100533200D01* +X94502866Y-100531736D01* +X94517160Y-100527400D01* +X94530334Y-100520358D01* +X94541882Y-100510882D01* +X94551358Y-100499334D01* +X94558400Y-100486160D01* +X94562736Y-100471866D01* +X94564200Y-100457000D01* +X94564200Y-99568000D01* +X95935800Y-99568000D01* +X95935800Y-100457000D01* +X95937264Y-100471866D01* +X95941600Y-100486160D01* +X95948642Y-100499334D01* +X95958118Y-100510882D01* +X95969666Y-100520358D01* +X95982840Y-100527400D01* +X95997134Y-100531736D01* +X96012000Y-100533200D01* +X97028000Y-100533200D01* +X97042866Y-100531736D01* +X97057160Y-100527400D01* +X97070334Y-100520358D01* +X97081882Y-100510882D01* +X97091358Y-100499334D01* +X97098400Y-100486160D01* +X97102736Y-100471866D01* +X97104200Y-100457000D01* +X97104200Y-99568000D01* +X98475800Y-99568000D01* +X98475800Y-100457000D01* +X98477264Y-100471866D01* +X98481600Y-100486160D01* +X98488642Y-100499334D01* +X98498118Y-100510882D01* +X98509666Y-100520358D01* +X98522840Y-100527400D01* +X98537134Y-100531736D01* +X98552000Y-100533200D01* +X99568000Y-100533200D01* +X99582866Y-100531736D01* +X99597160Y-100527400D01* +X99610334Y-100520358D01* +X99621882Y-100510882D01* +X99631358Y-100499334D01* +X99638400Y-100486160D01* +X99642736Y-100471866D01* +X99644200Y-100457000D01* +X99644200Y-99568000D01* +X101015800Y-99568000D01* +X101015800Y-100457000D01* +X101017264Y-100471866D01* +X101021600Y-100486160D01* +X101028642Y-100499334D01* +X101038118Y-100510882D01* +X101049666Y-100520358D01* +X101062840Y-100527400D01* +X101077134Y-100531736D01* +X101092000Y-100533200D01* +X102108000Y-100533200D01* +X102122866Y-100531736D01* +X102137160Y-100527400D01* +X102150334Y-100520358D01* +X102161882Y-100510882D01* +X102171358Y-100499334D01* +X102178400Y-100486160D01* +X102182736Y-100471866D01* +X102184200Y-100457000D01* +X102184200Y-99568000D01* +X106095800Y-99568000D01* +X106095800Y-100457000D01* +X106097264Y-100471866D01* +X106101600Y-100486160D01* +X106108642Y-100499334D01* +X106118118Y-100510882D01* +X106129666Y-100520358D01* +X106142840Y-100527400D01* +X106157134Y-100531736D01* +X106172000Y-100533200D01* +X107188000Y-100533200D01* +X107202866Y-100531736D01* +X107217160Y-100527400D01* +X107230334Y-100520358D01* +X107241882Y-100510882D01* +X107251358Y-100499334D01* +X107258400Y-100486160D01* +X107262736Y-100471866D01* +X107264200Y-100457000D01* +X107264200Y-99568000D01* +X108635800Y-99568000D01* +X108635800Y-100457000D01* +X108637264Y-100471866D01* +X108641600Y-100486160D01* +X108648642Y-100499334D01* +X108658118Y-100510882D01* +X108669666Y-100520358D01* +X108682840Y-100527400D01* +X108697134Y-100531736D01* +X108712000Y-100533200D01* +X109728000Y-100533200D01* +X109742866Y-100531736D01* +X109757160Y-100527400D01* +X109770334Y-100520358D01* +X109781882Y-100510882D01* +X109791358Y-100499334D01* +X109798400Y-100486160D01* +X109802736Y-100471866D01* +X109804200Y-100457000D01* +X109804200Y-99568000D01* +X111175800Y-99568000D01* +X111175800Y-100457000D01* +X111177264Y-100471866D01* +X111181600Y-100486160D01* +X111188642Y-100499334D01* +X111198118Y-100510882D01* +X111209666Y-100520358D01* +X111222840Y-100527400D01* +X111237134Y-100531736D01* +X111252000Y-100533200D01* +X112268000Y-100533200D01* +X112282866Y-100531736D01* +X112297160Y-100527400D01* +X112310334Y-100520358D01* +X112321882Y-100510882D01* +X112331358Y-100499334D01* +X112338400Y-100486160D01* +X112342736Y-100471866D01* +X112344200Y-100457000D01* +X112344200Y-99568000D01* +X113715800Y-99568000D01* +X113715800Y-100457000D01* +X113717264Y-100471866D01* +X113721600Y-100486160D01* +X113728642Y-100499334D01* +X113738118Y-100510882D01* +X113749666Y-100520358D01* +X113762840Y-100527400D01* +X113777134Y-100531736D01* +X113792000Y-100533200D01* +X114808000Y-100533200D01* +X114822866Y-100531736D01* +X114837160Y-100527400D01* +X114850334Y-100520358D01* +X114861882Y-100510882D01* +X114871358Y-100499334D01* +X114878400Y-100486160D01* +X114882736Y-100471866D01* +X114884200Y-100457000D01* +X114884200Y-99568000D01* +X116255800Y-99568000D01* +X116255800Y-100457000D01* +X116257264Y-100471866D01* +X116261600Y-100486160D01* +X116268642Y-100499334D01* +X116278118Y-100510882D01* +X116289666Y-100520358D01* +X116302840Y-100527400D01* +X116317134Y-100531736D01* +X116332000Y-100533200D01* +X117348000Y-100533200D01* +X117362866Y-100531736D01* +X117377160Y-100527400D01* +X117390334Y-100520358D01* +X117401882Y-100510882D01* +X117411358Y-100499334D01* +X117418400Y-100486160D01* +X117422736Y-100471866D01* +X117424200Y-100457000D01* +X117424200Y-99568000D01* +X118795800Y-99568000D01* +X118795800Y-100457000D01* +X118797264Y-100471866D01* +X118801600Y-100486160D01* +X118808642Y-100499334D01* +X118818118Y-100510882D01* +X118829666Y-100520358D01* +X118842840Y-100527400D01* +X118857134Y-100531736D01* +X118872000Y-100533200D01* +X119888000Y-100533200D01* +X119902866Y-100531736D01* +X119917160Y-100527400D01* +X119930334Y-100520358D01* +X119941882Y-100510882D01* +X119951358Y-100499334D01* +X119958400Y-100486160D01* +X119962736Y-100471866D01* +X119964200Y-100457000D01* +X119964200Y-99568000D01* +X121335800Y-99568000D01* +X121335800Y-100457000D01* +X121337264Y-100471866D01* +X121341600Y-100486160D01* +X121348642Y-100499334D01* +X121358118Y-100510882D01* +X121369666Y-100520358D01* +X121382840Y-100527400D01* +X121397134Y-100531736D01* +X121412000Y-100533200D01* +X122428000Y-100533200D01* +X122442866Y-100531736D01* +X122457160Y-100527400D01* +X122470334Y-100520358D01* +X122481882Y-100510882D01* +X122491358Y-100499334D01* +X122498400Y-100486160D01* +X122502736Y-100471866D01* +X122504200Y-100457000D01* +X122504200Y-99568000D01* +X123875800Y-99568000D01* +X123875800Y-100457000D01* +X123877264Y-100471866D01* +X123881600Y-100486160D01* +X123888642Y-100499334D01* +X123898118Y-100510882D01* +X123909666Y-100520358D01* +X123922840Y-100527400D01* +X123937134Y-100531736D01* +X123952000Y-100533200D01* +X124968000Y-100533200D01* +X124982866Y-100531736D01* +X124997160Y-100527400D01* +X125010334Y-100520358D01* +X125021882Y-100510882D01* +X125031358Y-100499334D01* +X125038400Y-100486160D01* +X125042736Y-100471866D01* +X125044200Y-100457000D01* +X125044200Y-99568000D01* +X126415800Y-99568000D01* +X126415800Y-100457000D01* +X126417264Y-100471866D01* +X126421600Y-100486160D01* +X126428642Y-100499334D01* +X126438118Y-100510882D01* +X126449666Y-100520358D01* +X126462840Y-100527400D01* +X126477134Y-100531736D01* +X126492000Y-100533200D01* +X127508000Y-100533200D01* +X127522866Y-100531736D01* +X127537160Y-100527400D01* +X127550334Y-100520358D01* +X127561882Y-100510882D01* +X127571358Y-100499334D01* +X127578400Y-100486160D01* +X127582736Y-100471866D01* +X127584200Y-100457000D01* +X127584200Y-99568000D01* +X128955800Y-99568000D01* +X128955800Y-100457000D01* +X128957264Y-100471866D01* +X128961600Y-100486160D01* +X128968642Y-100499334D01* +X128978118Y-100510882D01* +X128989666Y-100520358D01* +X129002840Y-100527400D01* +X129017134Y-100531736D01* +X129032000Y-100533200D01* +X130048000Y-100533200D01* +X130062866Y-100531736D01* +X130077160Y-100527400D01* +X130090334Y-100520358D01* +X130101882Y-100510882D01* +X130111358Y-100499334D01* +X130118400Y-100486160D01* +X130122736Y-100471866D01* +X130124200Y-100457000D01* +X130124200Y-99568000D01* +X131495800Y-99568000D01* +X131495800Y-100457000D01* +X131497264Y-100471866D01* +X131501600Y-100486160D01* +X131508642Y-100499334D01* +X131518118Y-100510882D01* +X131529666Y-100520358D01* +X131542840Y-100527400D01* +X131557134Y-100531736D01* +X131572000Y-100533200D01* +X132588000Y-100533200D01* +X132602866Y-100531736D01* +X132617160Y-100527400D01* +X132630334Y-100520358D01* +X132641882Y-100510882D01* +X132651358Y-100499334D01* +X132658400Y-100486160D01* +X132662736Y-100471866D01* +X132664200Y-100457000D01* +X132664200Y-99568000D01* +X134035800Y-99568000D01* +X134035800Y-100457000D01* +X134037264Y-100471866D01* +X134041600Y-100486160D01* +X134048642Y-100499334D01* +X134058118Y-100510882D01* +X134069666Y-100520358D01* +X134082840Y-100527400D01* +X134097134Y-100531736D01* +X134112000Y-100533200D01* +X135128000Y-100533200D01* +X135142866Y-100531736D01* +X135157160Y-100527400D01* +X135170334Y-100520358D01* +X135181882Y-100510882D01* +X135191358Y-100499334D01* +X135198400Y-100486160D01* +X135202736Y-100471866D01* +X135204200Y-100457000D01* +X135204200Y-99568000D01* +X139115800Y-99568000D01* +X139115800Y-100457000D01* +X139117264Y-100471866D01* +X139121600Y-100486160D01* +X139128642Y-100499334D01* +X139138118Y-100510882D01* +X139149666Y-100520358D01* +X139162840Y-100527400D01* +X139177134Y-100531736D01* +X139192000Y-100533200D01* +X140208000Y-100533200D01* +X140222866Y-100531736D01* +X140237160Y-100527400D01* +X140250334Y-100520358D01* +X140261882Y-100510882D01* +X140271358Y-100499334D01* +X140278400Y-100486160D01* +X140282736Y-100471866D01* +X140284200Y-100457000D01* +X140284200Y-99568000D01* +X141655800Y-99568000D01* +X141655800Y-100457000D01* +X141657264Y-100471866D01* +X141661600Y-100486160D01* +X141668642Y-100499334D01* +X141678118Y-100510882D01* +X141689666Y-100520358D01* +X141702840Y-100527400D01* +X141717134Y-100531736D01* +X141732000Y-100533200D01* +X142748000Y-100533200D01* +X142762866Y-100531736D01* +X142777160Y-100527400D01* +X142790334Y-100520358D01* +X142801882Y-100510882D01* +X142811358Y-100499334D01* +X142818400Y-100486160D01* +X142822736Y-100471866D01* +X142824200Y-100457000D01* +X142824200Y-99568000D01* +X144195800Y-99568000D01* +X144195800Y-100457000D01* +X144197264Y-100471866D01* +X144201600Y-100486160D01* +X144208642Y-100499334D01* +X144218118Y-100510882D01* +X144229666Y-100520358D01* +X144242840Y-100527400D01* +X144257134Y-100531736D01* +X144272000Y-100533200D01* +X145288000Y-100533200D01* +X145302866Y-100531736D01* +X145317160Y-100527400D01* +X145330334Y-100520358D01* +X145341882Y-100510882D01* +X145351358Y-100499334D01* +X145358400Y-100486160D01* +X145362736Y-100471866D01* +X145364200Y-100457000D01* +X145364200Y-99568000D01* +X146735800Y-99568000D01* +X146735800Y-100457000D01* +X146737264Y-100471866D01* +X146741600Y-100486160D01* +X146748642Y-100499334D01* +X146758118Y-100510882D01* +X146769666Y-100520358D01* +X146782840Y-100527400D01* +X146797134Y-100531736D01* +X146812000Y-100533200D01* +X147828000Y-100533200D01* +X147842866Y-100531736D01* +X147857160Y-100527400D01* +X147870334Y-100520358D01* +X147881882Y-100510882D01* +X147891358Y-100499334D01* +X147898400Y-100486160D01* +X147902736Y-100471866D01* +X147904200Y-100457000D01* +X147904200Y-99568000D01* +X149275800Y-99568000D01* +X149275800Y-100457000D01* +X149277264Y-100471866D01* +X149281600Y-100486160D01* +X149288642Y-100499334D01* +X149298118Y-100510882D01* +X149309666Y-100520358D01* +X149322840Y-100527400D01* +X149337134Y-100531736D01* +X149352000Y-100533200D01* +X150368000Y-100533200D01* +X150382866Y-100531736D01* +X150397160Y-100527400D01* +X150410334Y-100520358D01* +X150421882Y-100510882D01* +X150431358Y-100499334D01* +X150438400Y-100486160D01* +X150442736Y-100471866D01* +X150444200Y-100457000D01* +X150444200Y-99568000D01* +X151815800Y-99568000D01* +X151815800Y-100457000D01* +X151817264Y-100471866D01* +X151821600Y-100486160D01* +X151828642Y-100499334D01* +X151838118Y-100510882D01* +X151849666Y-100520358D01* +X151862840Y-100527400D01* +X151877134Y-100531736D01* +X151892000Y-100533200D01* +X152908000Y-100533200D01* +X152922866Y-100531736D01* +X152937160Y-100527400D01* +X152950334Y-100520358D01* +X152961882Y-100510882D01* +X152971358Y-100499334D01* +X152978400Y-100486160D01* +X152982736Y-100471866D01* +X152984200Y-100457000D01* +X152984200Y-99568000D01* +X154355800Y-99568000D01* +X154355800Y-100457000D01* +X154357264Y-100471866D01* +X154361600Y-100486160D01* +X154368642Y-100499334D01* +X154378118Y-100510882D01* +X154389666Y-100520358D01* +X154402840Y-100527400D01* +X154417134Y-100531736D01* +X154432000Y-100533200D01* +X155448000Y-100533200D01* +X155462866Y-100531736D01* +X155477160Y-100527400D01* +X155490334Y-100520358D01* +X155501882Y-100510882D01* +X155511358Y-100499334D01* +X155518400Y-100486160D01* +X155522736Y-100471866D01* +X155524200Y-100457000D01* +X155524200Y-99568000D01* +X155522736Y-99553134D01* +X155518400Y-99538840D01* +X155511358Y-99525666D01* +X155501882Y-99514118D01* +X155490334Y-99504642D01* +X155477160Y-99497600D01* +X155462866Y-99493264D01* +X155448000Y-99491800D01* +X154432000Y-99491800D01* +X154417134Y-99493264D01* +X154402840Y-99497600D01* +X154389666Y-99504642D01* +X154378118Y-99514118D01* +X154368642Y-99525666D01* +X154361600Y-99538840D01* +X154357264Y-99553134D01* +X154355800Y-99568000D01* +X152984200Y-99568000D01* +X152982736Y-99553134D01* +X152978400Y-99538840D01* +X152971358Y-99525666D01* +X152961882Y-99514118D01* +X152950334Y-99504642D01* +X152937160Y-99497600D01* +X152922866Y-99493264D01* +X152908000Y-99491800D01* +X151892000Y-99491800D01* +X151877134Y-99493264D01* +X151862840Y-99497600D01* +X151849666Y-99504642D01* +X151838118Y-99514118D01* +X151828642Y-99525666D01* +X151821600Y-99538840D01* +X151817264Y-99553134D01* +X151815800Y-99568000D01* +X150444200Y-99568000D01* +X150442736Y-99553134D01* +X150438400Y-99538840D01* +X150431358Y-99525666D01* +X150421882Y-99514118D01* +X150410334Y-99504642D01* +X150397160Y-99497600D01* +X150382866Y-99493264D01* +X150368000Y-99491800D01* +X149352000Y-99491800D01* +X149337134Y-99493264D01* +X149322840Y-99497600D01* +X149309666Y-99504642D01* +X149298118Y-99514118D01* +X149288642Y-99525666D01* +X149281600Y-99538840D01* +X149277264Y-99553134D01* +X149275800Y-99568000D01* +X147904200Y-99568000D01* +X147902736Y-99553134D01* +X147898400Y-99538840D01* +X147891358Y-99525666D01* +X147881882Y-99514118D01* +X147870334Y-99504642D01* +X147857160Y-99497600D01* +X147842866Y-99493264D01* +X147828000Y-99491800D01* +X146812000Y-99491800D01* +X146797134Y-99493264D01* +X146782840Y-99497600D01* +X146769666Y-99504642D01* +X146758118Y-99514118D01* +X146748642Y-99525666D01* +X146741600Y-99538840D01* +X146737264Y-99553134D01* +X146735800Y-99568000D01* +X145364200Y-99568000D01* +X145362736Y-99553134D01* +X145358400Y-99538840D01* +X145351358Y-99525666D01* +X145341882Y-99514118D01* +X145330334Y-99504642D01* +X145317160Y-99497600D01* +X145302866Y-99493264D01* +X145288000Y-99491800D01* +X144272000Y-99491800D01* +X144257134Y-99493264D01* +X144242840Y-99497600D01* +X144229666Y-99504642D01* +X144218118Y-99514118D01* +X144208642Y-99525666D01* +X144201600Y-99538840D01* +X144197264Y-99553134D01* +X144195800Y-99568000D01* +X142824200Y-99568000D01* +X142822736Y-99553134D01* +X142818400Y-99538840D01* +X142811358Y-99525666D01* +X142801882Y-99514118D01* +X142790334Y-99504642D01* +X142777160Y-99497600D01* +X142762866Y-99493264D01* +X142748000Y-99491800D01* +X141732000Y-99491800D01* +X141717134Y-99493264D01* +X141702840Y-99497600D01* +X141689666Y-99504642D01* +X141678118Y-99514118D01* +X141668642Y-99525666D01* +X141661600Y-99538840D01* +X141657264Y-99553134D01* +X141655800Y-99568000D01* +X140284200Y-99568000D01* +X140282736Y-99553134D01* +X140278400Y-99538840D01* +X140271358Y-99525666D01* +X140261882Y-99514118D01* +X140250334Y-99504642D01* +X140237160Y-99497600D01* +X140222866Y-99493264D01* +X140208000Y-99491800D01* +X139192000Y-99491800D01* +X139177134Y-99493264D01* +X139162840Y-99497600D01* +X139149666Y-99504642D01* +X139138118Y-99514118D01* +X139128642Y-99525666D01* +X139121600Y-99538840D01* +X139117264Y-99553134D01* +X139115800Y-99568000D01* +X135204200Y-99568000D01* +X135202736Y-99553134D01* +X135198400Y-99538840D01* +X135191358Y-99525666D01* +X135181882Y-99514118D01* +X135170334Y-99504642D01* +X135157160Y-99497600D01* +X135142866Y-99493264D01* +X135128000Y-99491800D01* +X134112000Y-99491800D01* +X134097134Y-99493264D01* +X134082840Y-99497600D01* +X134069666Y-99504642D01* +X134058118Y-99514118D01* +X134048642Y-99525666D01* +X134041600Y-99538840D01* +X134037264Y-99553134D01* +X134035800Y-99568000D01* +X132664200Y-99568000D01* +X132662736Y-99553134D01* +X132658400Y-99538840D01* +X132651358Y-99525666D01* +X132641882Y-99514118D01* +X132630334Y-99504642D01* +X132617160Y-99497600D01* +X132602866Y-99493264D01* +X132588000Y-99491800D01* +X131572000Y-99491800D01* +X131557134Y-99493264D01* +X131542840Y-99497600D01* +X131529666Y-99504642D01* +X131518118Y-99514118D01* +X131508642Y-99525666D01* +X131501600Y-99538840D01* +X131497264Y-99553134D01* +X131495800Y-99568000D01* +X130124200Y-99568000D01* +X130122736Y-99553134D01* +X130118400Y-99538840D01* +X130111358Y-99525666D01* +X130101882Y-99514118D01* +X130090334Y-99504642D01* +X130077160Y-99497600D01* +X130062866Y-99493264D01* +X130048000Y-99491800D01* +X129032000Y-99491800D01* +X129017134Y-99493264D01* +X129002840Y-99497600D01* +X128989666Y-99504642D01* +X128978118Y-99514118D01* +X128968642Y-99525666D01* +X128961600Y-99538840D01* +X128957264Y-99553134D01* +X128955800Y-99568000D01* +X127584200Y-99568000D01* +X127582736Y-99553134D01* +X127578400Y-99538840D01* +X127571358Y-99525666D01* +X127561882Y-99514118D01* +X127550334Y-99504642D01* +X127537160Y-99497600D01* +X127522866Y-99493264D01* +X127508000Y-99491800D01* +X126492000Y-99491800D01* +X126477134Y-99493264D01* +X126462840Y-99497600D01* +X126449666Y-99504642D01* +X126438118Y-99514118D01* +X126428642Y-99525666D01* +X126421600Y-99538840D01* +X126417264Y-99553134D01* +X126415800Y-99568000D01* +X125044200Y-99568000D01* +X125042736Y-99553134D01* +X125038400Y-99538840D01* +X125031358Y-99525666D01* +X125021882Y-99514118D01* +X125010334Y-99504642D01* +X124997160Y-99497600D01* +X124982866Y-99493264D01* +X124968000Y-99491800D01* +X123952000Y-99491800D01* +X123937134Y-99493264D01* +X123922840Y-99497600D01* +X123909666Y-99504642D01* +X123898118Y-99514118D01* +X123888642Y-99525666D01* +X123881600Y-99538840D01* +X123877264Y-99553134D01* +X123875800Y-99568000D01* +X122504200Y-99568000D01* +X122502736Y-99553134D01* +X122498400Y-99538840D01* +X122491358Y-99525666D01* +X122481882Y-99514118D01* +X122470334Y-99504642D01* +X122457160Y-99497600D01* +X122442866Y-99493264D01* +X122428000Y-99491800D01* +X121412000Y-99491800D01* +X121397134Y-99493264D01* +X121382840Y-99497600D01* +X121369666Y-99504642D01* +X121358118Y-99514118D01* +X121348642Y-99525666D01* +X121341600Y-99538840D01* +X121337264Y-99553134D01* +X121335800Y-99568000D01* +X119964200Y-99568000D01* +X119962736Y-99553134D01* +X119958400Y-99538840D01* +X119951358Y-99525666D01* +X119941882Y-99514118D01* +X119930334Y-99504642D01* +X119917160Y-99497600D01* +X119902866Y-99493264D01* +X119888000Y-99491800D01* +X118872000Y-99491800D01* +X118857134Y-99493264D01* +X118842840Y-99497600D01* +X118829666Y-99504642D01* +X118818118Y-99514118D01* +X118808642Y-99525666D01* +X118801600Y-99538840D01* +X118797264Y-99553134D01* +X118795800Y-99568000D01* +X117424200Y-99568000D01* +X117422736Y-99553134D01* +X117418400Y-99538840D01* +X117411358Y-99525666D01* +X117401882Y-99514118D01* +X117390334Y-99504642D01* +X117377160Y-99497600D01* +X117362866Y-99493264D01* +X117348000Y-99491800D01* +X116332000Y-99491800D01* +X116317134Y-99493264D01* +X116302840Y-99497600D01* +X116289666Y-99504642D01* +X116278118Y-99514118D01* +X116268642Y-99525666D01* +X116261600Y-99538840D01* +X116257264Y-99553134D01* +X116255800Y-99568000D01* +X114884200Y-99568000D01* +X114882736Y-99553134D01* +X114878400Y-99538840D01* +X114871358Y-99525666D01* +X114861882Y-99514118D01* +X114850334Y-99504642D01* +X114837160Y-99497600D01* +X114822866Y-99493264D01* +X114808000Y-99491800D01* +X113792000Y-99491800D01* +X113777134Y-99493264D01* +X113762840Y-99497600D01* +X113749666Y-99504642D01* +X113738118Y-99514118D01* +X113728642Y-99525666D01* +X113721600Y-99538840D01* +X113717264Y-99553134D01* +X113715800Y-99568000D01* +X112344200Y-99568000D01* +X112342736Y-99553134D01* +X112338400Y-99538840D01* +X112331358Y-99525666D01* +X112321882Y-99514118D01* +X112310334Y-99504642D01* +X112297160Y-99497600D01* +X112282866Y-99493264D01* +X112268000Y-99491800D01* +X111252000Y-99491800D01* +X111237134Y-99493264D01* +X111222840Y-99497600D01* +X111209666Y-99504642D01* +X111198118Y-99514118D01* +X111188642Y-99525666D01* +X111181600Y-99538840D01* +X111177264Y-99553134D01* +X111175800Y-99568000D01* +X109804200Y-99568000D01* +X109802736Y-99553134D01* +X109798400Y-99538840D01* +X109791358Y-99525666D01* +X109781882Y-99514118D01* +X109770334Y-99504642D01* +X109757160Y-99497600D01* +X109742866Y-99493264D01* +X109728000Y-99491800D01* +X108712000Y-99491800D01* +X108697134Y-99493264D01* +X108682840Y-99497600D01* +X108669666Y-99504642D01* +X108658118Y-99514118D01* +X108648642Y-99525666D01* +X108641600Y-99538840D01* +X108637264Y-99553134D01* +X108635800Y-99568000D01* +X107264200Y-99568000D01* +X107262736Y-99553134D01* +X107258400Y-99538840D01* +X107251358Y-99525666D01* +X107241882Y-99514118D01* +X107230334Y-99504642D01* +X107217160Y-99497600D01* +X107202866Y-99493264D01* +X107188000Y-99491800D01* +X106172000Y-99491800D01* +X106157134Y-99493264D01* +X106142840Y-99497600D01* +X106129666Y-99504642D01* +X106118118Y-99514118D01* +X106108642Y-99525666D01* +X106101600Y-99538840D01* +X106097264Y-99553134D01* +X106095800Y-99568000D01* +X102184200Y-99568000D01* +X102182736Y-99553134D01* +X102178400Y-99538840D01* +X102171358Y-99525666D01* +X102161882Y-99514118D01* +X102150334Y-99504642D01* +X102137160Y-99497600D01* +X102122866Y-99493264D01* +X102108000Y-99491800D01* +X101092000Y-99491800D01* +X101077134Y-99493264D01* +X101062840Y-99497600D01* +X101049666Y-99504642D01* +X101038118Y-99514118D01* +X101028642Y-99525666D01* +X101021600Y-99538840D01* +X101017264Y-99553134D01* +X101015800Y-99568000D01* +X99644200Y-99568000D01* +X99642736Y-99553134D01* +X99638400Y-99538840D01* +X99631358Y-99525666D01* +X99621882Y-99514118D01* +X99610334Y-99504642D01* +X99597160Y-99497600D01* +X99582866Y-99493264D01* +X99568000Y-99491800D01* +X98552000Y-99491800D01* +X98537134Y-99493264D01* +X98522840Y-99497600D01* +X98509666Y-99504642D01* +X98498118Y-99514118D01* +X98488642Y-99525666D01* +X98481600Y-99538840D01* +X98477264Y-99553134D01* +X98475800Y-99568000D01* +X97104200Y-99568000D01* +X97102736Y-99553134D01* +X97098400Y-99538840D01* +X97091358Y-99525666D01* +X97081882Y-99514118D01* +X97070334Y-99504642D01* +X97057160Y-99497600D01* +X97042866Y-99493264D01* +X97028000Y-99491800D01* +X96012000Y-99491800D01* +X95997134Y-99493264D01* +X95982840Y-99497600D01* +X95969666Y-99504642D01* +X95958118Y-99514118D01* +X95948642Y-99525666D01* +X95941600Y-99538840D01* +X95937264Y-99553134D01* +X95935800Y-99568000D01* +X94564200Y-99568000D01* +X94562736Y-99553134D01* +X94558400Y-99538840D01* +X94551358Y-99525666D01* +X94541882Y-99514118D01* +X94530334Y-99504642D01* +X94517160Y-99497600D01* +X94502866Y-99493264D01* +X94488000Y-99491800D01* +X93472000Y-99491800D01* +X93457134Y-99493264D01* +X93442840Y-99497600D01* +X93429666Y-99504642D01* +X93418118Y-99514118D01* +X93408642Y-99525666D01* +X93401600Y-99538840D01* +X93397264Y-99553134D01* +X93395800Y-99568000D01* +X92024200Y-99568000D01* +X92022736Y-99553134D01* +X92018400Y-99538840D01* +X92011358Y-99525666D01* +X92001882Y-99514118D01* +X91990334Y-99504642D01* +X91977160Y-99497600D01* +X91962866Y-99493264D01* +X91948000Y-99491800D01* +X90932000Y-99491800D01* +X90917134Y-99493264D01* +X90902840Y-99497600D01* +X90889666Y-99504642D01* +X90878118Y-99514118D01* +X90868642Y-99525666D01* +X90861600Y-99538840D01* +X90857264Y-99553134D01* +X90855800Y-99568000D01* +X89484200Y-99568000D01* +X89482736Y-99553134D01* +X89478400Y-99538840D01* +X89471358Y-99525666D01* +X89461882Y-99514118D01* +X89450334Y-99504642D01* +X89437160Y-99497600D01* +X89422866Y-99493264D01* +X89408000Y-99491800D01* +X88392000Y-99491800D01* +X88377134Y-99493264D01* +X88362840Y-99497600D01* +X88349666Y-99504642D01* +X88338118Y-99514118D01* +X88328642Y-99525666D01* +X88321600Y-99538840D01* +X88317264Y-99553134D01* +X88315800Y-99568000D01* +X86944200Y-99568000D01* +X86942736Y-99553134D01* +X86938400Y-99538840D01* +X86931358Y-99525666D01* +X86921882Y-99514118D01* +X86910334Y-99504642D01* +X86897160Y-99497600D01* +X86882866Y-99493264D01* +X86868000Y-99491800D01* +X85852000Y-99491800D01* +X85837134Y-99493264D01* +X85822840Y-99497600D01* +X85809666Y-99504642D01* +X85798118Y-99514118D01* +X85788642Y-99525666D01* +X85781600Y-99538840D01* +X85777264Y-99553134D01* +X85775800Y-99568000D01* +X78560600Y-99568000D01* +X78560600Y-98744088D01* +X83191400Y-98744088D01* +X83191400Y-98867912D01* +X83215556Y-98989356D01* +X83262941Y-99103754D01* +X83331734Y-99206709D01* +X83419291Y-99294266D01* +X83522246Y-99363059D01* +X83636644Y-99410444D01* +X83758088Y-99434600D01* +X83881912Y-99434600D01* +X84003356Y-99410444D01* +X84117754Y-99363059D01* +X84220709Y-99294266D01* +X84308266Y-99206709D01* +X84377059Y-99103754D01* +X84424444Y-98989356D01* +X84448600Y-98867912D01* +X84448600Y-98744088D01* +X85731400Y-98744088D01* +X85731400Y-98867912D01* +X85755556Y-98989356D01* +X85802941Y-99103754D01* +X85871734Y-99206709D01* +X85959291Y-99294266D01* +X86062246Y-99363059D01* +X86176644Y-99410444D01* +X86298088Y-99434600D01* +X86421912Y-99434600D01* +X86543356Y-99410444D01* +X86657754Y-99363059D01* +X86760709Y-99294266D01* +X86848266Y-99206709D01* +X86917059Y-99103754D01* +X86964444Y-98989356D01* +X86988600Y-98867912D01* +X86988600Y-98744088D01* +X88271400Y-98744088D01* +X88271400Y-98867912D01* +X88295556Y-98989356D01* +X88342941Y-99103754D01* +X88411734Y-99206709D01* +X88499291Y-99294266D01* +X88602246Y-99363059D01* +X88716644Y-99410444D01* +X88838088Y-99434600D01* +X88961912Y-99434600D01* +X89083356Y-99410444D01* +X89197754Y-99363059D01* +X89300709Y-99294266D01* +X89388266Y-99206709D01* +X89457059Y-99103754D01* +X89504444Y-98989356D01* +X89528600Y-98867912D01* +X89528600Y-98744088D01* +X90811400Y-98744088D01* +X90811400Y-98867912D01* +X90835556Y-98989356D01* +X90882941Y-99103754D01* +X90951734Y-99206709D01* +X91039291Y-99294266D01* +X91142246Y-99363059D01* +X91256644Y-99410444D01* +X91378088Y-99434600D01* +X91501912Y-99434600D01* +X91623356Y-99410444D01* +X91737754Y-99363059D01* +X91840709Y-99294266D01* +X91928266Y-99206709D01* +X91997059Y-99103754D01* +X92044444Y-98989356D01* +X92068600Y-98867912D01* +X92068600Y-98744088D01* +X92046097Y-98630954D01* +X94184944Y-96492108D01* +X94196568Y-96482568D01* +X94234658Y-96436157D01* +X94262960Y-96383206D01* +X94280389Y-96325751D01* +X94284800Y-96280966D01* +X94284800Y-96280959D01* +X94286273Y-96266001D01* +X94284800Y-96251043D01* +X94284800Y-88768758D01* +X94287640Y-88766860D01* +X94354860Y-88699640D01* +X94407674Y-88620597D01* +X94444054Y-88532769D01* +X94462600Y-88439532D01* +X94462600Y-88344468D01* +X94461934Y-88341118D01* +X98424252Y-84378800D01* +X131572749Y-84378800D01* +X131598149Y-84404200D01* +X122569958Y-84404200D01* +X122555000Y-84402727D01* +X122540042Y-84404200D01* +X122540034Y-84404200D01* +X122500403Y-84408103D01* +X122495248Y-84408611D01* +X122437794Y-84426040D01* +X122384843Y-84454342D01* +X122338432Y-84492432D01* +X122328892Y-84504056D01* +X121666749Y-85166200D01* +X103631252Y-85166200D01* +X103096112Y-84631061D01* +X103086568Y-84619432D01* +X103040157Y-84581342D01* +X102987206Y-84553040D01* +X102929751Y-84535611D01* +X102884966Y-84531200D01* +X102884958Y-84531200D01* +X102870000Y-84529727D01* +X102855042Y-84531200D01* +X99392458Y-84531200D01* +X99377500Y-84529727D01* +X99362542Y-84531200D01* +X99362534Y-84531200D01* +X99322903Y-84535103D01* +X99317748Y-84535611D01* +X99307091Y-84538844D01* +X99260294Y-84553040D01* +X99207343Y-84581342D01* +X99160932Y-84619432D01* +X99151392Y-84631056D01* +X95680057Y-88102392D01* +X95668433Y-88111932D01* +X95630343Y-88158343D01* +X95621209Y-88175432D01* +X95602040Y-88211295D01* +X95584611Y-88268749D01* +X95578727Y-88328500D01* +X95580201Y-88343468D01* +X95580200Y-89266042D01* +X95578727Y-89281000D01* +X95580200Y-89295958D01* +X95580200Y-89295965D01* +X95584611Y-89340750D01* +X95602040Y-89398205D01* +X95630342Y-89451156D01* +X95668432Y-89497568D01* +X95680061Y-89507112D01* +X96215201Y-90042253D01* +X96215200Y-90555242D01* +X96212360Y-90557140D01* +X96145140Y-90624360D01* +X96092326Y-90703403D01* +X96055946Y-90791231D01* +X96037400Y-90884468D01* +X96037400Y-90979532D01* +X96055946Y-91072769D01* +X96092326Y-91160597D01* +X96145140Y-91239640D01* +X96212360Y-91306860D01* +X96215201Y-91308758D01* +X96215200Y-96139748D01* +X94155046Y-98199903D01* +X94041912Y-98177400D01* +X93918088Y-98177400D01* +X93796644Y-98201556D01* +X93682246Y-98248941D01* +X93579291Y-98317734D01* +X93491734Y-98405291D01* +X93422941Y-98508246D01* +X93375556Y-98622644D01* +X93351400Y-98744088D01* +X93351400Y-98867912D01* +X93375556Y-98989356D01* +X93422941Y-99103754D01* +X93491734Y-99206709D01* +X93579291Y-99294266D01* +X93682246Y-99363059D01* +X93796644Y-99410444D01* +X93918088Y-99434600D01* +X94041912Y-99434600D01* +X94163356Y-99410444D01* +X94277754Y-99363059D01* +X94380709Y-99294266D01* +X94468266Y-99206709D01* +X94537059Y-99103754D01* +X94584444Y-98989356D01* +X94608600Y-98867912D01* +X94608600Y-98744088D01* +X95891400Y-98744088D01* +X95891400Y-98867912D01* +X95915556Y-98989356D01* +X95962941Y-99103754D01* +X96031734Y-99206709D01* +X96119291Y-99294266D01* +X96222246Y-99363059D01* +X96336644Y-99410444D01* +X96458088Y-99434600D01* +X96581912Y-99434600D01* +X96703356Y-99410444D01* +X96817754Y-99363059D01* +X96920709Y-99294266D01* +X97008266Y-99206709D01* +X97077059Y-99103754D01* +X97124444Y-98989356D01* +X97148600Y-98867912D01* +X97148600Y-98744088D01* +X97124444Y-98622644D01* +X97077059Y-98508246D01* +X97008266Y-98405291D01* +X96920709Y-98317734D01* +X96817754Y-98248941D01* +X96703356Y-98201556D01* +X96581912Y-98177400D01* +X96458088Y-98177400D01* +X96336644Y-98201556D01* +X96222246Y-98248941D01* +X96119291Y-98317734D01* +X96031734Y-98405291D01* +X95962941Y-98508246D01* +X95915556Y-98622644D01* +X95891400Y-98744088D01* +X94608600Y-98744088D01* +X94586097Y-98630954D01* +X96724945Y-96492107D01* +X96736568Y-96482568D01* +X96774658Y-96436157D01* +X96802960Y-96383206D01* +X96820389Y-96325751D01* +X96824800Y-96280966D01* +X96824800Y-96280959D01* +X96826273Y-96266001D01* +X96824800Y-96251043D01* +X96824800Y-91308758D01* +X96827640Y-91306860D01* +X96850201Y-91284299D01* +X96850200Y-96886042D01* +X96848727Y-96901000D01* +X96850200Y-96915958D01* +X96850200Y-96915965D01* +X96853731Y-96951811D01* +X96854611Y-96960751D01* +X96865346Y-96996139D01* +X96872040Y-97018205D01* +X96900342Y-97071156D01* +X96938432Y-97117568D01* +X96950061Y-97127112D01* +X98453903Y-98630955D01* +X98431400Y-98744088D01* +X98431400Y-98867912D01* +X98455556Y-98989356D01* +X98502941Y-99103754D01* +X98571734Y-99206709D01* +X98659291Y-99294266D01* +X98762246Y-99363059D01* +X98876644Y-99410444D01* +X98998088Y-99434600D01* +X99121912Y-99434600D01* +X99243356Y-99410444D01* +X99357754Y-99363059D01* +X99460709Y-99294266D01* +X99548266Y-99206709D01* +X99617059Y-99103754D01* +X99664444Y-98989356D01* +X99688600Y-98867912D01* +X99688600Y-98744088D01* +X99664444Y-98622644D01* +X99617059Y-98508246D01* +X99548266Y-98405291D01* +X99460709Y-98317734D01* +X99357754Y-98248941D01* +X99243356Y-98201556D01* +X99121912Y-98177400D01* +X98998088Y-98177400D01* +X98884955Y-98199903D01* +X97459800Y-96774749D01* +X97459800Y-91284300D01* +X97482360Y-91306860D01* +X97485201Y-91308758D01* +X97485200Y-94981042D01* +X97483727Y-94996000D01* +X97485200Y-95010958D01* +X97485200Y-95010965D01* +X97487260Y-95031879D01* +X97489611Y-95055751D01* +X97507040Y-95113205D01* +X97535342Y-95166156D01* +X97573432Y-95212568D01* +X97585061Y-95222112D01* +X100993903Y-98630955D01* +X100971400Y-98744088D01* +X100971400Y-98867912D01* +X100995556Y-98989356D01* +X101042941Y-99103754D01* +X101111734Y-99206709D01* +X101199291Y-99294266D01* +X101302246Y-99363059D01* +X101416644Y-99410444D01* +X101538088Y-99434600D01* +X101661912Y-99434600D01* +X101783356Y-99410444D01* +X101897754Y-99363059D01* +X102000709Y-99294266D01* +X102033929Y-99261046D01* +X103789323Y-99261046D01* +X103830978Y-99356888D01* +X103944389Y-99406590D01* +X104065317Y-99433211D01* +X104189115Y-99435729D01* +X104311025Y-99414047D01* +X104426363Y-99368998D01* +X104449022Y-99356888D01* +X104490677Y-99261046D01* +X104140000Y-98910369D01* +X103789323Y-99261046D01* +X102033929Y-99261046D01* +X102088266Y-99206709D01* +X102157059Y-99103754D01* +X102204444Y-98989356D01* +X102228600Y-98867912D01* +X102228600Y-98855115D01* +X103510271Y-98855115D01* +X103531953Y-98977025D01* +X103577002Y-99092363D01* +X103589112Y-99115022D01* +X103684954Y-99156677D01* +X104035631Y-98806000D01* +X104244369Y-98806000D01* +X104595046Y-99156677D01* +X104690888Y-99115022D01* +X104740590Y-99001611D01* +X104767211Y-98880683D01* +X104769729Y-98756885D01* +X104767454Y-98744088D01* +X106051400Y-98744088D01* +X106051400Y-98867912D01* +X106075556Y-98989356D01* +X106122941Y-99103754D01* +X106191734Y-99206709D01* +X106279291Y-99294266D01* +X106382246Y-99363059D01* +X106496644Y-99410444D01* +X106618088Y-99434600D01* +X106741912Y-99434600D01* +X106863356Y-99410444D01* +X106977754Y-99363059D01* +X107080709Y-99294266D01* +X107168266Y-99206709D01* +X107237059Y-99103754D01* +X107284444Y-98989356D01* +X107308600Y-98867912D01* +X107308600Y-98744088D01* +X107284444Y-98622644D01* +X107237059Y-98508246D01* +X107168266Y-98405291D01* +X107080709Y-98317734D01* +X106977754Y-98248941D01* +X106863356Y-98201556D01* +X106741912Y-98177400D01* +X106618088Y-98177400D01* +X106496644Y-98201556D01* +X106382246Y-98248941D01* +X106279291Y-98317734D01* +X106191734Y-98405291D01* +X106122941Y-98508246D01* +X106075556Y-98622644D01* +X106051400Y-98744088D01* +X104767454Y-98744088D01* +X104748047Y-98634975D01* +X104702998Y-98519637D01* +X104690888Y-98496978D01* +X104595046Y-98455323D01* +X104244369Y-98806000D01* +X104035631Y-98806000D01* +X103684954Y-98455323D01* +X103589112Y-98496978D01* +X103539410Y-98610389D01* +X103512789Y-98731317D01* +X103510271Y-98855115D01* +X102228600Y-98855115D01* +X102228600Y-98744088D01* +X102204444Y-98622644D01* +X102157059Y-98508246D01* +X102088266Y-98405291D01* +X102033929Y-98350954D01* +X103789323Y-98350954D01* +X104140000Y-98701631D01* +X104490677Y-98350954D01* +X104449022Y-98255112D01* +X104335611Y-98205410D01* +X104214683Y-98178789D01* +X104090885Y-98176271D01* +X103968975Y-98197953D01* +X103853637Y-98243002D01* +X103830978Y-98255112D01* +X103789323Y-98350954D01* +X102033929Y-98350954D01* +X102000709Y-98317734D01* +X101897754Y-98248941D01* +X101783356Y-98201556D01* +X101661912Y-98177400D01* +X101538088Y-98177400D01* +X101424955Y-98199903D01* +X98094800Y-94869749D01* +X98094800Y-91308758D01* +X98097640Y-91306860D01* +X98120201Y-91284299D01* +X98120200Y-92822042D01* +X98118727Y-92837000D01* +X98120200Y-92851958D01* +X98120200Y-92851965D01* +X98122413Y-92874432D01* +X98124611Y-92896751D01* +X98131920Y-92920844D01* +X98142040Y-92954205D01* +X98170342Y-93007156D01* +X98208432Y-93053568D01* +X98220061Y-93063112D01* +X103151891Y-97994943D01* +X103161432Y-98006568D01* +X103207843Y-98044658D01* +X103260794Y-98072960D01* +X103318248Y-98090389D01* +X103323403Y-98090897D01* +X103363034Y-98094800D01* +X103363042Y-98094800D01* +X103378000Y-98096273D01* +X103392958Y-98094800D01* +X108077749Y-98094800D01* +X108613903Y-98630955D01* +X108591400Y-98744088D01* +X108591400Y-98867912D01* +X108615556Y-98989356D01* +X108662941Y-99103754D01* +X108731734Y-99206709D01* +X108819291Y-99294266D01* +X108922246Y-99363059D01* +X109036644Y-99410444D01* +X109158088Y-99434600D01* +X109281912Y-99434600D01* +X109403356Y-99410444D01* +X109517754Y-99363059D01* +X109620709Y-99294266D01* +X109708266Y-99206709D01* +X109777059Y-99103754D01* +X109824444Y-98989356D01* +X109848600Y-98867912D01* +X109848600Y-98744088D01* +X109824444Y-98622644D01* +X109777059Y-98508246D01* +X109708266Y-98405291D01* +X109620709Y-98317734D01* +X109517754Y-98248941D01* +X109403356Y-98201556D01* +X109281912Y-98177400D01* +X109158088Y-98177400D01* +X109044955Y-98199903D01* +X108558851Y-97713800D01* +X110236749Y-97713800D01* +X111153903Y-98630955D01* +X111131400Y-98744088D01* +X111131400Y-98867912D01* +X111155556Y-98989356D01* +X111202941Y-99103754D01* +X111271734Y-99206709D01* +X111359291Y-99294266D01* +X111462246Y-99363059D01* +X111576644Y-99410444D01* +X111698088Y-99434600D01* +X111821912Y-99434600D01* +X111943356Y-99410444D01* +X112057754Y-99363059D01* +X112160709Y-99294266D01* +X112248266Y-99206709D01* +X112317059Y-99103754D01* +X112364444Y-98989356D01* +X112388600Y-98867912D01* +X112388600Y-98744088D01* +X113671400Y-98744088D01* +X113671400Y-98867912D01* +X113695556Y-98989356D01* +X113742941Y-99103754D01* +X113811734Y-99206709D01* +X113899291Y-99294266D01* +X114002246Y-99363059D01* +X114116644Y-99410444D01* +X114238088Y-99434600D01* +X114361912Y-99434600D01* +X114483356Y-99410444D01* +X114597754Y-99363059D01* +X114700709Y-99294266D01* +X114788266Y-99206709D01* +X114857059Y-99103754D01* +X114904444Y-98989356D01* +X114928600Y-98867912D01* +X114928600Y-98744088D01* +X114904444Y-98622644D01* +X114857059Y-98508246D01* +X114788266Y-98405291D01* +X114700709Y-98317734D01* +X114597754Y-98248941D01* +X114483356Y-98201556D01* +X114361912Y-98177400D01* +X114238088Y-98177400D01* +X114116644Y-98201556D01* +X114002246Y-98248941D01* +X113899291Y-98317734D01* +X113811734Y-98405291D01* +X113742941Y-98508246D01* +X113695556Y-98622644D01* +X113671400Y-98744088D01* +X112388600Y-98744088D01* +X112364444Y-98622644D01* +X112317059Y-98508246D01* +X112248266Y-98405291D01* +X112160709Y-98317734D01* +X112057754Y-98248941D01* +X111943356Y-98201556D01* +X111821912Y-98177400D01* +X111698088Y-98177400D01* +X111584955Y-98199903D01* +X110717851Y-97332800D01* +X113030749Y-97332800D01* +X113692891Y-97994943D01* +X113702432Y-98006568D01* +X113748843Y-98044658D01* +X113801794Y-98072960D01* +X113859248Y-98090389D01* +X113864403Y-98090897D01* +X113904034Y-98094800D01* +X113904042Y-98094800D01* +X113919000Y-98096273D01* +X113933958Y-98094800D01* +X115697749Y-98094800D01* +X116233903Y-98630955D01* +X116211400Y-98744088D01* +X116211400Y-98867912D01* +X116235556Y-98989356D01* +X116282941Y-99103754D01* +X116351734Y-99206709D01* +X116439291Y-99294266D01* +X116542246Y-99363059D01* +X116656644Y-99410444D01* +X116778088Y-99434600D01* +X116901912Y-99434600D01* +X117023356Y-99410444D01* +X117137754Y-99363059D01* +X117240709Y-99294266D01* +X117328266Y-99206709D01* +X117397059Y-99103754D01* +X117444444Y-98989356D01* +X117468600Y-98867912D01* +X117468600Y-98744088D01* +X117444444Y-98622644D01* +X117397059Y-98508246D01* +X117328266Y-98405291D01* +X117240709Y-98317734D01* +X117137754Y-98248941D01* +X117023356Y-98201556D01* +X116901912Y-98177400D01* +X116778088Y-98177400D01* +X116664955Y-98199903D01* +X116178851Y-97713800D01* +X117856749Y-97713800D01* +X118773903Y-98630955D01* +X118751400Y-98744088D01* +X118751400Y-98867912D01* +X118775556Y-98989356D01* +X118822941Y-99103754D01* +X118891734Y-99206709D01* +X118979291Y-99294266D01* +X119082246Y-99363059D01* +X119196644Y-99410444D01* +X119318088Y-99434600D01* +X119441912Y-99434600D01* +X119563356Y-99410444D01* +X119677754Y-99363059D01* +X119780709Y-99294266D01* +X119868266Y-99206709D01* +X119937059Y-99103754D01* +X119984444Y-98989356D01* +X120008600Y-98867912D01* +X120008600Y-98744088D01* +X121291400Y-98744088D01* +X121291400Y-98867912D01* +X121315556Y-98989356D01* +X121362941Y-99103754D01* +X121431734Y-99206709D01* +X121519291Y-99294266D01* +X121622246Y-99363059D01* +X121736644Y-99410444D01* +X121858088Y-99434600D01* +X121981912Y-99434600D01* +X122103356Y-99410444D01* +X122217754Y-99363059D01* +X122320709Y-99294266D01* +X122408266Y-99206709D01* +X122477059Y-99103754D01* +X122524444Y-98989356D01* +X122548600Y-98867912D01* +X122548600Y-98744088D01* +X122524444Y-98622644D01* +X122477059Y-98508246D01* +X122408266Y-98405291D01* +X122320709Y-98317734D01* +X122217754Y-98248941D01* +X122103356Y-98201556D01* +X121981912Y-98177400D01* +X121858088Y-98177400D01* +X121736644Y-98201556D01* +X121622246Y-98248941D01* +X121519291Y-98317734D01* +X121431734Y-98405291D01* +X121362941Y-98508246D01* +X121315556Y-98622644D01* +X121291400Y-98744088D01* +X120008600Y-98744088D01* +X119984444Y-98622644D01* +X119937059Y-98508246D01* +X119868266Y-98405291D01* +X119780709Y-98317734D01* +X119677754Y-98248941D01* +X119563356Y-98201556D01* +X119441912Y-98177400D01* +X119318088Y-98177400D01* +X119204955Y-98199903D01* +X118337851Y-97332800D01* +X122555749Y-97332800D01* +X123853903Y-98630955D01* +X123831400Y-98744088D01* +X123831400Y-98867912D01* +X123855556Y-98989356D01* +X123902941Y-99103754D01* +X123971734Y-99206709D01* +X124059291Y-99294266D01* +X124162246Y-99363059D01* +X124276644Y-99410444D01* +X124398088Y-99434600D01* +X124521912Y-99434600D01* +X124643356Y-99410444D01* +X124757754Y-99363059D01* +X124860709Y-99294266D01* +X124948266Y-99206709D01* +X125017059Y-99103754D01* +X125064444Y-98989356D01* +X125088600Y-98867912D01* +X125088600Y-98744088D01* +X125064444Y-98622644D01* +X125017059Y-98508246D01* +X124948266Y-98405291D01* +X124860709Y-98317734D01* +X124757754Y-98248941D01* +X124643356Y-98201556D01* +X124521912Y-98177400D01* +X124398088Y-98177400D01* +X124284955Y-98199903D01* +X123036851Y-96951800D01* +X124714749Y-96951800D01* +X126393903Y-98630955D01* +X126371400Y-98744088D01* +X126371400Y-98867912D01* +X126395556Y-98989356D01* +X126442941Y-99103754D01* +X126511734Y-99206709D01* +X126599291Y-99294266D01* +X126702246Y-99363059D01* +X126816644Y-99410444D01* +X126938088Y-99434600D01* +X127061912Y-99434600D01* +X127183356Y-99410444D01* +X127297754Y-99363059D01* +X127400709Y-99294266D01* +X127488266Y-99206709D01* +X127557059Y-99103754D01* +X127604444Y-98989356D01* +X127628600Y-98867912D01* +X127628600Y-98744088D01* +X127604444Y-98622644D01* +X127557059Y-98508246D01* +X127488266Y-98405291D01* +X127400709Y-98317734D01* +X127297754Y-98248941D01* +X127183356Y-98201556D01* +X127061912Y-98177400D01* +X126938088Y-98177400D01* +X126824955Y-98199903D01* +X125195851Y-96570800D01* +X126873749Y-96570800D01* +X128065056Y-97762108D01* +X128933903Y-98630955D01* +X128911400Y-98744088D01* +X128911400Y-98867912D01* +X128935556Y-98989356D01* +X128982941Y-99103754D01* +X129051734Y-99206709D01* +X129139291Y-99294266D01* +X129242246Y-99363059D01* +X129356644Y-99410444D01* +X129478088Y-99434600D01* +X129601912Y-99434600D01* +X129723356Y-99410444D01* +X129837754Y-99363059D01* +X129940709Y-99294266D01* +X130028266Y-99206709D01* +X130097059Y-99103754D01* +X130144444Y-98989356D01* +X130168600Y-98867912D01* +X130168600Y-98744088D01* +X131451400Y-98744088D01* +X131451400Y-98867912D01* +X131475556Y-98989356D01* +X131522941Y-99103754D01* +X131591734Y-99206709D01* +X131679291Y-99294266D01* +X131782246Y-99363059D01* +X131896644Y-99410444D01* +X132018088Y-99434600D01* +X132141912Y-99434600D01* +X132263356Y-99410444D01* +X132377754Y-99363059D01* +X132480709Y-99294266D01* +X132568266Y-99206709D01* +X132637059Y-99103754D01* +X132684444Y-98989356D01* +X132708600Y-98867912D01* +X132708600Y-98744088D01* +X132684444Y-98622644D01* +X132637059Y-98508246D01* +X132568266Y-98405291D01* +X132480709Y-98317734D01* +X132377754Y-98248941D01* +X132263356Y-98201556D01* +X132141912Y-98177400D01* +X132018088Y-98177400D01* +X131896644Y-98201556D01* +X131782246Y-98248941D01* +X131679291Y-98317734D01* +X131591734Y-98405291D01* +X131522941Y-98508246D01* +X131475556Y-98622644D01* +X131451400Y-98744088D01* +X130168600Y-98744088D01* +X130144444Y-98622644D01* +X130097059Y-98508246D01* +X130028266Y-98405291D01* +X129940709Y-98317734D01* +X129837754Y-98248941D01* +X129723356Y-98201556D01* +X129601912Y-98177400D01* +X129478088Y-98177400D01* +X129364955Y-98199903D01* +X128496108Y-97331056D01* +X127226112Y-96061061D01* +X127216568Y-96049432D01* +X127170157Y-96011342D01* +X127117206Y-95983040D01* +X127059751Y-95965611D01* +X127014966Y-95961200D01* +X127014958Y-95961200D01* +X127000000Y-95959727D01* +X126985042Y-95961200D01* +X114553252Y-95961200D01* +X113780140Y-95188088D01* +X118751400Y-95188088D01* +X118751400Y-95311912D01* +X118775556Y-95433356D01* +X118822941Y-95547754D01* +X118891734Y-95650709D01* +X118979291Y-95738266D01* +X119082246Y-95807059D01* +X119196644Y-95854444D01* +X119318088Y-95878600D01* +X119441912Y-95878600D01* +X119563356Y-95854444D01* +X119677754Y-95807059D01* +X119780709Y-95738266D01* +X119868266Y-95650709D01* +X119937059Y-95547754D01* +X119984444Y-95433356D01* +X120008600Y-95311912D01* +X120008600Y-95188088D01* +X119984444Y-95066644D01* +X119937059Y-94952246D01* +X119868266Y-94849291D01* +X119780709Y-94761734D01* +X119677754Y-94692941D01* +X119563356Y-94645556D01* +X119441912Y-94621400D01* +X119318088Y-94621400D01* +X119196644Y-94645556D01* +X119082246Y-94692941D01* +X118979291Y-94761734D01* +X118891734Y-94849291D01* +X118822941Y-94952246D01* +X118775556Y-95066644D01* +X118751400Y-95188088D01* +X113780140Y-95188088D01* +X112637140Y-94045088D01* +X117481400Y-94045088D01* +X117481400Y-94168912D01* +X117505556Y-94290356D01* +X117552941Y-94404754D01* +X117621734Y-94507709D01* +X117709291Y-94595266D01* +X117812246Y-94664059D01* +X117926644Y-94711444D01* +X118048088Y-94735600D01* +X118171912Y-94735600D01* +X118293356Y-94711444D01* +X118407754Y-94664059D01* +X118510709Y-94595266D01* +X118598266Y-94507709D01* +X118667059Y-94404754D01* +X118714444Y-94290356D01* +X118738600Y-94168912D01* +X118738600Y-94045088D01* +X120402400Y-94045088D01* +X120402400Y-94168912D01* +X120426556Y-94290356D01* +X120473941Y-94404754D01* +X120542734Y-94507709D01* +X120630291Y-94595266D01* +X120733246Y-94664059D01* +X120847644Y-94711444D01* +X120969088Y-94735600D01* +X121092912Y-94735600D01* +X121214356Y-94711444D01* +X121328754Y-94664059D01* +X121431709Y-94595266D01* +X121519266Y-94507709D01* +X121588059Y-94404754D01* +X121635444Y-94290356D01* +X121659600Y-94168912D01* +X121659600Y-94045088D01* +X121635444Y-93923644D01* +X121588059Y-93809246D01* +X121519266Y-93706291D01* +X121431709Y-93618734D01* +X121328754Y-93549941D01* +X121214356Y-93502556D01* +X121092912Y-93478400D01* +X120969088Y-93478400D01* +X120847644Y-93502556D01* +X120733246Y-93549941D01* +X120630291Y-93618734D01* +X120542734Y-93706291D01* +X120473941Y-93809246D01* +X120426556Y-93923644D01* +X120402400Y-94045088D01* +X118738600Y-94045088D01* +X118714444Y-93923644D01* +X118667059Y-93809246D01* +X118598266Y-93706291D01* +X118510709Y-93618734D01* +X118407754Y-93549941D01* +X118293356Y-93502556D01* +X118171912Y-93478400D01* +X118048088Y-93478400D01* +X117926644Y-93502556D01* +X117812246Y-93549941D01* +X117709291Y-93618734D01* +X117621734Y-93706291D01* +X117552941Y-93809246D01* +X117505556Y-93923644D01* +X117481400Y-94045088D01* +X112637140Y-94045088D01* +X111826020Y-93233968D01* +X114706400Y-93233968D01* +X114706400Y-93329032D01* +X114724946Y-93422269D01* +X114761326Y-93510097D01* +X114814140Y-93589140D01* +X114881360Y-93656360D01* +X114960403Y-93709174D01* +X115048231Y-93745554D01* +X115141468Y-93764100D01* +X115236532Y-93764100D01* +X115239882Y-93763434D01* +X115280392Y-93803944D01* +X115289932Y-93815568D01* +X115336343Y-93853658D01* +X115389294Y-93881960D01* +X115446748Y-93899389D01* +X115451903Y-93899897D01* +X115491534Y-93903800D01* +X115491542Y-93903800D01* +X115506500Y-93905273D01* +X115521458Y-93903800D01* +X116253542Y-93903800D01* +X116268500Y-93905273D01* +X116283458Y-93903800D01* +X116283466Y-93903800D01* +X116328251Y-93899389D01* +X116385706Y-93881960D01* +X116438657Y-93853658D01* +X116485068Y-93815568D01* +X116494612Y-93803939D01* +X116902752Y-93395800D01* +X123429042Y-93395800D01* +X123444000Y-93397273D01* +X123458958Y-93395800D01* +X123458966Y-93395800D01* +X123503751Y-93391389D01* +X123561206Y-93373960D01* +X123614157Y-93345658D01* +X123660568Y-93307568D01* +X123670112Y-93295939D01* +X125473978Y-91492074D01* +X125476000Y-91492273D01* +X125490958Y-91490800D01* +X125857749Y-91490800D01* +X132234891Y-97867943D01* +X132244432Y-97879568D01* +X132290843Y-97917658D01* +X132343794Y-97945960D01* +X132387876Y-97959332D01* +X132401248Y-97963389D01* +X132406889Y-97963945D01* +X132446034Y-97967800D01* +X132446041Y-97967800D01* +X132460999Y-97969273D01* +X132475957Y-97967800D01* +X133350749Y-97967800D01* +X134013903Y-98630955D01* +X133991400Y-98744088D01* +X133991400Y-98867912D01* +X134015556Y-98989356D01* +X134062941Y-99103754D01* +X134131734Y-99206709D01* +X134219291Y-99294266D01* +X134322246Y-99363059D01* +X134436644Y-99410444D01* +X134558088Y-99434600D01* +X134681912Y-99434600D01* +X134803356Y-99410444D01* +X134917754Y-99363059D01* +X135020709Y-99294266D01* +X135053929Y-99261046D01* +X136809323Y-99261046D01* +X136850978Y-99356888D01* +X136964389Y-99406590D01* +X137085317Y-99433211D01* +X137209115Y-99435729D01* +X137331025Y-99414047D01* +X137446363Y-99368998D01* +X137469022Y-99356888D01* +X137510677Y-99261046D01* +X137160000Y-98910369D01* +X136809323Y-99261046D01* +X135053929Y-99261046D01* +X135108266Y-99206709D01* +X135177059Y-99103754D01* +X135224444Y-98989356D01* +X135248600Y-98867912D01* +X135248600Y-98855115D01* +X136530271Y-98855115D01* +X136551953Y-98977025D01* +X136597002Y-99092363D01* +X136609112Y-99115022D01* +X136704954Y-99156677D01* +X137055631Y-98806000D01* +X137264369Y-98806000D01* +X137615046Y-99156677D01* +X137710888Y-99115022D01* +X137760590Y-99001611D01* +X137787211Y-98880683D01* +X137789729Y-98756885D01* +X137787454Y-98744088D01* +X139071400Y-98744088D01* +X139071400Y-98867912D01* +X139095556Y-98989356D01* +X139142941Y-99103754D01* +X139211734Y-99206709D01* +X139299291Y-99294266D01* +X139402246Y-99363059D01* +X139516644Y-99410444D01* +X139638088Y-99434600D01* +X139761912Y-99434600D01* +X139883356Y-99410444D01* +X139997754Y-99363059D01* +X140100709Y-99294266D01* +X140188266Y-99206709D01* +X140257059Y-99103754D01* +X140304444Y-98989356D01* +X140328600Y-98867912D01* +X140328600Y-98744088D01* +X141611400Y-98744088D01* +X141611400Y-98867912D01* +X141635556Y-98989356D01* +X141682941Y-99103754D01* +X141751734Y-99206709D01* +X141839291Y-99294266D01* +X141942246Y-99363059D01* +X142056644Y-99410444D01* +X142178088Y-99434600D01* +X142301912Y-99434600D01* +X142423356Y-99410444D01* +X142537754Y-99363059D01* +X142640709Y-99294266D01* +X142728266Y-99206709D01* +X142797059Y-99103754D01* +X142844444Y-98989356D01* +X142868600Y-98867912D01* +X142868600Y-98744088D01* +X144151400Y-98744088D01* +X144151400Y-98867912D01* +X144175556Y-98989356D01* +X144222941Y-99103754D01* +X144291734Y-99206709D01* +X144379291Y-99294266D01* +X144482246Y-99363059D01* +X144596644Y-99410444D01* +X144718088Y-99434600D01* +X144841912Y-99434600D01* +X144963356Y-99410444D01* +X145077754Y-99363059D01* +X145180709Y-99294266D01* +X145268266Y-99206709D01* +X145337059Y-99103754D01* +X145384444Y-98989356D01* +X145408600Y-98867912D01* +X145408600Y-98744088D01* +X146691400Y-98744088D01* +X146691400Y-98867912D01* +X146715556Y-98989356D01* +X146762941Y-99103754D01* +X146831734Y-99206709D01* +X146919291Y-99294266D01* +X147022246Y-99363059D01* +X147136644Y-99410444D01* +X147258088Y-99434600D01* +X147381912Y-99434600D01* +X147503356Y-99410444D01* +X147617754Y-99363059D01* +X147720709Y-99294266D01* +X147808266Y-99206709D01* +X147877059Y-99103754D01* +X147924444Y-98989356D01* +X147948600Y-98867912D01* +X147948600Y-98744088D01* +X147924444Y-98622644D01* +X147877059Y-98508246D01* +X147808266Y-98405291D01* +X147720709Y-98317734D01* +X147617754Y-98248941D01* +X147503356Y-98201556D01* +X147381912Y-98177400D01* +X147258088Y-98177400D01* +X147136644Y-98201556D01* +X147022246Y-98248941D01* +X146919291Y-98317734D01* +X146831734Y-98405291D01* +X146762941Y-98508246D01* +X146715556Y-98622644D01* +X146691400Y-98744088D01* +X145408600Y-98744088D01* +X145384444Y-98622644D01* +X145337059Y-98508246D01* +X145268266Y-98405291D01* +X145180709Y-98317734D01* +X145077754Y-98248941D01* +X144963356Y-98201556D01* +X144841912Y-98177400D01* +X144718088Y-98177400D01* +X144596644Y-98201556D01* +X144482246Y-98248941D01* +X144379291Y-98317734D01* +X144291734Y-98405291D01* +X144222941Y-98508246D01* +X144175556Y-98622644D01* +X144151400Y-98744088D01* +X142868600Y-98744088D01* +X142844444Y-98622644D01* +X142797059Y-98508246D01* +X142728266Y-98405291D01* +X142640709Y-98317734D01* +X142537754Y-98248941D01* +X142423356Y-98201556D01* +X142301912Y-98177400D01* +X142178088Y-98177400D01* +X142056644Y-98201556D01* +X141942246Y-98248941D01* +X141839291Y-98317734D01* +X141751734Y-98405291D01* +X141682941Y-98508246D01* +X141635556Y-98622644D01* +X141611400Y-98744088D01* +X140328600Y-98744088D01* +X140304444Y-98622644D01* +X140257059Y-98508246D01* +X140188266Y-98405291D01* +X140100709Y-98317734D01* +X139997754Y-98248941D01* +X139883356Y-98201556D01* +X139761912Y-98177400D01* +X139638088Y-98177400D01* +X139516644Y-98201556D01* +X139402246Y-98248941D01* +X139299291Y-98317734D01* +X139211734Y-98405291D01* +X139142941Y-98508246D01* +X139095556Y-98622644D01* +X139071400Y-98744088D01* +X137787454Y-98744088D01* +X137768047Y-98634975D01* +X137722998Y-98519637D01* +X137710888Y-98496978D01* +X137615046Y-98455323D01* +X137264369Y-98806000D01* +X137055631Y-98806000D01* +X136704954Y-98455323D01* +X136609112Y-98496978D01* +X136559410Y-98610389D01* +X136532789Y-98731317D01* +X136530271Y-98855115D01* +X135248600Y-98855115D01* +X135248600Y-98744088D01* +X135224444Y-98622644D01* +X135177059Y-98508246D01* +X135108266Y-98405291D01* +X135053929Y-98350954D01* +X136809323Y-98350954D01* +X137160000Y-98701631D01* +X137510677Y-98350954D01* +X137469022Y-98255112D01* +X137355611Y-98205410D01* +X137234683Y-98178789D01* +X137110885Y-98176271D01* +X136988975Y-98197953D01* +X136873637Y-98243002D01* +X136850978Y-98255112D01* +X136809323Y-98350954D01* +X135053929Y-98350954D01* +X135020709Y-98317734D01* +X134917754Y-98248941D01* +X134803356Y-98201556D01* +X134681912Y-98177400D01* +X134558088Y-98177400D01* +X134444955Y-98199903D01* +X133703112Y-97458061D01* +X133693568Y-97446432D01* +X133647157Y-97408342D01* +X133594206Y-97380040D01* +X133536751Y-97362611D01* +X133491966Y-97358200D01* +X133491958Y-97358200D01* +X133477000Y-97356727D01* +X133462042Y-97358200D01* +X132587252Y-97358200D01* +X126211934Y-90982883D01* +X126212600Y-90979532D01* +X126212600Y-90884468D01* +X126194054Y-90791231D01* +X126157674Y-90703403D01* +X126104860Y-90624360D01* +X126037640Y-90557140D01* +X125958597Y-90504326D01* +X125870769Y-90467946D01* +X125777532Y-90449400D01* +X125682468Y-90449400D01* +X125589231Y-90467946D01* +X125501403Y-90504326D01* +X125422360Y-90557140D01* +X125355140Y-90624360D01* +X125302326Y-90703403D01* +X125265946Y-90791231D01* +X125254332Y-90849616D01* +X125144061Y-90959888D01* +X125132432Y-90969432D01* +X125122892Y-90981056D01* +X123317749Y-92786200D01* +X116791457Y-92786200D01* +X116776499Y-92784727D01* +X116761541Y-92786200D01* +X116761534Y-92786200D01* +X116722389Y-92790055D01* +X116716748Y-92790611D01* +X116706091Y-92793844D01* +X116659294Y-92808040D01* +X116606343Y-92836342D01* +X116559932Y-92874432D01* +X116550392Y-92886056D01* +X116142249Y-93294200D01* +X115671600Y-93294200D01* +X115671600Y-93233968D01* +X115653054Y-93140731D01* +X115616674Y-93052903D01* +X115563860Y-92973860D01* +X115496640Y-92906640D01* +X115417597Y-92853826D01* +X115329769Y-92817446D01* +X115236532Y-92798900D01* +X115141468Y-92798900D01* +X115048231Y-92817446D01* +X114960403Y-92853826D01* +X114881360Y-92906640D01* +X114814140Y-92973860D01* +X114761326Y-93052903D01* +X114724946Y-93140731D01* +X114706400Y-93233968D01* +X111826020Y-93233968D01* +X111097112Y-92505061D01* +X111087568Y-92493432D01* +X111041157Y-92455342D01* +X110988206Y-92427040D01* +X110930751Y-92409611D01* +X110919147Y-92408468D01* +X112039400Y-92408468D01* +X112039400Y-92503532D01* +X112057946Y-92596769D01* +X112094326Y-92684597D01* +X112147140Y-92763640D01* +X112214360Y-92830860D01* +X112293403Y-92883674D01* +X112381231Y-92920054D01* +X112474468Y-92938600D01* +X112569532Y-92938600D01* +X112662769Y-92920054D01* +X112750597Y-92883674D01* +X112829640Y-92830860D01* +X112896860Y-92763640D01* +X112898758Y-92760800D01* +X123048042Y-92760800D01* +X123063000Y-92762273D01* +X123077958Y-92760800D01* +X123077966Y-92760800D01* +X123122751Y-92756389D01* +X123180206Y-92738960D01* +X123233157Y-92710658D01* +X123279568Y-92672568D01* +X123289112Y-92660939D01* +X125602252Y-90347800D01* +X125984749Y-90347800D01* +X126518066Y-90881118D01* +X126517400Y-90884468D01* +X126517400Y-90979532D01* +X126535946Y-91072769D01* +X126572326Y-91160597D01* +X126625140Y-91239640D01* +X126692360Y-91306860D01* +X126771403Y-91359674D01* +X126859231Y-91396054D01* +X126952468Y-91414600D01* +X127047532Y-91414600D01* +X127050882Y-91413934D01* +X132742891Y-97105943D01* +X132752432Y-97117568D01* +X132798843Y-97155658D01* +X132849796Y-97182892D01* +X132851794Y-97183960D01* +X132909248Y-97201389D01* +X132914403Y-97201897D01* +X132954034Y-97205800D01* +X132954042Y-97205800D01* +X132969000Y-97207273D01* +X132983958Y-97205800D01* +X147828749Y-97205800D01* +X149253903Y-98630955D01* +X149231400Y-98744088D01* +X149231400Y-98867912D01* +X149255556Y-98989356D01* +X149302941Y-99103754D01* +X149371734Y-99206709D01* +X149459291Y-99294266D01* +X149562246Y-99363059D01* +X149676644Y-99410444D01* +X149798088Y-99434600D01* +X149921912Y-99434600D01* +X150043356Y-99410444D01* +X150157754Y-99363059D01* +X150260709Y-99294266D01* +X150348266Y-99206709D01* +X150417059Y-99103754D01* +X150464444Y-98989356D01* +X150488600Y-98867912D01* +X150488600Y-98744088D01* +X151771400Y-98744088D01* +X151771400Y-98867912D01* +X151795556Y-98989356D01* +X151842941Y-99103754D01* +X151911734Y-99206709D01* +X151999291Y-99294266D01* +X152102246Y-99363059D01* +X152216644Y-99410444D01* +X152338088Y-99434600D01* +X152461912Y-99434600D01* +X152583356Y-99410444D01* +X152697754Y-99363059D01* +X152800709Y-99294266D01* +X152888266Y-99206709D01* +X152957059Y-99103754D01* +X153004444Y-98989356D01* +X153028600Y-98867912D01* +X153028600Y-98744088D01* +X154311400Y-98744088D01* +X154311400Y-98867912D01* +X154335556Y-98989356D01* +X154382941Y-99103754D01* +X154451734Y-99206709D01* +X154539291Y-99294266D01* +X154642246Y-99363059D01* +X154756644Y-99410444D01* +X154878088Y-99434600D01* +X155001912Y-99434600D01* +X155123356Y-99410444D01* +X155237754Y-99363059D01* +X155340709Y-99294266D01* +X155428266Y-99206709D01* +X155497059Y-99103754D01* +X155544444Y-98989356D01* +X155568600Y-98867912D01* +X155568600Y-98744088D01* +X156851400Y-98744088D01* +X156851400Y-98867912D01* +X156875556Y-98989356D01* +X156922941Y-99103754D01* +X156991734Y-99206709D01* +X157079291Y-99294266D01* +X157182246Y-99363059D01* +X157296644Y-99410444D01* +X157418088Y-99434600D01* +X157541912Y-99434600D01* +X157663356Y-99410444D01* +X157777754Y-99363059D01* +X157880709Y-99294266D01* +X157968266Y-99206709D01* +X158037059Y-99103754D01* +X158084444Y-98989356D01* +X158108600Y-98867912D01* +X158108600Y-98744088D01* +X158084444Y-98622644D01* +X158037059Y-98508246D01* +X157968266Y-98405291D01* +X157880709Y-98317734D01* +X157777754Y-98248941D01* +X157663356Y-98201556D01* +X157541912Y-98177400D01* +X157418088Y-98177400D01* +X157296644Y-98201556D01* +X157182246Y-98248941D01* +X157079291Y-98317734D01* +X156991734Y-98405291D01* +X156922941Y-98508246D01* +X156875556Y-98622644D01* +X156851400Y-98744088D01* +X155568600Y-98744088D01* +X155544444Y-98622644D01* +X155497059Y-98508246D01* +X155428266Y-98405291D01* +X155340709Y-98317734D01* +X155237754Y-98248941D01* +X155123356Y-98201556D01* +X155001912Y-98177400D01* +X154878088Y-98177400D01* +X154756644Y-98201556D01* +X154642246Y-98248941D01* +X154539291Y-98317734D01* +X154451734Y-98405291D01* +X154382941Y-98508246D01* +X154335556Y-98622644D01* +X154311400Y-98744088D01* +X153028600Y-98744088D01* +X153004444Y-98622644D01* +X152957059Y-98508246D01* +X152888266Y-98405291D01* +X152800709Y-98317734D01* +X152697754Y-98248941D01* +X152583356Y-98201556D01* +X152461912Y-98177400D01* +X152338088Y-98177400D01* +X152216644Y-98201556D01* +X152102246Y-98248941D01* +X151999291Y-98317734D01* +X151911734Y-98405291D01* +X151842941Y-98508246D01* +X151795556Y-98622644D01* +X151771400Y-98744088D01* +X150488600Y-98744088D01* +X150464444Y-98622644D01* +X150417059Y-98508246D01* +X150348266Y-98405291D01* +X150260709Y-98317734D01* +X150157754Y-98248941D01* +X150043356Y-98201556D01* +X149921912Y-98177400D01* +X149798088Y-98177400D01* +X149684955Y-98199903D01* +X148181112Y-96696061D01* +X148171568Y-96684432D01* +X148125157Y-96646342D01* +X148072206Y-96618040D01* +X148014751Y-96600611D01* +X147969966Y-96596200D01* +X147969958Y-96596200D01* +X147955000Y-96594727D01* +X147940042Y-96596200D01* +X133095252Y-96596200D01* +X131687140Y-95188088D01* +X139071400Y-95188088D01* +X139071400Y-95311912D01* +X139095556Y-95433356D01* +X139142941Y-95547754D01* +X139211734Y-95650709D01* +X139299291Y-95738266D01* +X139402246Y-95807059D01* +X139516644Y-95854444D01* +X139638088Y-95878600D01* +X139761912Y-95878600D01* +X139883356Y-95854444D01* +X139997754Y-95807059D01* +X140100709Y-95738266D01* +X140188266Y-95650709D01* +X140257059Y-95547754D01* +X140304444Y-95433356D01* +X140328600Y-95311912D01* +X140328600Y-95305239D01* +X156751400Y-95305239D01* +X156751400Y-95448761D01* +X156779400Y-95589525D01* +X156834323Y-95722121D01* +X156914060Y-95841455D01* +X157015545Y-95942940D01* +X157134879Y-96022677D01* +X157267475Y-96077600D01* +X157408239Y-96105600D01* +X157551761Y-96105600D01* +X157692525Y-96077600D01* +X157825121Y-96022677D01* +X157944455Y-95942940D01* +X158045940Y-95841455D01* +X158125677Y-95722121D01* +X158180600Y-95589525D01* +X158208600Y-95448761D01* +X158208600Y-95305239D01* +X158180600Y-95164475D01* +X158125677Y-95031879D01* +X158045940Y-94912545D01* +X157944455Y-94811060D01* +X157825121Y-94731323D01* +X157692525Y-94676400D01* +X157551761Y-94648400D01* +X157408239Y-94648400D01* +X157267475Y-94676400D01* +X157134879Y-94731323D01* +X157015545Y-94811060D01* +X156914060Y-94912545D01* +X156834323Y-95031879D01* +X156779400Y-95164475D01* +X156751400Y-95305239D01* +X140328600Y-95305239D01* +X140328600Y-95188088D01* +X140304444Y-95066644D01* +X140257059Y-94952246D01* +X140188266Y-94849291D01* +X140100709Y-94761734D01* +X139997754Y-94692941D01* +X139883356Y-94645556D01* +X139761912Y-94621400D01* +X139638088Y-94621400D01* +X139516644Y-94645556D01* +X139402246Y-94692941D01* +X139299291Y-94761734D01* +X139211734Y-94849291D01* +X139142941Y-94952246D01* +X139095556Y-95066644D01* +X139071400Y-95188088D01* +X131687140Y-95188088D01* +X130544140Y-94045088D01* +X137420400Y-94045088D01* +X137420400Y-94168912D01* +X137444556Y-94290356D01* +X137491941Y-94404754D01* +X137560734Y-94507709D01* +X137648291Y-94595266D01* +X137751246Y-94664059D01* +X137865644Y-94711444D01* +X137987088Y-94735600D01* +X138110912Y-94735600D01* +X138232356Y-94711444D01* +X138346754Y-94664059D01* +X138449709Y-94595266D01* +X138537266Y-94507709D01* +X138606059Y-94404754D01* +X138653444Y-94290356D01* +X138677600Y-94168912D01* +X138677600Y-94045088D01* +X140341400Y-94045088D01* +X140341400Y-94168912D01* +X140365556Y-94290356D01* +X140412941Y-94404754D01* +X140481734Y-94507709D01* +X140569291Y-94595266D01* +X140672246Y-94664059D01* +X140786644Y-94711444D01* +X140908088Y-94735600D01* +X141031912Y-94735600D01* +X141153356Y-94711444D01* +X141267754Y-94664059D01* +X141370709Y-94595266D01* +X141458266Y-94507709D01* +X141527059Y-94404754D01* +X141574444Y-94290356D01* +X141598600Y-94168912D01* +X141598600Y-94045088D01* +X155581400Y-94045088D01* +X155581400Y-94168912D01* +X155605556Y-94290356D01* +X155652941Y-94404754D01* +X155721734Y-94507709D01* +X155809291Y-94595266D01* +X155912246Y-94664059D01* +X156026644Y-94711444D01* +X156148088Y-94735600D01* +X156271912Y-94735600D01* +X156393356Y-94711444D01* +X156507754Y-94664059D01* +X156610709Y-94595266D01* +X156698266Y-94507709D01* +X156767059Y-94404754D01* +X156814444Y-94290356D01* +X156838600Y-94168912D01* +X156838600Y-94045088D01* +X158121400Y-94045088D01* +X158121400Y-94168912D01* +X158145556Y-94290356D01* +X158192941Y-94404754D01* +X158261734Y-94507709D01* +X158349291Y-94595266D01* +X158452246Y-94664059D01* +X158566644Y-94711444D01* +X158688088Y-94735600D01* +X158811912Y-94735600D01* +X158933356Y-94711444D01* +X159047754Y-94664059D01* +X159150709Y-94595266D01* +X159238266Y-94507709D01* +X159307059Y-94404754D01* +X159354444Y-94290356D01* +X159378600Y-94168912D01* +X159378600Y-94045088D01* +X159354444Y-93923644D01* +X159307059Y-93809246D01* +X159238266Y-93706291D01* +X159150709Y-93618734D01* +X159047754Y-93549941D01* +X158933356Y-93502556D01* +X158811912Y-93478400D01* +X158688088Y-93478400D01* +X158566644Y-93502556D01* +X158452246Y-93549941D01* +X158349291Y-93618734D01* +X158261734Y-93706291D01* +X158192941Y-93809246D01* +X158145556Y-93923644D01* +X158121400Y-94045088D01* +X156838600Y-94045088D01* +X156814444Y-93923644D01* +X156767059Y-93809246D01* +X156698266Y-93706291D01* +X156610709Y-93618734D01* +X156507754Y-93549941D01* +X156393356Y-93502556D01* +X156271912Y-93478400D01* +X156148088Y-93478400D01* +X156026644Y-93502556D01* +X155912246Y-93549941D01* +X155809291Y-93618734D01* +X155721734Y-93706291D01* +X155652941Y-93809246D01* +X155605556Y-93923644D01* +X155581400Y-94045088D01* +X141598600Y-94045088D01* +X141574444Y-93923644D01* +X141527059Y-93809246D01* +X141458266Y-93706291D01* +X141370709Y-93618734D01* +X141267754Y-93549941D01* +X141153356Y-93502556D01* +X141031912Y-93478400D01* +X140908088Y-93478400D01* +X140786644Y-93502556D01* +X140672246Y-93549941D01* +X140569291Y-93618734D01* +X140481734Y-93706291D01* +X140412941Y-93809246D01* +X140365556Y-93923644D01* +X140341400Y-94045088D01* +X138677600Y-94045088D01* +X138653444Y-93923644D01* +X138606059Y-93809246D01* +X138537266Y-93706291D01* +X138449709Y-93618734D01* +X138346754Y-93549941D01* +X138232356Y-93502556D01* +X138110912Y-93478400D01* +X137987088Y-93478400D01* +X137865644Y-93502556D01* +X137751246Y-93549941D01* +X137648291Y-93618734D01* +X137560734Y-93706291D01* +X137491941Y-93809246D01* +X137444556Y-93923644D01* +X137420400Y-94045088D01* +X130544140Y-94045088D01* +X127965735Y-91466683D01* +X159823586Y-91466683D01* +X159865162Y-91837340D01* +X159977940Y-92192862D01* +X160157626Y-92519708D01* +X160397373Y-92805429D01* +X160688052Y-93039140D01* +X161018589Y-93211941D01* +X161376396Y-93317249D01* +X161747842Y-93351053D01* +X162118780Y-93312066D01* +X162475081Y-93201773D01* +X162803174Y-93024374D01* +X163090561Y-92786626D01* +X163326296Y-92497587D01* +X163501400Y-92168264D01* +X163609203Y-91811201D01* +X163645600Y-91440000D01* +X163644855Y-91386639D01* +X163598108Y-91016599D01* +X163480377Y-90662686D01* +X163296146Y-90338380D01* +X163052432Y-90056035D01* +X162758519Y-89826405D01* +X162425601Y-89658236D01* +X162066359Y-89557934D01* +X161694477Y-89529319D01* +X161324119Y-89573482D01* +X160969393Y-89688739D01* +X160643809Y-89870702D01* +X160359770Y-90112438D01* +X160128093Y-90404741D01* +X159957604Y-90736477D01* +X159854797Y-91095010D01* +X159823586Y-91466683D01* +X127965735Y-91466683D01* +X127481934Y-90982882D01* +X127482600Y-90979532D01* +X127482600Y-90884468D01* +X127464054Y-90791231D01* +X127427674Y-90703403D01* +X127374860Y-90624360D01* +X127307640Y-90557140D01* +X127228597Y-90504326D01* +X127140769Y-90467946D01* +X127047532Y-90449400D01* +X126952468Y-90449400D01* +X126949118Y-90450066D01* +X126337112Y-89838061D01* +X126327568Y-89826432D01* +X126281157Y-89788342D01* +X126228206Y-89760040D01* +X126170751Y-89742611D01* +X126125966Y-89738200D01* +X126125958Y-89738200D01* +X126111000Y-89736727D01* +X126096042Y-89738200D01* +X125490957Y-89738200D01* +X125475999Y-89736727D01* +X125461041Y-89738200D01* +X125461034Y-89738200D01* +X125421889Y-89742055D01* +X125416248Y-89742611D01* +X125405591Y-89745844D01* +X125358794Y-89760040D01* +X125305843Y-89788342D01* +X125259432Y-89826432D01* +X125249892Y-89838056D01* +X122936749Y-92151200D01* +X112898758Y-92151200D01* +X112896860Y-92148360D01* +X112829640Y-92081140D01* +X112750597Y-92028326D01* +X112662769Y-91991946D01* +X112569532Y-91973400D01* +X112474468Y-91973400D01* +X112381231Y-91991946D01* +X112293403Y-92028326D01* +X112214360Y-92081140D01* +X112147140Y-92148360D01* +X112094326Y-92227403D01* +X112057946Y-92315231D01* +X112039400Y-92408468D01* +X110919147Y-92408468D01* +X110885966Y-92405200D01* +X110885958Y-92405200D01* +X110871000Y-92403727D01* +X110856042Y-92405200D01* +X104393252Y-92405200D01* +X102793800Y-90805749D01* +X102793800Y-90122468D01* +X120675400Y-90122468D01* +X120675400Y-90217532D01* +X120693946Y-90310769D01* +X120730326Y-90398597D01* +X120783140Y-90477640D01* +X120850360Y-90544860D01* +X120929403Y-90597674D01* +X121017231Y-90634054D01* +X121110468Y-90652600D01* +X121205532Y-90652600D01* +X121298769Y-90634054D01* +X121386597Y-90597674D01* +X121465640Y-90544860D01* +X121532860Y-90477640D01* +X121534758Y-90474800D01* +X123556042Y-90474800D01* +X123571000Y-90476273D01* +X123585958Y-90474800D01* +X123585966Y-90474800D01* +X123630751Y-90470389D01* +X123688206Y-90452960D01* +X123741157Y-90424658D01* +X123787568Y-90386568D01* +X123797112Y-90374939D01* +X124840252Y-89331800D01* +X125353242Y-89331800D01* +X125355140Y-89334640D01* +X125422360Y-89401860D01* +X125501403Y-89454674D01* +X125589231Y-89491054D01* +X125682468Y-89509600D01* +X125777532Y-89509600D01* +X125870769Y-89491054D01* +X125958597Y-89454674D01* +X126037640Y-89401860D01* +X126104860Y-89334640D01* +X126157674Y-89255597D01* +X126194054Y-89167769D01* +X126212600Y-89074532D01* +X126212600Y-88979468D01* +X126194054Y-88886231D01* +X126157674Y-88798403D01* +X126104860Y-88719360D01* +X126037640Y-88652140D01* +X125958597Y-88599326D01* +X125870769Y-88562946D01* +X125777532Y-88544400D01* +X125682468Y-88544400D01* +X125589231Y-88562946D01* +X125501403Y-88599326D01* +X125422360Y-88652140D01* +X125355140Y-88719360D01* +X125353242Y-88722200D01* +X124728957Y-88722200D01* +X124713999Y-88720727D01* +X124699041Y-88722200D01* +X124699034Y-88722200D01* +X124659889Y-88726055D01* +X124654248Y-88726611D01* +X124643591Y-88729844D01* +X124596794Y-88744040D01* +X124543843Y-88772342D01* +X124497432Y-88810432D01* +X124487892Y-88822056D01* +X123444749Y-89865200D01* +X121534758Y-89865200D01* +X121532860Y-89862360D01* +X121465640Y-89795140D01* +X121386597Y-89742326D01* +X121298769Y-89705946D01* +X121205532Y-89687400D01* +X121110468Y-89687400D01* +X121017231Y-89705946D01* +X120929403Y-89742326D01* +X120850360Y-89795140D01* +X120783140Y-89862360D01* +X120730326Y-89941403D01* +X120693946Y-90029231D01* +X120675400Y-90122468D01* +X102793800Y-90122468D01* +X102793800Y-89295957D01* +X102795273Y-89280999D01* +X102793800Y-89266041D01* +X102793800Y-89204800D01* +X122921042Y-89204800D01* +X122936000Y-89206273D01* +X122950958Y-89204800D01* +X122950966Y-89204800D01* +X122995751Y-89200389D01* +X123053206Y-89182960D01* +X123106157Y-89154658D01* +X123152568Y-89116568D01* +X123162112Y-89104939D01* +X123824252Y-88442800D01* +X127788050Y-88442800D01* +X127805946Y-88532769D01* +X127842326Y-88620597D01* +X127895140Y-88699640D01* +X127962360Y-88766860D01* +X128041403Y-88819674D01* +X128129231Y-88856054D01* +X128222468Y-88874600D01* +X128317532Y-88874600D01* +X128410769Y-88856054D01* +X128498597Y-88819674D01* +X128577640Y-88766860D01* +X128644860Y-88699640D01* +X128697674Y-88620597D01* +X128734054Y-88532769D01* +X128752600Y-88439532D01* +X128752600Y-88344468D01* +X128734054Y-88251231D01* +X128697674Y-88163403D01* +X128644860Y-88084360D01* +X128622300Y-88061800D01* +X129540749Y-88061800D01* +X129870200Y-88391252D01* +X129870201Y-90282032D01* +X129868727Y-90297000D01* +X129874611Y-90356751D01* +X129892040Y-90414205D01* +X129905215Y-90438854D01* +X129920343Y-90467157D01* +X129958433Y-90513568D01* +X129970056Y-90523108D01* +X130328066Y-90881118D01* +X130327400Y-90884468D01* +X130327400Y-90979532D01* +X130345946Y-91072769D01* +X130382326Y-91160597D01* +X130435140Y-91239640D01* +X130502360Y-91306860D01* +X130581403Y-91359674D01* +X130669231Y-91396054D01* +X130762468Y-91414600D01* +X130857532Y-91414600D01* +X130950769Y-91396054D01* +X131038597Y-91359674D01* +X131117640Y-91306860D01* +X131184860Y-91239640D01* +X131237674Y-91160597D01* +X131274054Y-91072769D01* +X131292600Y-90979532D01* +X131292600Y-90884468D01* +X131274054Y-90791231D01* +X131237674Y-90703403D01* +X131184860Y-90624360D01* +X131117640Y-90557140D01* +X131038597Y-90504326D01* +X130950769Y-90467946D01* +X130857532Y-90449400D01* +X130762468Y-90449400D01* +X130759118Y-90450066D01* +X130479800Y-90170749D01* +X130479800Y-88744300D01* +X130502360Y-88766860D01* +X130581403Y-88819674D01* +X130669231Y-88856054D01* +X130762468Y-88874600D01* +X130857532Y-88874600D01* +X130950769Y-88856054D01* +X131038597Y-88819674D01* +X131117640Y-88766860D01* +X131140201Y-88744299D01* +X131140200Y-90282042D01* +X131138727Y-90297000D01* +X131140200Y-90311958D01* +X131140200Y-90311965D01* +X131144611Y-90356750D01* +X131162040Y-90414205D01* +X131190342Y-90467156D01* +X131228432Y-90513568D01* +X131240061Y-90523112D01* +X131598066Y-90881118D01* +X131597400Y-90884468D01* +X131597400Y-90979532D01* +X131615946Y-91072769D01* +X131652326Y-91160597D01* +X131705140Y-91239640D01* +X131772360Y-91306860D01* +X131851403Y-91359674D01* +X131939231Y-91396054D01* +X132032468Y-91414600D01* +X132127532Y-91414600D01* +X132220769Y-91396054D01* +X132308597Y-91359674D01* +X132387640Y-91306860D01* +X132454860Y-91239640D01* +X132507674Y-91160597D01* +X132544054Y-91072769D01* +X132562600Y-90979532D01* +X132562600Y-90884468D01* +X132544054Y-90791231D01* +X132507674Y-90703403D01* +X132454860Y-90624360D01* +X132387640Y-90557140D01* +X132308597Y-90504326D01* +X132220769Y-90467946D01* +X132127532Y-90449400D01* +X132032468Y-90449400D01* +X132029118Y-90450066D01* +X131749800Y-90170749D01* +X131749800Y-88744300D01* +X131772360Y-88766860D01* +X131851403Y-88819674D01* +X131939231Y-88856054D01* +X132032468Y-88874600D01* +X132127532Y-88874600D01* +X132220769Y-88856054D01* +X132308597Y-88819674D01* +X132387640Y-88766860D01* +X132410201Y-88744299D01* +X132410200Y-90282042D01* +X132408727Y-90297000D01* +X132410200Y-90311958D01* +X132410200Y-90311965D01* +X132414611Y-90356750D01* +X132432040Y-90414205D01* +X132460342Y-90467156D01* +X132498432Y-90513568D01* +X132510061Y-90523112D01* +X132868066Y-90881118D01* +X132867400Y-90884468D01* +X132867400Y-90979532D01* +X132885946Y-91072769D01* +X132922326Y-91160597D01* +X132975140Y-91239640D01* +X133042360Y-91306860D01* +X133121403Y-91359674D01* +X133209231Y-91396054D01* +X133302468Y-91414600D01* +X133397532Y-91414600D01* +X133490769Y-91396054D01* +X133578597Y-91359674D01* +X133657640Y-91306860D01* +X133724860Y-91239640D01* +X133777674Y-91160597D01* +X133814054Y-91072769D01* +X133832600Y-90979532D01* +X133832600Y-90884468D01* +X133814054Y-90791231D01* +X133777674Y-90703403D01* +X133724860Y-90624360D01* +X133657640Y-90557140D01* +X133578597Y-90504326D01* +X133490769Y-90467946D01* +X133397532Y-90449400D01* +X133302468Y-90449400D01* +X133299118Y-90450066D01* +X133019800Y-90170749D01* +X133019800Y-88744300D01* +X133042360Y-88766860D01* +X133121403Y-88819674D01* +X133209231Y-88856054D01* +X133302468Y-88874600D01* +X133397532Y-88874600D01* +X133490769Y-88856054D01* +X133578597Y-88819674D01* +X133657640Y-88766860D01* +X133680201Y-88744299D01* +X133680200Y-90282042D01* +X133678727Y-90297000D01* +X133680200Y-90311958D01* +X133680200Y-90311965D01* +X133684611Y-90356750D01* +X133702040Y-90414205D01* +X133730342Y-90467156D01* +X133768432Y-90513568D01* +X133780061Y-90523112D01* +X134138066Y-90881118D01* +X134137400Y-90884468D01* +X134137400Y-90979532D01* +X134155946Y-91072769D01* +X134192326Y-91160597D01* +X134245140Y-91239640D01* +X134312360Y-91306860D01* +X134391403Y-91359674D01* +X134479231Y-91396054D01* +X134572468Y-91414600D01* +X134667532Y-91414600D01* +X134760769Y-91396054D01* +X134848597Y-91359674D01* +X134927640Y-91306860D01* +X134994860Y-91239640D01* +X135047674Y-91160597D01* +X135084054Y-91072769D01* +X135102600Y-90979532D01* +X135102600Y-90884468D01* +X135084054Y-90791231D01* +X135047674Y-90703403D01* +X134994860Y-90624360D01* +X134927640Y-90557140D01* +X134848597Y-90504326D01* +X134760769Y-90467946D01* +X134667532Y-90449400D01* +X134572468Y-90449400D01* +X134569118Y-90450066D01* +X134289800Y-90170749D01* +X134289800Y-88744300D01* +X134312360Y-88766860D01* +X134391403Y-88819674D01* +X134479231Y-88856054D01* +X134572468Y-88874600D01* +X134667532Y-88874600D01* +X134760769Y-88856054D01* +X134848597Y-88819674D01* +X134927640Y-88766860D01* +X134950200Y-88744300D01* +X134950201Y-90282032D01* +X134948727Y-90297000D01* +X134954611Y-90356751D01* +X134972040Y-90414205D01* +X134985215Y-90438854D01* +X135000343Y-90467157D01* +X135038433Y-90513568D01* +X135050056Y-90523108D01* +X135408066Y-90881118D01* +X135407400Y-90884468D01* +X135407400Y-90979532D01* +X135425946Y-91072769D01* +X135462326Y-91160597D01* +X135515140Y-91239640D01* +X135582360Y-91306860D01* +X135661403Y-91359674D01* +X135749231Y-91396054D01* +X135842468Y-91414600D01* +X135937532Y-91414600D01* +X136030769Y-91396054D01* +X136118597Y-91359674D01* +X136197640Y-91306860D01* +X136264860Y-91239640D01* +X136317674Y-91160597D01* +X136354054Y-91072769D01* +X136372600Y-90979532D01* +X136372600Y-90884468D01* +X136354054Y-90791231D01* +X136317674Y-90703403D01* +X136264860Y-90624360D01* +X136197640Y-90557140D01* +X136118597Y-90504326D01* +X136030769Y-90467946D01* +X135937532Y-90449400D01* +X135842468Y-90449400D01* +X135839118Y-90450066D01* +X135559800Y-90170749D01* +X135559800Y-88744300D01* +X135582360Y-88766860D01* +X135661403Y-88819674D01* +X135749231Y-88856054D01* +X135842468Y-88874600D01* +X135937532Y-88874600D01* +X136030769Y-88856054D01* +X136118597Y-88819674D01* +X136197640Y-88766860D01* +X136264860Y-88699640D01* +X136317674Y-88620597D01* +X136354054Y-88532769D01* +X136372600Y-88439532D01* +X136372600Y-88344468D01* +X136354054Y-88251231D01* +X136317674Y-88163403D01* +X136264860Y-88084360D01* +X136197640Y-88017140D01* +X136118597Y-87964326D01* +X136030769Y-87927946D01* +X135972385Y-87916333D01* +X131925112Y-83869061D01* +X131915568Y-83857432D01* +X131869157Y-83819342D01* +X131816206Y-83791040D01* +X131758751Y-83773611D01* +X131713966Y-83769200D01* +X131713958Y-83769200D01* +X131699000Y-83767727D01* +X131684042Y-83769200D01* +X98312957Y-83769200D01* +X98297999Y-83767727D01* +X98283041Y-83769200D01* +X98283034Y-83769200D01* +X98243889Y-83773055D01* +X98238248Y-83773611D01* +X98224876Y-83777668D01* +X98180794Y-83791040D01* +X98127843Y-83819342D01* +X98081432Y-83857432D01* +X98071892Y-83869056D01* +X94030882Y-87910066D01* +X94027532Y-87909400D01* +X93932468Y-87909400D01* +X93839231Y-87927946D01* +X93751403Y-87964326D01* +X93672360Y-88017140D01* +X93605140Y-88084360D01* +X93552326Y-88163403D01* +X93515946Y-88251231D01* +X93497400Y-88344468D01* +X93497400Y-88439532D01* +X93515946Y-88532769D01* +X93552326Y-88620597D01* +X93605140Y-88699640D01* +X93672360Y-88766860D01* +X93675200Y-88768758D01* +X93675201Y-96139747D01* +X91615046Y-98199903D01* +X91501912Y-98177400D01* +X91378088Y-98177400D01* +X91256644Y-98201556D01* +X91142246Y-98248941D01* +X91039291Y-98317734D01* +X90951734Y-98405291D01* +X90882941Y-98508246D01* +X90835556Y-98622644D01* +X90811400Y-98744088D01* +X89528600Y-98744088D01* +X89504444Y-98622644D01* +X89457059Y-98508246D01* +X89388266Y-98405291D01* +X89300709Y-98317734D01* +X89197754Y-98248941D01* +X89083356Y-98201556D01* +X88961912Y-98177400D01* +X88838088Y-98177400D01* +X88716644Y-98201556D01* +X88602246Y-98248941D01* +X88499291Y-98317734D01* +X88411734Y-98405291D01* +X88342941Y-98508246D01* +X88295556Y-98622644D01* +X88271400Y-98744088D01* +X86988600Y-98744088D01* +X86966097Y-98630954D01* +X91644945Y-93952107D01* +X91656568Y-93942568D01* +X91694658Y-93896157D01* +X91722960Y-93843206D01* +X91740389Y-93785751D01* +X91744800Y-93740966D01* +X91744800Y-93740958D01* +X91746273Y-93726000D01* +X91744800Y-93711042D01* +X91744800Y-88768758D01* +X91747640Y-88766860D01* +X91814860Y-88699640D01* +X91867674Y-88620597D01* +X91904054Y-88532769D01* +X91922600Y-88439532D01* +X91922600Y-88344468D01* +X91904054Y-88251231D01* +X91867674Y-88163403D01* +X91814860Y-88084360D01* +X91747640Y-88017140D01* +X91668597Y-87964326D01* +X91580769Y-87927946D01* +X91487532Y-87909400D01* +X91392468Y-87909400D01* +X91299231Y-87927946D01* +X91211403Y-87964326D01* +X91132360Y-88017140D01* +X91065140Y-88084360D01* +X91012326Y-88163403D01* +X90975946Y-88251231D01* +X90957400Y-88344468D01* +X90957400Y-88439532D01* +X90975946Y-88532769D01* +X91012326Y-88620597D01* +X91065140Y-88699640D01* +X91132360Y-88766860D01* +X91135201Y-88768758D01* +X91135200Y-93599748D01* +X86535046Y-98199903D01* +X86421912Y-98177400D01* +X86298088Y-98177400D01* +X86176644Y-98201556D01* +X86062246Y-98248941D01* +X85959291Y-98317734D01* +X85871734Y-98405291D01* +X85802941Y-98508246D01* +X85755556Y-98622644D01* +X85731400Y-98744088D01* +X84448600Y-98744088D01* +X84424444Y-98622644D01* +X84377059Y-98508246D01* +X84308266Y-98405291D01* +X84220709Y-98317734D01* +X84117754Y-98248941D01* +X84003356Y-98201556D01* +X83881912Y-98177400D01* +X83758088Y-98177400D01* +X83636644Y-98201556D01* +X83522246Y-98248941D01* +X83419291Y-98317734D01* +X83331734Y-98405291D01* +X83262941Y-98508246D01* +X83215556Y-98622644D01* +X83191400Y-98744088D01* +X78560600Y-98744088D01* +X78560600Y-97265865D01* +X78559136Y-97251000D01* +X78559208Y-97240692D01* +X78558760Y-97236126D01* +X78525079Y-96915672D01* +X78519080Y-96886446D01* +X78513509Y-96857242D01* +X78512185Y-96852858D01* +X78512184Y-96852851D01* +X78512181Y-96852845D01* +X78416900Y-96545042D01* +X78405368Y-96517608D01* +X78394203Y-96489973D01* +X78392049Y-96485922D01* +X78238793Y-96202483D01* +X78222151Y-96177810D01* +X78205832Y-96152871D01* +X78202932Y-96149316D01* +X77997542Y-95901042D01* +X77976410Y-95880057D01* +X77955571Y-95858777D01* +X77952036Y-95855852D01* +X77702334Y-95652200D01* +X77677513Y-95635709D01* +X77652954Y-95618893D01* +X77648918Y-95616711D01* +X77364416Y-95465438D01* +X77336876Y-95454086D01* +X77309505Y-95442355D01* +X77305130Y-95441001D01* +X77305124Y-95440999D01* +X77305118Y-95440998D01* +X76996656Y-95347868D01* +X76967429Y-95342081D01* +X76938310Y-95335891D01* +X76933747Y-95335411D01* +X76613066Y-95303968D01* +X76613056Y-95303968D01* +X76597135Y-95302400D01* +X76528600Y-95302400D01* +X76528600Y-95188088D01* +X84461400Y-95188088D01* +X84461400Y-95311912D01* +X84485556Y-95433356D01* +X84532941Y-95547754D01* +X84601734Y-95650709D01* +X84689291Y-95738266D01* +X84792246Y-95807059D01* +X84906644Y-95854444D01* +X85028088Y-95878600D01* +X85151912Y-95878600D01* +X85273356Y-95854444D01* +X85387754Y-95807059D01* +X85490709Y-95738266D01* +X85578266Y-95650709D01* +X85647059Y-95547754D01* +X85694444Y-95433356D01* +X85718600Y-95311912D01* +X85718600Y-95188088D01* +X85694444Y-95066644D01* +X85647059Y-94952246D01* +X85578266Y-94849291D01* +X85490709Y-94761734D01* +X85387754Y-94692941D01* +X85273356Y-94645556D01* +X85151912Y-94621400D01* +X85028088Y-94621400D01* +X84906644Y-94645556D01* +X84792246Y-94692941D01* +X84689291Y-94761734D01* +X84601734Y-94849291D01* +X84532941Y-94952246D01* +X84485556Y-95066644D01* +X84461400Y-95188088D01* +X76528600Y-95188088D01* +X76528600Y-94045088D01* +X83191400Y-94045088D01* +X83191400Y-94168912D01* +X83215556Y-94290356D01* +X83262941Y-94404754D01* +X83331734Y-94507709D01* +X83419291Y-94595266D01* +X83522246Y-94664059D01* +X83636644Y-94711444D01* +X83758088Y-94735600D01* +X83881912Y-94735600D01* +X84003356Y-94711444D01* +X84117754Y-94664059D01* +X84220709Y-94595266D01* +X84308266Y-94507709D01* +X84377059Y-94404754D01* +X84424444Y-94290356D01* +X84448600Y-94168912D01* +X84448600Y-94045088D01* +X86112400Y-94045088D01* +X86112400Y-94168912D01* +X86136556Y-94290356D01* +X86183941Y-94404754D01* +X86252734Y-94507709D01* +X86340291Y-94595266D01* +X86443246Y-94664059D01* +X86557644Y-94711444D01* +X86679088Y-94735600D01* +X86802912Y-94735600D01* +X86924356Y-94711444D01* +X87038754Y-94664059D01* +X87141709Y-94595266D01* +X87229266Y-94507709D01* +X87298059Y-94404754D01* +X87345444Y-94290356D01* +X87369600Y-94168912D01* +X87369600Y-94045088D01* +X87345444Y-93923644D01* +X87298059Y-93809246D01* +X87229266Y-93706291D01* +X87141709Y-93618734D01* +X87038754Y-93549941D01* +X86924356Y-93502556D01* +X86802912Y-93478400D01* +X86679088Y-93478400D01* +X86557644Y-93502556D01* +X86443246Y-93549941D01* +X86340291Y-93618734D01* +X86252734Y-93706291D01* +X86183941Y-93809246D01* +X86136556Y-93923644D01* +X86112400Y-94045088D01* +X84448600Y-94045088D01* +X84424444Y-93923644D01* +X84377059Y-93809246D01* +X84308266Y-93706291D01* +X84220709Y-93618734D01* +X84117754Y-93549941D01* +X84003356Y-93502556D01* +X83881912Y-93478400D01* +X83758088Y-93478400D01* +X83636644Y-93502556D01* +X83522246Y-93549941D01* +X83419291Y-93618734D01* +X83331734Y-93706291D01* +X83262941Y-93809246D01* +X83215556Y-93923644D01* +X83191400Y-94045088D01* +X76528600Y-94045088D01* +X76528600Y-91466683D01* +X77654586Y-91466683D01* +X77696162Y-91837340D01* +X77808940Y-92192862D01* +X77988626Y-92519708D01* +X78228373Y-92805429D01* +X78519052Y-93039140D01* +X78849589Y-93211941D01* +X79207396Y-93317249D01* +X79578842Y-93351053D01* +X79949780Y-93312066D01* +X80306081Y-93201773D01* +X80634174Y-93024374D01* +X80921561Y-92786626D01* +X81157296Y-92497587D01* +X81332400Y-92168264D01* +X81440203Y-91811201D01* +X81476600Y-91440000D01* +X81475855Y-91386639D01* +X81429108Y-91016599D01* +X81311377Y-90662686D01* +X81127146Y-90338380D01* +X80883432Y-90056035D01* +X80589519Y-89826405D01* +X80256601Y-89658236D01* +X79897359Y-89557934D01* +X79525477Y-89529319D01* +X79155119Y-89573482D01* +X78800393Y-89688739D01* +X78474809Y-89870702D01* +X78190770Y-90112438D01* +X77959093Y-90404741D01* +X77788604Y-90736477D01* +X77685797Y-91095010D01* +X77654586Y-91466683D01* +X76528600Y-91466683D01* +X76528600Y-82878600D01* +X164771400Y-82878600D01* +X164771401Y-101271400D01* +X164771401Y-101271400D01* +G37* +X164771401Y-101271400D02* +X78560600Y-101271400D01* +X78560600Y-99568000D01* +X85775800Y-99568000D01* +X85775800Y-100457000D01* +X85777264Y-100471866D01* +X85781600Y-100486160D01* +X85788642Y-100499334D01* +X85798118Y-100510882D01* +X85809666Y-100520358D01* +X85822840Y-100527400D01* +X85837134Y-100531736D01* +X85852000Y-100533200D01* +X86868000Y-100533200D01* +X86882866Y-100531736D01* +X86897160Y-100527400D01* +X86910334Y-100520358D01* +X86921882Y-100510882D01* +X86931358Y-100499334D01* +X86938400Y-100486160D01* +X86942736Y-100471866D01* +X86944200Y-100457000D01* +X86944200Y-99568000D01* +X88315800Y-99568000D01* +X88315800Y-100457000D01* +X88317264Y-100471866D01* +X88321600Y-100486160D01* +X88328642Y-100499334D01* +X88338118Y-100510882D01* +X88349666Y-100520358D01* +X88362840Y-100527400D01* +X88377134Y-100531736D01* +X88392000Y-100533200D01* +X89408000Y-100533200D01* +X89422866Y-100531736D01* +X89437160Y-100527400D01* +X89450334Y-100520358D01* +X89461882Y-100510882D01* +X89471358Y-100499334D01* +X89478400Y-100486160D01* +X89482736Y-100471866D01* +X89484200Y-100457000D01* +X89484200Y-99568000D01* +X90855800Y-99568000D01* +X90855800Y-100457000D01* +X90857264Y-100471866D01* +X90861600Y-100486160D01* +X90868642Y-100499334D01* +X90878118Y-100510882D01* +X90889666Y-100520358D01* +X90902840Y-100527400D01* +X90917134Y-100531736D01* +X90932000Y-100533200D01* +X91948000Y-100533200D01* +X91962866Y-100531736D01* +X91977160Y-100527400D01* +X91990334Y-100520358D01* +X92001882Y-100510882D01* +X92011358Y-100499334D01* +X92018400Y-100486160D01* +X92022736Y-100471866D01* +X92024200Y-100457000D01* +X92024200Y-99568000D01* +X93395800Y-99568000D01* +X93395800Y-100457000D01* +X93397264Y-100471866D01* +X93401600Y-100486160D01* +X93408642Y-100499334D01* +X93418118Y-100510882D01* +X93429666Y-100520358D01* +X93442840Y-100527400D01* +X93457134Y-100531736D01* +X93472000Y-100533200D01* +X94488000Y-100533200D01* +X94502866Y-100531736D01* +X94517160Y-100527400D01* +X94530334Y-100520358D01* +X94541882Y-100510882D01* +X94551358Y-100499334D01* +X94558400Y-100486160D01* +X94562736Y-100471866D01* +X94564200Y-100457000D01* +X94564200Y-99568000D01* +X95935800Y-99568000D01* +X95935800Y-100457000D01* +X95937264Y-100471866D01* +X95941600Y-100486160D01* +X95948642Y-100499334D01* +X95958118Y-100510882D01* +X95969666Y-100520358D01* +X95982840Y-100527400D01* +X95997134Y-100531736D01* +X96012000Y-100533200D01* +X97028000Y-100533200D01* +X97042866Y-100531736D01* +X97057160Y-100527400D01* +X97070334Y-100520358D01* +X97081882Y-100510882D01* +X97091358Y-100499334D01* +X97098400Y-100486160D01* +X97102736Y-100471866D01* +X97104200Y-100457000D01* +X97104200Y-99568000D01* +X98475800Y-99568000D01* +X98475800Y-100457000D01* +X98477264Y-100471866D01* +X98481600Y-100486160D01* +X98488642Y-100499334D01* +X98498118Y-100510882D01* +X98509666Y-100520358D01* +X98522840Y-100527400D01* +X98537134Y-100531736D01* +X98552000Y-100533200D01* +X99568000Y-100533200D01* +X99582866Y-100531736D01* +X99597160Y-100527400D01* +X99610334Y-100520358D01* +X99621882Y-100510882D01* +X99631358Y-100499334D01* +X99638400Y-100486160D01* +X99642736Y-100471866D01* +X99644200Y-100457000D01* +X99644200Y-99568000D01* +X101015800Y-99568000D01* +X101015800Y-100457000D01* +X101017264Y-100471866D01* +X101021600Y-100486160D01* +X101028642Y-100499334D01* +X101038118Y-100510882D01* +X101049666Y-100520358D01* +X101062840Y-100527400D01* +X101077134Y-100531736D01* +X101092000Y-100533200D01* +X102108000Y-100533200D01* +X102122866Y-100531736D01* +X102137160Y-100527400D01* +X102150334Y-100520358D01* +X102161882Y-100510882D01* +X102171358Y-100499334D01* +X102178400Y-100486160D01* +X102182736Y-100471866D01* +X102184200Y-100457000D01* +X102184200Y-99568000D01* +X106095800Y-99568000D01* +X106095800Y-100457000D01* +X106097264Y-100471866D01* +X106101600Y-100486160D01* +X106108642Y-100499334D01* +X106118118Y-100510882D01* +X106129666Y-100520358D01* +X106142840Y-100527400D01* +X106157134Y-100531736D01* +X106172000Y-100533200D01* +X107188000Y-100533200D01* +X107202866Y-100531736D01* +X107217160Y-100527400D01* +X107230334Y-100520358D01* +X107241882Y-100510882D01* +X107251358Y-100499334D01* +X107258400Y-100486160D01* +X107262736Y-100471866D01* +X107264200Y-100457000D01* +X107264200Y-99568000D01* +X108635800Y-99568000D01* +X108635800Y-100457000D01* +X108637264Y-100471866D01* +X108641600Y-100486160D01* +X108648642Y-100499334D01* +X108658118Y-100510882D01* +X108669666Y-100520358D01* +X108682840Y-100527400D01* +X108697134Y-100531736D01* +X108712000Y-100533200D01* +X109728000Y-100533200D01* +X109742866Y-100531736D01* +X109757160Y-100527400D01* +X109770334Y-100520358D01* +X109781882Y-100510882D01* +X109791358Y-100499334D01* +X109798400Y-100486160D01* +X109802736Y-100471866D01* +X109804200Y-100457000D01* +X109804200Y-99568000D01* +X111175800Y-99568000D01* +X111175800Y-100457000D01* +X111177264Y-100471866D01* +X111181600Y-100486160D01* +X111188642Y-100499334D01* +X111198118Y-100510882D01* +X111209666Y-100520358D01* +X111222840Y-100527400D01* +X111237134Y-100531736D01* +X111252000Y-100533200D01* +X112268000Y-100533200D01* +X112282866Y-100531736D01* +X112297160Y-100527400D01* +X112310334Y-100520358D01* +X112321882Y-100510882D01* +X112331358Y-100499334D01* +X112338400Y-100486160D01* +X112342736Y-100471866D01* +X112344200Y-100457000D01* +X112344200Y-99568000D01* +X113715800Y-99568000D01* +X113715800Y-100457000D01* +X113717264Y-100471866D01* +X113721600Y-100486160D01* +X113728642Y-100499334D01* +X113738118Y-100510882D01* +X113749666Y-100520358D01* +X113762840Y-100527400D01* +X113777134Y-100531736D01* +X113792000Y-100533200D01* +X114808000Y-100533200D01* +X114822866Y-100531736D01* +X114837160Y-100527400D01* +X114850334Y-100520358D01* +X114861882Y-100510882D01* +X114871358Y-100499334D01* +X114878400Y-100486160D01* +X114882736Y-100471866D01* +X114884200Y-100457000D01* +X114884200Y-99568000D01* +X116255800Y-99568000D01* +X116255800Y-100457000D01* +X116257264Y-100471866D01* +X116261600Y-100486160D01* +X116268642Y-100499334D01* +X116278118Y-100510882D01* +X116289666Y-100520358D01* +X116302840Y-100527400D01* +X116317134Y-100531736D01* +X116332000Y-100533200D01* +X117348000Y-100533200D01* +X117362866Y-100531736D01* +X117377160Y-100527400D01* +X117390334Y-100520358D01* +X117401882Y-100510882D01* +X117411358Y-100499334D01* +X117418400Y-100486160D01* +X117422736Y-100471866D01* +X117424200Y-100457000D01* +X117424200Y-99568000D01* +X118795800Y-99568000D01* +X118795800Y-100457000D01* +X118797264Y-100471866D01* +X118801600Y-100486160D01* +X118808642Y-100499334D01* +X118818118Y-100510882D01* +X118829666Y-100520358D01* +X118842840Y-100527400D01* +X118857134Y-100531736D01* +X118872000Y-100533200D01* +X119888000Y-100533200D01* +X119902866Y-100531736D01* +X119917160Y-100527400D01* +X119930334Y-100520358D01* +X119941882Y-100510882D01* +X119951358Y-100499334D01* +X119958400Y-100486160D01* +X119962736Y-100471866D01* +X119964200Y-100457000D01* +X119964200Y-99568000D01* +X121335800Y-99568000D01* +X121335800Y-100457000D01* +X121337264Y-100471866D01* +X121341600Y-100486160D01* +X121348642Y-100499334D01* +X121358118Y-100510882D01* +X121369666Y-100520358D01* +X121382840Y-100527400D01* +X121397134Y-100531736D01* +X121412000Y-100533200D01* +X122428000Y-100533200D01* +X122442866Y-100531736D01* +X122457160Y-100527400D01* +X122470334Y-100520358D01* +X122481882Y-100510882D01* +X122491358Y-100499334D01* +X122498400Y-100486160D01* +X122502736Y-100471866D01* +X122504200Y-100457000D01* +X122504200Y-99568000D01* +X123875800Y-99568000D01* +X123875800Y-100457000D01* +X123877264Y-100471866D01* +X123881600Y-100486160D01* +X123888642Y-100499334D01* +X123898118Y-100510882D01* +X123909666Y-100520358D01* +X123922840Y-100527400D01* +X123937134Y-100531736D01* +X123952000Y-100533200D01* +X124968000Y-100533200D01* +X124982866Y-100531736D01* +X124997160Y-100527400D01* +X125010334Y-100520358D01* +X125021882Y-100510882D01* +X125031358Y-100499334D01* +X125038400Y-100486160D01* +X125042736Y-100471866D01* +X125044200Y-100457000D01* +X125044200Y-99568000D01* +X126415800Y-99568000D01* +X126415800Y-100457000D01* +X126417264Y-100471866D01* +X126421600Y-100486160D01* +X126428642Y-100499334D01* +X126438118Y-100510882D01* +X126449666Y-100520358D01* +X126462840Y-100527400D01* +X126477134Y-100531736D01* +X126492000Y-100533200D01* +X127508000Y-100533200D01* +X127522866Y-100531736D01* +X127537160Y-100527400D01* +X127550334Y-100520358D01* +X127561882Y-100510882D01* +X127571358Y-100499334D01* +X127578400Y-100486160D01* +X127582736Y-100471866D01* +X127584200Y-100457000D01* +X127584200Y-99568000D01* +X128955800Y-99568000D01* +X128955800Y-100457000D01* +X128957264Y-100471866D01* +X128961600Y-100486160D01* +X128968642Y-100499334D01* +X128978118Y-100510882D01* +X128989666Y-100520358D01* +X129002840Y-100527400D01* +X129017134Y-100531736D01* +X129032000Y-100533200D01* +X130048000Y-100533200D01* +X130062866Y-100531736D01* +X130077160Y-100527400D01* +X130090334Y-100520358D01* +X130101882Y-100510882D01* +X130111358Y-100499334D01* +X130118400Y-100486160D01* +X130122736Y-100471866D01* +X130124200Y-100457000D01* +X130124200Y-99568000D01* +X131495800Y-99568000D01* +X131495800Y-100457000D01* +X131497264Y-100471866D01* +X131501600Y-100486160D01* +X131508642Y-100499334D01* +X131518118Y-100510882D01* +X131529666Y-100520358D01* +X131542840Y-100527400D01* +X131557134Y-100531736D01* +X131572000Y-100533200D01* +X132588000Y-100533200D01* +X132602866Y-100531736D01* +X132617160Y-100527400D01* +X132630334Y-100520358D01* +X132641882Y-100510882D01* +X132651358Y-100499334D01* +X132658400Y-100486160D01* +X132662736Y-100471866D01* +X132664200Y-100457000D01* +X132664200Y-99568000D01* +X134035800Y-99568000D01* +X134035800Y-100457000D01* +X134037264Y-100471866D01* +X134041600Y-100486160D01* +X134048642Y-100499334D01* +X134058118Y-100510882D01* +X134069666Y-100520358D01* +X134082840Y-100527400D01* +X134097134Y-100531736D01* +X134112000Y-100533200D01* +X135128000Y-100533200D01* +X135142866Y-100531736D01* +X135157160Y-100527400D01* +X135170334Y-100520358D01* +X135181882Y-100510882D01* +X135191358Y-100499334D01* +X135198400Y-100486160D01* +X135202736Y-100471866D01* +X135204200Y-100457000D01* +X135204200Y-99568000D01* +X139115800Y-99568000D01* +X139115800Y-100457000D01* +X139117264Y-100471866D01* +X139121600Y-100486160D01* +X139128642Y-100499334D01* +X139138118Y-100510882D01* +X139149666Y-100520358D01* +X139162840Y-100527400D01* +X139177134Y-100531736D01* +X139192000Y-100533200D01* +X140208000Y-100533200D01* +X140222866Y-100531736D01* +X140237160Y-100527400D01* +X140250334Y-100520358D01* +X140261882Y-100510882D01* +X140271358Y-100499334D01* +X140278400Y-100486160D01* +X140282736Y-100471866D01* +X140284200Y-100457000D01* +X140284200Y-99568000D01* +X141655800Y-99568000D01* +X141655800Y-100457000D01* +X141657264Y-100471866D01* +X141661600Y-100486160D01* +X141668642Y-100499334D01* +X141678118Y-100510882D01* +X141689666Y-100520358D01* +X141702840Y-100527400D01* +X141717134Y-100531736D01* +X141732000Y-100533200D01* +X142748000Y-100533200D01* +X142762866Y-100531736D01* +X142777160Y-100527400D01* +X142790334Y-100520358D01* +X142801882Y-100510882D01* +X142811358Y-100499334D01* +X142818400Y-100486160D01* +X142822736Y-100471866D01* +X142824200Y-100457000D01* +X142824200Y-99568000D01* +X144195800Y-99568000D01* +X144195800Y-100457000D01* +X144197264Y-100471866D01* +X144201600Y-100486160D01* +X144208642Y-100499334D01* +X144218118Y-100510882D01* +X144229666Y-100520358D01* +X144242840Y-100527400D01* +X144257134Y-100531736D01* +X144272000Y-100533200D01* +X145288000Y-100533200D01* +X145302866Y-100531736D01* +X145317160Y-100527400D01* +X145330334Y-100520358D01* +X145341882Y-100510882D01* +X145351358Y-100499334D01* +X145358400Y-100486160D01* +X145362736Y-100471866D01* +X145364200Y-100457000D01* +X145364200Y-99568000D01* +X146735800Y-99568000D01* +X146735800Y-100457000D01* +X146737264Y-100471866D01* +X146741600Y-100486160D01* +X146748642Y-100499334D01* +X146758118Y-100510882D01* +X146769666Y-100520358D01* +X146782840Y-100527400D01* +X146797134Y-100531736D01* +X146812000Y-100533200D01* +X147828000Y-100533200D01* +X147842866Y-100531736D01* +X147857160Y-100527400D01* +X147870334Y-100520358D01* +X147881882Y-100510882D01* +X147891358Y-100499334D01* +X147898400Y-100486160D01* +X147902736Y-100471866D01* +X147904200Y-100457000D01* +X147904200Y-99568000D01* +X149275800Y-99568000D01* +X149275800Y-100457000D01* +X149277264Y-100471866D01* +X149281600Y-100486160D01* +X149288642Y-100499334D01* +X149298118Y-100510882D01* +X149309666Y-100520358D01* +X149322840Y-100527400D01* +X149337134Y-100531736D01* +X149352000Y-100533200D01* +X150368000Y-100533200D01* +X150382866Y-100531736D01* +X150397160Y-100527400D01* +X150410334Y-100520358D01* +X150421882Y-100510882D01* +X150431358Y-100499334D01* +X150438400Y-100486160D01* +X150442736Y-100471866D01* +X150444200Y-100457000D01* +X150444200Y-99568000D01* +X151815800Y-99568000D01* +X151815800Y-100457000D01* +X151817264Y-100471866D01* +X151821600Y-100486160D01* +X151828642Y-100499334D01* +X151838118Y-100510882D01* +X151849666Y-100520358D01* +X151862840Y-100527400D01* +X151877134Y-100531736D01* +X151892000Y-100533200D01* +X152908000Y-100533200D01* +X152922866Y-100531736D01* +X152937160Y-100527400D01* +X152950334Y-100520358D01* +X152961882Y-100510882D01* +X152971358Y-100499334D01* +X152978400Y-100486160D01* +X152982736Y-100471866D01* +X152984200Y-100457000D01* +X152984200Y-99568000D01* +X154355800Y-99568000D01* +X154355800Y-100457000D01* +X154357264Y-100471866D01* +X154361600Y-100486160D01* +X154368642Y-100499334D01* +X154378118Y-100510882D01* +X154389666Y-100520358D01* +X154402840Y-100527400D01* +X154417134Y-100531736D01* +X154432000Y-100533200D01* +X155448000Y-100533200D01* +X155462866Y-100531736D01* +X155477160Y-100527400D01* +X155490334Y-100520358D01* +X155501882Y-100510882D01* +X155511358Y-100499334D01* +X155518400Y-100486160D01* +X155522736Y-100471866D01* +X155524200Y-100457000D01* +X155524200Y-99568000D01* +X155522736Y-99553134D01* +X155518400Y-99538840D01* +X155511358Y-99525666D01* +X155501882Y-99514118D01* +X155490334Y-99504642D01* +X155477160Y-99497600D01* +X155462866Y-99493264D01* +X155448000Y-99491800D01* +X154432000Y-99491800D01* +X154417134Y-99493264D01* +X154402840Y-99497600D01* +X154389666Y-99504642D01* +X154378118Y-99514118D01* +X154368642Y-99525666D01* +X154361600Y-99538840D01* +X154357264Y-99553134D01* +X154355800Y-99568000D01* +X152984200Y-99568000D01* +X152982736Y-99553134D01* +X152978400Y-99538840D01* +X152971358Y-99525666D01* +X152961882Y-99514118D01* +X152950334Y-99504642D01* +X152937160Y-99497600D01* +X152922866Y-99493264D01* +X152908000Y-99491800D01* +X151892000Y-99491800D01* +X151877134Y-99493264D01* +X151862840Y-99497600D01* +X151849666Y-99504642D01* +X151838118Y-99514118D01* +X151828642Y-99525666D01* +X151821600Y-99538840D01* +X151817264Y-99553134D01* +X151815800Y-99568000D01* +X150444200Y-99568000D01* +X150442736Y-99553134D01* +X150438400Y-99538840D01* +X150431358Y-99525666D01* +X150421882Y-99514118D01* +X150410334Y-99504642D01* +X150397160Y-99497600D01* +X150382866Y-99493264D01* +X150368000Y-99491800D01* +X149352000Y-99491800D01* +X149337134Y-99493264D01* +X149322840Y-99497600D01* +X149309666Y-99504642D01* +X149298118Y-99514118D01* +X149288642Y-99525666D01* +X149281600Y-99538840D01* +X149277264Y-99553134D01* +X149275800Y-99568000D01* +X147904200Y-99568000D01* +X147902736Y-99553134D01* +X147898400Y-99538840D01* +X147891358Y-99525666D01* +X147881882Y-99514118D01* +X147870334Y-99504642D01* +X147857160Y-99497600D01* +X147842866Y-99493264D01* +X147828000Y-99491800D01* +X146812000Y-99491800D01* +X146797134Y-99493264D01* +X146782840Y-99497600D01* +X146769666Y-99504642D01* +X146758118Y-99514118D01* +X146748642Y-99525666D01* +X146741600Y-99538840D01* +X146737264Y-99553134D01* +X146735800Y-99568000D01* +X145364200Y-99568000D01* +X145362736Y-99553134D01* +X145358400Y-99538840D01* +X145351358Y-99525666D01* +X145341882Y-99514118D01* +X145330334Y-99504642D01* +X145317160Y-99497600D01* +X145302866Y-99493264D01* +X145288000Y-99491800D01* +X144272000Y-99491800D01* +X144257134Y-99493264D01* +X144242840Y-99497600D01* +X144229666Y-99504642D01* +X144218118Y-99514118D01* +X144208642Y-99525666D01* +X144201600Y-99538840D01* +X144197264Y-99553134D01* +X144195800Y-99568000D01* +X142824200Y-99568000D01* +X142822736Y-99553134D01* +X142818400Y-99538840D01* +X142811358Y-99525666D01* +X142801882Y-99514118D01* +X142790334Y-99504642D01* +X142777160Y-99497600D01* +X142762866Y-99493264D01* +X142748000Y-99491800D01* +X141732000Y-99491800D01* +X141717134Y-99493264D01* +X141702840Y-99497600D01* +X141689666Y-99504642D01* +X141678118Y-99514118D01* +X141668642Y-99525666D01* +X141661600Y-99538840D01* +X141657264Y-99553134D01* +X141655800Y-99568000D01* +X140284200Y-99568000D01* +X140282736Y-99553134D01* +X140278400Y-99538840D01* +X140271358Y-99525666D01* +X140261882Y-99514118D01* +X140250334Y-99504642D01* +X140237160Y-99497600D01* +X140222866Y-99493264D01* +X140208000Y-99491800D01* +X139192000Y-99491800D01* +X139177134Y-99493264D01* +X139162840Y-99497600D01* +X139149666Y-99504642D01* +X139138118Y-99514118D01* +X139128642Y-99525666D01* +X139121600Y-99538840D01* +X139117264Y-99553134D01* +X139115800Y-99568000D01* +X135204200Y-99568000D01* +X135202736Y-99553134D01* +X135198400Y-99538840D01* +X135191358Y-99525666D01* +X135181882Y-99514118D01* +X135170334Y-99504642D01* +X135157160Y-99497600D01* +X135142866Y-99493264D01* +X135128000Y-99491800D01* +X134112000Y-99491800D01* +X134097134Y-99493264D01* +X134082840Y-99497600D01* +X134069666Y-99504642D01* +X134058118Y-99514118D01* +X134048642Y-99525666D01* +X134041600Y-99538840D01* +X134037264Y-99553134D01* +X134035800Y-99568000D01* +X132664200Y-99568000D01* +X132662736Y-99553134D01* +X132658400Y-99538840D01* +X132651358Y-99525666D01* +X132641882Y-99514118D01* +X132630334Y-99504642D01* +X132617160Y-99497600D01* +X132602866Y-99493264D01* +X132588000Y-99491800D01* +X131572000Y-99491800D01* +X131557134Y-99493264D01* +X131542840Y-99497600D01* +X131529666Y-99504642D01* +X131518118Y-99514118D01* +X131508642Y-99525666D01* +X131501600Y-99538840D01* +X131497264Y-99553134D01* +X131495800Y-99568000D01* +X130124200Y-99568000D01* +X130122736Y-99553134D01* +X130118400Y-99538840D01* +X130111358Y-99525666D01* +X130101882Y-99514118D01* +X130090334Y-99504642D01* +X130077160Y-99497600D01* +X130062866Y-99493264D01* +X130048000Y-99491800D01* +X129032000Y-99491800D01* +X129017134Y-99493264D01* +X129002840Y-99497600D01* +X128989666Y-99504642D01* +X128978118Y-99514118D01* +X128968642Y-99525666D01* +X128961600Y-99538840D01* +X128957264Y-99553134D01* +X128955800Y-99568000D01* +X127584200Y-99568000D01* +X127582736Y-99553134D01* +X127578400Y-99538840D01* +X127571358Y-99525666D01* +X127561882Y-99514118D01* +X127550334Y-99504642D01* +X127537160Y-99497600D01* +X127522866Y-99493264D01* +X127508000Y-99491800D01* +X126492000Y-99491800D01* +X126477134Y-99493264D01* +X126462840Y-99497600D01* +X126449666Y-99504642D01* +X126438118Y-99514118D01* +X126428642Y-99525666D01* +X126421600Y-99538840D01* +X126417264Y-99553134D01* +X126415800Y-99568000D01* +X125044200Y-99568000D01* +X125042736Y-99553134D01* +X125038400Y-99538840D01* +X125031358Y-99525666D01* +X125021882Y-99514118D01* +X125010334Y-99504642D01* +X124997160Y-99497600D01* +X124982866Y-99493264D01* +X124968000Y-99491800D01* +X123952000Y-99491800D01* +X123937134Y-99493264D01* +X123922840Y-99497600D01* +X123909666Y-99504642D01* +X123898118Y-99514118D01* +X123888642Y-99525666D01* +X123881600Y-99538840D01* +X123877264Y-99553134D01* +X123875800Y-99568000D01* +X122504200Y-99568000D01* +X122502736Y-99553134D01* +X122498400Y-99538840D01* +X122491358Y-99525666D01* +X122481882Y-99514118D01* +X122470334Y-99504642D01* +X122457160Y-99497600D01* +X122442866Y-99493264D01* +X122428000Y-99491800D01* +X121412000Y-99491800D01* +X121397134Y-99493264D01* +X121382840Y-99497600D01* +X121369666Y-99504642D01* +X121358118Y-99514118D01* +X121348642Y-99525666D01* +X121341600Y-99538840D01* +X121337264Y-99553134D01* +X121335800Y-99568000D01* +X119964200Y-99568000D01* +X119962736Y-99553134D01* +X119958400Y-99538840D01* +X119951358Y-99525666D01* +X119941882Y-99514118D01* +X119930334Y-99504642D01* +X119917160Y-99497600D01* +X119902866Y-99493264D01* +X119888000Y-99491800D01* +X118872000Y-99491800D01* +X118857134Y-99493264D01* +X118842840Y-99497600D01* +X118829666Y-99504642D01* +X118818118Y-99514118D01* +X118808642Y-99525666D01* +X118801600Y-99538840D01* +X118797264Y-99553134D01* +X118795800Y-99568000D01* +X117424200Y-99568000D01* +X117422736Y-99553134D01* +X117418400Y-99538840D01* +X117411358Y-99525666D01* +X117401882Y-99514118D01* +X117390334Y-99504642D01* +X117377160Y-99497600D01* +X117362866Y-99493264D01* +X117348000Y-99491800D01* +X116332000Y-99491800D01* +X116317134Y-99493264D01* +X116302840Y-99497600D01* +X116289666Y-99504642D01* +X116278118Y-99514118D01* +X116268642Y-99525666D01* +X116261600Y-99538840D01* +X116257264Y-99553134D01* +X116255800Y-99568000D01* +X114884200Y-99568000D01* +X114882736Y-99553134D01* +X114878400Y-99538840D01* +X114871358Y-99525666D01* +X114861882Y-99514118D01* +X114850334Y-99504642D01* +X114837160Y-99497600D01* +X114822866Y-99493264D01* +X114808000Y-99491800D01* +X113792000Y-99491800D01* +X113777134Y-99493264D01* +X113762840Y-99497600D01* +X113749666Y-99504642D01* +X113738118Y-99514118D01* +X113728642Y-99525666D01* +X113721600Y-99538840D01* +X113717264Y-99553134D01* +X113715800Y-99568000D01* +X112344200Y-99568000D01* +X112342736Y-99553134D01* +X112338400Y-99538840D01* +X112331358Y-99525666D01* +X112321882Y-99514118D01* +X112310334Y-99504642D01* +X112297160Y-99497600D01* +X112282866Y-99493264D01* +X112268000Y-99491800D01* +X111252000Y-99491800D01* +X111237134Y-99493264D01* +X111222840Y-99497600D01* +X111209666Y-99504642D01* +X111198118Y-99514118D01* +X111188642Y-99525666D01* +X111181600Y-99538840D01* +X111177264Y-99553134D01* +X111175800Y-99568000D01* +X109804200Y-99568000D01* +X109802736Y-99553134D01* +X109798400Y-99538840D01* +X109791358Y-99525666D01* +X109781882Y-99514118D01* +X109770334Y-99504642D01* +X109757160Y-99497600D01* +X109742866Y-99493264D01* +X109728000Y-99491800D01* +X108712000Y-99491800D01* +X108697134Y-99493264D01* +X108682840Y-99497600D01* +X108669666Y-99504642D01* +X108658118Y-99514118D01* +X108648642Y-99525666D01* +X108641600Y-99538840D01* +X108637264Y-99553134D01* +X108635800Y-99568000D01* +X107264200Y-99568000D01* +X107262736Y-99553134D01* +X107258400Y-99538840D01* +X107251358Y-99525666D01* +X107241882Y-99514118D01* +X107230334Y-99504642D01* +X107217160Y-99497600D01* +X107202866Y-99493264D01* +X107188000Y-99491800D01* +X106172000Y-99491800D01* +X106157134Y-99493264D01* +X106142840Y-99497600D01* +X106129666Y-99504642D01* +X106118118Y-99514118D01* +X106108642Y-99525666D01* +X106101600Y-99538840D01* +X106097264Y-99553134D01* +X106095800Y-99568000D01* +X102184200Y-99568000D01* +X102182736Y-99553134D01* +X102178400Y-99538840D01* +X102171358Y-99525666D01* +X102161882Y-99514118D01* +X102150334Y-99504642D01* +X102137160Y-99497600D01* +X102122866Y-99493264D01* +X102108000Y-99491800D01* +X101092000Y-99491800D01* +X101077134Y-99493264D01* +X101062840Y-99497600D01* +X101049666Y-99504642D01* +X101038118Y-99514118D01* +X101028642Y-99525666D01* +X101021600Y-99538840D01* +X101017264Y-99553134D01* +X101015800Y-99568000D01* +X99644200Y-99568000D01* +X99642736Y-99553134D01* +X99638400Y-99538840D01* +X99631358Y-99525666D01* +X99621882Y-99514118D01* +X99610334Y-99504642D01* +X99597160Y-99497600D01* +X99582866Y-99493264D01* +X99568000Y-99491800D01* +X98552000Y-99491800D01* +X98537134Y-99493264D01* +X98522840Y-99497600D01* +X98509666Y-99504642D01* +X98498118Y-99514118D01* +X98488642Y-99525666D01* +X98481600Y-99538840D01* +X98477264Y-99553134D01* +X98475800Y-99568000D01* +X97104200Y-99568000D01* +X97102736Y-99553134D01* +X97098400Y-99538840D01* +X97091358Y-99525666D01* +X97081882Y-99514118D01* +X97070334Y-99504642D01* +X97057160Y-99497600D01* +X97042866Y-99493264D01* +X97028000Y-99491800D01* +X96012000Y-99491800D01* +X95997134Y-99493264D01* +X95982840Y-99497600D01* +X95969666Y-99504642D01* +X95958118Y-99514118D01* +X95948642Y-99525666D01* +X95941600Y-99538840D01* +X95937264Y-99553134D01* +X95935800Y-99568000D01* +X94564200Y-99568000D01* +X94562736Y-99553134D01* +X94558400Y-99538840D01* +X94551358Y-99525666D01* +X94541882Y-99514118D01* +X94530334Y-99504642D01* +X94517160Y-99497600D01* +X94502866Y-99493264D01* +X94488000Y-99491800D01* +X93472000Y-99491800D01* +X93457134Y-99493264D01* +X93442840Y-99497600D01* +X93429666Y-99504642D01* +X93418118Y-99514118D01* +X93408642Y-99525666D01* +X93401600Y-99538840D01* +X93397264Y-99553134D01* +X93395800Y-99568000D01* +X92024200Y-99568000D01* +X92022736Y-99553134D01* +X92018400Y-99538840D01* +X92011358Y-99525666D01* +X92001882Y-99514118D01* +X91990334Y-99504642D01* +X91977160Y-99497600D01* +X91962866Y-99493264D01* +X91948000Y-99491800D01* +X90932000Y-99491800D01* +X90917134Y-99493264D01* +X90902840Y-99497600D01* +X90889666Y-99504642D01* +X90878118Y-99514118D01* +X90868642Y-99525666D01* +X90861600Y-99538840D01* +X90857264Y-99553134D01* +X90855800Y-99568000D01* +X89484200Y-99568000D01* +X89482736Y-99553134D01* +X89478400Y-99538840D01* +X89471358Y-99525666D01* +X89461882Y-99514118D01* +X89450334Y-99504642D01* +X89437160Y-99497600D01* +X89422866Y-99493264D01* +X89408000Y-99491800D01* +X88392000Y-99491800D01* +X88377134Y-99493264D01* +X88362840Y-99497600D01* +X88349666Y-99504642D01* +X88338118Y-99514118D01* +X88328642Y-99525666D01* +X88321600Y-99538840D01* +X88317264Y-99553134D01* +X88315800Y-99568000D01* +X86944200Y-99568000D01* +X86942736Y-99553134D01* +X86938400Y-99538840D01* +X86931358Y-99525666D01* +X86921882Y-99514118D01* +X86910334Y-99504642D01* +X86897160Y-99497600D01* +X86882866Y-99493264D01* +X86868000Y-99491800D01* +X85852000Y-99491800D01* +X85837134Y-99493264D01* +X85822840Y-99497600D01* +X85809666Y-99504642D01* +X85798118Y-99514118D01* +X85788642Y-99525666D01* +X85781600Y-99538840D01* +X85777264Y-99553134D01* +X85775800Y-99568000D01* +X78560600Y-99568000D01* +X78560600Y-98744088D01* +X83191400Y-98744088D01* +X83191400Y-98867912D01* +X83215556Y-98989356D01* +X83262941Y-99103754D01* +X83331734Y-99206709D01* +X83419291Y-99294266D01* +X83522246Y-99363059D01* +X83636644Y-99410444D01* +X83758088Y-99434600D01* +X83881912Y-99434600D01* +X84003356Y-99410444D01* +X84117754Y-99363059D01* +X84220709Y-99294266D01* +X84308266Y-99206709D01* +X84377059Y-99103754D01* +X84424444Y-98989356D01* +X84448600Y-98867912D01* +X84448600Y-98744088D01* +X85731400Y-98744088D01* +X85731400Y-98867912D01* +X85755556Y-98989356D01* +X85802941Y-99103754D01* +X85871734Y-99206709D01* +X85959291Y-99294266D01* +X86062246Y-99363059D01* +X86176644Y-99410444D01* +X86298088Y-99434600D01* +X86421912Y-99434600D01* +X86543356Y-99410444D01* +X86657754Y-99363059D01* +X86760709Y-99294266D01* +X86848266Y-99206709D01* +X86917059Y-99103754D01* +X86964444Y-98989356D01* +X86988600Y-98867912D01* +X86988600Y-98744088D01* +X88271400Y-98744088D01* +X88271400Y-98867912D01* +X88295556Y-98989356D01* +X88342941Y-99103754D01* +X88411734Y-99206709D01* +X88499291Y-99294266D01* +X88602246Y-99363059D01* +X88716644Y-99410444D01* +X88838088Y-99434600D01* +X88961912Y-99434600D01* +X89083356Y-99410444D01* +X89197754Y-99363059D01* +X89300709Y-99294266D01* +X89388266Y-99206709D01* +X89457059Y-99103754D01* +X89504444Y-98989356D01* +X89528600Y-98867912D01* +X89528600Y-98744088D01* +X90811400Y-98744088D01* +X90811400Y-98867912D01* +X90835556Y-98989356D01* +X90882941Y-99103754D01* +X90951734Y-99206709D01* +X91039291Y-99294266D01* +X91142246Y-99363059D01* +X91256644Y-99410444D01* +X91378088Y-99434600D01* +X91501912Y-99434600D01* +X91623356Y-99410444D01* +X91737754Y-99363059D01* +X91840709Y-99294266D01* +X91928266Y-99206709D01* +X91997059Y-99103754D01* +X92044444Y-98989356D01* +X92068600Y-98867912D01* +X92068600Y-98744088D01* +X92046097Y-98630954D01* +X94184944Y-96492108D01* +X94196568Y-96482568D01* +X94234658Y-96436157D01* +X94262960Y-96383206D01* +X94280389Y-96325751D01* +X94284800Y-96280966D01* +X94284800Y-96280959D01* +X94286273Y-96266001D01* +X94284800Y-96251043D01* +X94284800Y-88768758D01* +X94287640Y-88766860D01* +X94354860Y-88699640D01* +X94407674Y-88620597D01* +X94444054Y-88532769D01* +X94462600Y-88439532D01* +X94462600Y-88344468D01* +X94461934Y-88341118D01* +X98424252Y-84378800D01* +X131572749Y-84378800D01* +X131598149Y-84404200D01* +X122569958Y-84404200D01* +X122555000Y-84402727D01* +X122540042Y-84404200D01* +X122540034Y-84404200D01* +X122500403Y-84408103D01* +X122495248Y-84408611D01* +X122437794Y-84426040D01* +X122384843Y-84454342D01* +X122338432Y-84492432D01* +X122328892Y-84504056D01* +X121666749Y-85166200D01* +X103631252Y-85166200D01* +X103096112Y-84631061D01* +X103086568Y-84619432D01* +X103040157Y-84581342D01* +X102987206Y-84553040D01* +X102929751Y-84535611D01* +X102884966Y-84531200D01* +X102884958Y-84531200D01* +X102870000Y-84529727D01* +X102855042Y-84531200D01* +X99392458Y-84531200D01* +X99377500Y-84529727D01* +X99362542Y-84531200D01* +X99362534Y-84531200D01* +X99322903Y-84535103D01* +X99317748Y-84535611D01* +X99307091Y-84538844D01* +X99260294Y-84553040D01* +X99207343Y-84581342D01* +X99160932Y-84619432D01* +X99151392Y-84631056D01* +X95680057Y-88102392D01* +X95668433Y-88111932D01* +X95630343Y-88158343D01* +X95621209Y-88175432D01* +X95602040Y-88211295D01* +X95584611Y-88268749D01* +X95578727Y-88328500D01* +X95580201Y-88343468D01* +X95580200Y-89266042D01* +X95578727Y-89281000D01* +X95580200Y-89295958D01* +X95580200Y-89295965D01* +X95584611Y-89340750D01* +X95602040Y-89398205D01* +X95630342Y-89451156D01* +X95668432Y-89497568D01* +X95680061Y-89507112D01* +X96215201Y-90042253D01* +X96215200Y-90555242D01* +X96212360Y-90557140D01* +X96145140Y-90624360D01* +X96092326Y-90703403D01* +X96055946Y-90791231D01* +X96037400Y-90884468D01* +X96037400Y-90979532D01* +X96055946Y-91072769D01* +X96092326Y-91160597D01* +X96145140Y-91239640D01* +X96212360Y-91306860D01* +X96215201Y-91308758D01* +X96215200Y-96139748D01* +X94155046Y-98199903D01* +X94041912Y-98177400D01* +X93918088Y-98177400D01* +X93796644Y-98201556D01* +X93682246Y-98248941D01* +X93579291Y-98317734D01* +X93491734Y-98405291D01* +X93422941Y-98508246D01* +X93375556Y-98622644D01* +X93351400Y-98744088D01* +X93351400Y-98867912D01* +X93375556Y-98989356D01* +X93422941Y-99103754D01* +X93491734Y-99206709D01* +X93579291Y-99294266D01* +X93682246Y-99363059D01* +X93796644Y-99410444D01* +X93918088Y-99434600D01* +X94041912Y-99434600D01* +X94163356Y-99410444D01* +X94277754Y-99363059D01* +X94380709Y-99294266D01* +X94468266Y-99206709D01* +X94537059Y-99103754D01* +X94584444Y-98989356D01* +X94608600Y-98867912D01* +X94608600Y-98744088D01* +X95891400Y-98744088D01* +X95891400Y-98867912D01* +X95915556Y-98989356D01* +X95962941Y-99103754D01* +X96031734Y-99206709D01* +X96119291Y-99294266D01* +X96222246Y-99363059D01* +X96336644Y-99410444D01* +X96458088Y-99434600D01* +X96581912Y-99434600D01* +X96703356Y-99410444D01* +X96817754Y-99363059D01* +X96920709Y-99294266D01* +X97008266Y-99206709D01* +X97077059Y-99103754D01* +X97124444Y-98989356D01* +X97148600Y-98867912D01* +X97148600Y-98744088D01* +X97124444Y-98622644D01* +X97077059Y-98508246D01* +X97008266Y-98405291D01* +X96920709Y-98317734D01* +X96817754Y-98248941D01* +X96703356Y-98201556D01* +X96581912Y-98177400D01* +X96458088Y-98177400D01* +X96336644Y-98201556D01* +X96222246Y-98248941D01* +X96119291Y-98317734D01* +X96031734Y-98405291D01* +X95962941Y-98508246D01* +X95915556Y-98622644D01* +X95891400Y-98744088D01* +X94608600Y-98744088D01* +X94586097Y-98630954D01* +X96724945Y-96492107D01* +X96736568Y-96482568D01* +X96774658Y-96436157D01* +X96802960Y-96383206D01* +X96820389Y-96325751D01* +X96824800Y-96280966D01* +X96824800Y-96280959D01* +X96826273Y-96266001D01* +X96824800Y-96251043D01* +X96824800Y-91308758D01* +X96827640Y-91306860D01* +X96850201Y-91284299D01* +X96850200Y-96886042D01* +X96848727Y-96901000D01* +X96850200Y-96915958D01* +X96850200Y-96915965D01* +X96853731Y-96951811D01* +X96854611Y-96960751D01* +X96865346Y-96996139D01* +X96872040Y-97018205D01* +X96900342Y-97071156D01* +X96938432Y-97117568D01* +X96950061Y-97127112D01* +X98453903Y-98630955D01* +X98431400Y-98744088D01* +X98431400Y-98867912D01* +X98455556Y-98989356D01* +X98502941Y-99103754D01* +X98571734Y-99206709D01* +X98659291Y-99294266D01* +X98762246Y-99363059D01* +X98876644Y-99410444D01* +X98998088Y-99434600D01* +X99121912Y-99434600D01* +X99243356Y-99410444D01* +X99357754Y-99363059D01* +X99460709Y-99294266D01* +X99548266Y-99206709D01* +X99617059Y-99103754D01* +X99664444Y-98989356D01* +X99688600Y-98867912D01* +X99688600Y-98744088D01* +X99664444Y-98622644D01* +X99617059Y-98508246D01* +X99548266Y-98405291D01* +X99460709Y-98317734D01* +X99357754Y-98248941D01* +X99243356Y-98201556D01* +X99121912Y-98177400D01* +X98998088Y-98177400D01* +X98884955Y-98199903D01* +X97459800Y-96774749D01* +X97459800Y-91284300D01* +X97482360Y-91306860D01* +X97485201Y-91308758D01* +X97485200Y-94981042D01* +X97483727Y-94996000D01* +X97485200Y-95010958D01* +X97485200Y-95010965D01* +X97487260Y-95031879D01* +X97489611Y-95055751D01* +X97507040Y-95113205D01* +X97535342Y-95166156D01* +X97573432Y-95212568D01* +X97585061Y-95222112D01* +X100993903Y-98630955D01* +X100971400Y-98744088D01* +X100971400Y-98867912D01* +X100995556Y-98989356D01* +X101042941Y-99103754D01* +X101111734Y-99206709D01* +X101199291Y-99294266D01* +X101302246Y-99363059D01* +X101416644Y-99410444D01* +X101538088Y-99434600D01* +X101661912Y-99434600D01* +X101783356Y-99410444D01* +X101897754Y-99363059D01* +X102000709Y-99294266D01* +X102033929Y-99261046D01* +X103789323Y-99261046D01* +X103830978Y-99356888D01* +X103944389Y-99406590D01* +X104065317Y-99433211D01* +X104189115Y-99435729D01* +X104311025Y-99414047D01* +X104426363Y-99368998D01* +X104449022Y-99356888D01* +X104490677Y-99261046D01* +X104140000Y-98910369D01* +X103789323Y-99261046D01* +X102033929Y-99261046D01* +X102088266Y-99206709D01* +X102157059Y-99103754D01* +X102204444Y-98989356D01* +X102228600Y-98867912D01* +X102228600Y-98855115D01* +X103510271Y-98855115D01* +X103531953Y-98977025D01* +X103577002Y-99092363D01* +X103589112Y-99115022D01* +X103684954Y-99156677D01* +X104035631Y-98806000D01* +X104244369Y-98806000D01* +X104595046Y-99156677D01* +X104690888Y-99115022D01* +X104740590Y-99001611D01* +X104767211Y-98880683D01* +X104769729Y-98756885D01* +X104767454Y-98744088D01* +X106051400Y-98744088D01* +X106051400Y-98867912D01* +X106075556Y-98989356D01* +X106122941Y-99103754D01* +X106191734Y-99206709D01* +X106279291Y-99294266D01* +X106382246Y-99363059D01* +X106496644Y-99410444D01* +X106618088Y-99434600D01* +X106741912Y-99434600D01* +X106863356Y-99410444D01* +X106977754Y-99363059D01* +X107080709Y-99294266D01* +X107168266Y-99206709D01* +X107237059Y-99103754D01* +X107284444Y-98989356D01* +X107308600Y-98867912D01* +X107308600Y-98744088D01* +X107284444Y-98622644D01* +X107237059Y-98508246D01* +X107168266Y-98405291D01* +X107080709Y-98317734D01* +X106977754Y-98248941D01* +X106863356Y-98201556D01* +X106741912Y-98177400D01* +X106618088Y-98177400D01* +X106496644Y-98201556D01* +X106382246Y-98248941D01* +X106279291Y-98317734D01* +X106191734Y-98405291D01* +X106122941Y-98508246D01* +X106075556Y-98622644D01* +X106051400Y-98744088D01* +X104767454Y-98744088D01* +X104748047Y-98634975D01* +X104702998Y-98519637D01* +X104690888Y-98496978D01* +X104595046Y-98455323D01* +X104244369Y-98806000D01* +X104035631Y-98806000D01* +X103684954Y-98455323D01* +X103589112Y-98496978D01* +X103539410Y-98610389D01* +X103512789Y-98731317D01* +X103510271Y-98855115D01* +X102228600Y-98855115D01* +X102228600Y-98744088D01* +X102204444Y-98622644D01* +X102157059Y-98508246D01* +X102088266Y-98405291D01* +X102033929Y-98350954D01* +X103789323Y-98350954D01* +X104140000Y-98701631D01* +X104490677Y-98350954D01* +X104449022Y-98255112D01* +X104335611Y-98205410D01* +X104214683Y-98178789D01* +X104090885Y-98176271D01* +X103968975Y-98197953D01* +X103853637Y-98243002D01* +X103830978Y-98255112D01* +X103789323Y-98350954D01* +X102033929Y-98350954D01* +X102000709Y-98317734D01* +X101897754Y-98248941D01* +X101783356Y-98201556D01* +X101661912Y-98177400D01* +X101538088Y-98177400D01* +X101424955Y-98199903D01* +X98094800Y-94869749D01* +X98094800Y-91308758D01* +X98097640Y-91306860D01* +X98120201Y-91284299D01* +X98120200Y-92822042D01* +X98118727Y-92837000D01* +X98120200Y-92851958D01* +X98120200Y-92851965D01* +X98122413Y-92874432D01* +X98124611Y-92896751D01* +X98131920Y-92920844D01* +X98142040Y-92954205D01* +X98170342Y-93007156D01* +X98208432Y-93053568D01* +X98220061Y-93063112D01* +X103151891Y-97994943D01* +X103161432Y-98006568D01* +X103207843Y-98044658D01* +X103260794Y-98072960D01* +X103318248Y-98090389D01* +X103323403Y-98090897D01* +X103363034Y-98094800D01* +X103363042Y-98094800D01* +X103378000Y-98096273D01* +X103392958Y-98094800D01* +X108077749Y-98094800D01* +X108613903Y-98630955D01* +X108591400Y-98744088D01* +X108591400Y-98867912D01* +X108615556Y-98989356D01* +X108662941Y-99103754D01* +X108731734Y-99206709D01* +X108819291Y-99294266D01* +X108922246Y-99363059D01* +X109036644Y-99410444D01* +X109158088Y-99434600D01* +X109281912Y-99434600D01* +X109403356Y-99410444D01* +X109517754Y-99363059D01* +X109620709Y-99294266D01* +X109708266Y-99206709D01* +X109777059Y-99103754D01* +X109824444Y-98989356D01* +X109848600Y-98867912D01* +X109848600Y-98744088D01* +X109824444Y-98622644D01* +X109777059Y-98508246D01* +X109708266Y-98405291D01* +X109620709Y-98317734D01* +X109517754Y-98248941D01* +X109403356Y-98201556D01* +X109281912Y-98177400D01* +X109158088Y-98177400D01* +X109044955Y-98199903D01* +X108558851Y-97713800D01* +X110236749Y-97713800D01* +X111153903Y-98630955D01* +X111131400Y-98744088D01* +X111131400Y-98867912D01* +X111155556Y-98989356D01* +X111202941Y-99103754D01* +X111271734Y-99206709D01* +X111359291Y-99294266D01* +X111462246Y-99363059D01* +X111576644Y-99410444D01* +X111698088Y-99434600D01* +X111821912Y-99434600D01* +X111943356Y-99410444D01* +X112057754Y-99363059D01* +X112160709Y-99294266D01* +X112248266Y-99206709D01* +X112317059Y-99103754D01* +X112364444Y-98989356D01* +X112388600Y-98867912D01* +X112388600Y-98744088D01* +X113671400Y-98744088D01* +X113671400Y-98867912D01* +X113695556Y-98989356D01* +X113742941Y-99103754D01* +X113811734Y-99206709D01* +X113899291Y-99294266D01* +X114002246Y-99363059D01* +X114116644Y-99410444D01* +X114238088Y-99434600D01* +X114361912Y-99434600D01* +X114483356Y-99410444D01* +X114597754Y-99363059D01* +X114700709Y-99294266D01* +X114788266Y-99206709D01* +X114857059Y-99103754D01* +X114904444Y-98989356D01* +X114928600Y-98867912D01* +X114928600Y-98744088D01* +X114904444Y-98622644D01* +X114857059Y-98508246D01* +X114788266Y-98405291D01* +X114700709Y-98317734D01* +X114597754Y-98248941D01* +X114483356Y-98201556D01* +X114361912Y-98177400D01* +X114238088Y-98177400D01* +X114116644Y-98201556D01* +X114002246Y-98248941D01* +X113899291Y-98317734D01* +X113811734Y-98405291D01* +X113742941Y-98508246D01* +X113695556Y-98622644D01* +X113671400Y-98744088D01* +X112388600Y-98744088D01* +X112364444Y-98622644D01* +X112317059Y-98508246D01* +X112248266Y-98405291D01* +X112160709Y-98317734D01* +X112057754Y-98248941D01* +X111943356Y-98201556D01* +X111821912Y-98177400D01* +X111698088Y-98177400D01* +X111584955Y-98199903D01* +X110717851Y-97332800D01* +X113030749Y-97332800D01* +X113692891Y-97994943D01* +X113702432Y-98006568D01* +X113748843Y-98044658D01* +X113801794Y-98072960D01* +X113859248Y-98090389D01* +X113864403Y-98090897D01* +X113904034Y-98094800D01* +X113904042Y-98094800D01* +X113919000Y-98096273D01* +X113933958Y-98094800D01* +X115697749Y-98094800D01* +X116233903Y-98630955D01* +X116211400Y-98744088D01* +X116211400Y-98867912D01* +X116235556Y-98989356D01* +X116282941Y-99103754D01* +X116351734Y-99206709D01* +X116439291Y-99294266D01* +X116542246Y-99363059D01* +X116656644Y-99410444D01* +X116778088Y-99434600D01* +X116901912Y-99434600D01* +X117023356Y-99410444D01* +X117137754Y-99363059D01* +X117240709Y-99294266D01* +X117328266Y-99206709D01* +X117397059Y-99103754D01* +X117444444Y-98989356D01* +X117468600Y-98867912D01* +X117468600Y-98744088D01* +X117444444Y-98622644D01* +X117397059Y-98508246D01* +X117328266Y-98405291D01* +X117240709Y-98317734D01* +X117137754Y-98248941D01* +X117023356Y-98201556D01* +X116901912Y-98177400D01* +X116778088Y-98177400D01* +X116664955Y-98199903D01* +X116178851Y-97713800D01* +X117856749Y-97713800D01* +X118773903Y-98630955D01* +X118751400Y-98744088D01* +X118751400Y-98867912D01* +X118775556Y-98989356D01* +X118822941Y-99103754D01* +X118891734Y-99206709D01* +X118979291Y-99294266D01* +X119082246Y-99363059D01* +X119196644Y-99410444D01* +X119318088Y-99434600D01* +X119441912Y-99434600D01* +X119563356Y-99410444D01* +X119677754Y-99363059D01* +X119780709Y-99294266D01* +X119868266Y-99206709D01* +X119937059Y-99103754D01* +X119984444Y-98989356D01* +X120008600Y-98867912D01* +X120008600Y-98744088D01* +X121291400Y-98744088D01* +X121291400Y-98867912D01* +X121315556Y-98989356D01* +X121362941Y-99103754D01* +X121431734Y-99206709D01* +X121519291Y-99294266D01* +X121622246Y-99363059D01* +X121736644Y-99410444D01* +X121858088Y-99434600D01* +X121981912Y-99434600D01* +X122103356Y-99410444D01* +X122217754Y-99363059D01* +X122320709Y-99294266D01* +X122408266Y-99206709D01* +X122477059Y-99103754D01* +X122524444Y-98989356D01* +X122548600Y-98867912D01* +X122548600Y-98744088D01* +X122524444Y-98622644D01* +X122477059Y-98508246D01* +X122408266Y-98405291D01* +X122320709Y-98317734D01* +X122217754Y-98248941D01* +X122103356Y-98201556D01* +X121981912Y-98177400D01* +X121858088Y-98177400D01* +X121736644Y-98201556D01* +X121622246Y-98248941D01* +X121519291Y-98317734D01* +X121431734Y-98405291D01* +X121362941Y-98508246D01* +X121315556Y-98622644D01* +X121291400Y-98744088D01* +X120008600Y-98744088D01* +X119984444Y-98622644D01* +X119937059Y-98508246D01* +X119868266Y-98405291D01* +X119780709Y-98317734D01* +X119677754Y-98248941D01* +X119563356Y-98201556D01* +X119441912Y-98177400D01* +X119318088Y-98177400D01* +X119204955Y-98199903D01* +X118337851Y-97332800D01* +X122555749Y-97332800D01* +X123853903Y-98630955D01* +X123831400Y-98744088D01* +X123831400Y-98867912D01* +X123855556Y-98989356D01* +X123902941Y-99103754D01* +X123971734Y-99206709D01* +X124059291Y-99294266D01* +X124162246Y-99363059D01* +X124276644Y-99410444D01* +X124398088Y-99434600D01* +X124521912Y-99434600D01* +X124643356Y-99410444D01* +X124757754Y-99363059D01* +X124860709Y-99294266D01* +X124948266Y-99206709D01* +X125017059Y-99103754D01* +X125064444Y-98989356D01* +X125088600Y-98867912D01* +X125088600Y-98744088D01* +X125064444Y-98622644D01* +X125017059Y-98508246D01* +X124948266Y-98405291D01* +X124860709Y-98317734D01* +X124757754Y-98248941D01* +X124643356Y-98201556D01* +X124521912Y-98177400D01* +X124398088Y-98177400D01* +X124284955Y-98199903D01* +X123036851Y-96951800D01* +X124714749Y-96951800D01* +X126393903Y-98630955D01* +X126371400Y-98744088D01* +X126371400Y-98867912D01* +X126395556Y-98989356D01* +X126442941Y-99103754D01* +X126511734Y-99206709D01* +X126599291Y-99294266D01* +X126702246Y-99363059D01* +X126816644Y-99410444D01* +X126938088Y-99434600D01* +X127061912Y-99434600D01* +X127183356Y-99410444D01* +X127297754Y-99363059D01* +X127400709Y-99294266D01* +X127488266Y-99206709D01* +X127557059Y-99103754D01* +X127604444Y-98989356D01* +X127628600Y-98867912D01* +X127628600Y-98744088D01* +X127604444Y-98622644D01* +X127557059Y-98508246D01* +X127488266Y-98405291D01* +X127400709Y-98317734D01* +X127297754Y-98248941D01* +X127183356Y-98201556D01* +X127061912Y-98177400D01* +X126938088Y-98177400D01* +X126824955Y-98199903D01* +X125195851Y-96570800D01* +X126873749Y-96570800D01* +X128065056Y-97762108D01* +X128933903Y-98630955D01* +X128911400Y-98744088D01* +X128911400Y-98867912D01* +X128935556Y-98989356D01* +X128982941Y-99103754D01* +X129051734Y-99206709D01* +X129139291Y-99294266D01* +X129242246Y-99363059D01* +X129356644Y-99410444D01* +X129478088Y-99434600D01* +X129601912Y-99434600D01* +X129723356Y-99410444D01* +X129837754Y-99363059D01* +X129940709Y-99294266D01* +X130028266Y-99206709D01* +X130097059Y-99103754D01* +X130144444Y-98989356D01* +X130168600Y-98867912D01* +X130168600Y-98744088D01* +X131451400Y-98744088D01* +X131451400Y-98867912D01* +X131475556Y-98989356D01* +X131522941Y-99103754D01* +X131591734Y-99206709D01* +X131679291Y-99294266D01* +X131782246Y-99363059D01* +X131896644Y-99410444D01* +X132018088Y-99434600D01* +X132141912Y-99434600D01* +X132263356Y-99410444D01* +X132377754Y-99363059D01* +X132480709Y-99294266D01* +X132568266Y-99206709D01* +X132637059Y-99103754D01* +X132684444Y-98989356D01* +X132708600Y-98867912D01* +X132708600Y-98744088D01* +X132684444Y-98622644D01* +X132637059Y-98508246D01* +X132568266Y-98405291D01* +X132480709Y-98317734D01* +X132377754Y-98248941D01* +X132263356Y-98201556D01* +X132141912Y-98177400D01* +X132018088Y-98177400D01* +X131896644Y-98201556D01* +X131782246Y-98248941D01* +X131679291Y-98317734D01* +X131591734Y-98405291D01* +X131522941Y-98508246D01* +X131475556Y-98622644D01* +X131451400Y-98744088D01* +X130168600Y-98744088D01* +X130144444Y-98622644D01* +X130097059Y-98508246D01* +X130028266Y-98405291D01* +X129940709Y-98317734D01* +X129837754Y-98248941D01* +X129723356Y-98201556D01* +X129601912Y-98177400D01* +X129478088Y-98177400D01* +X129364955Y-98199903D01* +X128496108Y-97331056D01* +X127226112Y-96061061D01* +X127216568Y-96049432D01* +X127170157Y-96011342D01* +X127117206Y-95983040D01* +X127059751Y-95965611D01* +X127014966Y-95961200D01* +X127014958Y-95961200D01* +X127000000Y-95959727D01* +X126985042Y-95961200D01* +X114553252Y-95961200D01* +X113780140Y-95188088D01* +X118751400Y-95188088D01* +X118751400Y-95311912D01* +X118775556Y-95433356D01* +X118822941Y-95547754D01* +X118891734Y-95650709D01* +X118979291Y-95738266D01* +X119082246Y-95807059D01* +X119196644Y-95854444D01* +X119318088Y-95878600D01* +X119441912Y-95878600D01* +X119563356Y-95854444D01* +X119677754Y-95807059D01* +X119780709Y-95738266D01* +X119868266Y-95650709D01* +X119937059Y-95547754D01* +X119984444Y-95433356D01* +X120008600Y-95311912D01* +X120008600Y-95188088D01* +X119984444Y-95066644D01* +X119937059Y-94952246D01* +X119868266Y-94849291D01* +X119780709Y-94761734D01* +X119677754Y-94692941D01* +X119563356Y-94645556D01* +X119441912Y-94621400D01* +X119318088Y-94621400D01* +X119196644Y-94645556D01* +X119082246Y-94692941D01* +X118979291Y-94761734D01* +X118891734Y-94849291D01* +X118822941Y-94952246D01* +X118775556Y-95066644D01* +X118751400Y-95188088D01* +X113780140Y-95188088D01* +X112637140Y-94045088D01* +X117481400Y-94045088D01* +X117481400Y-94168912D01* +X117505556Y-94290356D01* +X117552941Y-94404754D01* +X117621734Y-94507709D01* +X117709291Y-94595266D01* +X117812246Y-94664059D01* +X117926644Y-94711444D01* +X118048088Y-94735600D01* +X118171912Y-94735600D01* +X118293356Y-94711444D01* +X118407754Y-94664059D01* +X118510709Y-94595266D01* +X118598266Y-94507709D01* +X118667059Y-94404754D01* +X118714444Y-94290356D01* +X118738600Y-94168912D01* +X118738600Y-94045088D01* +X120402400Y-94045088D01* +X120402400Y-94168912D01* +X120426556Y-94290356D01* +X120473941Y-94404754D01* +X120542734Y-94507709D01* +X120630291Y-94595266D01* +X120733246Y-94664059D01* +X120847644Y-94711444D01* +X120969088Y-94735600D01* +X121092912Y-94735600D01* +X121214356Y-94711444D01* +X121328754Y-94664059D01* +X121431709Y-94595266D01* +X121519266Y-94507709D01* +X121588059Y-94404754D01* +X121635444Y-94290356D01* +X121659600Y-94168912D01* +X121659600Y-94045088D01* +X121635444Y-93923644D01* +X121588059Y-93809246D01* +X121519266Y-93706291D01* +X121431709Y-93618734D01* +X121328754Y-93549941D01* +X121214356Y-93502556D01* +X121092912Y-93478400D01* +X120969088Y-93478400D01* +X120847644Y-93502556D01* +X120733246Y-93549941D01* +X120630291Y-93618734D01* +X120542734Y-93706291D01* +X120473941Y-93809246D01* +X120426556Y-93923644D01* +X120402400Y-94045088D01* +X118738600Y-94045088D01* +X118714444Y-93923644D01* +X118667059Y-93809246D01* +X118598266Y-93706291D01* +X118510709Y-93618734D01* +X118407754Y-93549941D01* +X118293356Y-93502556D01* +X118171912Y-93478400D01* +X118048088Y-93478400D01* +X117926644Y-93502556D01* +X117812246Y-93549941D01* +X117709291Y-93618734D01* +X117621734Y-93706291D01* +X117552941Y-93809246D01* +X117505556Y-93923644D01* +X117481400Y-94045088D01* +X112637140Y-94045088D01* +X111826020Y-93233968D01* +X114706400Y-93233968D01* +X114706400Y-93329032D01* +X114724946Y-93422269D01* +X114761326Y-93510097D01* +X114814140Y-93589140D01* +X114881360Y-93656360D01* +X114960403Y-93709174D01* +X115048231Y-93745554D01* +X115141468Y-93764100D01* +X115236532Y-93764100D01* +X115239882Y-93763434D01* +X115280392Y-93803944D01* +X115289932Y-93815568D01* +X115336343Y-93853658D01* +X115389294Y-93881960D01* +X115446748Y-93899389D01* +X115451903Y-93899897D01* +X115491534Y-93903800D01* +X115491542Y-93903800D01* +X115506500Y-93905273D01* +X115521458Y-93903800D01* +X116253542Y-93903800D01* +X116268500Y-93905273D01* +X116283458Y-93903800D01* +X116283466Y-93903800D01* +X116328251Y-93899389D01* +X116385706Y-93881960D01* +X116438657Y-93853658D01* +X116485068Y-93815568D01* +X116494612Y-93803939D01* +X116902752Y-93395800D01* +X123429042Y-93395800D01* +X123444000Y-93397273D01* +X123458958Y-93395800D01* +X123458966Y-93395800D01* +X123503751Y-93391389D01* +X123561206Y-93373960D01* +X123614157Y-93345658D01* +X123660568Y-93307568D01* +X123670112Y-93295939D01* +X125473978Y-91492074D01* +X125476000Y-91492273D01* +X125490958Y-91490800D01* +X125857749Y-91490800D01* +X132234891Y-97867943D01* +X132244432Y-97879568D01* +X132290843Y-97917658D01* +X132343794Y-97945960D01* +X132387876Y-97959332D01* +X132401248Y-97963389D01* +X132406889Y-97963945D01* +X132446034Y-97967800D01* +X132446041Y-97967800D01* +X132460999Y-97969273D01* +X132475957Y-97967800D01* +X133350749Y-97967800D01* +X134013903Y-98630955D01* +X133991400Y-98744088D01* +X133991400Y-98867912D01* +X134015556Y-98989356D01* +X134062941Y-99103754D01* +X134131734Y-99206709D01* +X134219291Y-99294266D01* +X134322246Y-99363059D01* +X134436644Y-99410444D01* +X134558088Y-99434600D01* +X134681912Y-99434600D01* +X134803356Y-99410444D01* +X134917754Y-99363059D01* +X135020709Y-99294266D01* +X135053929Y-99261046D01* +X136809323Y-99261046D01* +X136850978Y-99356888D01* +X136964389Y-99406590D01* +X137085317Y-99433211D01* +X137209115Y-99435729D01* +X137331025Y-99414047D01* +X137446363Y-99368998D01* +X137469022Y-99356888D01* +X137510677Y-99261046D01* +X137160000Y-98910369D01* +X136809323Y-99261046D01* +X135053929Y-99261046D01* +X135108266Y-99206709D01* +X135177059Y-99103754D01* +X135224444Y-98989356D01* +X135248600Y-98867912D01* +X135248600Y-98855115D01* +X136530271Y-98855115D01* +X136551953Y-98977025D01* +X136597002Y-99092363D01* +X136609112Y-99115022D01* +X136704954Y-99156677D01* +X137055631Y-98806000D01* +X137264369Y-98806000D01* +X137615046Y-99156677D01* +X137710888Y-99115022D01* +X137760590Y-99001611D01* +X137787211Y-98880683D01* +X137789729Y-98756885D01* +X137787454Y-98744088D01* +X139071400Y-98744088D01* +X139071400Y-98867912D01* +X139095556Y-98989356D01* +X139142941Y-99103754D01* +X139211734Y-99206709D01* +X139299291Y-99294266D01* +X139402246Y-99363059D01* +X139516644Y-99410444D01* +X139638088Y-99434600D01* +X139761912Y-99434600D01* +X139883356Y-99410444D01* +X139997754Y-99363059D01* +X140100709Y-99294266D01* +X140188266Y-99206709D01* +X140257059Y-99103754D01* +X140304444Y-98989356D01* +X140328600Y-98867912D01* +X140328600Y-98744088D01* +X141611400Y-98744088D01* +X141611400Y-98867912D01* +X141635556Y-98989356D01* +X141682941Y-99103754D01* +X141751734Y-99206709D01* +X141839291Y-99294266D01* +X141942246Y-99363059D01* +X142056644Y-99410444D01* +X142178088Y-99434600D01* +X142301912Y-99434600D01* +X142423356Y-99410444D01* +X142537754Y-99363059D01* +X142640709Y-99294266D01* +X142728266Y-99206709D01* +X142797059Y-99103754D01* +X142844444Y-98989356D01* +X142868600Y-98867912D01* +X142868600Y-98744088D01* +X144151400Y-98744088D01* +X144151400Y-98867912D01* +X144175556Y-98989356D01* +X144222941Y-99103754D01* +X144291734Y-99206709D01* +X144379291Y-99294266D01* +X144482246Y-99363059D01* +X144596644Y-99410444D01* +X144718088Y-99434600D01* +X144841912Y-99434600D01* +X144963356Y-99410444D01* +X145077754Y-99363059D01* +X145180709Y-99294266D01* +X145268266Y-99206709D01* +X145337059Y-99103754D01* +X145384444Y-98989356D01* +X145408600Y-98867912D01* +X145408600Y-98744088D01* +X146691400Y-98744088D01* +X146691400Y-98867912D01* +X146715556Y-98989356D01* +X146762941Y-99103754D01* +X146831734Y-99206709D01* +X146919291Y-99294266D01* +X147022246Y-99363059D01* +X147136644Y-99410444D01* +X147258088Y-99434600D01* +X147381912Y-99434600D01* +X147503356Y-99410444D01* +X147617754Y-99363059D01* +X147720709Y-99294266D01* +X147808266Y-99206709D01* +X147877059Y-99103754D01* +X147924444Y-98989356D01* +X147948600Y-98867912D01* +X147948600Y-98744088D01* +X147924444Y-98622644D01* +X147877059Y-98508246D01* +X147808266Y-98405291D01* +X147720709Y-98317734D01* +X147617754Y-98248941D01* +X147503356Y-98201556D01* +X147381912Y-98177400D01* +X147258088Y-98177400D01* +X147136644Y-98201556D01* +X147022246Y-98248941D01* +X146919291Y-98317734D01* +X146831734Y-98405291D01* +X146762941Y-98508246D01* +X146715556Y-98622644D01* +X146691400Y-98744088D01* +X145408600Y-98744088D01* +X145384444Y-98622644D01* +X145337059Y-98508246D01* +X145268266Y-98405291D01* +X145180709Y-98317734D01* +X145077754Y-98248941D01* +X144963356Y-98201556D01* +X144841912Y-98177400D01* +X144718088Y-98177400D01* +X144596644Y-98201556D01* +X144482246Y-98248941D01* +X144379291Y-98317734D01* +X144291734Y-98405291D01* +X144222941Y-98508246D01* +X144175556Y-98622644D01* +X144151400Y-98744088D01* +X142868600Y-98744088D01* +X142844444Y-98622644D01* +X142797059Y-98508246D01* +X142728266Y-98405291D01* +X142640709Y-98317734D01* +X142537754Y-98248941D01* +X142423356Y-98201556D01* +X142301912Y-98177400D01* +X142178088Y-98177400D01* +X142056644Y-98201556D01* +X141942246Y-98248941D01* +X141839291Y-98317734D01* +X141751734Y-98405291D01* +X141682941Y-98508246D01* +X141635556Y-98622644D01* +X141611400Y-98744088D01* +X140328600Y-98744088D01* +X140304444Y-98622644D01* +X140257059Y-98508246D01* +X140188266Y-98405291D01* +X140100709Y-98317734D01* +X139997754Y-98248941D01* +X139883356Y-98201556D01* +X139761912Y-98177400D01* +X139638088Y-98177400D01* +X139516644Y-98201556D01* +X139402246Y-98248941D01* +X139299291Y-98317734D01* +X139211734Y-98405291D01* +X139142941Y-98508246D01* +X139095556Y-98622644D01* +X139071400Y-98744088D01* +X137787454Y-98744088D01* +X137768047Y-98634975D01* +X137722998Y-98519637D01* +X137710888Y-98496978D01* +X137615046Y-98455323D01* +X137264369Y-98806000D01* +X137055631Y-98806000D01* +X136704954Y-98455323D01* +X136609112Y-98496978D01* +X136559410Y-98610389D01* +X136532789Y-98731317D01* +X136530271Y-98855115D01* +X135248600Y-98855115D01* +X135248600Y-98744088D01* +X135224444Y-98622644D01* +X135177059Y-98508246D01* +X135108266Y-98405291D01* +X135053929Y-98350954D01* +X136809323Y-98350954D01* +X137160000Y-98701631D01* +X137510677Y-98350954D01* +X137469022Y-98255112D01* +X137355611Y-98205410D01* +X137234683Y-98178789D01* +X137110885Y-98176271D01* +X136988975Y-98197953D01* +X136873637Y-98243002D01* +X136850978Y-98255112D01* +X136809323Y-98350954D01* +X135053929Y-98350954D01* +X135020709Y-98317734D01* +X134917754Y-98248941D01* +X134803356Y-98201556D01* +X134681912Y-98177400D01* +X134558088Y-98177400D01* +X134444955Y-98199903D01* +X133703112Y-97458061D01* +X133693568Y-97446432D01* +X133647157Y-97408342D01* +X133594206Y-97380040D01* +X133536751Y-97362611D01* +X133491966Y-97358200D01* +X133491958Y-97358200D01* +X133477000Y-97356727D01* +X133462042Y-97358200D01* +X132587252Y-97358200D01* +X126211934Y-90982883D01* +X126212600Y-90979532D01* +X126212600Y-90884468D01* +X126194054Y-90791231D01* +X126157674Y-90703403D01* +X126104860Y-90624360D01* +X126037640Y-90557140D01* +X125958597Y-90504326D01* +X125870769Y-90467946D01* +X125777532Y-90449400D01* +X125682468Y-90449400D01* +X125589231Y-90467946D01* +X125501403Y-90504326D01* +X125422360Y-90557140D01* +X125355140Y-90624360D01* +X125302326Y-90703403D01* +X125265946Y-90791231D01* +X125254332Y-90849616D01* +X125144061Y-90959888D01* +X125132432Y-90969432D01* +X125122892Y-90981056D01* +X123317749Y-92786200D01* +X116791457Y-92786200D01* +X116776499Y-92784727D01* +X116761541Y-92786200D01* +X116761534Y-92786200D01* +X116722389Y-92790055D01* +X116716748Y-92790611D01* +X116706091Y-92793844D01* +X116659294Y-92808040D01* +X116606343Y-92836342D01* +X116559932Y-92874432D01* +X116550392Y-92886056D01* +X116142249Y-93294200D01* +X115671600Y-93294200D01* +X115671600Y-93233968D01* +X115653054Y-93140731D01* +X115616674Y-93052903D01* +X115563860Y-92973860D01* +X115496640Y-92906640D01* +X115417597Y-92853826D01* +X115329769Y-92817446D01* +X115236532Y-92798900D01* +X115141468Y-92798900D01* +X115048231Y-92817446D01* +X114960403Y-92853826D01* +X114881360Y-92906640D01* +X114814140Y-92973860D01* +X114761326Y-93052903D01* +X114724946Y-93140731D01* +X114706400Y-93233968D01* +X111826020Y-93233968D01* +X111097112Y-92505061D01* +X111087568Y-92493432D01* +X111041157Y-92455342D01* +X110988206Y-92427040D01* +X110930751Y-92409611D01* +X110919147Y-92408468D01* +X112039400Y-92408468D01* +X112039400Y-92503532D01* +X112057946Y-92596769D01* +X112094326Y-92684597D01* +X112147140Y-92763640D01* +X112214360Y-92830860D01* +X112293403Y-92883674D01* +X112381231Y-92920054D01* +X112474468Y-92938600D01* +X112569532Y-92938600D01* +X112662769Y-92920054D01* +X112750597Y-92883674D01* +X112829640Y-92830860D01* +X112896860Y-92763640D01* +X112898758Y-92760800D01* +X123048042Y-92760800D01* +X123063000Y-92762273D01* +X123077958Y-92760800D01* +X123077966Y-92760800D01* +X123122751Y-92756389D01* +X123180206Y-92738960D01* +X123233157Y-92710658D01* +X123279568Y-92672568D01* +X123289112Y-92660939D01* +X125602252Y-90347800D01* +X125984749Y-90347800D01* +X126518066Y-90881118D01* +X126517400Y-90884468D01* +X126517400Y-90979532D01* +X126535946Y-91072769D01* +X126572326Y-91160597D01* +X126625140Y-91239640D01* +X126692360Y-91306860D01* +X126771403Y-91359674D01* +X126859231Y-91396054D01* +X126952468Y-91414600D01* +X127047532Y-91414600D01* +X127050882Y-91413934D01* +X132742891Y-97105943D01* +X132752432Y-97117568D01* +X132798843Y-97155658D01* +X132849796Y-97182892D01* +X132851794Y-97183960D01* +X132909248Y-97201389D01* +X132914403Y-97201897D01* +X132954034Y-97205800D01* +X132954042Y-97205800D01* +X132969000Y-97207273D01* +X132983958Y-97205800D01* +X147828749Y-97205800D01* +X149253903Y-98630955D01* +X149231400Y-98744088D01* +X149231400Y-98867912D01* +X149255556Y-98989356D01* +X149302941Y-99103754D01* +X149371734Y-99206709D01* +X149459291Y-99294266D01* +X149562246Y-99363059D01* +X149676644Y-99410444D01* +X149798088Y-99434600D01* +X149921912Y-99434600D01* +X150043356Y-99410444D01* +X150157754Y-99363059D01* +X150260709Y-99294266D01* +X150348266Y-99206709D01* +X150417059Y-99103754D01* +X150464444Y-98989356D01* +X150488600Y-98867912D01* +X150488600Y-98744088D01* +X151771400Y-98744088D01* +X151771400Y-98867912D01* +X151795556Y-98989356D01* +X151842941Y-99103754D01* +X151911734Y-99206709D01* +X151999291Y-99294266D01* +X152102246Y-99363059D01* +X152216644Y-99410444D01* +X152338088Y-99434600D01* +X152461912Y-99434600D01* +X152583356Y-99410444D01* +X152697754Y-99363059D01* +X152800709Y-99294266D01* +X152888266Y-99206709D01* +X152957059Y-99103754D01* +X153004444Y-98989356D01* +X153028600Y-98867912D01* +X153028600Y-98744088D01* +X154311400Y-98744088D01* +X154311400Y-98867912D01* +X154335556Y-98989356D01* +X154382941Y-99103754D01* +X154451734Y-99206709D01* +X154539291Y-99294266D01* +X154642246Y-99363059D01* +X154756644Y-99410444D01* +X154878088Y-99434600D01* +X155001912Y-99434600D01* +X155123356Y-99410444D01* +X155237754Y-99363059D01* +X155340709Y-99294266D01* +X155428266Y-99206709D01* +X155497059Y-99103754D01* +X155544444Y-98989356D01* +X155568600Y-98867912D01* +X155568600Y-98744088D01* +X156851400Y-98744088D01* +X156851400Y-98867912D01* +X156875556Y-98989356D01* +X156922941Y-99103754D01* +X156991734Y-99206709D01* +X157079291Y-99294266D01* +X157182246Y-99363059D01* +X157296644Y-99410444D01* +X157418088Y-99434600D01* +X157541912Y-99434600D01* +X157663356Y-99410444D01* +X157777754Y-99363059D01* +X157880709Y-99294266D01* +X157968266Y-99206709D01* +X158037059Y-99103754D01* +X158084444Y-98989356D01* +X158108600Y-98867912D01* +X158108600Y-98744088D01* +X158084444Y-98622644D01* +X158037059Y-98508246D01* +X157968266Y-98405291D01* +X157880709Y-98317734D01* +X157777754Y-98248941D01* +X157663356Y-98201556D01* +X157541912Y-98177400D01* +X157418088Y-98177400D01* +X157296644Y-98201556D01* +X157182246Y-98248941D01* +X157079291Y-98317734D01* +X156991734Y-98405291D01* +X156922941Y-98508246D01* +X156875556Y-98622644D01* +X156851400Y-98744088D01* +X155568600Y-98744088D01* +X155544444Y-98622644D01* +X155497059Y-98508246D01* +X155428266Y-98405291D01* +X155340709Y-98317734D01* +X155237754Y-98248941D01* +X155123356Y-98201556D01* +X155001912Y-98177400D01* +X154878088Y-98177400D01* +X154756644Y-98201556D01* +X154642246Y-98248941D01* +X154539291Y-98317734D01* +X154451734Y-98405291D01* +X154382941Y-98508246D01* +X154335556Y-98622644D01* +X154311400Y-98744088D01* +X153028600Y-98744088D01* +X153004444Y-98622644D01* +X152957059Y-98508246D01* +X152888266Y-98405291D01* +X152800709Y-98317734D01* +X152697754Y-98248941D01* +X152583356Y-98201556D01* +X152461912Y-98177400D01* +X152338088Y-98177400D01* +X152216644Y-98201556D01* +X152102246Y-98248941D01* +X151999291Y-98317734D01* +X151911734Y-98405291D01* +X151842941Y-98508246D01* +X151795556Y-98622644D01* +X151771400Y-98744088D01* +X150488600Y-98744088D01* +X150464444Y-98622644D01* +X150417059Y-98508246D01* +X150348266Y-98405291D01* +X150260709Y-98317734D01* +X150157754Y-98248941D01* +X150043356Y-98201556D01* +X149921912Y-98177400D01* +X149798088Y-98177400D01* +X149684955Y-98199903D01* +X148181112Y-96696061D01* +X148171568Y-96684432D01* +X148125157Y-96646342D01* +X148072206Y-96618040D01* +X148014751Y-96600611D01* +X147969966Y-96596200D01* +X147969958Y-96596200D01* +X147955000Y-96594727D01* +X147940042Y-96596200D01* +X133095252Y-96596200D01* +X131687140Y-95188088D01* +X139071400Y-95188088D01* +X139071400Y-95311912D01* +X139095556Y-95433356D01* +X139142941Y-95547754D01* +X139211734Y-95650709D01* +X139299291Y-95738266D01* +X139402246Y-95807059D01* +X139516644Y-95854444D01* +X139638088Y-95878600D01* +X139761912Y-95878600D01* +X139883356Y-95854444D01* +X139997754Y-95807059D01* +X140100709Y-95738266D01* +X140188266Y-95650709D01* +X140257059Y-95547754D01* +X140304444Y-95433356D01* +X140328600Y-95311912D01* +X140328600Y-95305239D01* +X156751400Y-95305239D01* +X156751400Y-95448761D01* +X156779400Y-95589525D01* +X156834323Y-95722121D01* +X156914060Y-95841455D01* +X157015545Y-95942940D01* +X157134879Y-96022677D01* +X157267475Y-96077600D01* +X157408239Y-96105600D01* +X157551761Y-96105600D01* +X157692525Y-96077600D01* +X157825121Y-96022677D01* +X157944455Y-95942940D01* +X158045940Y-95841455D01* +X158125677Y-95722121D01* +X158180600Y-95589525D01* +X158208600Y-95448761D01* +X158208600Y-95305239D01* +X158180600Y-95164475D01* +X158125677Y-95031879D01* +X158045940Y-94912545D01* +X157944455Y-94811060D01* +X157825121Y-94731323D01* +X157692525Y-94676400D01* +X157551761Y-94648400D01* +X157408239Y-94648400D01* +X157267475Y-94676400D01* +X157134879Y-94731323D01* +X157015545Y-94811060D01* +X156914060Y-94912545D01* +X156834323Y-95031879D01* +X156779400Y-95164475D01* +X156751400Y-95305239D01* +X140328600Y-95305239D01* +X140328600Y-95188088D01* +X140304444Y-95066644D01* +X140257059Y-94952246D01* +X140188266Y-94849291D01* +X140100709Y-94761734D01* +X139997754Y-94692941D01* +X139883356Y-94645556D01* +X139761912Y-94621400D01* +X139638088Y-94621400D01* +X139516644Y-94645556D01* +X139402246Y-94692941D01* +X139299291Y-94761734D01* +X139211734Y-94849291D01* +X139142941Y-94952246D01* +X139095556Y-95066644D01* +X139071400Y-95188088D01* +X131687140Y-95188088D01* +X130544140Y-94045088D01* +X137420400Y-94045088D01* +X137420400Y-94168912D01* +X137444556Y-94290356D01* +X137491941Y-94404754D01* +X137560734Y-94507709D01* +X137648291Y-94595266D01* +X137751246Y-94664059D01* +X137865644Y-94711444D01* +X137987088Y-94735600D01* +X138110912Y-94735600D01* +X138232356Y-94711444D01* +X138346754Y-94664059D01* +X138449709Y-94595266D01* +X138537266Y-94507709D01* +X138606059Y-94404754D01* +X138653444Y-94290356D01* +X138677600Y-94168912D01* +X138677600Y-94045088D01* +X140341400Y-94045088D01* +X140341400Y-94168912D01* +X140365556Y-94290356D01* +X140412941Y-94404754D01* +X140481734Y-94507709D01* +X140569291Y-94595266D01* +X140672246Y-94664059D01* +X140786644Y-94711444D01* +X140908088Y-94735600D01* +X141031912Y-94735600D01* +X141153356Y-94711444D01* +X141267754Y-94664059D01* +X141370709Y-94595266D01* +X141458266Y-94507709D01* +X141527059Y-94404754D01* +X141574444Y-94290356D01* +X141598600Y-94168912D01* +X141598600Y-94045088D01* +X155581400Y-94045088D01* +X155581400Y-94168912D01* +X155605556Y-94290356D01* +X155652941Y-94404754D01* +X155721734Y-94507709D01* +X155809291Y-94595266D01* +X155912246Y-94664059D01* +X156026644Y-94711444D01* +X156148088Y-94735600D01* +X156271912Y-94735600D01* +X156393356Y-94711444D01* +X156507754Y-94664059D01* +X156610709Y-94595266D01* +X156698266Y-94507709D01* +X156767059Y-94404754D01* +X156814444Y-94290356D01* +X156838600Y-94168912D01* +X156838600Y-94045088D01* +X158121400Y-94045088D01* +X158121400Y-94168912D01* +X158145556Y-94290356D01* +X158192941Y-94404754D01* +X158261734Y-94507709D01* +X158349291Y-94595266D01* +X158452246Y-94664059D01* +X158566644Y-94711444D01* +X158688088Y-94735600D01* +X158811912Y-94735600D01* +X158933356Y-94711444D01* +X159047754Y-94664059D01* +X159150709Y-94595266D01* +X159238266Y-94507709D01* +X159307059Y-94404754D01* +X159354444Y-94290356D01* +X159378600Y-94168912D01* +X159378600Y-94045088D01* +X159354444Y-93923644D01* +X159307059Y-93809246D01* +X159238266Y-93706291D01* +X159150709Y-93618734D01* +X159047754Y-93549941D01* +X158933356Y-93502556D01* +X158811912Y-93478400D01* +X158688088Y-93478400D01* +X158566644Y-93502556D01* +X158452246Y-93549941D01* +X158349291Y-93618734D01* +X158261734Y-93706291D01* +X158192941Y-93809246D01* +X158145556Y-93923644D01* +X158121400Y-94045088D01* +X156838600Y-94045088D01* +X156814444Y-93923644D01* +X156767059Y-93809246D01* +X156698266Y-93706291D01* +X156610709Y-93618734D01* +X156507754Y-93549941D01* +X156393356Y-93502556D01* +X156271912Y-93478400D01* +X156148088Y-93478400D01* +X156026644Y-93502556D01* +X155912246Y-93549941D01* +X155809291Y-93618734D01* +X155721734Y-93706291D01* +X155652941Y-93809246D01* +X155605556Y-93923644D01* +X155581400Y-94045088D01* +X141598600Y-94045088D01* +X141574444Y-93923644D01* +X141527059Y-93809246D01* +X141458266Y-93706291D01* +X141370709Y-93618734D01* +X141267754Y-93549941D01* +X141153356Y-93502556D01* +X141031912Y-93478400D01* +X140908088Y-93478400D01* +X140786644Y-93502556D01* +X140672246Y-93549941D01* +X140569291Y-93618734D01* +X140481734Y-93706291D01* +X140412941Y-93809246D01* +X140365556Y-93923644D01* +X140341400Y-94045088D01* +X138677600Y-94045088D01* +X138653444Y-93923644D01* +X138606059Y-93809246D01* +X138537266Y-93706291D01* +X138449709Y-93618734D01* +X138346754Y-93549941D01* +X138232356Y-93502556D01* +X138110912Y-93478400D01* +X137987088Y-93478400D01* +X137865644Y-93502556D01* +X137751246Y-93549941D01* +X137648291Y-93618734D01* +X137560734Y-93706291D01* +X137491941Y-93809246D01* +X137444556Y-93923644D01* +X137420400Y-94045088D01* +X130544140Y-94045088D01* +X127965735Y-91466683D01* +X159823586Y-91466683D01* +X159865162Y-91837340D01* +X159977940Y-92192862D01* +X160157626Y-92519708D01* +X160397373Y-92805429D01* +X160688052Y-93039140D01* +X161018589Y-93211941D01* +X161376396Y-93317249D01* +X161747842Y-93351053D01* +X162118780Y-93312066D01* +X162475081Y-93201773D01* +X162803174Y-93024374D01* +X163090561Y-92786626D01* +X163326296Y-92497587D01* +X163501400Y-92168264D01* +X163609203Y-91811201D01* +X163645600Y-91440000D01* +X163644855Y-91386639D01* +X163598108Y-91016599D01* +X163480377Y-90662686D01* +X163296146Y-90338380D01* +X163052432Y-90056035D01* +X162758519Y-89826405D01* +X162425601Y-89658236D01* +X162066359Y-89557934D01* +X161694477Y-89529319D01* +X161324119Y-89573482D01* +X160969393Y-89688739D01* +X160643809Y-89870702D01* +X160359770Y-90112438D01* +X160128093Y-90404741D01* +X159957604Y-90736477D01* +X159854797Y-91095010D01* +X159823586Y-91466683D01* +X127965735Y-91466683D01* +X127481934Y-90982882D01* +X127482600Y-90979532D01* +X127482600Y-90884468D01* +X127464054Y-90791231D01* +X127427674Y-90703403D01* +X127374860Y-90624360D01* +X127307640Y-90557140D01* +X127228597Y-90504326D01* +X127140769Y-90467946D01* +X127047532Y-90449400D01* +X126952468Y-90449400D01* +X126949118Y-90450066D01* +X126337112Y-89838061D01* +X126327568Y-89826432D01* +X126281157Y-89788342D01* +X126228206Y-89760040D01* +X126170751Y-89742611D01* +X126125966Y-89738200D01* +X126125958Y-89738200D01* +X126111000Y-89736727D01* +X126096042Y-89738200D01* +X125490957Y-89738200D01* +X125475999Y-89736727D01* +X125461041Y-89738200D01* +X125461034Y-89738200D01* +X125421889Y-89742055D01* +X125416248Y-89742611D01* +X125405591Y-89745844D01* +X125358794Y-89760040D01* +X125305843Y-89788342D01* +X125259432Y-89826432D01* +X125249892Y-89838056D01* +X122936749Y-92151200D01* +X112898758Y-92151200D01* +X112896860Y-92148360D01* +X112829640Y-92081140D01* +X112750597Y-92028326D01* +X112662769Y-91991946D01* +X112569532Y-91973400D01* +X112474468Y-91973400D01* +X112381231Y-91991946D01* +X112293403Y-92028326D01* +X112214360Y-92081140D01* +X112147140Y-92148360D01* +X112094326Y-92227403D01* +X112057946Y-92315231D01* +X112039400Y-92408468D01* +X110919147Y-92408468D01* +X110885966Y-92405200D01* +X110885958Y-92405200D01* +X110871000Y-92403727D01* +X110856042Y-92405200D01* +X104393252Y-92405200D01* +X102793800Y-90805749D01* +X102793800Y-90122468D01* +X120675400Y-90122468D01* +X120675400Y-90217532D01* +X120693946Y-90310769D01* +X120730326Y-90398597D01* +X120783140Y-90477640D01* +X120850360Y-90544860D01* +X120929403Y-90597674D01* +X121017231Y-90634054D01* +X121110468Y-90652600D01* +X121205532Y-90652600D01* +X121298769Y-90634054D01* +X121386597Y-90597674D01* +X121465640Y-90544860D01* +X121532860Y-90477640D01* +X121534758Y-90474800D01* +X123556042Y-90474800D01* +X123571000Y-90476273D01* +X123585958Y-90474800D01* +X123585966Y-90474800D01* +X123630751Y-90470389D01* +X123688206Y-90452960D01* +X123741157Y-90424658D01* +X123787568Y-90386568D01* +X123797112Y-90374939D01* +X124840252Y-89331800D01* +X125353242Y-89331800D01* +X125355140Y-89334640D01* +X125422360Y-89401860D01* +X125501403Y-89454674D01* +X125589231Y-89491054D01* +X125682468Y-89509600D01* +X125777532Y-89509600D01* +X125870769Y-89491054D01* +X125958597Y-89454674D01* +X126037640Y-89401860D01* +X126104860Y-89334640D01* +X126157674Y-89255597D01* +X126194054Y-89167769D01* +X126212600Y-89074532D01* +X126212600Y-88979468D01* +X126194054Y-88886231D01* +X126157674Y-88798403D01* +X126104860Y-88719360D01* +X126037640Y-88652140D01* +X125958597Y-88599326D01* +X125870769Y-88562946D01* +X125777532Y-88544400D01* +X125682468Y-88544400D01* +X125589231Y-88562946D01* +X125501403Y-88599326D01* +X125422360Y-88652140D01* +X125355140Y-88719360D01* +X125353242Y-88722200D01* +X124728957Y-88722200D01* +X124713999Y-88720727D01* +X124699041Y-88722200D01* +X124699034Y-88722200D01* +X124659889Y-88726055D01* +X124654248Y-88726611D01* +X124643591Y-88729844D01* +X124596794Y-88744040D01* +X124543843Y-88772342D01* +X124497432Y-88810432D01* +X124487892Y-88822056D01* +X123444749Y-89865200D01* +X121534758Y-89865200D01* +X121532860Y-89862360D01* +X121465640Y-89795140D01* +X121386597Y-89742326D01* +X121298769Y-89705946D01* +X121205532Y-89687400D01* +X121110468Y-89687400D01* +X121017231Y-89705946D01* +X120929403Y-89742326D01* +X120850360Y-89795140D01* +X120783140Y-89862360D01* +X120730326Y-89941403D01* +X120693946Y-90029231D01* +X120675400Y-90122468D01* +X102793800Y-90122468D01* +X102793800Y-89295957D01* +X102795273Y-89280999D01* +X102793800Y-89266041D01* +X102793800Y-89204800D01* +X122921042Y-89204800D01* +X122936000Y-89206273D01* +X122950958Y-89204800D01* +X122950966Y-89204800D01* +X122995751Y-89200389D01* +X123053206Y-89182960D01* +X123106157Y-89154658D01* +X123152568Y-89116568D01* +X123162112Y-89104939D01* +X123824252Y-88442800D01* +X127788050Y-88442800D01* +X127805946Y-88532769D01* +X127842326Y-88620597D01* +X127895140Y-88699640D01* +X127962360Y-88766860D01* +X128041403Y-88819674D01* +X128129231Y-88856054D01* +X128222468Y-88874600D01* +X128317532Y-88874600D01* +X128410769Y-88856054D01* +X128498597Y-88819674D01* +X128577640Y-88766860D01* +X128644860Y-88699640D01* +X128697674Y-88620597D01* +X128734054Y-88532769D01* +X128752600Y-88439532D01* +X128752600Y-88344468D01* +X128734054Y-88251231D01* +X128697674Y-88163403D01* +X128644860Y-88084360D01* +X128622300Y-88061800D01* +X129540749Y-88061800D01* +X129870200Y-88391252D01* +X129870201Y-90282032D01* +X129868727Y-90297000D01* +X129874611Y-90356751D01* +X129892040Y-90414205D01* +X129905215Y-90438854D01* +X129920343Y-90467157D01* +X129958433Y-90513568D01* +X129970056Y-90523108D01* +X130328066Y-90881118D01* +X130327400Y-90884468D01* +X130327400Y-90979532D01* +X130345946Y-91072769D01* +X130382326Y-91160597D01* +X130435140Y-91239640D01* +X130502360Y-91306860D01* +X130581403Y-91359674D01* +X130669231Y-91396054D01* +X130762468Y-91414600D01* +X130857532Y-91414600D01* +X130950769Y-91396054D01* +X131038597Y-91359674D01* +X131117640Y-91306860D01* +X131184860Y-91239640D01* +X131237674Y-91160597D01* +X131274054Y-91072769D01* +X131292600Y-90979532D01* +X131292600Y-90884468D01* +X131274054Y-90791231D01* +X131237674Y-90703403D01* +X131184860Y-90624360D01* +X131117640Y-90557140D01* +X131038597Y-90504326D01* +X130950769Y-90467946D01* +X130857532Y-90449400D01* +X130762468Y-90449400D01* +X130759118Y-90450066D01* +X130479800Y-90170749D01* +X130479800Y-88744300D01* +X130502360Y-88766860D01* +X130581403Y-88819674D01* +X130669231Y-88856054D01* +X130762468Y-88874600D01* +X130857532Y-88874600D01* +X130950769Y-88856054D01* +X131038597Y-88819674D01* +X131117640Y-88766860D01* +X131140201Y-88744299D01* +X131140200Y-90282042D01* +X131138727Y-90297000D01* +X131140200Y-90311958D01* +X131140200Y-90311965D01* +X131144611Y-90356750D01* +X131162040Y-90414205D01* +X131190342Y-90467156D01* +X131228432Y-90513568D01* +X131240061Y-90523112D01* +X131598066Y-90881118D01* +X131597400Y-90884468D01* +X131597400Y-90979532D01* +X131615946Y-91072769D01* +X131652326Y-91160597D01* +X131705140Y-91239640D01* +X131772360Y-91306860D01* +X131851403Y-91359674D01* +X131939231Y-91396054D01* +X132032468Y-91414600D01* +X132127532Y-91414600D01* +X132220769Y-91396054D01* +X132308597Y-91359674D01* +X132387640Y-91306860D01* +X132454860Y-91239640D01* +X132507674Y-91160597D01* +X132544054Y-91072769D01* +X132562600Y-90979532D01* +X132562600Y-90884468D01* +X132544054Y-90791231D01* +X132507674Y-90703403D01* +X132454860Y-90624360D01* +X132387640Y-90557140D01* +X132308597Y-90504326D01* +X132220769Y-90467946D01* +X132127532Y-90449400D01* +X132032468Y-90449400D01* +X132029118Y-90450066D01* +X131749800Y-90170749D01* +X131749800Y-88744300D01* +X131772360Y-88766860D01* +X131851403Y-88819674D01* +X131939231Y-88856054D01* +X132032468Y-88874600D01* +X132127532Y-88874600D01* +X132220769Y-88856054D01* +X132308597Y-88819674D01* +X132387640Y-88766860D01* +X132410201Y-88744299D01* +X132410200Y-90282042D01* +X132408727Y-90297000D01* +X132410200Y-90311958D01* +X132410200Y-90311965D01* +X132414611Y-90356750D01* +X132432040Y-90414205D01* +X132460342Y-90467156D01* +X132498432Y-90513568D01* +X132510061Y-90523112D01* +X132868066Y-90881118D01* +X132867400Y-90884468D01* +X132867400Y-90979532D01* +X132885946Y-91072769D01* +X132922326Y-91160597D01* +X132975140Y-91239640D01* +X133042360Y-91306860D01* +X133121403Y-91359674D01* +X133209231Y-91396054D01* +X133302468Y-91414600D01* +X133397532Y-91414600D01* +X133490769Y-91396054D01* +X133578597Y-91359674D01* +X133657640Y-91306860D01* +X133724860Y-91239640D01* +X133777674Y-91160597D01* +X133814054Y-91072769D01* +X133832600Y-90979532D01* +X133832600Y-90884468D01* +X133814054Y-90791231D01* +X133777674Y-90703403D01* +X133724860Y-90624360D01* +X133657640Y-90557140D01* +X133578597Y-90504326D01* +X133490769Y-90467946D01* +X133397532Y-90449400D01* +X133302468Y-90449400D01* +X133299118Y-90450066D01* +X133019800Y-90170749D01* +X133019800Y-88744300D01* +X133042360Y-88766860D01* +X133121403Y-88819674D01* +X133209231Y-88856054D01* +X133302468Y-88874600D01* +X133397532Y-88874600D01* +X133490769Y-88856054D01* +X133578597Y-88819674D01* +X133657640Y-88766860D01* +X133680201Y-88744299D01* +X133680200Y-90282042D01* +X133678727Y-90297000D01* +X133680200Y-90311958D01* +X133680200Y-90311965D01* +X133684611Y-90356750D01* +X133702040Y-90414205D01* +X133730342Y-90467156D01* +X133768432Y-90513568D01* +X133780061Y-90523112D01* +X134138066Y-90881118D01* +X134137400Y-90884468D01* +X134137400Y-90979532D01* +X134155946Y-91072769D01* +X134192326Y-91160597D01* +X134245140Y-91239640D01* +X134312360Y-91306860D01* +X134391403Y-91359674D01* +X134479231Y-91396054D01* +X134572468Y-91414600D01* +X134667532Y-91414600D01* +X134760769Y-91396054D01* +X134848597Y-91359674D01* +X134927640Y-91306860D01* +X134994860Y-91239640D01* +X135047674Y-91160597D01* +X135084054Y-91072769D01* +X135102600Y-90979532D01* +X135102600Y-90884468D01* +X135084054Y-90791231D01* +X135047674Y-90703403D01* +X134994860Y-90624360D01* +X134927640Y-90557140D01* +X134848597Y-90504326D01* +X134760769Y-90467946D01* +X134667532Y-90449400D01* +X134572468Y-90449400D01* +X134569118Y-90450066D01* +X134289800Y-90170749D01* +X134289800Y-88744300D01* +X134312360Y-88766860D01* +X134391403Y-88819674D01* +X134479231Y-88856054D01* +X134572468Y-88874600D01* +X134667532Y-88874600D01* +X134760769Y-88856054D01* +X134848597Y-88819674D01* +X134927640Y-88766860D01* +X134950200Y-88744300D01* +X134950201Y-90282032D01* +X134948727Y-90297000D01* +X134954611Y-90356751D01* +X134972040Y-90414205D01* +X134985215Y-90438854D01* +X135000343Y-90467157D01* +X135038433Y-90513568D01* +X135050056Y-90523108D01* +X135408066Y-90881118D01* +X135407400Y-90884468D01* +X135407400Y-90979532D01* +X135425946Y-91072769D01* +X135462326Y-91160597D01* +X135515140Y-91239640D01* +X135582360Y-91306860D01* +X135661403Y-91359674D01* +X135749231Y-91396054D01* +X135842468Y-91414600D01* +X135937532Y-91414600D01* +X136030769Y-91396054D01* +X136118597Y-91359674D01* +X136197640Y-91306860D01* +X136264860Y-91239640D01* +X136317674Y-91160597D01* +X136354054Y-91072769D01* +X136372600Y-90979532D01* +X136372600Y-90884468D01* +X136354054Y-90791231D01* +X136317674Y-90703403D01* +X136264860Y-90624360D01* +X136197640Y-90557140D01* +X136118597Y-90504326D01* +X136030769Y-90467946D01* +X135937532Y-90449400D01* +X135842468Y-90449400D01* +X135839118Y-90450066D01* +X135559800Y-90170749D01* +X135559800Y-88744300D01* +X135582360Y-88766860D01* +X135661403Y-88819674D01* +X135749231Y-88856054D01* +X135842468Y-88874600D01* +X135937532Y-88874600D01* +X136030769Y-88856054D01* +X136118597Y-88819674D01* +X136197640Y-88766860D01* +X136264860Y-88699640D01* +X136317674Y-88620597D01* +X136354054Y-88532769D01* +X136372600Y-88439532D01* +X136372600Y-88344468D01* +X136354054Y-88251231D01* +X136317674Y-88163403D01* +X136264860Y-88084360D01* +X136197640Y-88017140D01* +X136118597Y-87964326D01* +X136030769Y-87927946D01* +X135972385Y-87916333D01* +X131925112Y-83869061D01* +X131915568Y-83857432D01* +X131869157Y-83819342D01* +X131816206Y-83791040D01* +X131758751Y-83773611D01* +X131713966Y-83769200D01* +X131713958Y-83769200D01* +X131699000Y-83767727D01* +X131684042Y-83769200D01* +X98312957Y-83769200D01* +X98297999Y-83767727D01* +X98283041Y-83769200D01* +X98283034Y-83769200D01* +X98243889Y-83773055D01* +X98238248Y-83773611D01* +X98224876Y-83777668D01* +X98180794Y-83791040D01* +X98127843Y-83819342D01* +X98081432Y-83857432D01* +X98071892Y-83869056D01* +X94030882Y-87910066D01* +X94027532Y-87909400D01* +X93932468Y-87909400D01* +X93839231Y-87927946D01* +X93751403Y-87964326D01* +X93672360Y-88017140D01* +X93605140Y-88084360D01* +X93552326Y-88163403D01* +X93515946Y-88251231D01* +X93497400Y-88344468D01* +X93497400Y-88439532D01* +X93515946Y-88532769D01* +X93552326Y-88620597D01* +X93605140Y-88699640D01* +X93672360Y-88766860D01* +X93675200Y-88768758D01* +X93675201Y-96139747D01* +X91615046Y-98199903D01* +X91501912Y-98177400D01* +X91378088Y-98177400D01* +X91256644Y-98201556D01* +X91142246Y-98248941D01* +X91039291Y-98317734D01* +X90951734Y-98405291D01* +X90882941Y-98508246D01* +X90835556Y-98622644D01* +X90811400Y-98744088D01* +X89528600Y-98744088D01* +X89504444Y-98622644D01* +X89457059Y-98508246D01* +X89388266Y-98405291D01* +X89300709Y-98317734D01* +X89197754Y-98248941D01* +X89083356Y-98201556D01* +X88961912Y-98177400D01* +X88838088Y-98177400D01* +X88716644Y-98201556D01* +X88602246Y-98248941D01* +X88499291Y-98317734D01* +X88411734Y-98405291D01* +X88342941Y-98508246D01* +X88295556Y-98622644D01* +X88271400Y-98744088D01* +X86988600Y-98744088D01* +X86966097Y-98630954D01* +X91644945Y-93952107D01* +X91656568Y-93942568D01* +X91694658Y-93896157D01* +X91722960Y-93843206D01* +X91740389Y-93785751D01* +X91744800Y-93740966D01* +X91744800Y-93740958D01* +X91746273Y-93726000D01* +X91744800Y-93711042D01* +X91744800Y-88768758D01* +X91747640Y-88766860D01* +X91814860Y-88699640D01* +X91867674Y-88620597D01* +X91904054Y-88532769D01* +X91922600Y-88439532D01* +X91922600Y-88344468D01* +X91904054Y-88251231D01* +X91867674Y-88163403D01* +X91814860Y-88084360D01* +X91747640Y-88017140D01* +X91668597Y-87964326D01* +X91580769Y-87927946D01* +X91487532Y-87909400D01* +X91392468Y-87909400D01* +X91299231Y-87927946D01* +X91211403Y-87964326D01* +X91132360Y-88017140D01* +X91065140Y-88084360D01* +X91012326Y-88163403D01* +X90975946Y-88251231D01* +X90957400Y-88344468D01* +X90957400Y-88439532D01* +X90975946Y-88532769D01* +X91012326Y-88620597D01* +X91065140Y-88699640D01* +X91132360Y-88766860D01* +X91135201Y-88768758D01* +X91135200Y-93599748D01* +X86535046Y-98199903D01* +X86421912Y-98177400D01* +X86298088Y-98177400D01* +X86176644Y-98201556D01* +X86062246Y-98248941D01* +X85959291Y-98317734D01* +X85871734Y-98405291D01* +X85802941Y-98508246D01* +X85755556Y-98622644D01* +X85731400Y-98744088D01* +X84448600Y-98744088D01* +X84424444Y-98622644D01* +X84377059Y-98508246D01* +X84308266Y-98405291D01* +X84220709Y-98317734D01* +X84117754Y-98248941D01* +X84003356Y-98201556D01* +X83881912Y-98177400D01* +X83758088Y-98177400D01* +X83636644Y-98201556D01* +X83522246Y-98248941D01* +X83419291Y-98317734D01* +X83331734Y-98405291D01* +X83262941Y-98508246D01* +X83215556Y-98622644D01* +X83191400Y-98744088D01* +X78560600Y-98744088D01* +X78560600Y-97265865D01* +X78559136Y-97251000D01* +X78559208Y-97240692D01* +X78558760Y-97236126D01* +X78525079Y-96915672D01* +X78519080Y-96886446D01* +X78513509Y-96857242D01* +X78512185Y-96852858D01* +X78512184Y-96852851D01* +X78512181Y-96852845D01* +X78416900Y-96545042D01* +X78405368Y-96517608D01* +X78394203Y-96489973D01* +X78392049Y-96485922D01* +X78238793Y-96202483D01* +X78222151Y-96177810D01* +X78205832Y-96152871D01* +X78202932Y-96149316D01* +X77997542Y-95901042D01* +X77976410Y-95880057D01* +X77955571Y-95858777D01* +X77952036Y-95855852D01* +X77702334Y-95652200D01* +X77677513Y-95635709D01* +X77652954Y-95618893D01* +X77648918Y-95616711D01* +X77364416Y-95465438D01* +X77336876Y-95454086D01* +X77309505Y-95442355D01* +X77305130Y-95441001D01* +X77305124Y-95440999D01* +X77305118Y-95440998D01* +X76996656Y-95347868D01* +X76967429Y-95342081D01* +X76938310Y-95335891D01* +X76933747Y-95335411D01* +X76613066Y-95303968D01* +X76613056Y-95303968D01* +X76597135Y-95302400D01* +X76528600Y-95302400D01* +X76528600Y-95188088D01* +X84461400Y-95188088D01* +X84461400Y-95311912D01* +X84485556Y-95433356D01* +X84532941Y-95547754D01* +X84601734Y-95650709D01* +X84689291Y-95738266D01* +X84792246Y-95807059D01* +X84906644Y-95854444D01* +X85028088Y-95878600D01* +X85151912Y-95878600D01* +X85273356Y-95854444D01* +X85387754Y-95807059D01* +X85490709Y-95738266D01* +X85578266Y-95650709D01* +X85647059Y-95547754D01* +X85694444Y-95433356D01* +X85718600Y-95311912D01* +X85718600Y-95188088D01* +X85694444Y-95066644D01* +X85647059Y-94952246D01* +X85578266Y-94849291D01* +X85490709Y-94761734D01* +X85387754Y-94692941D01* +X85273356Y-94645556D01* +X85151912Y-94621400D01* +X85028088Y-94621400D01* +X84906644Y-94645556D01* +X84792246Y-94692941D01* +X84689291Y-94761734D01* +X84601734Y-94849291D01* +X84532941Y-94952246D01* +X84485556Y-95066644D01* +X84461400Y-95188088D01* +X76528600Y-95188088D01* +X76528600Y-94045088D01* +X83191400Y-94045088D01* +X83191400Y-94168912D01* +X83215556Y-94290356D01* +X83262941Y-94404754D01* +X83331734Y-94507709D01* +X83419291Y-94595266D01* +X83522246Y-94664059D01* +X83636644Y-94711444D01* +X83758088Y-94735600D01* +X83881912Y-94735600D01* +X84003356Y-94711444D01* +X84117754Y-94664059D01* +X84220709Y-94595266D01* +X84308266Y-94507709D01* +X84377059Y-94404754D01* +X84424444Y-94290356D01* +X84448600Y-94168912D01* +X84448600Y-94045088D01* +X86112400Y-94045088D01* +X86112400Y-94168912D01* +X86136556Y-94290356D01* +X86183941Y-94404754D01* +X86252734Y-94507709D01* +X86340291Y-94595266D01* +X86443246Y-94664059D01* +X86557644Y-94711444D01* +X86679088Y-94735600D01* +X86802912Y-94735600D01* +X86924356Y-94711444D01* +X87038754Y-94664059D01* +X87141709Y-94595266D01* +X87229266Y-94507709D01* +X87298059Y-94404754D01* +X87345444Y-94290356D01* +X87369600Y-94168912D01* +X87369600Y-94045088D01* +X87345444Y-93923644D01* +X87298059Y-93809246D01* +X87229266Y-93706291D01* +X87141709Y-93618734D01* +X87038754Y-93549941D01* +X86924356Y-93502556D01* +X86802912Y-93478400D01* +X86679088Y-93478400D01* +X86557644Y-93502556D01* +X86443246Y-93549941D01* +X86340291Y-93618734D01* +X86252734Y-93706291D01* +X86183941Y-93809246D01* +X86136556Y-93923644D01* +X86112400Y-94045088D01* +X84448600Y-94045088D01* +X84424444Y-93923644D01* +X84377059Y-93809246D01* +X84308266Y-93706291D01* +X84220709Y-93618734D01* +X84117754Y-93549941D01* +X84003356Y-93502556D01* +X83881912Y-93478400D01* +X83758088Y-93478400D01* +X83636644Y-93502556D01* +X83522246Y-93549941D01* +X83419291Y-93618734D01* +X83331734Y-93706291D01* +X83262941Y-93809246D01* +X83215556Y-93923644D01* +X83191400Y-94045088D01* +X76528600Y-94045088D01* +X76528600Y-91466683D01* +X77654586Y-91466683D01* +X77696162Y-91837340D01* +X77808940Y-92192862D01* +X77988626Y-92519708D01* +X78228373Y-92805429D01* +X78519052Y-93039140D01* +X78849589Y-93211941D01* +X79207396Y-93317249D01* +X79578842Y-93351053D01* +X79949780Y-93312066D01* +X80306081Y-93201773D01* +X80634174Y-93024374D01* +X80921561Y-92786626D01* +X81157296Y-92497587D01* +X81332400Y-92168264D01* +X81440203Y-91811201D01* +X81476600Y-91440000D01* +X81475855Y-91386639D01* +X81429108Y-91016599D01* +X81311377Y-90662686D01* +X81127146Y-90338380D01* +X80883432Y-90056035D01* +X80589519Y-89826405D01* +X80256601Y-89658236D01* +X79897359Y-89557934D01* +X79525477Y-89529319D01* +X79155119Y-89573482D01* +X78800393Y-89688739D01* +X78474809Y-89870702D01* +X78190770Y-90112438D01* +X77959093Y-90404741D01* +X77788604Y-90736477D01* +X77685797Y-91095010D01* +X77654586Y-91466683D01* +X76528600Y-91466683D01* +X76528600Y-82878600D01* +X164771400Y-82878600D01* +X164771401Y-101271400D01* +G36* +X101292360Y-88766860D02* +G01* +X101371403Y-88819674D01* +X101459231Y-88856054D01* +X101552468Y-88874600D01* +X101647532Y-88874600D01* +X101650882Y-88873934D01* +X101881891Y-89104943D01* +X101891432Y-89116568D01* +X101903061Y-89126112D01* +X102184200Y-89407252D01* +X102184201Y-90917032D01* +X102182727Y-90932000D01* +X102188611Y-90991751D01* +X102206040Y-91049205D01* +X102206041Y-91049206D01* +X102234343Y-91102157D01* +X102272433Y-91148568D01* +X102284057Y-91158108D01* +X104040891Y-92914943D01* +X104050432Y-92926568D01* +X104096843Y-92964658D01* +X104147796Y-92991892D01* +X104149794Y-92992960D01* +X104207248Y-93010389D01* +X104212403Y-93010897D01* +X104252034Y-93014800D01* +X104252042Y-93014800D01* +X104267000Y-93016273D01* +X104281958Y-93014800D01* +X110744749Y-93014800D01* +X113310148Y-95580200D01* +X104139252Y-95580200D01* +X103507520Y-94948468D01* +X104927400Y-94948468D01* +X104927400Y-95043532D01* +X104945946Y-95136769D01* +X104982326Y-95224597D01* +X105035140Y-95303640D01* +X105102360Y-95370860D01* +X105181403Y-95423674D01* +X105269231Y-95460054D01* +X105362468Y-95478600D01* +X105457532Y-95478600D01* +X105550769Y-95460054D01* +X105638597Y-95423674D01* +X105717640Y-95370860D01* +X105784860Y-95303640D01* +X105837674Y-95224597D01* +X105874054Y-95136769D01* +X105892600Y-95043532D01* +X105892600Y-94948468D01* +X105874054Y-94855231D01* +X105837674Y-94767403D01* +X105784860Y-94688360D01* +X105717640Y-94621140D01* +X105638597Y-94568326D01* +X105550769Y-94531946D01* +X105457532Y-94513400D01* +X105362468Y-94513400D01* +X105269231Y-94531946D01* +X105181403Y-94568326D01* +X105102360Y-94621140D01* +X105035140Y-94688360D01* +X104982326Y-94767403D01* +X104945946Y-94855231D01* +X104927400Y-94948468D01* +X103507520Y-94948468D01* +X102604140Y-94045088D01* +X103130400Y-94045088D01* +X103130400Y-94168912D01* +X103154556Y-94290356D01* +X103201941Y-94404754D01* +X103270734Y-94507709D01* +X103358291Y-94595266D01* +X103461246Y-94664059D01* +X103575644Y-94711444D01* +X103697088Y-94735600D01* +X103820912Y-94735600D01* +X103942356Y-94711444D01* +X104056754Y-94664059D01* +X104159709Y-94595266D01* +X104247266Y-94507709D01* +X104316059Y-94404754D01* +X104363444Y-94290356D01* +X104387600Y-94168912D01* +X104387600Y-94045088D01* +X106051400Y-94045088D01* +X106051400Y-94168912D01* +X106075556Y-94290356D01* +X106122941Y-94404754D01* +X106191734Y-94507709D01* +X106279291Y-94595266D01* +X106382246Y-94664059D01* +X106496644Y-94711444D01* +X106618088Y-94735600D01* +X106741912Y-94735600D01* +X106863356Y-94711444D01* +X106977754Y-94664059D01* +X107080709Y-94595266D01* +X107168266Y-94507709D01* +X107237059Y-94404754D01* +X107284444Y-94290356D01* +X107308600Y-94168912D01* +X107308600Y-94045088D01* +X107284444Y-93923644D01* +X107237059Y-93809246D01* +X107168266Y-93706291D01* +X107080709Y-93618734D01* +X106977754Y-93549941D01* +X106863356Y-93502556D01* +X106741912Y-93478400D01* +X106618088Y-93478400D01* +X106496644Y-93502556D01* +X106382246Y-93549941D01* +X106279291Y-93618734D01* +X106191734Y-93706291D01* +X106122941Y-93809246D01* +X106075556Y-93923644D01* +X106051400Y-94045088D01* +X104387600Y-94045088D01* +X104363444Y-93923644D01* +X104316059Y-93809246D01* +X104247266Y-93706291D01* +X104159709Y-93618734D01* +X104056754Y-93549941D01* +X103942356Y-93502556D01* +X103820912Y-93478400D01* +X103697088Y-93478400D01* +X103575644Y-93502556D01* +X103461246Y-93549941D01* +X103358291Y-93618734D01* +X103270734Y-93706291D01* +X103201941Y-93809246D01* +X103154556Y-93923644D01* +X103130400Y-94045088D01* +X102604140Y-94045088D01* +X101904800Y-93345749D01* +X101904800Y-91308758D01* +X101907640Y-91306860D01* +X101974860Y-91239640D01* +X102027674Y-91160597D01* +X102064054Y-91072769D01* +X102082600Y-90979532D01* +X102082600Y-90884468D01* +X102064054Y-90791231D01* +X102027674Y-90703403D01* +X101974860Y-90624360D01* +X101907640Y-90557140D01* +X101904800Y-90555242D01* +X101904800Y-89930958D01* +X101906273Y-89916000D01* +X101904800Y-89901042D01* +X101904800Y-89901034D01* +X101900389Y-89856249D01* +X101882960Y-89798794D01* +X101854658Y-89745843D01* +X101816568Y-89699432D01* +X101804944Y-89689892D01* +X101269800Y-89154749D01* +X101269800Y-88744300D01* +X101292360Y-88766860D01* +X101292360Y-88766860D01* +G37* +X101292360Y-88766860D02* +X101371403Y-88819674D01* +X101459231Y-88856054D01* +X101552468Y-88874600D01* +X101647532Y-88874600D01* +X101650882Y-88873934D01* +X101881891Y-89104943D01* +X101891432Y-89116568D01* +X101903061Y-89126112D01* +X102184200Y-89407252D01* +X102184201Y-90917032D01* +X102182727Y-90932000D01* +X102188611Y-90991751D01* +X102206040Y-91049205D01* +X102206041Y-91049206D01* +X102234343Y-91102157D01* +X102272433Y-91148568D01* +X102284057Y-91158108D01* +X104040891Y-92914943D01* +X104050432Y-92926568D01* +X104096843Y-92964658D01* +X104147796Y-92991892D01* +X104149794Y-92992960D01* +X104207248Y-93010389D01* +X104212403Y-93010897D01* +X104252034Y-93014800D01* +X104252042Y-93014800D01* +X104267000Y-93016273D01* +X104281958Y-93014800D01* +X110744749Y-93014800D01* +X113310148Y-95580200D01* +X104139252Y-95580200D01* +X103507520Y-94948468D01* +X104927400Y-94948468D01* +X104927400Y-95043532D01* +X104945946Y-95136769D01* +X104982326Y-95224597D01* +X105035140Y-95303640D01* +X105102360Y-95370860D01* +X105181403Y-95423674D01* +X105269231Y-95460054D01* +X105362468Y-95478600D01* +X105457532Y-95478600D01* +X105550769Y-95460054D01* +X105638597Y-95423674D01* +X105717640Y-95370860D01* +X105784860Y-95303640D01* +X105837674Y-95224597D01* +X105874054Y-95136769D01* +X105892600Y-95043532D01* +X105892600Y-94948468D01* +X105874054Y-94855231D01* +X105837674Y-94767403D01* +X105784860Y-94688360D01* +X105717640Y-94621140D01* +X105638597Y-94568326D01* +X105550769Y-94531946D01* +X105457532Y-94513400D01* +X105362468Y-94513400D01* +X105269231Y-94531946D01* +X105181403Y-94568326D01* +X105102360Y-94621140D01* +X105035140Y-94688360D01* +X104982326Y-94767403D01* +X104945946Y-94855231D01* +X104927400Y-94948468D01* +X103507520Y-94948468D01* +X102604140Y-94045088D01* +X103130400Y-94045088D01* +X103130400Y-94168912D01* +X103154556Y-94290356D01* +X103201941Y-94404754D01* +X103270734Y-94507709D01* +X103358291Y-94595266D01* +X103461246Y-94664059D01* +X103575644Y-94711444D01* +X103697088Y-94735600D01* +X103820912Y-94735600D01* +X103942356Y-94711444D01* +X104056754Y-94664059D01* +X104159709Y-94595266D01* +X104247266Y-94507709D01* +X104316059Y-94404754D01* +X104363444Y-94290356D01* +X104387600Y-94168912D01* +X104387600Y-94045088D01* +X106051400Y-94045088D01* +X106051400Y-94168912D01* +X106075556Y-94290356D01* +X106122941Y-94404754D01* +X106191734Y-94507709D01* +X106279291Y-94595266D01* +X106382246Y-94664059D01* +X106496644Y-94711444D01* +X106618088Y-94735600D01* +X106741912Y-94735600D01* +X106863356Y-94711444D01* +X106977754Y-94664059D01* +X107080709Y-94595266D01* +X107168266Y-94507709D01* +X107237059Y-94404754D01* +X107284444Y-94290356D01* +X107308600Y-94168912D01* +X107308600Y-94045088D01* +X107284444Y-93923644D01* +X107237059Y-93809246D01* +X107168266Y-93706291D01* +X107080709Y-93618734D01* +X106977754Y-93549941D01* +X106863356Y-93502556D01* +X106741912Y-93478400D01* +X106618088Y-93478400D01* +X106496644Y-93502556D01* +X106382246Y-93549941D01* +X106279291Y-93618734D01* +X106191734Y-93706291D01* +X106122941Y-93809246D01* +X106075556Y-93923644D01* +X106051400Y-94045088D01* +X104387600Y-94045088D01* +X104363444Y-93923644D01* +X104316059Y-93809246D01* +X104247266Y-93706291D01* +X104159709Y-93618734D01* +X104056754Y-93549941D01* +X103942356Y-93502556D01* +X103820912Y-93478400D01* +X103697088Y-93478400D01* +X103575644Y-93502556D01* +X103461246Y-93549941D01* +X103358291Y-93618734D01* +X103270734Y-93706291D01* +X103201941Y-93809246D01* +X103154556Y-93923644D01* +X103130400Y-94045088D01* +X102604140Y-94045088D01* +X101904800Y-93345749D01* +X101904800Y-91308758D01* +X101907640Y-91306860D01* +X101974860Y-91239640D01* +X102027674Y-91160597D01* +X102064054Y-91072769D01* +X102082600Y-90979532D01* +X102082600Y-90884468D01* +X102064054Y-90791231D01* +X102027674Y-90703403D01* +X101974860Y-90624360D01* +X101907640Y-90557140D01* +X101904800Y-90555242D01* +X101904800Y-89930958D01* +X101906273Y-89916000D01* +X101904800Y-89901042D01* +X101904800Y-89901034D01* +X101900389Y-89856249D01* +X101882960Y-89798794D01* +X101854658Y-89745843D01* +X101816568Y-89699432D01* +X101804944Y-89689892D01* +X101269800Y-89154749D01* +X101269800Y-88744300D01* +X101292360Y-88766860D01* +M02* diff --git a/gerber/simm-30-4mb-bottom-pos.csv b/gerber/simm-30-4mb-bottom-pos.csv new file mode 100644 index 0000000..34d8571 --- /dev/null +++ b/gerber/simm-30-4mb-bottom-pos.csv @@ -0,0 +1 @@ +Ref,Val,Package,PosX,PosY,Rot,Side diff --git a/gerber/simm-30-4mb-drl_map.ps b/gerber/simm-30-4mb-drl_map.ps new file mode 100644 index 0000000..9fae937 --- /dev/null +++ b/gerber/simm-30-4mb-drl_map.ps @@ -0,0 +1,2445 @@ +%!PS-Adobe-3.0 +%%Creator: PCBNEW +%%CreationDate: Mon Jul 29 17:11:25 2019 +%%Title: /Users/zanekaminski/Library/Mobile Documents/com~apple~CloudDocs/Repos/simm-30-4mb/gerber/simm-30-4mb-drl_map.ps +%%Pages: 1 +%%PageOrder: Ascend +%%BoundingBox: 0 0 596 842 +%%DocumentMedia: A4 595 842 0 () () +%%Orientation: Landscape +%%EndComments +%%BeginProlog +/line { newpath moveto lineto stroke } bind def +/cir0 { newpath 0 360 arc stroke } bind def +/cir1 { newpath 0 360 arc gsave fill grestore stroke } bind def +/cir2 { newpath 0 360 arc gsave fill grestore stroke } bind def +/arc0 { newpath arc stroke } bind def +/arc1 { newpath 4 index 4 index moveto arc closepath gsave fill + grestore stroke } bind def +/arc2 { newpath 4 index 4 index moveto arc closepath gsave fill + grestore stroke } bind def +/poly0 { stroke } bind def +/poly1 { closepath gsave fill grestore stroke } bind def +/poly2 { closepath gsave fill grestore stroke } bind def +/rect0 { rectstroke } bind def +/rect1 { rectfill } bind def +/rect2 { rectfill } bind def +/linemode0 { 0 setlinecap 0 setlinejoin 0 setlinewidth } bind def +/linemode1 { 1 setlinecap 1 setlinejoin } bind def +/dashedline { [200] 100 setdash } bind def +/solidline { [] 0 setdash } bind def +/phantomshow { moveto + /KicadFont findfont 0.000001 scalefont setfont + show } bind def +/textshow { gsave + findfont exch scalefont setfont concat 1 scale 0 0 moveto show + } bind def +/reencodefont { + findfont dup length dict begin + { 1 index /FID ne + { def } + { pop pop } ifelse + } forall + /Encoding ISOLatin1Encoding def + currentdict + end } bind def +/KicadFont /Helvetica reencodefont definefont pop +/KicadFont-Bold /Helvetica-Bold reencodefont definefont pop +/KicadFont-Oblique /Helvetica-Oblique reencodefont definefont pop +/KicadFont-BoldOblique /Helvetica-BoldOblique reencodefont definefont pop +%%EndProlog +%%Page: 1 1 +%%BeginPageSetup +gsave +0.0072 0.0072 scale +linemode1 +82680 0 translate 90 rotate +144.221 setlinewidth +%%EndPageSetup +0 0 0 setrgbcolor +227.12 setlinewidth +newpath +8420.24 53837.8 moveto +7987.58 53837.8 lineto +stroke +0 0 0 setrgbcolor +newpath +10295.1 47059.4 moveto +10295.1 51962.9 lineto +stroke +0 0 0 setrgbcolor +170.34 setlinewidth +11809.4 58597.1 1802.77 cir0 +0 0 0 setrgbcolor +105121 58597.1 1802.77 cir0 +0 0 0 setrgbcolor +227.12 setlinewidth +newpath +7987.58 53837.8 moveto +7987.58 68692.6 lineto +stroke +0 0 0 setrgbcolor +8420.24 51962.9 1874.88 -0 90 arc0 +0 0 0 setrgbcolor +newpath +108942 68692.6 moveto +108942 47059.4 lineto +stroke +0 0 0 setrgbcolor +newpath +7987.58 68692.6 moveto +108942 68692.6 lineto +stroke +0 0 0 setrgbcolor +newpath +108942 47059.4 moveto +10295.1 47059.4 lineto +stroke +78.7391 setlinewidth +newpath +8883.57 67796.6 moveto +9110.69 67569.5 lineto +stroke +newpath +9110.69 67796.6 moveto +8883.57 67569.5 lineto +stroke +newpath +8883.57 63325.7 moveto +9110.69 63098.6 lineto +stroke +newpath +9110.69 63325.7 moveto +8883.57 63098.6 lineto +stroke +newpath +8883.57 58710.7 moveto +9110.69 58483.5 lineto +stroke +newpath +9110.69 58710.7 moveto +8883.57 58483.5 lineto +stroke +newpath +8883.57 54960.9 moveto +9110.69 54733.8 lineto +stroke +newpath +9110.69 54960.9 moveto +8883.57 54733.8 lineto +stroke +newpath +11046.9 54960.9 moveto +11274 54733.8 lineto +stroke +newpath +11274 54960.9 moveto +11046.9 54733.8 lineto +stroke +newpath +11191.1 48182.5 moveto +11418.2 47955.4 lineto +stroke +newpath +11418.2 48182.5 moveto +11191.1 47955.4 lineto +stroke +newpath +12056.4 65633.3 moveto +12283.6 65406.2 lineto +stroke +newpath +12283.6 65633.3 moveto +12056.4 65406.2 lineto +stroke +newpath +12056.4 61162.4 moveto +12283.6 60935.3 lineto +stroke +newpath +12283.6 61162.4 moveto +12056.4 60935.3 lineto +stroke +newpath +13210.2 51499.6 moveto +13437.3 51272.5 lineto +stroke +newpath +13437.3 51499.6 moveto +13210.2 51272.5 lineto +stroke +newpath +15373.5 67796.6 moveto +15600.6 67569.5 lineto +stroke +newpath +15600.6 67796.6 moveto +15373.5 67569.5 lineto +stroke +newpath +15373.5 63325.7 moveto +15600.6 63098.6 lineto +stroke +newpath +15600.6 63325.7 moveto +15373.5 63098.6 lineto +stroke +newpath +15517.7 54384 moveto +15744.9 54156.9 lineto +stroke +newpath +15744.9 54384 moveto +15517.7 54156.9 lineto +stroke +newpath +20853.9 60297.1 moveto +21081 60070 lineto +stroke +newpath +21081 60297.1 moveto +20853.9 60070 lineto +stroke +newpath +21430.8 53230.3 moveto +21657.9 53003.1 lineto +stroke +newpath +21657.9 53230.3 moveto +21430.8 53003.1 lineto +stroke +newpath +22151.9 67796.6 moveto +22379 67569.5 lineto +stroke +newpath +22379 67796.6 moveto +22151.9 67569.5 lineto +stroke +newpath +22296.1 60297.1 moveto +22523.3 60070 lineto +stroke +newpath +22523.3 60297.1 moveto +22296.1 60070 lineto +stroke +newpath +22873 52364.9 moveto +23100.1 52137.8 lineto +stroke +newpath +23100.1 52364.9 moveto +22873 52137.8 lineto +stroke +newpath +23738.3 60297.1 moveto +23965.5 60070 lineto +stroke +newpath +23965.5 60297.1 moveto +23738.3 60070 lineto +stroke +newpath +25180.6 62172 moveto +25407.7 61944.9 lineto +stroke +newpath +25407.7 62172 moveto +25180.6 61944.9 lineto +stroke +newpath +25757.4 60729.8 moveto +25984.6 60502.6 lineto +stroke +newpath +25984.6 60729.8 moveto +25757.4 60502.6 lineto +stroke +newpath +26622.8 62172 moveto +26849.9 61944.9 lineto +stroke +newpath +26849.9 62172 moveto +26622.8 61944.9 lineto +stroke +newpath +26622.8 52941.8 moveto +26849.9 52714.7 lineto +stroke +newpath +26849.9 52941.8 moveto +26622.8 52714.7 lineto +stroke +newpath +26767 67796.6 moveto +26994.1 67569.5 lineto +stroke +newpath +26994.1 67796.6 moveto +26767 67569.5 lineto +stroke +newpath +28065 62172 moveto +28292.1 61944.9 lineto +stroke +newpath +28292.1 62172 moveto +28065 61944.9 lineto +stroke +newpath +29507.2 60729.8 moveto +29734.3 60502.6 lineto +stroke +newpath +29734.3 60729.8 moveto +29507.2 60502.6 lineto +stroke +newpath +30949.4 62172 moveto +31176.5 61944.9 lineto +stroke +newpath +31176.5 62172 moveto +30949.4 61944.9 lineto +stroke +newpath +30949.4 59287.6 moveto +31176.5 59060.4 lineto +stroke +newpath +31176.5 59287.6 moveto +30949.4 59060.4 lineto +stroke +newpath +31814.7 67796.6 moveto +32041.9 67569.5 lineto +stroke +newpath +32041.9 67796.6 moveto +31814.7 67569.5 lineto +stroke +newpath +31959 51355.4 moveto +32186.1 51128.3 lineto +stroke +newpath +32186.1 51355.4 moveto +31959 51128.3 lineto +stroke +newpath +32391.6 62172 moveto +32618.7 61944.9 lineto +stroke +newpath +32618.7 62172 moveto +32391.6 61944.9 lineto +stroke +newpath +32391.6 59287.6 moveto +32618.7 59060.4 lineto +stroke +newpath +32618.7 59287.6 moveto +32391.6 59060.4 lineto +stroke +newpath +33833.8 62172 moveto +34061 61944.9 lineto +stroke +newpath +34061 62172 moveto +33833.8 61944.9 lineto +stroke +newpath +33833.8 59287.6 moveto +34061 59060.4 lineto +stroke +newpath +34061 59287.6 moveto +33833.8 59060.4 lineto +stroke +newpath +34843.4 51355.4 moveto +35070.5 51128.3 lineto +stroke +newpath +35070.5 51355.4 moveto +34843.4 51128.3 lineto +stroke +newpath +35276 62172 moveto +35503.2 61944.9 lineto +stroke +newpath +35503.2 62172 moveto +35276 61944.9 lineto +stroke +newpath +35276 59287.6 moveto +35503.2 59060.4 lineto +stroke +newpath +35503.2 59287.6 moveto +35276 59060.4 lineto +stroke +newpath +36718.3 62172 moveto +36945.4 61944.9 lineto +stroke +newpath +36945.4 62172 moveto +36718.3 61944.9 lineto +stroke +newpath +36718.3 59287.6 moveto +36945.4 59060.4 lineto +stroke +newpath +36945.4 59287.6 moveto +36718.3 59060.4 lineto +stroke +newpath +36862.5 67796.6 moveto +37089.6 67569.5 lineto +stroke +newpath +37089.6 67796.6 moveto +36862.5 67569.5 lineto +stroke +newpath +38016.2 51355.4 moveto +38243.4 51128.3 lineto +stroke +newpath +38243.4 51355.4 moveto +38016.2 51128.3 lineto +stroke +newpath +39458.5 61018.2 moveto +39685.6 60791.1 lineto +stroke +newpath +39685.6 61018.2 moveto +39458.5 60791.1 lineto +stroke +newpath +39746.9 54239.8 moveto +39974 54012.7 lineto +stroke +newpath +39974 54239.8 moveto +39746.9 54012.7 lineto +stroke +newpath +41044.9 54672.5 moveto +41272 54445.4 lineto +stroke +newpath +41272 54672.5 moveto +41044.9 54445.4 lineto +stroke +newpath +41477.6 67796.6 moveto +41704.7 67569.5 lineto +stroke +newpath +41704.7 67796.6 moveto +41477.6 67569.5 lineto +stroke +newpath +44073.5 66354.4 moveto +44300.7 66127.3 lineto +stroke +newpath +44300.7 66354.4 moveto +44073.5 66127.3 lineto +stroke +newpath +44938.9 54239.8 moveto +45166 54012.7 lineto +stroke +newpath +45166 54239.8 moveto +44938.9 54012.7 lineto +stroke +newpath +46381.1 67796.6 moveto +46608.2 67569.5 lineto +stroke +newpath +46608.2 67796.6 moveto +46381.1 67569.5 lineto +stroke +newpath +46381.1 51355.4 moveto +46608.2 51128.3 lineto +stroke +newpath +46608.2 51355.4 moveto +46381.1 51128.3 lineto +stroke +newpath +46669.5 54239.8 moveto +46896.6 54012.7 lineto +stroke +newpath +46896.6 54239.8 moveto +46669.5 54012.7 lineto +stroke +newpath +46958 56547.3 moveto +47185.1 56320.2 lineto +stroke +newpath +47185.1 56547.3 moveto +46958 56320.2 lineto +stroke +newpath +47679.1 51788 moveto +47906.2 51560.9 lineto +stroke +newpath +47906.2 51788 moveto +47679.1 51560.9 lineto +stroke +newpath +47823.3 60441.3 moveto +48050.4 60214.2 lineto +stroke +newpath +48050.4 60441.3 moveto +47823.3 60214.2 lineto +stroke +newpath +47967.5 57412.7 moveto +48194.6 57185.6 lineto +stroke +newpath +48194.6 57412.7 moveto +47967.5 57185.6 lineto +stroke +newpath +48039.6 55537.8 moveto +48266.7 55310.7 lineto +stroke +newpath +48266.7 55537.8 moveto +48039.6 55310.7 lineto +stroke +newpath +48977.1 66354.4 moveto +49204.2 66127.3 lineto +stroke +newpath +49204.2 66354.4 moveto +48977.1 66127.3 lineto +stroke +newpath +48977.1 61018.2 moveto +49204.2 60791.1 lineto +stroke +newpath +49204.2 61018.2 moveto +48977.1 60791.1 lineto +stroke +newpath +48977.1 56403.1 moveto +49204.2 56176 lineto +stroke +newpath +49204.2 56403.1 moveto +48977.1 56176 lineto +stroke +newpath +49121.3 57556.9 moveto +49348.4 57329.8 lineto +stroke +newpath +49348.4 57556.9 moveto +49121.3 57329.8 lineto +stroke +newpath +49409.7 54239.8 moveto +49636.8 54012.7 lineto +stroke +newpath +49636.8 54239.8 moveto +49409.7 54012.7 lineto +stroke +newpath +50130.8 58133.8 moveto +50358 57906.7 lineto +stroke +newpath +50358 58133.8 moveto +50130.8 57906.7 lineto +stroke +newpath +50996.2 56980 moveto +51223.3 56752.9 lineto +stroke +newpath +51223.3 56980 moveto +50996.2 56752.9 lineto +stroke +newpath +51284.6 67796.6 moveto +51511.7 67569.5 lineto +stroke +newpath +51511.7 67796.6 moveto +51284.6 67569.5 lineto +stroke +newpath +51573 53807.1 moveto +51800.2 53580 lineto +stroke +newpath +51800.2 53807.1 moveto +51573 53580 lineto +stroke +newpath +51861.5 58133.8 moveto +52088.6 57906.7 lineto +stroke +newpath +52088.6 58133.8 moveto +51861.5 57906.7 lineto +stroke +newpath +52149.9 56619.5 moveto +52377 56392.3 lineto +stroke +newpath +52377 56619.5 moveto +52149.9 56392.3 lineto +stroke +newpath +53303.7 56980 moveto +53530.8 56752.9 lineto +stroke +newpath +53530.8 56980 moveto +53303.7 56752.9 lineto +stroke +newpath +53880.6 66354.4 moveto +54107.7 66127.3 lineto +stroke +newpath +54107.7 66354.4 moveto +53880.6 66127.3 lineto +stroke +newpath +55034.4 51355.4 moveto +55261.5 51128.3 lineto +stroke +newpath +55261.5 51355.4 moveto +55034.4 51128.3 lineto +stroke +newpath +56188.1 67796.6 moveto +56415.2 67569.5 lineto +stroke +newpath +56415.2 67796.6 moveto +56188.1 67569.5 lineto +stroke +newpath +56332.3 51788 moveto +56559.5 51560.9 lineto +stroke +newpath +56559.5 51788 moveto +56332.3 51560.9 lineto +stroke +newpath +57197.7 61018.2 moveto +57424.8 60791.1 lineto +stroke +newpath +57424.8 61018.2 moveto +57197.7 60791.1 lineto +stroke +newpath +58928.3 60152.9 moveto +59155.4 59925.8 lineto +stroke +newpath +59155.4 60152.9 moveto +58928.3 59925.8 lineto +stroke +newpath +59216.8 51788 moveto +59443.9 51560.9 lineto +stroke +newpath +59443.9 51788 moveto +59216.8 51560.9 lineto +stroke +newpath +60370.5 51788 moveto +60597.7 51560.9 lineto +stroke +newpath +60597.7 51788 moveto +60370.5 51560.9 lineto +stroke +newpath +61091.6 67796.6 moveto +61318.8 67569.5 lineto +stroke +newpath +61318.8 67796.6 moveto +61091.6 67569.5 lineto +stroke +newpath +64120.3 61450.9 moveto +64347.4 61223.7 lineto +stroke +newpath +64347.4 61450.9 moveto +64120.3 61223.7 lineto +stroke +newpath +64120.3 59287.6 moveto +64347.4 59060.4 lineto +stroke +newpath +64347.4 59287.6 moveto +64120.3 59060.4 lineto +stroke +newpath +64985.6 60729.8 moveto +65212.7 60502.6 lineto +stroke +newpath +65212.7 60729.8 moveto +64985.6 60502.6 lineto +stroke +newpath +65562.5 59287.6 moveto +65789.6 59060.4 lineto +stroke +newpath +65789.6 59287.6 moveto +65562.5 59060.4 lineto +stroke +newpath +65706.7 67796.6 moveto +65933.8 67569.5 lineto +stroke +newpath +65933.8 67796.6 moveto +65706.7 67569.5 lineto +stroke +newpath +67004.7 62172 moveto +67231.8 61944.9 lineto +stroke +newpath +67231.8 62172 moveto +67004.7 61944.9 lineto +stroke +newpath +67004.7 50922.7 moveto +67231.8 50695.6 lineto +stroke +newpath +67231.8 50922.7 moveto +67004.7 50695.6 lineto +stroke +newpath +68446.9 60729.8 moveto +68674 60502.6 lineto +stroke +newpath +68674 60729.8 moveto +68446.9 60502.6 lineto +stroke +newpath +69889.1 62172 moveto +70116.3 61944.9 lineto +stroke +newpath +70116.3 62172 moveto +69889.1 61944.9 lineto +stroke +newpath +69889.1 61018.2 moveto +70116.3 60791.1 lineto +stroke +newpath +70116.3 61018.2 moveto +69889.1 60791.1 lineto +stroke +newpath +69889.1 59287.6 moveto +70116.3 59060.4 lineto +stroke +newpath +70116.3 59287.6 moveto +69889.1 59060.4 lineto +stroke +newpath +70754.5 67796.6 moveto +70981.6 67569.5 lineto +stroke +newpath +70981.6 67796.6 moveto +70754.5 67569.5 lineto +stroke +newpath +70898.7 51643.8 moveto +71125.8 51416.7 lineto +stroke +newpath +71125.8 51643.8 moveto +70898.7 51416.7 lineto +stroke +newpath +71331.3 62172 moveto +71558.5 61944.9 lineto +stroke +newpath +71558.5 62172 moveto +71331.3 61944.9 lineto +stroke +newpath +71331.3 61018.2 moveto +71558.5 60791.1 lineto +stroke +newpath +71558.5 61018.2 moveto +71331.3 60791.1 lineto +stroke +newpath +71331.3 59287.6 moveto +71558.5 59060.4 lineto +stroke +newpath +71558.5 59287.6 moveto +71331.3 59060.4 lineto +stroke +newpath +72773.6 62172 moveto +73000.7 61944.9 lineto +stroke +newpath +73000.7 62172 moveto +72773.6 61944.9 lineto +stroke +newpath +72773.6 61018.2 moveto +73000.7 60791.1 lineto +stroke +newpath +73000.7 61018.2 moveto +72773.6 60791.1 lineto +stroke +newpath +72773.6 59287.6 moveto +73000.7 59060.4 lineto +stroke +newpath +73000.7 59287.6 moveto +72773.6 59060.4 lineto +stroke +newpath +73783.1 51643.8 moveto +74010.2 51416.7 lineto +stroke +newpath +74010.2 51643.8 moveto +73783.1 51416.7 lineto +stroke +newpath +74215.8 62172 moveto +74442.9 61944.9 lineto +stroke +newpath +74442.9 62172 moveto +74215.8 61944.9 lineto +stroke +newpath +74215.8 61018.2 moveto +74442.9 60791.1 lineto +stroke +newpath +74442.9 61018.2 moveto +74215.8 60791.1 lineto +stroke +newpath +74215.8 59287.6 moveto +74442.9 59060.4 lineto +stroke +newpath +74442.9 59287.6 moveto +74215.8 59060.4 lineto +stroke +newpath +75658 62172 moveto +75885.1 61944.9 lineto +stroke +newpath +75885.1 62172 moveto +75658 61944.9 lineto +stroke +newpath +75658 61018.2 moveto +75885.1 60791.1 lineto +stroke +newpath +75885.1 61018.2 moveto +75658 60791.1 lineto +stroke +newpath +75658 59287.6 moveto +75885.1 59060.4 lineto +stroke +newpath +75885.1 59287.6 moveto +75658 59060.4 lineto +stroke +newpath +75802.2 67796.6 moveto +76029.3 67569.5 lineto +stroke +newpath +76029.3 67796.6 moveto +75802.2 67569.5 lineto +stroke +newpath +79263.5 51932.3 moveto +79490.6 51705.1 lineto +stroke +newpath +79490.6 51932.3 moveto +79263.5 51705.1 lineto +stroke +newpath +80273.1 63325.7 moveto +80500.2 63098.6 lineto +stroke +newpath +80500.2 63325.7 moveto +80273.1 63098.6 lineto +stroke +newpath +80417.3 67796.6 moveto +80644.4 67569.5 lineto +stroke +newpath +80644.4 67796.6 moveto +80417.3 67569.5 lineto +stroke +newpath +83301.7 51932.3 moveto +83528.8 51705.1 lineto +stroke +newpath +83528.8 51932.3 moveto +83301.7 51705.1 lineto +stroke +newpath +83734.4 65633.3 moveto +83961.5 65406.2 lineto +stroke +newpath +83961.5 65633.3 moveto +83734.4 65406.2 lineto +stroke +newpath +83734.4 61018.2 moveto +83961.5 60791.1 lineto +stroke +newpath +83961.5 61018.2 moveto +83734.4 60791.1 lineto +stroke +newpath +83734.4 55970.5 moveto +83961.5 55743.3 lineto +stroke +newpath +83961.5 55970.5 moveto +83734.4 55743.3 lineto +stroke +newpath +83878.6 53086 moveto +84105.7 52858.9 lineto +stroke +newpath +84105.7 53086 moveto +83878.6 52858.9 lineto +stroke +newpath +85032.4 51932.3 moveto +85259.5 51705.1 lineto +stroke +newpath +85259.5 51932.3 moveto +85032.4 51705.1 lineto +stroke +newpath +87195.7 67796.6 moveto +87422.8 67569.5 lineto +stroke +newpath +87422.8 67796.6 moveto +87195.7 67569.5 lineto +stroke +newpath +87195.7 63325.7 moveto +87422.8 63098.6 lineto +stroke +newpath +87422.8 63325.7 moveto +87195.7 63098.6 lineto +stroke +newpath +87195.7 58710.7 moveto +87422.8 58483.5 lineto +stroke +newpath +87422.8 58710.7 moveto +87195.7 58483.5 lineto +stroke +newpath +87195.7 53662.9 moveto +87422.8 53435.8 lineto +stroke +newpath +87422.8 53662.9 moveto +87195.7 53435.8 lineto +stroke +newpath +89070.6 51932.3 moveto +89297.7 51705.1 lineto +stroke +newpath +89297.7 51932.3 moveto +89070.6 51705.1 lineto +stroke +newpath +90657 65633.3 moveto +90884.1 65406.2 lineto +stroke +newpath +90884.1 65633.3 moveto +90657 65406.2 lineto +stroke +newpath +90657 61018.2 moveto +90884.1 60791.1 lineto +stroke +newpath +90884.1 61018.2 moveto +90657 60791.1 lineto +stroke +newpath +90657 55970.5 moveto +90884.1 55743.3 lineto +stroke +newpath +90884.1 55970.5 moveto +90657 55743.3 lineto +stroke +newpath +94118.3 67796.6 moveto +94345.4 67569.5 lineto +stroke +newpath +94345.4 67796.6 moveto +94118.3 67569.5 lineto +stroke +newpath +94118.3 63325.7 moveto +94345.4 63098.6 lineto +stroke +newpath +94345.4 63325.7 moveto +94118.3 63098.6 lineto +stroke +newpath +94118.3 58710.7 moveto +94345.4 58483.5 lineto +stroke +newpath +94345.4 58710.7 moveto +94118.3 58483.5 lineto +stroke +newpath +94118.3 53662.9 moveto +94345.4 53435.8 lineto +stroke +newpath +94345.4 53662.9 moveto +94118.3 53435.8 lineto +stroke +newpath +97579.6 65633.3 moveto +97806.7 65406.2 lineto +stroke +newpath +97806.7 65633.3 moveto +97579.6 65406.2 lineto +stroke +newpath +101041 67796.6 moveto +101268 67569.5 lineto +stroke +newpath +101268 67796.6 moveto +101041 67569.5 lineto +stroke +newpath +101041 63325.7 moveto +101268 63098.6 lineto +stroke +newpath +101268 63325.7 moveto +101041 63098.6 lineto +stroke +newpath +101474 53374.5 moveto +101701 53147.4 lineto +stroke +newpath +101701 53374.5 moveto +101474 53147.4 lineto +stroke +newpath +104502 65633.3 moveto +104729 65406.2 lineto +stroke +newpath +104729 65633.3 moveto +104502 65406.2 lineto +stroke +newpath +104502 61018.2 moveto +104729 60791.1 lineto +stroke +newpath +104729 61018.2 moveto +104502 60791.1 lineto +stroke +newpath +104502 55970.5 moveto +104729 55743.3 lineto +stroke +newpath +104729 55970.5 moveto +104502 55743.3 lineto +stroke +newpath +104502 50634.3 moveto +104729 50407.2 lineto +stroke +newpath +104729 50634.3 moveto +104502 50407.2 lineto +stroke +newpath +107819 67796.6 moveto +108046 67569.5 lineto +stroke +newpath +108046 67796.6 moveto +107819 67569.5 lineto +stroke +newpath +107819 63325.7 moveto +108046 63098.6 lineto +stroke +newpath +108046 63325.7 moveto +107819 63098.6 lineto +stroke +newpath +107819 58710.7 moveto +108046 58483.5 lineto +stroke +newpath +108046 58710.7 moveto +107819 58483.5 lineto +stroke +newpath +107819 53374.5 moveto +108046 53147.4 lineto +stroke +newpath +108046 53374.5 moveto +107819 53147.4 lineto +stroke +newpath +107819 48182.5 moveto +108046 47955.4 lineto +stroke +newpath +108046 48182.5 moveto +107819 47955.4 lineto +stroke +16640.8 57443.3 227.12 cir0 +16640.8 55568.5 227.12 cir0 +18083.1 58741.3 227.12 cir0 +18083.1 54270.5 227.12 cir0 +19957.9 65663.9 227.12 cir0 +19957.9 55568.5 227.12 cir0 +39283.6 66096.6 227.12 cir0 +39283.6 55568.5 227.12 cir0 +41158.5 58741.3 227.12 cir0 +42600.7 55568.5 227.12 cir0 +42744.9 57876 227.12 cir0 +55147.9 58164.4 227.12 cir0 +55580.6 55568.5 227.12 cir0 +57022.8 58741.3 227.12 cir0 +57022.8 54270.5 227.12 cir0 +58897.7 66096.6 227.12 cir0 +58897.7 55568.5 227.12 cir0 +78223.3 65663.9 227.12 cir0 +78223.3 55568.5 227.12 cir0 +80098.2 58885.5 227.12 cir0 +80098.2 54270.5 227.12 cir0 +81540.4 57443.3 227.12 cir0 +81540.4 55568.5 227.12 cir0 +98846.9 57443.3 227.12 cir0 +98846.9 55568.5 227.12 cir0 +100289 58741.3 227.12 cir0 +101731 57443.3 227.12 cir0 +101731 55568.5 227.12 cir0 +16640.8 50232.3 227.12 cir0 +19525.3 50232.3 227.12 cir0 +22409.7 50232.3 227.12 cir0 +25294.1 50232.3 227.12 cir0 +28178.5 50232.3 227.12 cir0 +31063 50232.3 227.12 cir0 +33947.4 50232.3 227.12 cir0 +36831.8 50232.3 227.12 cir0 +39716.2 50232.3 227.12 cir0 +42600.7 50232.3 227.12 cir0 +45485.1 50232.3 227.12 cir0 +48369.5 50232.3 227.12 cir0 +51253.9 50232.3 227.12 cir0 +54138.4 50232.3 227.12 cir0 +57022.8 50232.3 227.12 cir0 +59907.2 50232.3 227.12 cir0 +62791.6 50232.3 227.12 cir0 +65676.1 50232.3 227.12 cir0 +68560.5 50232.3 227.12 cir0 +71444.9 50232.3 227.12 cir0 +74329.3 50232.3 227.12 cir0 +77213.8 50232.3 227.12 cir0 +80098.2 50232.3 227.12 cir0 +82982.6 50232.3 227.12 cir0 +85867 50232.3 227.12 cir0 +88751.5 50232.3 227.12 cir0 +91635.9 50232.3 227.12 cir0 +94520.3 50232.3 227.12 cir0 +97404.7 50232.3 227.12 cir0 +100289 50232.3 227.12 cir0 +newpath +100289 54410.1 moveto +100289 53842.3 lineto +stroke +newpath +100005 54126.2 moveto +100573 54126.2 lineto +stroke +118.11 setlinewidth +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +9001.69 45112.3 moveto +9001.69 46293.4 lineto +9282.9 46293.4 lineto +9451.63 46237.2 lineto +9564.12 46124.7 lineto +9620.36 46012.2 lineto +9676.6 45787.2 lineto +9676.6 45618.5 lineto +9620.36 45393.5 lineto +9564.12 45281.1 lineto +9451.63 45168.6 lineto +9282.9 45112.3 lineto +9001.69 45112.3 lineto +stroke +newpath +10182.8 45112.3 moveto +10182.8 45899.7 lineto +stroke +newpath +10182.8 45674.8 moveto +10239 45787.2 lineto +10295.3 45843.5 lineto +10407.8 45899.7 lineto +10520.2 45899.7 lineto +stroke +newpath +10913.9 45112.3 moveto +10913.9 45899.7 lineto +stroke +newpath +10913.9 46293.4 moveto +10857.7 46237.2 lineto +10913.9 46180.9 lineto +10970.2 46237.2 lineto +10913.9 46293.4 lineto +10913.9 46180.9 lineto +stroke +newpath +11645.1 45112.3 moveto +11532.6 45168.6 lineto +11476.4 45281.1 lineto +11476.4 46293.4 lineto +stroke +newpath +12263.8 45112.3 moveto +12151.3 45168.6 lineto +12095.1 45281.1 lineto +12095.1 46293.4 lineto +stroke +newpath +13613.6 45112.3 moveto +13613.6 46293.4 lineto +14007.3 45449.8 lineto +14401 46293.4 lineto +14401 45112.3 lineto +stroke +newpath +15469.6 45112.3 moveto +15469.6 45731 lineto +15413.4 45843.5 lineto +15300.9 45899.7 lineto +15075.9 45899.7 lineto +14963.4 45843.5 lineto +stroke +newpath +15469.6 45168.6 moveto +15357.1 45112.3 lineto +15075.9 45112.3 lineto +14963.4 45168.6 lineto +14907.2 45281.1 lineto +14907.2 45393.5 lineto +14963.4 45506 lineto +15075.9 45562.3 lineto +15357.1 45562.3 lineto +15469.6 45618.5 lineto +stroke +newpath +16032.1 45899.7 moveto +16032.1 44718.6 lineto +stroke +newpath +16032.1 45843.5 moveto +16144.5 45899.7 lineto +16369.5 45899.7 lineto +16482 45843.5 lineto +16538.2 45787.2 lineto +16594.5 45674.8 lineto +16594.5 45337.3 lineto +16538.2 45224.8 lineto +16482 45168.6 lineto +16369.5 45112.3 lineto +16144.5 45112.3 lineto +16032.1 45168.6 lineto +stroke +newpath +17100.7 45224.8 moveto +17156.9 45168.6 lineto +17100.7 45112.3 lineto +17044.4 45168.6 lineto +17100.7 45224.8 lineto +17100.7 45112.3 lineto +stroke +newpath +17100.7 45843.5 moveto +17156.9 45787.2 lineto +17100.7 45731 lineto +17044.4 45787.2 lineto +17100.7 45843.5 lineto +17100.7 45731 lineto +stroke +newpath +7646.9 43279.9 moveto +7874.02 43052.8 lineto +stroke +newpath +7874.02 43279.9 moveto +7646.9 43052.8 lineto +stroke +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +9226.66 43813.1 moveto +9339.14 43813.1 lineto +9451.63 43756.9 lineto +9507.87 43700.6 lineto +9564.12 43588.1 lineto +9620.36 43363.2 lineto +9620.36 43082 lineto +9564.12 42857 lineto +9507.87 42744.5 lineto +9451.63 42688.3 lineto +9339.14 42632 lineto +9226.66 42632 lineto +9114.17 42688.3 lineto +9057.93 42744.5 lineto +9001.69 42857 lineto +8945.44 43082 lineto +8945.44 43363.2 lineto +9001.69 43588.1 lineto +9057.93 43700.6 lineto +9114.17 43756.9 lineto +9226.66 43813.1 lineto +stroke +newpath +10126.5 42744.5 moveto +10182.8 42688.3 lineto +10126.5 42632 lineto +10070.3 42688.3 lineto +10126.5 42744.5 lineto +10126.5 42632 lineto +stroke +newpath +10632.7 43700.6 moveto +10689 43756.9 lineto +10801.5 43813.1 lineto +11082.7 43813.1 lineto +11195.2 43756.9 lineto +11251.4 43700.6 lineto +11307.6 43588.1 lineto +11307.6 43475.7 lineto +11251.4 43306.9 lineto +10576.5 42632 lineto +11307.6 42632 lineto +stroke +newpath +12038.8 43813.1 moveto +12151.3 43813.1 lineto +12263.8 43756.9 lineto +12320 43700.6 lineto +12376.3 43588.1 lineto +12432.5 43363.2 lineto +12432.5 43082 lineto +12376.3 42857 lineto +12320 42744.5 lineto +12263.8 42688.3 lineto +12151.3 42632 lineto +12038.8 42632 lineto +11926.3 42688.3 lineto +11870.1 42744.5 lineto +11813.8 42857 lineto +11757.6 43082 lineto +11757.6 43363.2 lineto +11813.8 43588.1 lineto +11870.1 43700.6 lineto +11926.3 43756.9 lineto +12038.8 43813.1 lineto +stroke +newpath +12938.7 42632 moveto +12938.7 43419.4 lineto +stroke +newpath +12938.7 43306.9 moveto +12994.9 43363.2 lineto +13107.4 43419.4 lineto +13276.2 43419.4 lineto +13388.6 43363.2 lineto +13444.9 43250.7 lineto +13444.9 42632 lineto +stroke +newpath +13444.9 43250.7 moveto +13501.1 43363.2 lineto +13613.6 43419.4 lineto +13782.3 43419.4 lineto +13894.8 43363.2 lineto +13951.1 43250.7 lineto +13951.1 42632 lineto +stroke +newpath +14513.5 42632 moveto +14513.5 43419.4 lineto +stroke +newpath +14513.5 43306.9 moveto +14569.7 43363.2 lineto +14682.2 43419.4 lineto +14851 43419.4 lineto +14963.4 43363.2 lineto +15019.7 43250.7 lineto +15019.7 42632 lineto +stroke +newpath +15019.7 43250.7 moveto +15075.9 43363.2 lineto +15188.4 43419.4 lineto +15357.1 43419.4 lineto +15469.6 43363.2 lineto +15525.9 43250.7 lineto +15525.9 42632 lineto +stroke +newpath +17831.8 43869.4 moveto +16819.5 42350.8 lineto +stroke +newpath +19350.4 43813.1 moveto +19462.9 43813.1 lineto +19575.4 43756.9 lineto +19631.6 43700.6 lineto +19687.9 43588.1 lineto +19744.1 43363.2 lineto +19744.1 43082 lineto +19687.9 42857 lineto +19631.6 42744.5 lineto +19575.4 42688.3 lineto +19462.9 42632 lineto +19350.4 42632 lineto +19237.9 42688.3 lineto +19181.7 42744.5 lineto +19125.4 42857 lineto +19069.2 43082 lineto +19069.2 43363.2 lineto +19125.4 43588.1 lineto +19181.7 43700.6 lineto +19237.9 43756.9 lineto +19350.4 43813.1 lineto +stroke +newpath +20250.3 42744.5 moveto +20306.5 42688.3 lineto +20250.3 42632 lineto +20194 42688.3 lineto +20250.3 42744.5 lineto +20250.3 42632 lineto +stroke +newpath +21037.7 43813.1 moveto +21150.2 43813.1 lineto +21262.7 43756.9 lineto +21318.9 43700.6 lineto +21375.1 43588.1 lineto +21431.4 43363.2 lineto +21431.4 43082 lineto +21375.1 42857 lineto +21318.9 42744.5 lineto +21262.7 42688.3 lineto +21150.2 42632 lineto +21037.7 42632 lineto +20925.2 42688.3 lineto +20869 42744.5 lineto +20812.7 42857 lineto +20756.5 43082 lineto +20756.5 43363.2 lineto +20812.7 43588.1 lineto +20869 43700.6 lineto +20925.2 43756.9 lineto +21037.7 43813.1 lineto +stroke +newpath +22162.5 43813.1 moveto +22275 43813.1 lineto +22387.5 43756.9 lineto +22443.8 43700.6 lineto +22500 43588.1 lineto +22556.2 43363.2 lineto +22556.2 43082 lineto +22500 42857 lineto +22443.8 42744.5 lineto +22387.5 42688.3 lineto +22275 42632 lineto +22162.5 42632 lineto +22050.1 42688.3 lineto +21993.8 42744.5 lineto +21937.6 42857 lineto +21881.3 43082 lineto +21881.3 43363.2 lineto +21937.6 43588.1 lineto +21993.8 43700.6 lineto +22050.1 43756.9 lineto +22162.5 43813.1 lineto +stroke +newpath +23231.2 43306.9 moveto +23118.7 43363.2 lineto +23062.4 43419.4 lineto +23006.2 43531.9 lineto +23006.2 43588.1 lineto +23062.4 43700.6 lineto +23118.7 43756.9 lineto +23231.2 43813.1 lineto +23456.1 43813.1 lineto +23568.6 43756.9 lineto +23624.9 43700.6 lineto +23681.1 43588.1 lineto +23681.1 43531.9 lineto +23624.9 43419.4 lineto +23568.6 43363.2 lineto +23456.1 43306.9 lineto +23231.2 43306.9 lineto +23118.7 43250.7 lineto +23062.4 43194.4 lineto +23006.2 43082 lineto +23006.2 42857 lineto +23062.4 42744.5 lineto +23118.7 42688.3 lineto +23231.2 42632 lineto +23456.1 42632 lineto +23568.6 42688.3 lineto +23624.9 42744.5 lineto +23681.1 42857 lineto +23681.1 43082 lineto +23624.9 43194.4 lineto +23568.6 43250.7 lineto +23456.1 43306.9 lineto +stroke +newpath +24131 43813.1 moveto +24131 43588.1 lineto +stroke +newpath +24581 43813.1 moveto +24581 43588.1 lineto +stroke +newpath +26324.5 42182.1 moveto +26268.3 42238.3 lineto +26155.8 42407 lineto +26099.5 42519.5 lineto +26043.3 42688.3 lineto +25987.1 42969.5 lineto +25987.1 43194.4 lineto +26043.3 43475.7 lineto +26099.5 43644.4 lineto +26155.8 43756.9 lineto +26268.3 43925.6 lineto +26324.5 43981.8 lineto +stroke +newpath +27393.1 42632 moveto +26718.2 42632 lineto +stroke +newpath +27055.7 42632 moveto +27055.7 43813.1 lineto +26943.2 43644.4 lineto +26830.7 43531.9 lineto +26718.2 43475.7 lineto +stroke +newpath +27786.8 43813.1 moveto +28518 43813.1 lineto +28124.3 43363.2 lineto +28293 43363.2 lineto +28405.5 43306.9 lineto +28461.8 43250.7 lineto +28518 43138.2 lineto +28518 42857 lineto +28461.8 42744.5 lineto +28405.5 42688.3 lineto +28293 42632 lineto +27955.6 42632 lineto +27843.1 42688.3 lineto +27786.8 42744.5 lineto +stroke +newpath +29530.4 43813.1 moveto +29305.4 43813.1 lineto +29192.9 43756.9 lineto +29136.7 43700.6 lineto +29024.2 43531.9 lineto +28967.9 43306.9 lineto +28967.9 42857 lineto +29024.2 42744.5 lineto +29080.4 42688.3 lineto +29192.9 42632 lineto +29417.9 42632 lineto +29530.4 42688.3 lineto +29586.6 42744.5 lineto +29642.9 42857 lineto +29642.9 43138.2 lineto +29586.6 43250.7 lineto +29530.4 43306.9 lineto +29417.9 43363.2 lineto +29192.9 43363.2 lineto +29080.4 43306.9 lineto +29024.2 43250.7 lineto +28967.9 43138.2 lineto +stroke +newpath +31048.9 42632 moveto +31048.9 43813.1 lineto +stroke +newpath +31555.1 42632 moveto +31555.1 43250.7 lineto +31498.9 43363.2 lineto +31386.4 43419.4 lineto +31217.7 43419.4 lineto +31105.2 43363.2 lineto +31048.9 43306.9 lineto +stroke +newpath +32286.3 42632 moveto +32173.8 42688.3 lineto +32117.5 42744.5 lineto +32061.3 42857 lineto +32061.3 43194.4 lineto +32117.5 43306.9 lineto +32173.8 43363.2 lineto +32286.3 43419.4 lineto +32455 43419.4 lineto +32567.5 43363.2 lineto +32623.7 43306.9 lineto +32680 43194.4 lineto +32680 42857 lineto +32623.7 42744.5 lineto +32567.5 42688.3 lineto +32455 42632 lineto +32286.3 42632 lineto +stroke +newpath +33354.9 42632 moveto +33242.4 42688.3 lineto +33186.2 42800.7 lineto +33186.2 43813.1 lineto +stroke +newpath +34254.8 42688.3 moveto +34142.3 42632 lineto +33917.3 42632 lineto +33804.8 42688.3 lineto +33748.6 42800.7 lineto +33748.6 43250.7 lineto +33804.8 43363.2 lineto +33917.3 43419.4 lineto +34142.3 43419.4 lineto +34254.8 43363.2 lineto +34311 43250.7 lineto +34311 43138.2 lineto +33748.6 43025.7 lineto +stroke +newpath +34761 42688.3 moveto +34873.5 42632 lineto +35098.4 42632 lineto +35210.9 42688.3 lineto +35267.2 42800.7 lineto +35267.2 42857 lineto +35210.9 42969.5 lineto +35098.4 43025.7 lineto +34929.7 43025.7 lineto +34817.2 43082 lineto +34761 43194.4 lineto +34761 43250.7 lineto +34817.2 43363.2 lineto +34929.7 43419.4 lineto +35098.4 43419.4 lineto +35210.9 43363.2 lineto +stroke +newpath +35660.9 42182.1 moveto +35717.1 42238.3 lineto +35829.6 42407 lineto +35885.8 42519.5 lineto +35942.1 42688.3 lineto +35998.3 42969.5 lineto +35998.3 43194.4 lineto +35942.1 43475.7 lineto +35885.8 43644.4 lineto +35829.6 43756.9 lineto +35717.1 43925.6 lineto +35660.9 43981.8 lineto +stroke +7646.9 41607.3 227.12 cir0 +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +9226.66 42254.1 moveto +9339.14 42254.1 lineto +9451.63 42197.8 lineto +9507.87 42141.6 lineto +9564.12 42029.1 lineto +9620.36 41804.1 lineto +9620.36 41522.9 lineto +9564.12 41297.9 lineto +9507.87 41185.4 lineto +9451.63 41129.2 lineto +9339.14 41073 lineto +9226.66 41073 lineto +9114.17 41129.2 lineto +9057.93 41185.4 lineto +9001.69 41297.9 lineto +8945.44 41522.9 lineto +8945.44 41804.1 lineto +9001.69 42029.1 lineto +9057.93 42141.6 lineto +9114.17 42197.8 lineto +9226.66 42254.1 lineto +stroke +newpath +10126.5 41185.4 moveto +10182.8 41129.2 lineto +10126.5 41073 lineto +10070.3 41129.2 lineto +10126.5 41185.4 lineto +10126.5 41073 lineto +stroke +newpath +11195.2 41860.4 moveto +11195.2 41073 lineto +stroke +newpath +10913.9 42310.3 moveto +10632.7 41466.7 lineto +11363.9 41466.7 lineto +stroke +newpath +12038.8 42254.1 moveto +12151.3 42254.1 lineto +12263.8 42197.8 lineto +12320 42141.6 lineto +12376.3 42029.1 lineto +12432.5 41804.1 lineto +12432.5 41522.9 lineto +12376.3 41297.9 lineto +12320 41185.4 lineto +12263.8 41129.2 lineto +12151.3 41073 lineto +12038.8 41073 lineto +11926.3 41129.2 lineto +11870.1 41185.4 lineto +11813.8 41297.9 lineto +11757.6 41522.9 lineto +11757.6 41804.1 lineto +11813.8 42029.1 lineto +11870.1 42141.6 lineto +11926.3 42197.8 lineto +12038.8 42254.1 lineto +stroke +newpath +12938.7 41073 moveto +12938.7 41860.4 lineto +stroke +newpath +12938.7 41747.9 moveto +12994.9 41804.1 lineto +13107.4 41860.4 lineto +13276.2 41860.4 lineto +13388.6 41804.1 lineto +13444.9 41691.6 lineto +13444.9 41073 lineto +stroke +newpath +13444.9 41691.6 moveto +13501.1 41804.1 lineto +13613.6 41860.4 lineto +13782.3 41860.4 lineto +13894.8 41804.1 lineto +13951.1 41691.6 lineto +13951.1 41073 lineto +stroke +newpath +14513.5 41073 moveto +14513.5 41860.4 lineto +stroke +newpath +14513.5 41747.9 moveto +14569.7 41804.1 lineto +14682.2 41860.4 lineto +14851 41860.4 lineto +14963.4 41804.1 lineto +15019.7 41691.6 lineto +15019.7 41073 lineto +stroke +newpath +15019.7 41691.6 moveto +15075.9 41804.1 lineto +15188.4 41860.4 lineto +15357.1 41860.4 lineto +15469.6 41804.1 lineto +15525.9 41691.6 lineto +15525.9 41073 lineto +stroke +newpath +17831.8 42310.3 moveto +16819.5 40791.7 lineto +stroke +newpath +19350.4 42254.1 moveto +19462.9 42254.1 lineto +19575.4 42197.8 lineto +19631.6 42141.6 lineto +19687.9 42029.1 lineto +19744.1 41804.1 lineto +19744.1 41522.9 lineto +19687.9 41297.9 lineto +19631.6 41185.4 lineto +19575.4 41129.2 lineto +19462.9 41073 lineto +19350.4 41073 lineto +19237.9 41129.2 lineto +19181.7 41185.4 lineto +19125.4 41297.9 lineto +19069.2 41522.9 lineto +19069.2 41804.1 lineto +19125.4 42029.1 lineto +19181.7 42141.6 lineto +19237.9 42197.8 lineto +19350.4 42254.1 lineto +stroke +newpath +20250.3 41185.4 moveto +20306.5 41129.2 lineto +20250.3 41073 lineto +20194 41129.2 lineto +20250.3 41185.4 lineto +20250.3 41073 lineto +stroke +newpath +21037.7 42254.1 moveto +21150.2 42254.1 lineto +21262.7 42197.8 lineto +21318.9 42141.6 lineto +21375.1 42029.1 lineto +21431.4 41804.1 lineto +21431.4 41522.9 lineto +21375.1 41297.9 lineto +21318.9 41185.4 lineto +21262.7 41129.2 lineto +21150.2 41073 lineto +21037.7 41073 lineto +20925.2 41129.2 lineto +20869 41185.4 lineto +20812.7 41297.9 lineto +20756.5 41522.9 lineto +20756.5 41804.1 lineto +20812.7 42029.1 lineto +20869 42141.6 lineto +20925.2 42197.8 lineto +21037.7 42254.1 lineto +stroke +newpath +22556.2 41073 moveto +21881.3 41073 lineto +stroke +newpath +22218.8 41073 moveto +22218.8 42254.1 lineto +22106.3 42085.3 lineto +21993.8 41972.8 lineto +21881.3 41916.6 lineto +stroke +newpath +23568.6 42254.1 moveto +23343.6 42254.1 lineto +23231.2 42197.8 lineto +23174.9 42141.6 lineto +23062.4 41972.8 lineto +23006.2 41747.9 lineto +23006.2 41297.9 lineto +23062.4 41185.4 lineto +23118.7 41129.2 lineto +23231.2 41073 lineto +23456.1 41073 lineto +23568.6 41129.2 lineto +23624.9 41185.4 lineto +23681.1 41297.9 lineto +23681.1 41579.1 lineto +23624.9 41691.6 lineto +23568.6 41747.9 lineto +23456.1 41804.1 lineto +23231.2 41804.1 lineto +23118.7 41747.9 lineto +23062.4 41691.6 lineto +23006.2 41579.1 lineto +stroke +newpath +24131 42254.1 moveto +24131 42029.1 lineto +stroke +newpath +24581 42254.1 moveto +24581 42029.1 lineto +stroke +newpath +26324.5 40623 moveto +26268.3 40679.3 lineto +26155.8 40848 lineto +26099.5 40960.5 lineto +26043.3 41129.2 lineto +25987.1 41410.4 lineto +25987.1 41635.4 lineto +26043.3 41916.6 lineto +26099.5 42085.3 lineto +26155.8 42197.8 lineto +26268.3 42366.5 lineto +26324.5 42422.8 lineto +stroke +newpath +27336.9 42254.1 moveto +26774.5 42254.1 lineto +26718.2 41691.6 lineto +26774.5 41747.9 lineto +26887 41804.1 lineto +27168.2 41804.1 lineto +27280.7 41747.9 lineto +27336.9 41691.6 lineto +27393.1 41579.1 lineto +27393.1 41297.9 lineto +27336.9 41185.4 lineto +27280.7 41129.2 lineto +27168.2 41073 lineto +26887 41073 lineto +26774.5 41129.2 lineto +26718.2 41185.4 lineto +stroke +newpath +28068.1 41747.9 moveto +27955.6 41804.1 lineto +27899.3 41860.4 lineto +27843.1 41972.8 lineto +27843.1 42029.1 lineto +27899.3 42141.6 lineto +27955.6 42197.8 lineto +28068.1 42254.1 lineto +28293 42254.1 lineto +28405.5 42197.8 lineto +28461.8 42141.6 lineto +28518 42029.1 lineto +28518 41972.8 lineto +28461.8 41860.4 lineto +28405.5 41804.1 lineto +28293 41747.9 lineto +28068.1 41747.9 lineto +27955.6 41691.6 lineto +27899.3 41635.4 lineto +27843.1 41522.9 lineto +27843.1 41297.9 lineto +27899.3 41185.4 lineto +27955.6 41129.2 lineto +28068.1 41073 lineto +28293 41073 lineto +28405.5 41129.2 lineto +28461.8 41185.4 lineto +28518 41297.9 lineto +28518 41522.9 lineto +28461.8 41635.4 lineto +28405.5 41691.6 lineto +28293 41747.9 lineto +stroke +newpath +29924.1 41073 moveto +29924.1 42254.1 lineto +stroke +newpath +30430.3 41073 moveto +30430.3 41691.6 lineto +30374 41804.1 lineto +30261.5 41860.4 lineto +30092.8 41860.4 lineto +29980.3 41804.1 lineto +29924.1 41747.9 lineto +stroke +newpath +31161.4 41073 moveto +31048.9 41129.2 lineto +30992.7 41185.4 lineto +30936.4 41297.9 lineto +30936.4 41635.4 lineto +30992.7 41747.9 lineto +31048.9 41804.1 lineto +31161.4 41860.4 lineto +31330.1 41860.4 lineto +31442.6 41804.1 lineto +31498.9 41747.9 lineto +31555.1 41635.4 lineto +31555.1 41297.9 lineto +31498.9 41185.4 lineto +31442.6 41129.2 lineto +31330.1 41073 lineto +31161.4 41073 lineto +stroke +newpath +32230 41073 moveto +32117.5 41129.2 lineto +32061.3 41241.7 lineto +32061.3 42254.1 lineto +stroke +newpath +33129.9 41129.2 moveto +33017.4 41073 lineto +32792.5 41073 lineto +32680 41129.2 lineto +32623.7 41241.7 lineto +32623.7 41691.6 lineto +32680 41804.1 lineto +32792.5 41860.4 lineto +33017.4 41860.4 lineto +33129.9 41804.1 lineto +33186.2 41691.6 lineto +33186.2 41579.1 lineto +32623.7 41466.7 lineto +stroke +newpath +33636.1 41129.2 moveto +33748.6 41073 lineto +33973.6 41073 lineto +34086.1 41129.2 lineto +34142.3 41241.7 lineto +34142.3 41297.9 lineto +34086.1 41410.4 lineto +33973.6 41466.7 lineto +33804.8 41466.7 lineto +33692.4 41522.9 lineto +33636.1 41635.4 lineto +33636.1 41691.6 lineto +33692.4 41804.1 lineto +33804.8 41860.4 lineto +33973.6 41860.4 lineto +34086.1 41804.1 lineto +stroke +newpath +34536 40623 moveto +34592.2 40679.3 lineto +34704.7 40848 lineto +34761 40960.5 lineto +34817.2 41129.2 lineto +34873.5 41410.4 lineto +34873.5 41635.4 lineto +34817.2 41916.6 lineto +34761 42085.3 lineto +34704.7 42197.8 lineto +34592.2 42366.5 lineto +34536 42422.8 lineto +stroke +newpath +7590.12 40332.1 moveto +7590.12 39764.3 lineto +stroke +newpath +7306.22 40048.2 moveto +7874.02 40048.2 lineto +stroke +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +9226.66 40695 moveto +9339.14 40695 lineto +9451.63 40638.8 lineto +9507.87 40582.5 lineto +9564.12 40470 lineto +9620.36 40245.1 lineto +9620.36 39963.8 lineto +9564.12 39738.9 lineto +9507.87 39626.4 lineto +9451.63 39570.1 lineto +9339.14 39513.9 lineto +9226.66 39513.9 lineto +9114.17 39570.1 lineto +9057.93 39626.4 lineto +9001.69 39738.9 lineto +8945.44 39963.8 lineto +8945.44 40245.1 lineto +9001.69 40470 lineto +9057.93 40582.5 lineto +9114.17 40638.8 lineto +9226.66 40695 lineto +stroke +newpath +10126.5 39626.4 moveto +10182.8 39570.1 lineto +10126.5 39513.9 lineto +10070.3 39570.1 lineto +10126.5 39626.4 lineto +10126.5 39513.9 lineto +stroke +newpath +11251.4 40695 moveto +10689 40695 lineto +10632.7 40132.6 lineto +10689 40188.8 lineto +10801.5 40245.1 lineto +11082.7 40245.1 lineto +11195.2 40188.8 lineto +11251.4 40132.6 lineto +11307.6 40020.1 lineto +11307.6 39738.9 lineto +11251.4 39626.4 lineto +11195.2 39570.1 lineto +11082.7 39513.9 lineto +10801.5 39513.9 lineto +10689 39570.1 lineto +10632.7 39626.4 lineto +stroke +newpath +12038.8 40695 moveto +12151.3 40695 lineto +12263.8 40638.8 lineto +12320 40582.5 lineto +12376.3 40470 lineto +12432.5 40245.1 lineto +12432.5 39963.8 lineto +12376.3 39738.9 lineto +12320 39626.4 lineto +12263.8 39570.1 lineto +12151.3 39513.9 lineto +12038.8 39513.9 lineto +11926.3 39570.1 lineto +11870.1 39626.4 lineto +11813.8 39738.9 lineto +11757.6 39963.8 lineto +11757.6 40245.1 lineto +11813.8 40470 lineto +11870.1 40582.5 lineto +11926.3 40638.8 lineto +12038.8 40695 lineto +stroke +newpath +12938.7 39513.9 moveto +12938.7 40301.3 lineto +stroke +newpath +12938.7 40188.8 moveto +12994.9 40245.1 lineto +13107.4 40301.3 lineto +13276.2 40301.3 lineto +13388.6 40245.1 lineto +13444.9 40132.6 lineto +13444.9 39513.9 lineto +stroke +newpath +13444.9 40132.6 moveto +13501.1 40245.1 lineto +13613.6 40301.3 lineto +13782.3 40301.3 lineto +13894.8 40245.1 lineto +13951.1 40132.6 lineto +13951.1 39513.9 lineto +stroke +newpath +14513.5 39513.9 moveto +14513.5 40301.3 lineto +stroke +newpath +14513.5 40188.8 moveto +14569.7 40245.1 lineto +14682.2 40301.3 lineto +14851 40301.3 lineto +14963.4 40245.1 lineto +15019.7 40132.6 lineto +15019.7 39513.9 lineto +stroke +newpath +15019.7 40132.6 moveto +15075.9 40245.1 lineto +15188.4 40301.3 lineto +15357.1 40301.3 lineto +15469.6 40245.1 lineto +15525.9 40132.6 lineto +15525.9 39513.9 lineto +stroke +newpath +17831.8 40751.2 moveto +16819.5 39232.7 lineto +stroke +newpath +19350.4 40695 moveto +19462.9 40695 lineto +19575.4 40638.8 lineto +19631.6 40582.5 lineto +19687.9 40470 lineto +19744.1 40245.1 lineto +19744.1 39963.8 lineto +19687.9 39738.9 lineto +19631.6 39626.4 lineto +19575.4 39570.1 lineto +19462.9 39513.9 lineto +19350.4 39513.9 lineto +19237.9 39570.1 lineto +19181.7 39626.4 lineto +19125.4 39738.9 lineto +19069.2 39963.8 lineto +19069.2 40245.1 lineto +19125.4 40470 lineto +19181.7 40582.5 lineto +19237.9 40638.8 lineto +19350.4 40695 lineto +stroke +newpath +20250.3 39626.4 moveto +20306.5 39570.1 lineto +20250.3 39513.9 lineto +20194 39570.1 lineto +20250.3 39626.4 lineto +20250.3 39513.9 lineto +stroke +newpath +21037.7 40695 moveto +21150.2 40695 lineto +21262.7 40638.8 lineto +21318.9 40582.5 lineto +21375.1 40470 lineto +21431.4 40245.1 lineto +21431.4 39963.8 lineto +21375.1 39738.9 lineto +21318.9 39626.4 lineto +21262.7 39570.1 lineto +21150.2 39513.9 lineto +21037.7 39513.9 lineto +20925.2 39570.1 lineto +20869 39626.4 lineto +20812.7 39738.9 lineto +20756.5 39963.8 lineto +20756.5 40245.1 lineto +20812.7 40470 lineto +20869 40582.5 lineto +20925.2 40638.8 lineto +21037.7 40695 lineto +stroke +newpath +21881.3 40582.5 moveto +21937.6 40638.8 lineto +22050.1 40695 lineto +22331.3 40695 lineto +22443.8 40638.8 lineto +22500 40582.5 lineto +22556.2 40470 lineto +22556.2 40357.5 lineto +22500 40188.8 lineto +21825.1 39513.9 lineto +22556.2 39513.9 lineto +stroke +newpath +23287.4 40695 moveto +23399.9 40695 lineto +23512.4 40638.8 lineto +23568.6 40582.5 lineto +23624.9 40470 lineto +23681.1 40245.1 lineto +23681.1 39963.8 lineto +23624.9 39738.9 lineto +23568.6 39626.4 lineto +23512.4 39570.1 lineto +23399.9 39513.9 lineto +23287.4 39513.9 lineto +23174.9 39570.1 lineto +23118.7 39626.4 lineto +23062.4 39738.9 lineto +23006.2 39963.8 lineto +23006.2 40245.1 lineto +23062.4 40470 lineto +23118.7 40582.5 lineto +23174.9 40638.8 lineto +23287.4 40695 lineto +stroke +newpath +24131 40695 moveto +24131 40470 lineto +stroke +newpath +24581 40695 moveto +24581 40470 lineto +stroke +newpath +26324.5 39064 moveto +26268.3 39120.2 lineto +26155.8 39288.9 lineto +26099.5 39401.4 lineto +26043.3 39570.1 lineto +25987.1 39851.4 lineto +25987.1 40076.3 lineto +26043.3 40357.5 lineto +26099.5 40526.3 lineto +26155.8 40638.8 lineto +26268.3 40807.5 lineto +26324.5 40863.7 lineto +stroke +newpath +27393.1 39513.9 moveto +26718.2 39513.9 lineto +stroke +newpath +27055.7 39513.9 moveto +27055.7 40695 lineto +26943.2 40526.3 lineto +26830.7 40413.8 lineto +26718.2 40357.5 lineto +stroke +newpath +28799.2 39513.9 moveto +28799.2 40695 lineto +stroke +newpath +29305.4 39513.9 moveto +29305.4 40132.6 lineto +29249.2 40245.1 lineto +29136.7 40301.3 lineto +28967.9 40301.3 lineto +28855.5 40245.1 lineto +28799.2 40188.8 lineto +stroke +newpath +30036.6 39513.9 moveto +29924.1 39570.1 lineto +29867.8 39626.4 lineto +29811.6 39738.9 lineto +29811.6 40076.3 lineto +29867.8 40188.8 lineto +29924.1 40245.1 lineto +30036.6 40301.3 lineto +30205.3 40301.3 lineto +30317.8 40245.1 lineto +30374 40188.8 lineto +30430.3 40076.3 lineto +30430.3 39738.9 lineto +30374 39626.4 lineto +30317.8 39570.1 lineto +30205.3 39513.9 lineto +30036.6 39513.9 lineto +stroke +newpath +31105.2 39513.9 moveto +30992.7 39570.1 lineto +30936.4 39682.6 lineto +30936.4 40695 lineto +stroke +newpath +32005.1 39570.1 moveto +31892.6 39513.9 lineto +31667.6 39513.9 lineto +31555.1 39570.1 lineto +31498.9 39682.6 lineto +31498.9 40132.6 lineto +31555.1 40245.1 lineto +31667.6 40301.3 lineto +31892.6 40301.3 lineto +32005.1 40245.1 lineto +32061.3 40132.6 lineto +32061.3 40020.1 lineto +31498.9 39907.6 lineto +stroke +newpath +32455 39064 moveto +32511.2 39120.2 lineto +32623.7 39288.9 lineto +32680 39401.4 lineto +32736.2 39570.1 lineto +32792.5 39851.4 lineto +32792.5 40076.3 lineto +32736.2 40357.5 lineto +32680 40526.3 lineto +32623.7 40638.8 lineto +32511.2 40807.5 lineto +32455 40863.7 lineto +stroke +showpage +grestore +%%EOF diff --git a/gerber/simm-30-4mb-top-pos.csv b/gerber/simm-30-4mb-top-pos.csv new file mode 100644 index 0000000..3472c52 --- /dev/null +++ b/gerber/simm-30-4mb-top-pos.csv @@ -0,0 +1,8 @@ +Ref,Val,Package,PosX,PosY,Rot,Side +"C1","100n","C_0805",85.090000,-93.257000,90.000000,top +"C2","100n","C_0805",105.410000,-93.257000,90.000000,top +"C3","100n","C_0805",119.380000,-93.257000,90.000000,top +"C4","100n","C_0805",139.700000,-93.257000,90.000000,top +"C5","100n","C_0805",157.480000,-93.257000,90.000000,top +"U1","AS4C4M4","SOP-24-26-300mil",129.540000,-89.662000,90.000000,top +"U2","AS4C4M4","SOP-24-26-300mil",95.250000,-89.662000,90.000000,top diff --git a/gerber/simm-30-4mb.drl b/gerber/simm-30-4mb.drl new file mode 100644 index 0000000..a1136c4 --- /dev/null +++ b/gerber/simm-30-4mb.drl @@ -0,0 +1,213 @@ +M48 +; DRILL file {KiCad (5.1.2-1)-1} date Monday, July 29, 2019 at 05:11:24 PM +; FORMAT={-:-/ absolute / inch / decimal} +; #@! TF.CreationDate,2019-07-29T17:11:24-04:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.2-1)-1 +FMAT,2 +INCH +T1C0.0079 +T2C0.0157 +T3C0.0197 +% +G90 +G05 +T1 +X3.035Y-3.285 +X3.035Y-3.44 +X3.035Y-3.6 +X3.035Y-3.73 +X3.11Y-3.73 +X3.115Y-3.965 +X3.145Y-3.36 +X3.145Y-3.515 +X3.185Y-3.85 +X3.26Y-3.285 +X3.26Y-3.44 +X3.265Y-3.75 +X3.45Y-3.545 +X3.47Y-3.79 +X3.495Y-3.285 +X3.5Y-3.545 +X3.52Y-3.82 +X3.55Y-3.545 +X3.6Y-3.48 +X3.62Y-3.53 +X3.65Y-3.48 +X3.65Y-3.8 +X3.655Y-3.285 +X3.7Y-3.48 +X3.75Y-3.53 +X3.8Y-3.48 +X3.8Y-3.58 +X3.83Y-3.285 +X3.835Y-3.855 +X3.85Y-3.48 +X3.85Y-3.58 +X3.9Y-3.48 +X3.9Y-3.58 +X3.935Y-3.855 +X3.95Y-3.48 +X3.95Y-3.58 +X4.0Y-3.48 +X4.0Y-3.58 +X4.005Y-3.285 +X4.045Y-3.855 +X4.095Y-3.52 +X4.105Y-3.755 +X4.15Y-3.74 +X4.165Y-3.285 +X4.255Y-3.335 +X4.285Y-3.755 +X4.335Y-3.285 +X4.335Y-3.855 +X4.345Y-3.755 +X4.355Y-3.675 +X4.38Y-3.84 +X4.385Y-3.54 +X4.39Y-3.645 +X4.3925Y-3.71 +X4.425Y-3.335 +X4.425Y-3.52 +X4.425Y-3.68 +X4.43Y-3.64 +X4.44Y-3.755 +X4.465Y-3.62 +X4.495Y-3.66 +X4.505Y-3.285 +X4.515Y-3.77 +X4.525Y-3.62 +X4.535Y-3.6725 +X4.575Y-3.66 +X4.595Y-3.335 +X4.635Y-3.855 +X4.675Y-3.285 +X4.68Y-3.84 +X4.71Y-3.52 +X4.77Y-3.55 +X4.78Y-3.84 +X4.82Y-3.84 +X4.845Y-3.285 +X4.95Y-3.505 +X4.95Y-3.58 +X4.98Y-3.53 +X5.0Y-3.58 +X5.005Y-3.285 +X5.05Y-3.48 +X5.05Y-3.87 +X5.1Y-3.53 +X5.15Y-3.48 +X5.15Y-3.52 +X5.15Y-3.58 +X5.18Y-3.285 +X5.185Y-3.845 +X5.2Y-3.48 +X5.2Y-3.52 +X5.2Y-3.58 +X5.25Y-3.48 +X5.25Y-3.52 +X5.25Y-3.58 +X5.285Y-3.845 +X5.3Y-3.48 +X5.3Y-3.52 +X5.3Y-3.58 +X5.35Y-3.48 +X5.35Y-3.52 +X5.35Y-3.58 +X5.355Y-3.285 +X5.475Y-3.835 +X5.51Y-3.44 +X5.515Y-3.285 +X5.615Y-3.835 +X5.63Y-3.36 +X5.63Y-3.52 +X5.63Y-3.695 +X5.635Y-3.795 +X5.675Y-3.835 +X5.75Y-3.285 +X5.75Y-3.44 +X5.75Y-3.6 +X5.75Y-3.775 +X5.815Y-3.835 +X5.87Y-3.36 +X5.87Y-3.52 +X5.87Y-3.695 +X5.99Y-3.285 +X5.99Y-3.44 +X5.99Y-3.6 +X5.99Y-3.775 +X6.11Y-3.36 +X6.23Y-3.285 +X6.23Y-3.44 +X6.245Y-3.785 +X6.35Y-3.36 +X6.35Y-3.52 +X6.35Y-3.695 +X6.35Y-3.88 +X6.465Y-3.285 +X6.465Y-3.44 +X6.465Y-3.6 +X6.465Y-3.785 +X6.465Y-3.965 +T2 +X3.3Y-3.64 +X3.3Y-3.705 +X3.35Y-3.595 +X3.35Y-3.75 +X3.415Y-3.355 +X3.415Y-3.705 +X4.085Y-3.34 +X4.085Y-3.705 +X4.15Y-3.595 +X4.2Y-3.705 +X4.205Y-3.625 +X4.635Y-3.615 +X4.65Y-3.705 +X4.7Y-3.595 +X4.7Y-3.75 +X4.765Y-3.34 +X4.765Y-3.705 +X5.435Y-3.355 +X5.435Y-3.705 +X5.5Y-3.59 +X5.5Y-3.75 +X5.55Y-3.64 +X5.55Y-3.705 +X6.15Y-3.64 +X6.15Y-3.705 +X6.2Y-3.595 +X6.25Y-3.64 +X6.25Y-3.705 +X3.3Y-3.89 +X3.4Y-3.89 +X3.5Y-3.89 +X3.6Y-3.89 +X3.7Y-3.89 +X3.8Y-3.89 +X3.9Y-3.89 +X4.0Y-3.89 +X4.1Y-3.89 +X4.2Y-3.89 +X4.3Y-3.89 +X4.4Y-3.89 +X4.5Y-3.89 +X4.6Y-3.89 +X4.7Y-3.89 +X4.8Y-3.89 +X4.9Y-3.89 +X5.0Y-3.89 +X5.1Y-3.89 +X5.2Y-3.89 +X5.3Y-3.89 +X5.4Y-3.89 +X5.5Y-3.89 +X5.6Y-3.89 +X5.7Y-3.89 +X5.8Y-3.89 +X5.9Y-3.89 +X6.0Y-3.89 +X6.1Y-3.89 +X6.2Y-3.89 +T3 +X6.2Y-3.755 +T0 +M30 diff --git a/simm-30-4mb-cache.lib b/simm-30-4mb-cache.lib index f11e701..0b92f75 100644 --- a/simm-30-4mb-cache.lib +++ b/simm-30-4mb-cache.lib @@ -76,73 +76,6 @@ X Pin_9 9 -200 600 150 R 50 50 1 1 P ENDDRAW ENDDEF # -# Connector_Generic_Conn_02x13_Counter_Clockwise -# -DEF Connector_Generic_Conn_02x13_Counter_Clockwise J 0 40 Y N 1 F N -F0 "J" 50 700 50 H V C CNN -F1 "Connector_Generic_Conn_02x13_Counter_Clockwise" 50 -700 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -50 -595 0 -605 1 1 6 N -S -50 -495 0 -505 1 1 6 N -S -50 -395 0 -405 1 1 6 N -S -50 -295 0 -305 1 1 6 N -S -50 -195 0 -205 1 1 6 N -S -50 -95 0 -105 1 1 6 N -S -50 5 0 -5 1 1 6 N -S -50 105 0 95 1 1 6 N -S -50 205 0 195 1 1 6 N -S -50 305 0 295 1 1 6 N -S -50 405 0 395 1 1 6 N -S -50 505 0 495 1 1 6 N -S -50 605 0 595 1 1 6 N -S -50 650 150 -650 1 1 10 f -S 150 -595 100 -605 1 1 6 N -S 150 -495 100 -505 1 1 6 N -S 150 -395 100 -405 1 1 6 N -S 150 -295 100 -305 1 1 6 N -S 150 -195 100 -205 1 1 6 N -S 150 -95 100 -105 1 1 6 N -S 150 5 100 -5 1 1 6 N -S 150 105 100 95 1 1 6 N -S 150 205 100 195 1 1 6 N -S 150 305 100 295 1 1 6 N -S 150 405 100 395 1 1 6 N -S 150 505 100 495 1 1 6 N -S 150 605 100 595 1 1 6 N -X Pin_1 1 -200 600 150 R 50 50 1 1 P -X Pin_10 10 -200 -300 150 R 50 50 1 1 P -X Pin_11 11 -200 -400 150 R 50 50 1 1 P -X Pin_12 12 -200 -500 150 R 50 50 1 1 P -X Pin_13 13 -200 -600 150 R 50 50 1 1 P -X Pin_14 14 300 -600 150 L 50 50 1 1 P -X Pin_15 15 300 -500 150 L 50 50 1 1 P -X Pin_16 16 300 -400 150 L 50 50 1 1 P -X Pin_17 17 300 -300 150 L 50 50 1 1 P -X Pin_18 18 300 -200 150 L 50 50 1 1 P -X Pin_19 19 300 -100 150 L 50 50 1 1 P -X Pin_2 2 -200 500 150 R 50 50 1 1 P -X Pin_20 20 300 0 150 L 50 50 1 1 P -X Pin_21 21 300 100 150 L 50 50 1 1 P -X Pin_22 22 300 200 150 L 50 50 1 1 P -X Pin_23 23 300 300 150 L 50 50 1 1 P -X Pin_24 24 300 400 150 L 50 50 1 1 P -X Pin_25 25 300 500 150 L 50 50 1 1 P -X Pin_26 26 300 600 150 L 50 50 1 1 P -X Pin_3 3 -200 400 150 R 50 50 1 1 P -X Pin_4 4 -200 300 150 R 50 50 1 1 P -X Pin_5 5 -200 200 150 R 50 50 1 1 P -X Pin_6 6 -200 100 150 R 50 50 1 1 P -X Pin_7 7 -200 0 150 R 50 50 1 1 P -X Pin_8 8 -200 -100 150 R 50 50 1 1 P -X Pin_9 9 -200 -200 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# # Device_C_Small # DEF Device_C_Small C 0 10 N N 1 F N @@ -189,4 +122,44 @@ X GND 1 0 0 0 D 50 50 1 1 W N ENDDRAW ENDDEF # +# stdparts_AS4C4M4 +# +DEF stdparts_AS4C4M4 U 0 20 Y Y 1 F N +F0 "U" 0 750 50 H V C CNN +F1 "stdparts_AS4C4M4" 0 0 50 V V C CNN +F2 "stdpads:SOP-24-26-300mil" 0 -700 50 H I C CNN +F3 "" 0 -500 50 H I C CNN +$FPLIST + SOJ*10.16x23.49mm*P1.27mm* +$ENDFPLIST +DRAW +S -300 700 300 -650 0 1 0 f +X VDD 1 400 600 100 L 50 50 1 1 W +X A1 10 -400 500 100 R 50 50 1 1 I +X A2 11 -400 400 100 R 50 50 1 1 I +X A3 12 -400 300 100 R 50 50 1 1 I +X VDD 13 400 600 100 L 50 50 1 1 W N +X GND 14 400 -550 100 L 50 50 1 1 W N +X A4 15 -400 200 100 R 50 50 1 1 I +X A5 16 -400 100 100 R 50 50 1 1 I +X A6 17 -400 0 100 R 50 50 1 1 I +X A7 18 -400 -100 100 R 50 50 1 1 I +X A8 19 -400 -200 100 R 50 50 1 1 I +X I/O0 2 400 400 100 L 50 50 1 1 B +X NC 20 -400 -550 100 R 50 50 1 1 N N +X A9 21 -400 -300 100 R 50 50 1 1 I +X ~OE~ 22 400 -350 100 L 50 50 1 1 I +X ~CAS~ 23 400 -50 100 L 50 50 1 1 I +X I/O2 24 400 200 100 L 50 50 1 1 B +X I/O3 25 400 100 100 L 50 50 1 1 B +X GND 26 400 -550 100 L 50 50 1 1 W +X I/O1 3 400 300 100 L 50 50 1 1 B +X ~WE~ 4 400 -250 100 L 50 50 1 1 I +X ~RAS~ 5 400 -150 100 L 50 50 1 1 I +X NC 7 -400 -550 100 R 50 50 1 1 N N +X A10 8 -400 -400 100 R 50 50 1 1 I +X A0 9 -400 600 100 R 50 50 1 1 I +ENDDRAW +ENDDEF +# #End Library diff --git a/simm-30-4mb.kicad_pcb b/simm-30-4mb.kicad_pcb index 98eb514..e4aef47 100644 --- a/simm-30-4mb.kicad_pcb +++ b/simm-30-4mb.kicad_pcb @@ -2,19 +2,19 @@ (general (thickness 1.6) - (drawings 4) - (tracks 418) + (drawings 14) + (tracks 499) (zones 0) (modules 8) - (nets 31) + (nets 29) ) (page A4) (layers (0 F.Cu signal) (1 In1.Cu power) - (2 In2.Cu power) - (31 B.Cu signal) + (2 In2.Cu signal) + (31 B.Cu power) (32 B.Adhes user) (33 F.Adhes user) (34 B.Paste user) @@ -36,7 +36,7 @@ ) (setup - (last_trace_width 0.508) + (last_trace_width 0.1524) (user_trace_width 0.1524) (user_trace_width 0.254) (user_trace_width 0.508) @@ -68,13 +68,13 @@ (pad_size 1.524 1.524) (pad_drill 0.762) (pad_to_mask_clearance 0.0762) - (solder_mask_min_width 0.1524) + (solder_mask_min_width 0.127) (pad_to_paste_clearance -0.0381) (aux_axis_origin 0 0) (visible_elements FFFFFF7F) (pcbplotparams - (layerselection 0x010fc_ffffffff) - (usegerberextensions false) + (layerselection 0x010f8_ffffffff) + (usegerberextensions true) (usegerberattributes false) (usegerberadvancedattributes false) (creategerberjobfile false) @@ -93,12 +93,12 @@ (plotvalue true) (plotinvisibletext false) (padsonsilk false) - (subtractmaskfromsilk false) + (subtractmaskfromsilk true) (outputformat 1) (mirror false) - (drillshape 1) + (drillshape 0) (scaleselection 1) - (outputdirectory "")) + (outputdirectory "gerber/")) ) (net 0 "") @@ -119,19 +119,17 @@ (net 15 /QP) (net 16 /~CASP~) (net 17 /DP) - (net 18 "Net-(U1-Pad6)") - (net 19 "Net-(U2-Pad6)") - (net 20 /1A0) - (net 21 /1A1) - (net 22 /1A2) - (net 23 /1A3) - (net 24 /1A4) - (net 25 /1A5) - (net 26 /1A6) - (net 27 /1A7) - (net 28 /1A8) - (net 29 /1A10) - (net 30 /1A9) + (net 18 /1A0) + (net 19 /1A1) + (net 20 /1A2) + (net 21 /1A3) + (net 22 /1A4) + (net 23 /1A5) + (net 24 /1A6) + (net 25 /1A7) + (net 26 /1A8) + (net 27 /1A10) + (net 28 /1A9) (net_class Default "This is the default net class." (clearance 0.1524) @@ -168,1011 +166,5416 @@ (add_net /~RAS~) (add_net /~WE~) (add_net GND) - (add_net "Net-(U1-Pad6)") - (add_net "Net-(U2-Pad6)") ) - (module stdpads:Memory_SIMM_30 (layer F.Cu) (tedit 5D12D9C5) (tstamp 5C2E4C79) - (at 139.7 83.82) + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5D3F6A32) + (at 85.09 93.257 90) + (tags capacitor) + (path /5C2E290A) + (attr smd) + (fp_text reference C1 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 100n (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:SIMM-30_Edge (layer F.Cu) (tedit 5D12DA20) (tstamp 5D2DD19E) + (at 120.65 99.822) (path /5C2E1E12) - (fp_text reference J2 (at 35.56 -2.54) (layer F.SilkS) hide + (attr virtual) + (fp_text reference J1 (at 35.56 -2.54) (layer F.SilkS) hide (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value Conn_01x30 (at 0 -2.54) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -38.862 1.778) (end -38.862 -1.778) (layer B.Fab) (width 0.127)) - (fp_line (start 38.862 1.778) (end -38.862 1.778) (layer B.Fab) (width 0.127)) - (fp_line (start 38.862 -1.778) (end 38.862 1.778) (layer F.Fab) (width 0.127)) - (fp_line (start 38.862 1.778) (end -38.862 1.778) (layer F.Fab) (width 0.127)) - (fp_line (start -38.862 1.778) (end -38.862 -1.778) (layer F.Fab) (width 0.127)) (fp_line (start 38.862 -1.778) (end 38.862 1.778) (layer B.Fab) (width 0.127)) - (pad 1 smd roundrect (at -36.83 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 +5V)) - (pad 1 smd roundrect (at -36.83 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 +5V)) - (pad 2 smd roundrect (at -34.29 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 6 /~CAS~)) - (pad 3 smd roundrect (at -31.75 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 2 /D0)) - (pad 4 smd roundrect (at -29.21 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 24 /1A4)) - (pad 5 smd roundrect (at -26.67 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 23 /1A3)) - (pad 6 smd roundrect (at -24.13 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 3 /D1)) - (pad 7 smd roundrect (at -21.59 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 25 /1A5)) - (pad 8 smd roundrect (at -19.05 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 22 /1A2)) - (pad 9 smd roundrect (at -16.51 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (pad 10 smd roundrect (at -13.97 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 7 /D2)) - (pad 11 smd roundrect (at -11.43 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 26 /1A6)) - (pad 12 smd roundrect (at -8.89 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 21 /1A1)) - (pad 13 smd roundrect (at -6.35 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 8 /D3)) - (pad 14 smd roundrect (at -3.81 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 27 /1A7)) - (pad 15 smd roundrect (at -1.27 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 20 /1A0)) - (pad 16 smd roundrect (at 1.27 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 10 /D4)) - (pad 17 smd roundrect (at 3.81 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 28 /1A8)) - (pad 18 smd roundrect (at 6.35 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 29 /1A10)) - (pad 19 smd roundrect (at 8.89 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 30 /1A9)) - (pad 20 smd roundrect (at 11.43 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 11 /D5)) - (pad 21 smd roundrect (at 13.97 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 4 /~WE~)) - (pad 22 smd roundrect (at 16.51 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (pad 23 smd roundrect (at 19.05 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 12 /D6)) - (pad 24 smd roundrect (at 21.59 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 13 /A11)) - (pad 25 smd roundrect (at 24.13 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 14 /D7)) - (pad 26 smd roundrect (at 26.67 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 15 /QP)) - (pad 27 smd roundrect (at 29.21 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 5 /~RAS~)) - (pad 28 smd roundrect (at 31.75 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 16 /~CASP~)) - (pad 29 smd roundrect (at 34.29 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 17 /DP)) - (pad 30 smd roundrect (at 36.83 0) (size 1.524 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 +5V)) - (pad 2 smd roundrect (at -34.29 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 6 /~CAS~)) - (pad 3 thru_hole circle (at -31.75 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 2 /D0)) - (pad 11 smd roundrect (at -11.43 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 26 /1A6)) - (pad 5 smd roundrect (at -26.67 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 23 /1A3)) - (pad 12 smd roundrect (at -8.89 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 21 /1A1)) - (pad 26 smd roundrect (at 26.67 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 15 /QP)) - (pad 13 smd roundrect (at -6.35 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 8 /D3)) - (pad 14 smd roundrect (at -3.81 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 27 /1A7)) - (pad 4 smd roundrect (at -29.21 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 24 /1A4)) - (pad 29 smd roundrect (at 34.29 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 17 /DP)) - (pad 3 smd roundrect (at -31.75 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 2 /D0)) - (pad 24 smd roundrect (at 21.59 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 13 /A11)) - (pad 16 smd roundrect (at 1.27 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 10 /D4)) - (pad 20 smd roundrect (at 11.43 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 11 /D5)) - (pad 23 smd roundrect (at 19.05 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 12 /D6)) - (pad 9 smd roundrect (at -16.51 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (pad 18 smd roundrect (at 6.35 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 29 /1A10)) - (pad 21 smd roundrect (at 13.97 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 4 /~WE~)) - (pad 19 smd roundrect (at 8.89 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 30 /1A9)) - (pad 10 smd roundrect (at -13.97 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 7 /D2)) - (pad 22 smd roundrect (at 16.51 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (pad 6 smd roundrect (at -24.13 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 3 /D1)) - (pad 17 smd roundrect (at 3.81 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 28 /1A8)) - (pad 7 smd roundrect (at -21.59 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 25 /1A5)) - (pad 8 smd roundrect (at -19.05 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 22 /1A2)) - (pad 27 smd roundrect (at 29.21 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 5 /~RAS~)) - (pad 28 smd roundrect (at 31.75 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 16 /~CASP~)) - (pad 30 smd roundrect (at 36.83 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 +5V)) - (pad 15 smd roundrect (at -1.27 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 20 /1A0)) - (pad 25 smd roundrect (at 24.13 0) (size 1.524 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 14 /D7)) - (pad 2 thru_hole circle (at -34.29 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 6 /~CAS~)) - (pad 1 thru_hole circle (at -36.83 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 1 +5V)) - (pad 5 thru_hole circle (at -26.67 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 23 /1A3)) - (pad 4 thru_hole circle (at -29.21 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 24 /1A4)) - (pad 6 thru_hole circle (at -24.13 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 3 /D1)) - (pad 7 thru_hole circle (at -21.59 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 25 /1A5)) - (pad 9 thru_hole circle (at -16.51 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 9 GND)) - (pad 8 thru_hole circle (at -19.05 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 22 /1A2)) - (pad 11 thru_hole circle (at -11.43 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 26 /1A6)) - (pad 10 thru_hole circle (at -13.97 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 7 /D2)) - (pad 12 thru_hole circle (at -8.89 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 21 /1A1)) - (pad 14 thru_hole circle (at -3.81 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 27 /1A7)) - (pad 13 thru_hole circle (at -6.35 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 8 /D3)) - (pad 15 thru_hole circle (at -1.27 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 20 /1A0)) - (pad 17 thru_hole circle (at 3.81 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 28 /1A8)) - (pad 16 thru_hole circle (at 1.27 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 10 /D4)) - (pad 18 thru_hole circle (at 6.35 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 29 /1A10)) - (pad 27 thru_hole circle (at 29.21 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 5 /~RAS~)) - (pad 26 thru_hole circle (at 26.67 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 15 /QP)) - (pad 28 thru_hole circle (at 31.75 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 16 /~CASP~)) - (pad 21 thru_hole circle (at 13.97 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 4 /~WE~)) - (pad 20 thru_hole circle (at 11.43 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 11 /D5)) - (pad 22 thru_hole circle (at 16.51 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 9 GND)) - (pad 24 thru_hole circle (at 21.59 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 13 /A11)) - (pad 23 thru_hole circle (at 19.05 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 12 /D6)) - (pad 25 thru_hole circle (at 24.13 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 14 /D7)) - (pad 19 thru_hole circle (at 8.89 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 30 /1A9)) - (pad 29 thru_hole circle (at 34.29 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) - (net 17 /DP)) + (fp_line (start -38.862 1.778) (end -38.862 -1.778) (layer F.Fab) (width 0.127)) + (fp_line (start 38.862 1.778) (end -38.862 1.778) (layer F.Fab) (width 0.127)) + (fp_line (start 38.862 -1.778) (end 38.862 1.778) (layer F.Fab) (width 0.127)) + (fp_text user J1 (at 35.56 -2.54) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 38.862 1.778) (end -38.862 1.778) (layer B.Fab) (width 0.127)) + (fp_line (start -38.862 1.778) (end -38.862 -1.778) (layer B.Fab) (width 0.127)) (pad 30 thru_hole circle (at 36.83 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) (net 1 +5V)) + (pad 29 thru_hole circle (at 34.29 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 17 /DP)) + (pad 19 thru_hole circle (at 8.89 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 28 /1A9)) + (pad 25 thru_hole circle (at 24.13 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 14 /D7)) + (pad 23 thru_hole circle (at 19.05 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 12 /D6)) + (pad 24 thru_hole circle (at 21.59 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 13 /A11)) + (pad 22 thru_hole circle (at 16.51 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 9 GND)) + (pad 20 thru_hole circle (at 11.43 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 11 /D5)) + (pad 21 thru_hole circle (at 13.97 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 4 /~WE~)) + (pad 28 thru_hole circle (at 31.75 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 16 /~CASP~)) + (pad 26 thru_hole circle (at 26.67 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 15 /QP)) + (pad 27 thru_hole circle (at 29.21 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 5 /~RAS~)) + (pad 18 thru_hole circle (at 6.35 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 27 /1A10)) + (pad 16 thru_hole circle (at 1.27 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 10 /D4)) + (pad 17 thru_hole circle (at 3.81 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 26 /1A8)) + (pad 15 thru_hole circle (at -1.27 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 18 /1A0)) + (pad 13 thru_hole circle (at -6.35 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 8 /D3)) + (pad 14 thru_hole circle (at -3.81 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 25 /1A7)) + (pad 12 thru_hole circle (at -8.89 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 19 /1A1)) + (pad 10 thru_hole circle (at -13.97 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 7 /D2)) + (pad 11 thru_hole circle (at -11.43 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 24 /1A6)) + (pad 8 thru_hole circle (at -19.05 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 20 /1A2)) + (pad 9 thru_hole circle (at -16.51 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 9 GND)) + (pad 7 thru_hole circle (at -21.59 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 23 /1A5)) + (pad 6 thru_hole circle (at -24.13 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 3 /D1)) + (pad 4 thru_hole circle (at -29.21 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 22 /1A4)) + (pad 5 thru_hole circle (at -26.67 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 21 /1A3)) + (pad 1 thru_hole circle (at -36.83 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 1 +5V)) + (pad 2 thru_hole circle (at -34.29 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 6 /~CAS~)) + (pad 25 smd roundrect (at 24.13 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 14 /D7)) + (pad 15 smd roundrect (at -1.27 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 18 /1A0)) + (pad 30 smd roundrect (at 36.83 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 28 smd roundrect (at 31.75 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 16 /~CASP~)) + (pad 27 smd roundrect (at 29.21 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 5 /~RAS~)) + (pad 8 smd roundrect (at -19.05 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 20 /1A2)) + (pad 7 smd roundrect (at -21.59 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 23 /1A5)) + (pad 17 smd roundrect (at 3.81 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 26 /1A8)) + (pad 6 smd roundrect (at -24.13 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 3 /D1)) + (pad 22 smd roundrect (at 16.51 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 10 smd roundrect (at -13.97 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 7 /D2)) + (pad 19 smd roundrect (at 8.89 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 28 /1A9)) + (pad 21 smd roundrect (at 13.97 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 4 /~WE~)) + (pad 18 smd roundrect (at 6.35 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 27 /1A10)) + (pad 9 smd roundrect (at -16.51 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 23 smd roundrect (at 19.05 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 12 /D6)) + (pad 20 smd roundrect (at 11.43 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 11 /D5)) + (pad 16 smd roundrect (at 1.27 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 10 /D4)) + (pad 24 smd roundrect (at 21.59 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 13 /A11)) + (pad 3 smd roundrect (at -31.75 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 2 /D0)) + (pad 29 smd roundrect (at 34.29 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 17 /DP)) + (pad 4 smd roundrect (at -29.21 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 22 /1A4)) + (pad 14 smd roundrect (at -3.81 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 25 /1A7)) + (pad 13 smd roundrect (at -6.35 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 8 /D3)) + (pad 26 smd roundrect (at 26.67 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 15 /QP)) + (pad 12 smd roundrect (at -8.89 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 19 /1A1)) + (pad 5 smd roundrect (at -26.67 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 21 /1A3)) + (pad 11 smd roundrect (at -11.43 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 24 /1A6)) + (pad 3 thru_hole circle (at -31.75 -1.016) (size 0.8 0.8) (drill 0.4) (layers *.Cu *.Mask) + (net 2 /D0)) + (pad 2 smd roundrect (at -34.29 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 6 /~CAS~)) + (pad 30 smd roundrect (at 36.83 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 29 smd roundrect (at 34.29 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 17 /DP)) + (pad 28 smd roundrect (at 31.75 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 16 /~CASP~)) + (pad 27 smd roundrect (at 29.21 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 5 /~RAS~)) + (pad 26 smd roundrect (at 26.67 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 15 /QP)) + (pad 25 smd roundrect (at 24.13 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 14 /D7)) + (pad 24 smd roundrect (at 21.59 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 13 /A11)) + (pad 23 smd roundrect (at 19.05 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 12 /D6)) + (pad 22 smd roundrect (at 16.51 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 21 smd roundrect (at 13.97 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 4 /~WE~)) + (pad 20 smd roundrect (at 11.43 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 11 /D5)) + (pad 19 smd roundrect (at 8.89 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 28 /1A9)) + (pad 18 smd roundrect (at 6.35 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 27 /1A10)) + (pad 17 smd roundrect (at 3.81 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 26 /1A8)) + (pad 16 smd roundrect (at 1.27 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 10 /D4)) + (pad 15 smd roundrect (at -1.27 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 18 /1A0)) + (pad 14 smd roundrect (at -3.81 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 25 /1A7)) + (pad 13 smd roundrect (at -6.35 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 8 /D3)) + (pad 12 smd roundrect (at -8.89 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 19 /1A1)) + (pad 11 smd roundrect (at -11.43 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 24 /1A6)) + (pad 10 smd roundrect (at -13.97 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 7 /D2)) + (pad 9 smd roundrect (at -16.51 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 8 smd roundrect (at -19.05 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 20 /1A2)) + (pad 7 smd roundrect (at -21.59 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 23 /1A5)) + (pad 6 smd roundrect (at -24.13 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 3 /D1)) + (pad 5 smd roundrect (at -26.67 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 21 /1A3)) + (pad 4 smd roundrect (at -29.21 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 22 /1A4)) + (pad 3 smd roundrect (at -31.75 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 2 /D0)) + (pad 2 smd roundrect (at -34.29 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 6 /~CAS~)) + (pad 1 smd roundrect (at -36.83 0) (size 1.778 2.032) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 1 smd roundrect (at -36.83 0) (size 1.778 2.032) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) ) - (module stdpads:C_1206 (layer F.Cu) (tedit 5BDBB3F6) (tstamp 5D13243E) - (at 137.668 76.655 90) - (tags capacitor) - (path /5C2EDC35) + (module stdpads:SOP-24-26-300mil (layer F.Cu) (tedit 5CC286F9) (tstamp 5D3F66D5) + (at 129.54 89.662 90) + (path /5D38F422) (attr smd) - (fp_text reference C3 (at 0 -1.55 90) (layer F.SilkS) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text reference U1 (at 0 0 270) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) ) - (fp_text value 100n (at 0 0.5 90) (layer F.Fab) hide + (fp_text value AS4C4M4 (at 0 2.54 90) (layer F.Fab) (effects (font (size 0.254 0.254) (thickness 0.0635))) ) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start 2.35 1.2) (end -2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.35 -1.2) (end 2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.35 -1.2) (end 2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.35 1.2) (end -2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.602064 0.96) (end 0.602064 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.602064 -0.96) (end 0.602064 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.2)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.2)) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (fp_line (start -3.875 -8.24) (end -5.7 -8.24) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.875 8.89) (end 3.875 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.875 -8.89) (end 3.875 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.875 8.89) (end -3.875 8.145) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.875 8.89) (end 3.875 8.145) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.875 -8.89) (end 3.875 -8.145) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.875 -8.89) (end -3.875 -8.24) (layer F.SilkS) (width 0.1524)) + (fp_line (start -5.95 9.065) (end 5.95 9.065) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.95 -9.065) (end 5.95 -9.065) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.95 -9.065) (end 5.95 9.065) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.95 -9.065) (end -5.95 9.065) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.75 -7.715) (end -2.75 -8.715) (layer F.Fab) (width 0.15)) + (fp_line (start -3.75 8.715) (end -3.75 -7.715) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 8.715) (end -3.75 8.715) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 -8.715) (end 3.75 8.715) (layer F.Fab) (width 0.15)) + (fp_line (start -2.75 -8.715) (end 3.75 -8.715) (layer F.Fab) (width 0.15)) + (pad 26 smd roundrect (at 4.45 -7.62 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 9 GND)) - (pad 1 smd roundrect (at -1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (pad 25 smd roundrect (at 4.45 -6.35 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 /D4)) + (pad 24 smd roundrect (at 4.45 -5.08 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 12 /D6)) + (pad 23 smd roundrect (at 4.45 -3.81 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 /~CAS~)) + (pad 22 smd roundrect (at 4.45 -2.54 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 21 smd roundrect (at 4.45 -1.27 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 28 /1A9)) + (pad 19 smd roundrect (at 4.45 1.27 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 26 /1A8)) + (pad 18 smd roundrect (at 4.45 2.54 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 25 /1A7)) + (pad 17 smd roundrect (at 4.45 3.81 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 24 /1A6)) + (pad 16 smd roundrect (at 4.45 5.08 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 23 /1A5)) + (pad 15 smd roundrect (at 4.45 6.35 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 22 /1A4)) + (pad 14 smd roundrect (at 4.45 7.62 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 13 smd roundrect (at -4.45 7.62 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl - (at (xyz 0 0 0)) + (pad 12 smd roundrect (at -4.45 6.35 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 21 /1A3)) + (pad 11 smd roundrect (at -4.45 5.08 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 20 /1A2)) + (pad 10 smd roundrect (at -4.45 3.81 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 19 /1A1)) + (pad 9 smd roundrect (at -4.45 2.54 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 18 /1A0)) + (pad 8 smd roundrect (at -4.45 1.27 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 27 /1A10)) + (pad 6 smd roundrect (at -4.45 -1.27 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 5 smd roundrect (at -4.45 -2.54 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 /~RAS~)) + (pad 4 smd roundrect (at -4.45 -3.81 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 4 /~WE~)) + (pad 3 smd roundrect (at -4.45 -5.08 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 14 /D7)) + (pad 2 smd roundrect (at -4.45 -6.35 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /D5)) + (pad 1 smd roundrect (at -4.45 -7.62 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 14 smd roundrect (at 3.7 7.62 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 26 smd roundrect (at 3.7 -7.62 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 25 smd roundrect (at 3.7 -6.35 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 10 /D4)) + (pad 24 smd roundrect (at 3.7 -5.08 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 12 /D6)) + (pad 23 smd roundrect (at 3.7 -3.81 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 6 /~CAS~)) + (pad 22 smd roundrect (at 3.7 -2.54 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 18 smd roundrect (at 3.7 2.54 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 25 /1A7)) + (pad 17 smd roundrect (at 3.7 3.81 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 24 /1A6)) + (pad 16 smd roundrect (at 3.7 5.08 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 23 /1A5)) + (pad 15 smd roundrect (at 3.7 6.35 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 22 /1A4)) + (pad 13 smd roundrect (at -3.7 7.62 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 12 smd roundrect (at -3.7 6.35 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 21 /1A3)) + (pad 11 smd roundrect (at -3.7 5.08 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 20 /1A2)) + (pad 10 smd roundrect (at -3.7 3.81 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 19 /1A1)) + (pad 9 smd roundrect (at -3.7 2.54 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 18 /1A0)) + (pad 5 smd roundrect (at -3.7 -2.54 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 5 /~RAS~)) + (pad 4 smd roundrect (at -3.7 -3.81 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 4 /~WE~)) + (pad 3 smd roundrect (at -3.7 -5.08 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 14 /D7)) + (pad 2 smd roundrect (at -3.7 -6.35 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 11 /D5)) + (pad 1 smd roundrect (at -3.7 -7.62 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 21 smd roundrect (at 3.7 -1.27 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 28 /1A9)) + (pad 6 smd roundrect (at -3.7 -1.27 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25)) + (pad 19 smd roundrect (at 3.7 1.27 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 26 /1A8)) + (pad 8 smd roundrect (at -3.7 1.27 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 27 /1A10)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 5.715 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 5.715 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 3.175 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 3.175 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 -3.175 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 -3.175 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 -5.715 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 -5.715 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 0.635 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 0.635 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_1206 (layer F.Cu) (tedit 5BDBB3F6) (tstamp 5D132537) - (at 159.258 76.655 90) - (tags capacitor) - (path /5C2EDC35) + (module stdpads:SOP-24-26-300mil (layer F.Cu) (tedit 5CC286F9) (tstamp 5D3F6718) + (at 95.25 89.662 90) + (path /5D3999D6) (attr smd) - (fp_text reference C3 (at 0 -1.55 90) (layer F.SilkS) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text reference U2 (at 0 0 270) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) ) - (fp_text value 100n (at 0 0.5 90) (layer F.Fab) hide + (fp_text value AS4C4M4 (at 0 2.54 90) (layer F.Fab) (effects (font (size 0.254 0.254) (thickness 0.0635))) ) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.2)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.2)) - (fp_line (start -0.602064 -0.96) (end 0.602064 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.602064 0.96) (end 0.602064 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.35 1.2) (end -2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.35 -1.2) (end 2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.35 -1.2) (end 2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.35 1.2) (end -2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (pad 1 smd roundrect (at -1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (fp_line (start -2.75 -8.715) (end 3.75 -8.715) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 -8.715) (end 3.75 8.715) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 8.715) (end -3.75 8.715) (layer F.Fab) (width 0.15)) + (fp_line (start -3.75 8.715) (end -3.75 -7.715) (layer F.Fab) (width 0.15)) + (fp_line (start -3.75 -7.715) (end -2.75 -8.715) (layer F.Fab) (width 0.15)) + (fp_line (start -5.95 -9.065) (end -5.95 9.065) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.95 -9.065) (end 5.95 9.065) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.95 -9.065) (end 5.95 -9.065) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.95 9.065) (end 5.95 9.065) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.875 -8.89) (end -3.875 -8.24) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.875 -8.89) (end 3.875 -8.145) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.875 8.89) (end 3.875 8.145) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.875 8.89) (end -3.875 8.145) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.875 -8.89) (end 3.875 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.875 8.89) (end 3.875 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.875 -8.24) (end -5.7 -8.24) (layer F.SilkS) (width 0.1524)) + (pad 8 smd roundrect (at -3.7 1.27 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 21 /1A3)) + (pad 19 smd roundrect (at 3.7 1.27 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 23 /1A5)) + (pad 6 smd roundrect (at -3.7 -1.27 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25)) + (pad 21 smd roundrect (at 3.7 -1.27 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 22 /1A4)) + (pad 1 smd roundrect (at -3.7 -7.62 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) (net 1 +5V)) - (pad 2 smd roundrect (at 1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (pad 2 smd roundrect (at -3.7 -6.35 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 3 /D1)) + (pad 3 smd roundrect (at -3.7 -5.08 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 8 /D3)) + (pad 4 smd roundrect (at -3.7 -3.81 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 4 /~WE~)) + (pad 5 smd roundrect (at -3.7 -2.54 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 5 /~RAS~)) + (pad 9 smd roundrect (at -3.7 2.54 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 20 /1A2)) + (pad 10 smd roundrect (at -3.7 3.81 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 19 /1A1)) + (pad 11 smd roundrect (at -3.7 5.08 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 18 /1A0)) + (pad 12 smd roundrect (at -3.7 6.35 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 27 /1A10)) + (pad 13 smd roundrect (at -3.7 7.62 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 15 smd roundrect (at 3.7 6.35 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 28 /1A9)) + (pad 16 smd roundrect (at 3.7 5.08 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 26 /1A8)) + (pad 17 smd roundrect (at 3.7 3.81 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 25 /1A7)) + (pad 18 smd roundrect (at 3.7 2.54 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 24 /1A6)) + (pad 22 smd roundrect (at 3.7 -2.54 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) (net 9 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl - (at (xyz 0 0 0)) + (pad 23 smd roundrect (at 3.7 -3.81 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 6 /~CAS~)) + (pad 24 smd roundrect (at 3.7 -5.08 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 7 /D2)) + (pad 25 smd roundrect (at 3.7 -6.35 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 2 /D0)) + (pad 26 smd roundrect (at 3.7 -7.62 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 14 smd roundrect (at 3.7 7.62 90) (size 4 0.6) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 1 smd roundrect (at -4.45 -7.62 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at -4.45 -6.35 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 /D1)) + (pad 3 smd roundrect (at -4.45 -5.08 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 8 /D3)) + (pad 4 smd roundrect (at -4.45 -3.81 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 4 /~WE~)) + (pad 5 smd roundrect (at -4.45 -2.54 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 /~RAS~)) + (pad 6 smd roundrect (at -4.45 -1.27 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 8 smd roundrect (at -4.45 1.27 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 21 /1A3)) + (pad 9 smd roundrect (at -4.45 2.54 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 20 /1A2)) + (pad 10 smd roundrect (at -4.45 3.81 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 19 /1A1)) + (pad 11 smd roundrect (at -4.45 5.08 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 18 /1A0)) + (pad 12 smd roundrect (at -4.45 6.35 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 27 /1A10)) + (pad 13 smd roundrect (at -4.45 7.62 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 14 smd roundrect (at 4.45 7.62 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 15 smd roundrect (at 4.45 6.35 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 28 /1A9)) + (pad 16 smd roundrect (at 4.45 5.08 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 26 /1A8)) + (pad 17 smd roundrect (at 4.45 3.81 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 25 /1A7)) + (pad 18 smd roundrect (at 4.45 2.54 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 24 /1A6)) + (pad 19 smd roundrect (at 4.45 1.27 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 23 /1A5)) + (pad 21 smd roundrect (at 4.45 -1.27 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 22 /1A4)) + (pad 22 smd roundrect (at 4.45 -2.54 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (pad 23 smd roundrect (at 4.45 -3.81 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 /~CAS~)) + (pad 24 smd roundrect (at 4.45 -5.08 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 7 /D2)) + (pad 25 smd roundrect (at 4.45 -6.35 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 /D0)) + (pad 26 smd roundrect (at 4.45 -7.62 90) (size 2.5 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 5.715 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 5.715 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 3.175 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 3.175 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 -3.175 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 -3.175 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 -5.715 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 -5.715 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz 1.016 0.635 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.step + (offset (xyz -1.016 0.635 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_1206 (layer F.Cu) (tedit 5BDBB3F6) (tstamp 5CC3C88A) - (at 103.505 76.655 90) - (tags capacitor) - (path /5C2E290A) - (attr smd) - (fp_text reference C1 (at 0 -1.55 90) (layer F.SilkS) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_text value 100n (at 0 0.5 90) (layer F.Fab) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start 2.35 1.2) (end -2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.35 -1.2) (end 2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.35 -1.2) (end 2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.35 1.2) (end -2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.602064 0.96) (end 0.602064 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.602064 -0.96) (end 0.602064 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.2)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.2)) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 9 GND)) - (pad 1 smd roundrect (at -1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 1 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_1206 (layer F.Cu) (tedit 5BDBB3F6) (tstamp 5D12CA2E) - (at 125.095 76.655 90) + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5D3F6A42) + (at 105.41 93.257 90) (tags capacitor) (path /5C2E296A) (attr smd) - (fp_text reference C2 (at 0 -1.55 90) (layer F.SilkS) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text reference C2 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) ) - (fp_text value 100n (at 0 0.5 90) (layer F.Fab) hide + (fp_text value 100n (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.254 0.254) (thickness 0.0635))) ) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.2)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.2)) - (fp_line (start -0.602064 -0.96) (end 0.602064 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.602064 0.96) (end 0.602064 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.35 1.2) (end -2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.35 -1.2) (end 2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.35 -1.2) (end 2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.35 1.2) (end -2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (pad 1 smd roundrect (at -1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 1 +5V)) - (pad 2 smd roundrect (at 1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 9 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_1206 (layer F.Cu) (tedit 5BDBB3F6) (tstamp 5D13B62A) - (at 176.53 76.655 90) + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5D3F6A52) + (at 119.38 93.257 90) + (tags capacitor) + (path /5C2EDC35) + (attr smd) + (fp_text reference C3 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 100n (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5D3F7ECA) + (at 157.48 93.257 90) + (tags capacitor) + (path /5D3FC322) + (attr smd) + (fp_text reference C5 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 100n (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5D3F6B70) + (at 139.7 93.257 90) (tags capacitor) (path /5D1301A9) (attr smd) - (fp_text reference C4 (at 0 -1.55 90) (layer F.SilkS) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text reference C4 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) ) - (fp_text value 100n (at 0 0.5 90) (layer F.Fab) hide + (fp_text value 100n (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) (effects (font (size 0.254 0.254) (thickness 0.0635))) ) - (fp_text user %R (at 0 0 90) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start 2.35 1.2) (end -2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.35 -1.2) (end 2.35 1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.35 -1.2) (end 2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.35 1.2) (end -2.35 -1.2) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.602064 0.96) (end 0.602064 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.602064 -0.96) (end 0.602064 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.2)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.2)) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) - (pad 2 smd roundrect (at 1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 9 GND)) - (pad 1 smd roundrect (at -1.45 0 90) (size 1.2 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) (net 1 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:SOJ-24-26-300mil (layer F.Cu) (tedit 5CFDB757) (tstamp 5D1571B0) - (at 148.59 73.66 90) - (path /5C2E22F1) - (attr smd) - (fp_text reference U1 (at 0 -9.4488 90) (layer F.SilkS) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_text value 511400 (at 0 9.4742 90) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 1.27 1.27) (thickness 0.2))) - ) - (fp_line (start -2.75 -8.715) (end 3.75 -8.715) (layer F.Fab) (width 0.15)) - (fp_line (start 3.75 -8.715) (end 3.75 8.715) (layer F.Fab) (width 0.15)) - (fp_line (start 3.75 8.715) (end -3.75 8.715) (layer F.Fab) (width 0.15)) - (fp_line (start -3.75 8.715) (end -3.75 -7.715) (layer F.Fab) (width 0.15)) - (fp_line (start -3.75 -7.715) (end -2.75 -8.715) (layer F.Fab) (width 0.15)) - (fp_line (start -5.95 -9.065) (end -5.95 9.065) (layer F.CrtYd) (width 0.05)) - (fp_line (start 5.95 -9.065) (end 5.95 9.065) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.95 -9.065) (end 5.95 -9.065) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.95 9.065) (end 5.95 9.065) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.875 -8.89) (end -3.875 -8.24) (layer F.SilkS) (width 0.2)) - (fp_line (start 3.875 -8.89) (end 3.875 -8.145) (layer F.SilkS) (width 0.2)) - (fp_line (start 3.875 8.89) (end 3.875 8.145) (layer F.SilkS) (width 0.2)) - (fp_line (start -3.875 8.89) (end -3.875 8.145) (layer F.SilkS) (width 0.2)) - (fp_line (start -3.875 -8.89) (end 3.875 -8.89) (layer F.SilkS) (width 0.2)) - (fp_line (start -3.875 8.89) (end 3.875 8.89) (layer F.SilkS) (width 0.2)) - (fp_line (start -3.875 -8.24) (end -5.7 -8.24) (layer F.SilkS) (width 0.2)) - (pad 8 smd roundrect (at -3.7 1.27 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /1A10)) - (pad 19 smd roundrect (at 3.7 1.27 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /1A8)) - (pad 6 smd roundrect (at -3.7 -1.27 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 18 "Net-(U1-Pad6)")) - (pad 21 smd roundrect (at 3.7 -1.27 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /1A9)) - (pad 1 smd roundrect (at -3.7 -7.62 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 +5V)) - (pad 2 smd roundrect (at -3.7 -6.35 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 /D5)) - (pad 3 smd roundrect (at -3.7 -5.08 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 /D7)) - (pad 4 smd roundrect (at -3.7 -3.81 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 4 /~WE~)) - (pad 5 smd roundrect (at -3.7 -2.54 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /~RAS~)) - (pad 9 smd roundrect (at -3.7 2.54 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 20 /1A0)) - (pad 10 smd roundrect (at -3.7 3.81 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 21 /1A1)) - (pad 11 smd roundrect (at -3.7 5.08 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 22 /1A2)) - (pad 12 smd roundrect (at -3.7 6.35 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 23 /1A3)) - (pad 13 smd roundrect (at -3.7 7.62 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 +5V)) - (pad 15 smd roundrect (at 3.7 6.35 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 24 /1A4)) - (pad 16 smd roundrect (at 3.7 5.08 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 25 /1A5)) - (pad 17 smd roundrect (at 3.7 3.81 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 26 /1A6)) - (pad 18 smd roundrect (at 3.7 2.54 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /1A7)) - (pad 22 smd roundrect (at 3.7 -2.54 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (pad 23 smd roundrect (at 3.7 -3.81 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 6 /~CAS~)) - (pad 24 smd roundrect (at 3.7 -5.08 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 12 /D6)) - (pad 25 smd roundrect (at 3.7 -6.35 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 10 /D4)) - (pad 26 smd roundrect (at 3.7 -7.62 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (pad 14 smd roundrect (at 3.7 7.62 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-28W_7.5x17.9mm_P1.27mm.wrl - (offset (xyz 0 0.635 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) + (gr_text 4190A (at 127.889 95.377) (layer B.SilkS) (tstamp 5D3285A5) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror)) ) - - (module stdpads:SOJ-24-26-300mil (layer F.Cu) (tedit 5CFDB757) (tstamp 5D141268) - (at 114.3 73.66 90) - (path /5C2E285B) - (attr smd) - (fp_text reference U2 (at 0 -9.4488 90) (layer F.SilkS) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_text value 511400 (at 0 9.4742 90) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.875 -8.24) (end -5.7 -8.24) (layer F.SilkS) (width 0.2)) - (fp_line (start -3.875 8.89) (end 3.875 8.89) (layer F.SilkS) (width 0.2)) - (fp_line (start -3.875 -8.89) (end 3.875 -8.89) (layer F.SilkS) (width 0.2)) - (fp_line (start -3.875 8.89) (end -3.875 8.145) (layer F.SilkS) (width 0.2)) - (fp_line (start 3.875 8.89) (end 3.875 8.145) (layer F.SilkS) (width 0.2)) - (fp_line (start 3.875 -8.89) (end 3.875 -8.145) (layer F.SilkS) (width 0.2)) - (fp_line (start -3.875 -8.89) (end -3.875 -8.24) (layer F.SilkS) (width 0.2)) - (fp_line (start -5.95 9.065) (end 5.95 9.065) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.95 -9.065) (end 5.95 -9.065) (layer F.CrtYd) (width 0.05)) - (fp_line (start 5.95 -9.065) (end 5.95 9.065) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.95 -9.065) (end -5.95 9.065) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.75 -7.715) (end -2.75 -8.715) (layer F.Fab) (width 0.15)) - (fp_line (start -3.75 8.715) (end -3.75 -7.715) (layer F.Fab) (width 0.15)) - (fp_line (start 3.75 8.715) (end -3.75 8.715) (layer F.Fab) (width 0.15)) - (fp_line (start 3.75 -8.715) (end 3.75 8.715) (layer F.Fab) (width 0.15)) - (fp_line (start -2.75 -8.715) (end 3.75 -8.715) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 1.27 1.27) (thickness 0.2))) - ) - (pad 14 smd roundrect (at 3.7 7.62 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (pad 26 smd roundrect (at 3.7 -7.62 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (pad 25 smd roundrect (at 3.7 -6.35 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 2 /D0)) - (pad 24 smd roundrect (at 3.7 -5.08 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 7 /D2)) - (pad 23 smd roundrect (at 3.7 -3.81 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 6 /~CAS~)) - (pad 22 smd roundrect (at 3.7 -2.54 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 9 GND)) - (pad 18 smd roundrect (at 3.7 2.54 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 26 /1A6)) - (pad 17 smd roundrect (at 3.7 3.81 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /1A7)) - (pad 16 smd roundrect (at 3.7 5.08 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /1A8)) - (pad 15 smd roundrect (at 3.7 6.35 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /1A9)) - (pad 13 smd roundrect (at -3.7 7.62 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 +5V)) - (pad 12 smd roundrect (at -3.7 6.35 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /1A10)) - (pad 11 smd roundrect (at -3.7 5.08 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 20 /1A0)) - (pad 10 smd roundrect (at -3.7 3.81 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 21 /1A1)) - (pad 9 smd roundrect (at -3.7 2.54 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 22 /1A2)) - (pad 5 smd roundrect (at -3.7 -2.54 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 5 /~RAS~)) - (pad 4 smd roundrect (at -3.7 -3.81 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 4 /~WE~)) - (pad 3 smd roundrect (at -3.7 -5.08 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 8 /D3)) - (pad 2 smd roundrect (at -3.7 -6.35 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 3 /D1)) - (pad 1 smd roundrect (at -3.7 -7.62 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 +5V)) - (pad 21 smd roundrect (at 3.7 -1.27 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 24 /1A4)) - (pad 6 smd roundrect (at -3.7 -1.27 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 19 "Net-(U2-Pad6)")) - (pad 19 smd roundrect (at 3.7 1.27 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 25 /1A5)) - (pad 8 smd roundrect (at -3.7 1.27 90) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 23 /1A3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-28W_7.5x17.9mm_P1.27mm.wrl - (offset (xyz 0 0.635 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) + (gr_text GW (at 133.477 95.377) (layer B.SilkS) (tstamp 5D3285A4) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror)) ) + (gr_text "Garrett’s Workshop" (at 129.794 92.583) (layer B.SilkS) (tstamp 5D328545) + (effects (font (size 1.524 1.524) (thickness 0.225)) (justify mirror)) + ) + (gr_text "4 MB RAM" (at 94.742 95.377) (layer B.SilkS) (tstamp 5D328681) + (effects (font (size 1.524 1.524) (thickness 0.3)) (justify mirror)) + ) + (gr_text JLCJLCJLC (at 112.395 86.614) (layer B.SilkS) (tstamp 5D3283FB) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_line (start 76.581 95.631) (end 76.2 95.631) (layer Edge.Cuts) (width 0.2) (tstamp 5D2DD5AD)) + (gr_line (start 78.232 101.6) (end 78.232 97.282) (layer Edge.Cuts) (width 0.2) (tstamp 5D2A6AC8)) + (gr_circle (center 79.5655 91.44) (end 77.978 91.44) (layer Edge.Cuts) (width 0.15) (tstamp 5D2A6FD1)) + (gr_circle (center 161.7345 91.44) (end 163.322 91.44) (layer Edge.Cuts) (width 0.15) (tstamp 5D2DAC71)) + (gr_line (start 76.2 95.631) (end 76.2 82.55) (layer Edge.Cuts) (width 0.2) (tstamp 5D2A5A11)) + (gr_arc (start 76.581 97.282) (end 78.232 97.282) (angle -90) (layer Edge.Cuts) (width 0.2) (tstamp 5D2D9A75)) + (gr_line (start 165.1 82.55) (end 165.1 101.6) (layer Edge.Cuts) (width 0.2)) + (gr_line (start 76.2 82.55) (end 165.1 82.55) (layer Edge.Cuts) (width 0.2)) + (gr_line (start 165.1 101.6) (end 78.232 101.6) (layer Edge.Cuts) (width 0.2)) - (gr_line (start 100.838 85.598) (end 100.838 66.548) (layer Edge.Cuts) (width 0.2)) - (gr_line (start 178.562 66.548) (end 178.562 85.598) (layer Edge.Cuts) (width 0.2)) - (gr_line (start 100.838 66.548) (end 178.562 66.548) (layer Edge.Cuts) (width 0.2)) - (gr_line (start 178.562 85.598) (end 100.838 85.598) (layer Edge.Cuts) (width 0.2)) + (via (at 86.741 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 87.625 94.107) (end 87.63 94.112) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 86.741 94.107) (end 87.625 94.107) (width 0.508) (layer F.Cu) (net 1)) + (via (at 121.031 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5D13256D)) + (via (at 138.049 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5D132564)) + (segment (start 102.875 94.107) (end 102.87 94.112) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 121.915 94.107) (end 121.92 94.112) (width 0.508) (layer F.Cu) (net 1) (tstamp 5D1325CA)) + (segment (start 121.031 94.107) (end 121.915 94.107) (width 0.508) (layer F.Cu) (net 1) (tstamp 5D1325C1)) + (segment (start 137.165 94.107) (end 137.16 94.112) (width 0.508) (layer F.Cu) (net 1) (tstamp 5D1325C4)) + (segment (start 138.049 94.107) (end 137.165 94.107) (width 0.508) (layer F.Cu) (net 1) (tstamp 5D1325C7)) + (segment (start 85.09 94.107) (end 86.741 94.107) (width 0.762) (layer F.Cu) (net 1)) + (via (at 83.82 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 85.09 94.107) (end 83.82 94.107) (width 0.762) (layer F.Cu) (net 1)) + (via (at 85.09 95.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 85.09 94.107) (end 85.09 95.25) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 103.759 94.107) (end 102.875 94.107) (width 0.508) (layer F.Cu) (net 1)) + (via (at 103.759 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (via (at 106.68 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 105.41 94.107) (end 106.68 94.107) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 105.41 94.107) (end 103.759 94.107) (width 0.762) (layer F.Cu) (net 1)) + (via (at 118.11 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 119.38 94.107) (end 118.11 94.107) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 119.38 94.107) (end 121.031 94.107) (width 0.762) (layer F.Cu) (net 1)) + (via (at 119.38 95.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 119.38 94.107) (end 119.38 95.25) (width 0.762) (layer F.Cu) (net 1)) + (via (at 105.41 94.996) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 105.41 94.107) (end 105.41 94.996) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 139.7 94.107) (end 138.049 94.107) (width 0.762) (layer F.Cu) (net 1)) + (via (at 139.7 95.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 139.7 94.107) (end 139.7 95.25) (width 0.762) (layer F.Cu) (net 1)) + (via (at 140.97 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 139.7 94.107) (end 140.97 94.107) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 157.48 98.806) (end 157.48 95.377) (width 1.27) (layer F.Cu) (net 1)) + (segment (start 157.48 95.377) (end 157.48 94.107) (width 0.762) (layer F.Cu) (net 1)) + (via (at 156.21 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 157.48 94.107) (end 156.21 94.107) (width 0.8) (layer F.Cu) (net 1)) + (via (at 158.75 94.107) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 157.48 94.107) (end 158.75 94.107) (width 0.8) (layer F.Cu) (net 1)) + (via (at 157.48 95.377) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) + (segment (start 88.9 89.281) (end 88.9 85.962) (width 0.1524) (layer F.Cu) (net 2)) + (segment (start 88.265 89.916) (end 88.9 89.281) (width 0.1524) (layer F.Cu) (net 2)) + (segment (start 88.9 98.806) (end 88.9 96.266) (width 0.1524) (layer F.Cu) (net 2)) + (segment (start 88.265 95.631) (end 88.265 89.916) (width 0.1524) (layer F.Cu) (net 2)) + (segment (start 88.9 96.266) (end 88.265 95.631) (width 0.1524) (layer F.Cu) (net 2)) + (segment (start 88.9 95.758) (end 88.9 94.112) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 95.504 97.79) (end 90.932 97.79) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 96.52 98.806) (end 95.504 97.79) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 90.932 97.79) (end 88.9 95.758) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 125.73 94.112) (end 125.73 90.932) (width 0.1524) (layer F.Cu) (net 4) (tstamp 5D132DAE)) + (via (at 125.73 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 4) (tstamp 5D132DAF)) + (segment (start 125.73 90.932) (end 125.603 90.932) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 125.73 90.932) (end 125.984 91.186) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 125.603 90.932) (end 125.349 91.186) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 125.73 90.932) (end 125.476 91.186) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 125.476 91.186) (end 125.984 91.186) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 125.349 91.186) (end 125.476 91.186) (width 0.1524) (layer In2.Cu) (net 4)) + (via (at 115.189 93.2815) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 4)) + (segment (start 116.2685 93.599) (end 116.7765 93.091) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 116.7765 93.091) (end 123.444 93.091) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 123.444 93.091) (end 125.349 91.186) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 115.5065 93.599) (end 116.2685 93.599) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 115.189 93.2815) (end 115.5065 93.599) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 133.477 97.663) (end 134.62 98.806) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 132.461 97.663) (end 133.477 97.663) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 125.984 91.186) (end 132.461 97.663) (width 0.1524) (layer In2.Cu) (net 4)) + (segment (start 91.44 90.932) (end 91.44 94.112) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 92.329 90.043) (end 91.44 90.932) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 94.869 90.043) (end 92.329 90.043) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 95.504 90.17) (end 94.996 90.17) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 111.633 89.408) (end 104.775 89.408) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 104.2035 89.9795) (end 95.6945 89.9795) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 94.996 90.17) (end 94.869 90.043) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 95.6945 89.9795) (end 95.504 90.17) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 115.189 92.964) (end 111.633 89.408) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 115.189 93.2815) (end 115.189 92.964) (width 0.1524) (layer F.Cu) (net 4)) + (segment (start 104.775 89.408) (end 104.2035 89.9795) (width 0.1524) (layer F.Cu) (net 4)) + (via (at 127 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 5) (tstamp 5D132DA9)) + (segment (start 127 94.112) (end 127 90.932) (width 0.1524) (layer F.Cu) (net 5) (tstamp 5D132DAC)) + (segment (start 126.111 90.043) (end 127 90.932) (width 0.1524) (layer In2.Cu) (net 5)) + (segment (start 125.476 90.043) (end 126.111 90.043) (width 0.1524) (layer In2.Cu) (net 5)) + (segment (start 123.063 92.456) (end 125.476 90.043) (width 0.1524) (layer In2.Cu) (net 5)) + (segment (start 112.522 92.456) (end 123.063 92.456) (width 0.1524) (layer In2.Cu) (net 5)) + (via (at 112.522 92.456) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 5)) + (segment (start 92.71 95.758) (end 92.71 93.362) (width 0.1524) (layer F.Cu) (net 5)) + (segment (start 93.345 96.393) (end 92.71 95.758) (width 0.1524) (layer F.Cu) (net 5)) + (segment (start 108.585 96.393) (end 93.345 96.393) (width 0.1524) (layer F.Cu) (net 5)) + (segment (start 112.522 92.456) (end 108.585 96.393) (width 0.1524) (layer F.Cu) (net 5)) + (segment (start 147.955 96.901) (end 149.86 98.806) (width 0.1524) (layer In2.Cu) (net 5)) + (segment (start 132.969 96.901) (end 147.955 96.901) (width 0.1524) (layer In2.Cu) (net 5)) + (segment (start 127 90.932) (end 132.969 96.901) (width 0.1524) (layer In2.Cu) (net 5)) + (via (at 91.44 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 6)) + (segment (start 91.44 85.212) (end 91.44 88.392) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 91.44 93.726) (end 91.44 88.392) (width 0.1524) (layer In2.Cu) (net 6)) + (segment (start 86.36 98.806) (end 91.44 93.726) (width 0.1524) (layer In2.Cu) (net 6)) + (via (at 121.158 90.17) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 6)) + (segment (start 125.73 89.027) (end 125.73 85.212) (width 0.1524) (layer F.Cu) (net 6)) + (via (at 125.73 89.027) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 6)) + (segment (start 92.329 89.281) (end 91.44 88.392) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 94.869 89.281) (end 92.329 89.281) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 94.996 89.154) (end 94.869 89.281) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 95.504 89.154) (end 94.996 89.154) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 95.631 89.281) (end 95.504 89.154) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 103.378 89.281) (end 95.631 89.281) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 104.013 88.646) (end 103.378 89.281) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 119.634 88.646) (end 104.013 88.646) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 121.158 90.17) (end 119.634 88.646) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 124.714 89.027) (end 123.571 90.17) (width 0.1524) (layer In2.Cu) (net 6)) + (segment (start 123.571 90.17) (end 121.158 90.17) (width 0.1524) (layer In2.Cu) (net 6)) + (segment (start 125.73 89.027) (end 124.714 89.027) (width 0.1524) (layer In2.Cu) (net 6)) + (segment (start 105.283 97.409) (end 106.68 98.806) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 89.535 89.916) (end 89.535 95.758) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 91.186 97.409) (end 105.283 97.409) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 89.535 95.758) (end 91.186 97.409) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 90.17 89.281) (end 89.535 89.916) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 90.17 85.212) (end 90.17 89.281) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 112.522 97.028) (end 114.3 98.806) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 91.44 97.028) (end 112.522 97.028) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 90.17 94.112) (end 90.17 95.758) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 90.17 95.758) (end 91.44 97.028) (width 0.1524) (layer F.Cu) (net 8)) + (via (at 121.031 84.836) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (via (at 138.049 85.217) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 138.044 85.212) (end 138.049 85.217) (width 0.508) (layer F.Cu) (net 9)) + (segment (start 137.16 85.212) (end 138.044 85.212) (width 0.508) (layer F.Cu) (net 9)) + (via (at 103.759 84.836) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (via (at 86.741 85.217) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 86.746 85.212) (end 86.741 85.217) (width 0.508) (layer F.Cu) (net 9)) + (segment (start 87.63 85.212) (end 86.746 85.212) (width 0.508) (layer F.Cu) (net 9)) + (via (at 134.62 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (status 40000)) + (via (at 133.35 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D14A691) (status 40000)) + (via (at 132.08 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D14A697) (status 40000)) + (via (at 135.89 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D14A69A) (status 40000)) + (via (at 130.81 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D14A69D) (status 40000)) + (via (at 108.839 95.377) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D3F9750)) + (via (at 104.267 95.377) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E1D)) + (via (at 129.54 89.662) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E1F)) + (via (at 95.25 89.662) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E25)) + (via (at 112.395 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15A661)) + (via (at 119.634 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15A667)) + (segment (start 103.759 84.836) (end 102.997 84.836) (width 0.508) (layer F.Cu) (net 9)) + (segment (start 102.87 84.963) (end 102.87 85.962) (width 0.508) (layer F.Cu) (net 9)) + (segment (start 102.997 84.836) (end 102.87 84.963) (width 0.508) (layer F.Cu) (net 9)) + (segment (start 121.031 84.836) (end 121.84859 84.836) (width 0.508) (layer F.Cu) (net 9)) + (segment (start 121.92 84.90741) (end 121.92 85.962) (width 0.508) (layer F.Cu) (net 9)) + (segment (start 121.84859 84.836) (end 121.92 84.90741) (width 0.508) (layer F.Cu) (net 9)) + (via (at 92.71 96.52) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA80)) + (via (at 111.252 97.536) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA8E)) + (via (at 117.729 97.917) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA90)) + (via (at 118.872 97.536) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA92)) + (via (at 139.065 97.409) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AD6A)) + (via (at 134.239 97.663) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AD70)) + (via (at 89.408 97.028) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2A7D51)) + (via (at 88.138 96.266) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2A7D57)) + (via (at 142.621 97.409) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AD68B)) + (via (at 114.681 95.758) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AE0EC)) + (via (at 144.145 97.409) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AE0F0)) + (via (at 143.129 96.393) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AE0F2)) + (via (at 112.395 84.709) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AE0F4)) + (via (at 111.379 89.916) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AE298)) + (via (at 108.077 84.709) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AEBEB)) + (via (at 116.713 84.709) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AEBED)) + (via (at 88.9 90.043) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AEBF8)) + (via (at 87.63 90.043) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AEBFE)) + (via (at 90.17 90.043) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AEC2D)) + (via (at 91.948 89.662) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AEC2F)) + (via (at 126.492 89.662) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AEC31)) + (via (at 92.71 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9)) + (segment (start 92.71 85.962) (end 92.71 88.392) (width 0.1524) (layer F.Cu) (net 9)) + (via (at 121.412 97.536) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0000)) + (via (at 122.428 97.536) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0006)) + (via (at 97.282 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0901)) + (via (at 131.572 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D67)) + (via (at 77.089 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D69)) + (via (at 164.211 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D6F)) + (via (at 164.211 100.711) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D71)) + (via (at 79.121 100.711) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D73)) + (via (at 77.089 94.742) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D75)) + (via (at 164.211 91.44) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D78)) + (via (at 77.089 91.44) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D7A)) + (via (at 77.089 87.376) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D7C)) + (via (at 164.211 87.376) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D7F)) + (via (at 140.081 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D81)) + (via (at 88.773 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D83)) + (via (at 105.791 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D85)) + (via (at 123.063 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D8B)) + (via (at 114.427 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D96)) + (via (at 118.745 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D99)) + (via (at 110.109 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D9B)) + (via (at 127.127 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D9D)) + (via (at 136.017 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0D9F)) + (via (at 101.727 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0DA1)) + (via (at 92.837 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0DA3)) + (via (at 82.804 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0DA6)) + (via (at 152.146 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0DA8)) + (via (at 158.242 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0DAB)) + (via (at 146.05 83.439) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0DAD)) + (via (at 164.211 96.139) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0DB8)) + (via (at 82.804 87.376) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1053)) + (via (at 158.242 87.376) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1059)) + (via (at 152.146 87.376) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B105F)) + (via (at 146.05 87.376) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1061)) + (via (at 146.05 91.44) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1068)) + (via (at 152.146 91.44) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1076)) + (via (at 152.146 95.885) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B107B)) + (via (at 79.883 85.344) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B107F)) + (via (at 161.29 85.344) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1083)) + (via (at 155.194 85.344) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1085)) + (via (at 149.098 85.344) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1087)) + (via (at 143.002 85.344) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1089)) + (via (at 149.098 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1232)) + (via (at 161.29 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1234)) + (via (at 149.098 93.853) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B123B)) + (via (at 161.29 93.853) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1240)) + (via (at 161.29 98.552) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1243)) + (via (at 78.994 94.742) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1245)) + (via (at 80.899 97.79) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1253)) + (via (at 79.883 89.281) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1257)) + (via (at 139.954 87.376) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DAC8A)) + (via (at 143.002 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DAC8B)) + (via (at 143.002 93.853) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DAC92)) + (via (at 146.05 95.885) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DAC93)) + (via (at 131.699 97.663) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DDB7A)) + (via (at 110.109 97.917) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DDB89)) + (via (at 102.743 97.917) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DDB8F)) + (via (at 99.949 97.917) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DDB9A)) + (via (at 97.409 97.917) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DDBA0)) + (via (at 128.27 98.298) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DDCAE)) + (via (at 147.701 97.409) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DDCB0)) + (via (at 158.623 96.139) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DF01C)) + (via (at 113.411 91.948) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AF13D)) + (via (at 116.205 92.964) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AF51B)) + (via (at 114.935 91.948) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2ADD83)) + (via (at 114.173 92.964) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2ADD7B)) + (via (at 112.395 93.472) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AEF89)) + (via (at 112.776 95.377) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E15)) + (via (at 110.363 95.377) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B0408)) + (via (at 111.506 92.583) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2AF534)) + (via (at 110.617 93.345) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E1B)) + (via (at 111.5695 94.234) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DF022)) + (via (at 82.931 95.25) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2B1055)) + (via (at 83.82 92.456) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 83.869 92.407) (end 83.82 92.456) (width 0.762) (layer F.Cu) (net 9)) + (segment (start 85.09 92.407) (end 83.869 92.407) (width 0.762) (layer F.Cu) (net 9)) + (via (at 85.09 91.313) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 85.09 92.407) (end 85.09 91.313) (width 0.762) (layer F.Cu) (net 9)) + (via (at 104.013 89.408) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D2DF804)) + (via (at 105.41 91.313) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 105.41 92.407) (end 105.41 91.313) (width 0.762) (layer F.Cu) (net 9)) + (via (at 119.38 91.313) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 119.38 92.407) (end 119.38 91.313) (width 0.762) (layer F.Cu) (net 9)) + (via (at 117.729 91.821) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 118.315 92.407) (end 117.729 91.821) (width 0.762) (layer F.Cu) (net 9)) + (segment (start 119.38 92.407) (end 118.315 92.407) (width 0.762) (layer F.Cu) (net 9)) + (via (at 106.807 92.075) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 106.475 92.407) (end 106.807 92.075) (width 0.762) (layer F.Cu) (net 9)) + (segment (start 105.41 92.407) (end 106.475 92.407) (width 0.762) (layer F.Cu) (net 9)) + (via (at 139.7 91.186) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 139.7 92.407) (end 139.7 91.186) (width 0.762) (layer F.Cu) (net 9)) + (segment (start 140.921 92.407) (end 140.97 92.456) (width 0.762) (layer F.Cu) (net 9)) + (via (at 140.97 92.456) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 139.7 92.407) (end 140.921 92.407) (width 0.762) (layer F.Cu) (net 9)) + (via (at 156.21 92.456) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 156.259 92.407) (end 156.21 92.456) (width 0.8) (layer F.Cu) (net 9)) + (segment (start 157.48 92.407) (end 156.259 92.407) (width 0.8) (layer F.Cu) (net 9)) + (via (at 158.75 92.456) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 158.701 92.407) (end 158.75 92.456) (width 0.8) (layer F.Cu) (net 9)) + (segment (start 157.48 92.407) (end 158.701 92.407) (width 0.8) (layer F.Cu) (net 9)) + (via (at 157.48 91.313) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 157.48 92.407) (end 157.48 91.313) (width 0.8) (layer F.Cu) (net 9)) + (segment (start 122.555 95.758) (end 121.92 96.393) (width 0.1524) (layer F.Cu) (net 10)) + (segment (start 121.92 96.393) (end 121.92 98.806) (width 0.1524) (layer F.Cu) (net 10)) + (segment (start 122.555 89.662) (end 122.555 95.758) (width 0.1524) (layer F.Cu) (net 10)) + (segment (start 123.19 89.027) (end 122.555 89.662) (width 0.1524) (layer F.Cu) (net 10)) + (segment (start 123.19 85.962) (end 123.19 89.027) (width 0.1524) (layer F.Cu) (net 10)) + (segment (start 131.064 97.79) (end 132.08 98.806) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 123.19 96.266) (end 124.714 97.79) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 124.714 97.79) (end 131.064 97.79) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 123.19 93.362) (end 123.19 96.266) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 138.049 97.155) (end 139.7 98.806) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 124.968 97.155) (end 138.049 97.155) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 123.825 96.012) (end 124.968 97.155) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 124.46 85.212) (end 124.46 89.027) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 124.46 89.027) (end 123.825 89.662) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 123.825 89.662) (end 123.825 96.012) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 142.494 96.52) (end 144.78 98.806) (width 0.1524) (layer F.Cu) (net 14)) + (segment (start 124.46 95.758) (end 125.222 96.52) (width 0.1524) (layer F.Cu) (net 14)) + (segment (start 125.222 96.52) (end 142.494 96.52) (width 0.1524) (layer F.Cu) (net 14)) + (segment (start 124.46 93.362) (end 124.46 95.758) (width 0.1524) (layer F.Cu) (net 14)) + (via (at 132.08 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 18)) + (segment (start 132.08 93.362) (end 132.08 90.932) (width 0.1524) (layer F.Cu) (net 18)) + (via (at 100.33 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 18)) + (segment (start 100.33 94.112) (end 100.33 90.932) (width 0.1524) (layer F.Cu) (net 18)) + (segment (start 114.046 97.409) (end 117.983 97.409) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 113.284 96.647) (end 114.046 97.409) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 117.983 97.409) (end 119.38 98.806) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 103.759 96.647) (end 113.284 96.647) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 100.33 93.218) (end 103.759 96.647) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 100.33 90.932) (end 100.33 93.218) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 102.108 87.122) (end 100.838 87.122) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 102.743 87.757) (end 102.108 87.122) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 122.555 87.757) (end 102.743 87.757) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 131.445 88.265) (end 130.175 86.995) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 130.175 86.995) (end 123.317 86.995) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 99.695 89.281) (end 100.33 89.916) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 131.445 90.297) (end 131.445 88.265) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 100.838 87.122) (end 99.695 88.265) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 123.317 86.995) (end 122.555 87.757) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 99.695 88.265) (end 99.695 89.281) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 100.33 89.916) (end 100.33 90.932) (width 0.1524) (layer In2.Cu) (net 18)) + (segment (start 132.08 90.932) (end 131.445 90.297) (width 0.1524) (layer In2.Cu) (net 18)) + (via (at 133.35 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 19)) + (segment (start 133.35 94.112) (end 133.35 90.932) (width 0.1524) (layer F.Cu) (net 19)) + (via (at 99.06 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 19)) + (segment (start 99.06 90.932) (end 99.06 93.362) (width 0.1524) (layer F.Cu) (net 19)) + (segment (start 103.505 97.409) (end 99.06 92.964) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 110.363 97.409) (end 103.505 97.409) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 99.06 92.964) (end 99.06 90.932) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 111.76 98.806) (end 110.363 97.409) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 99.06 89.916) (end 99.06 90.932) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 133.35 90.932) (end 132.715 90.297) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 102.362 86.36) (end 100.33 86.36) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 100.33 86.36) (end 98.425 88.265) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 102.997 86.995) (end 102.362 86.36) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 123.063 86.233) (end 122.301 86.995) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 122.301 86.995) (end 102.997 86.995) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 132.715 88.265) (end 130.683 86.233) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 98.425 89.281) (end 99.06 89.916) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 98.425 88.265) (end 98.425 89.281) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 130.683 86.233) (end 123.063 86.233) (width 0.1524) (layer In2.Cu) (net 19)) + (segment (start 132.715 90.297) (end 132.715 88.265) (width 0.1524) (layer In2.Cu) (net 19)) + (via (at 134.62 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 20)) + (segment (start 134.62 94.112) (end 134.62 90.932) (width 0.1524) (layer F.Cu) (net 20)) + (via (at 97.79 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 20)) + (segment (start 97.79 94.996) (end 97.79 90.932) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 101.6 98.806) (end 97.79 94.996) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 97.79 90.932) (end 97.79 93.362) (width 0.1524) (layer F.Cu) (net 20)) + (segment (start 122.047 86.233) (end 103.251 86.233) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 102.616 85.598) (end 99.822 85.598) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 97.155 88.265) (end 97.155 89.281) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 97.79 89.916) (end 97.79 90.932) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 99.822 85.598) (end 97.155 88.265) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 97.155 89.281) (end 97.79 89.916) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 122.809 85.471) (end 122.047 86.233) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 131.191 85.471) (end 122.809 85.471) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 133.985 88.265) (end 131.191 85.471) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 103.251 86.233) (end 102.616 85.598) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 133.985 90.297) (end 133.985 88.265) (width 0.1524) (layer In2.Cu) (net 20)) + (segment (start 134.62 90.932) (end 133.985 90.297) (width 0.1524) (layer In2.Cu) (net 20)) + (via (at 135.89 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 21)) + (segment (start 135.89 94.112) (end 135.89 90.932) (width 0.1524) (layer F.Cu) (net 21)) + (via (at 96.52 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 21)) + (segment (start 96.52 96.266) (end 96.52 90.932) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 93.98 98.806) (end 96.52 96.266) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 96.52 90.932) (end 96.52 93.362) (width 0.1524) (layer F.Cu) (net 21)) + (segment (start 135.255 90.297) (end 135.89 90.932) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 122.555 84.709) (end 131.699 84.709) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 135.255 88.265) (end 135.255 90.297) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 131.699 84.709) (end 135.255 88.265) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 121.793 85.471) (end 122.555 84.709) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 103.505 85.471) (end 121.793 85.471) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 102.87 84.836) (end 103.505 85.471) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 99.3775 84.836) (end 102.87 84.836) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 96.52 90.932) (end 96.52 89.916) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 95.885 89.281) (end 95.885 88.3285) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 96.52 89.916) (end 95.885 89.281) (width 0.1524) (layer In2.Cu) (net 21)) + (segment (start 95.885 88.3285) (end 99.3775 84.836) (width 0.1524) (layer In2.Cu) (net 21)) + (via (at 93.98 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 22)) + (segment (start 93.98 85.212) (end 93.98 88.392) (width 0.1524) (layer F.Cu) (net 22)) + (via (at 135.89 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 22)) + (segment (start 135.89 85.212) (end 135.89 88.392) (width 0.1524) (layer F.Cu) (net 22)) + (segment (start 93.98 96.266) (end 91.44 98.806) (width 0.1524) (layer In2.Cu) (net 22)) + (segment (start 93.98 88.392) (end 93.98 96.266) (width 0.1524) (layer In2.Cu) (net 22)) + (segment (start 98.298 84.074) (end 93.98 88.392) (width 0.1524) (layer In2.Cu) (net 22)) + (segment (start 131.699 84.074) (end 98.298 84.074) (width 0.1524) (layer In2.Cu) (net 22)) + (segment (start 135.89 88.265) (end 131.699 84.074) (width 0.1524) (layer In2.Cu) (net 22)) + (segment (start 135.89 88.392) (end 135.89 88.265) (width 0.1524) (layer In2.Cu) (net 22)) + (via (at 134.62 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 23)) + (segment (start 134.62 85.962) (end 134.62 88.392) (width 0.1524) (layer F.Cu) (net 23)) + (via (at 96.52 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 23)) + (segment (start 96.52 88.392) (end 96.52 85.962) (width 0.1524) (layer F.Cu) (net 23)) + (segment (start 96.52 89.281) (end 96.52 88.392) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 97.155 89.916) (end 96.52 89.281) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 97.155 96.901) (end 97.155 89.916) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 99.06 98.806) (end 97.155 96.901) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 134.62 88.265) (end 134.62 88.392) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 131.445 85.09) (end 134.62 88.265) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 122.682 85.09) (end 131.445 85.09) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 121.92 85.852) (end 122.682 85.09) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 103.378 85.852) (end 121.92 85.852) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 102.743 85.217) (end 103.378 85.852) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 99.568 85.217) (end 102.743 85.217) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 96.52 88.265) (end 99.568 85.217) (width 0.1524) (layer In2.Cu) (net 23)) + (segment (start 96.52 88.392) (end 96.52 88.265) (width 0.1524) (layer In2.Cu) (net 23)) + (via (at 97.79 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 24)) + (via (at 133.35 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 24)) + (segment (start 133.35 85.212) (end 133.35 88.392) (width 0.1524) (layer F.Cu) (net 24)) + (segment (start 97.79 88.392) (end 97.79 85.962) (width 0.1524) (layer F.Cu) (net 24)) + (segment (start 97.79 89.281) (end 97.79 88.392) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 98.425 92.837) (end 98.425 89.916) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 103.378 97.79) (end 98.425 92.837) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 98.425 89.916) (end 97.79 89.281) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 108.204 97.79) (end 103.378 97.79) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 109.22 98.806) (end 108.204 97.79) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 97.79 88.265) (end 97.79 88.392) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 100.076 85.979) (end 97.79 88.265) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 102.489 85.979) (end 100.076 85.979) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 103.124 86.614) (end 102.489 85.979) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 122.174 86.614) (end 103.124 86.614) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 122.936 85.852) (end 122.174 86.614) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 130.937 85.852) (end 122.936 85.852) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 133.35 88.265) (end 130.937 85.852) (width 0.1524) (layer In2.Cu) (net 24)) + (segment (start 133.35 88.392) (end 133.35 88.265) (width 0.1524) (layer In2.Cu) (net 24)) + (via (at 99.06 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 25)) + (via (at 132.08 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 25)) + (segment (start 132.08 85.212) (end 132.08 88.392) (width 0.1524) (layer F.Cu) (net 25)) + (segment (start 99.06 88.392) (end 99.06 85.962) (width 0.1524) (layer F.Cu) (net 25)) + (segment (start 116.84 98.806) (end 116.84 98.552) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 115.824 97.79) (end 116.84 98.806) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 99.695 93.091) (end 103.632 97.028) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 103.632 97.028) (end 113.157 97.028) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 99.695 89.916) (end 99.695 93.091) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 113.919 97.79) (end 115.824 97.79) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 113.157 97.028) (end 113.919 97.79) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 99.06 89.281) (end 99.695 89.916) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 99.06 88.392) (end 99.06 89.281) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 132.08 88.265) (end 132.08 88.392) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 130.429 86.614) (end 132.08 88.265) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 122.428 87.376) (end 123.19 86.614) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 102.235 86.741) (end 102.87 87.376) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 100.584 86.741) (end 102.235 86.741) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 99.06 88.265) (end 100.584 86.741) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 102.87 87.376) (end 122.428 87.376) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 123.19 86.614) (end 130.429 86.614) (width 0.1524) (layer In2.Cu) (net 25)) + (segment (start 99.06 88.392) (end 99.06 88.265) (width 0.1524) (layer In2.Cu) (net 25)) + (via (at 100.33 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 26)) + (segment (start 100.33 85.212) (end 100.33 88.392) (width 0.1524) (layer F.Cu) (net 26)) + (via (at 130.81 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 26)) + (segment (start 130.81 85.212) (end 130.81 88.392) (width 0.1524) (layer F.Cu) (net 26)) + (segment (start 100.33 89.281) (end 100.33 88.392) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 100.965 89.916) (end 100.33 89.281) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 100.965 93.345) (end 100.965 89.916) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 113.411 96.266) (end 103.886 96.266) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 114.173 97.028) (end 113.411 96.266) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 122.682 97.028) (end 114.173 97.028) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 103.886 96.266) (end 100.965 93.345) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 124.46 98.806) (end 122.682 97.028) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 130.81 88.265) (end 130.81 88.392) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 129.921 87.376) (end 130.81 88.265) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 123.444 87.376) (end 129.921 87.376) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 122.682 88.138) (end 123.444 87.376) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 102.616 88.138) (end 122.682 88.138) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 101.981 87.503) (end 102.616 88.138) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 101.092 87.503) (end 101.981 87.503) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 100.33 88.265) (end 101.092 87.503) (width 0.1524) (layer In2.Cu) (net 26)) + (segment (start 100.33 88.392) (end 100.33 88.265) (width 0.1524) (layer In2.Cu) (net 26)) + (via (at 130.81 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 27)) + (segment (start 130.81 94.112) (end 130.81 90.932) (width 0.1524) (layer F.Cu) (net 27)) + (via (at 101.6 90.932) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 27)) + (segment (start 101.6 94.112) (end 101.6 90.932) (width 0.1524) (layer F.Cu) (net 27)) + (segment (start 101.6 93.472) (end 101.6 90.932) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 114.3 96.647) (end 113.538 95.885) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 104.013 95.885) (end 101.6 93.472) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 127 98.806) (end 124.841 96.647) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 113.538 95.885) (end 104.013 95.885) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 124.841 96.647) (end 114.3 96.647) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 122.809 88.519) (end 123.571 87.757) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 130.175 90.297) (end 130.81 90.932) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 123.571 87.757) (end 129.667 87.757) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 100.965 89.281) (end 100.965 88.138) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 130.175 88.265) (end 130.175 90.297) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 101.854 87.884) (end 102.489 88.519) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 102.489 88.519) (end 122.809 88.519) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 100.965 88.138) (end 101.219 87.884) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 101.219 87.884) (end 101.854 87.884) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 101.6 89.916) (end 100.965 89.281) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 129.667 87.757) (end 130.175 88.265) (width 0.1524) (layer In2.Cu) (net 27)) + (segment (start 101.6 90.932) (end 101.6 89.916) (width 0.1524) (layer In2.Cu) (net 27)) + (via (at 101.6 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 28)) + (segment (start 101.6 85.212) (end 101.6 88.392) (width 0.1524) (layer F.Cu) (net 28)) + (via (at 128.27 88.392) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 28)) + (segment (start 128.27 85.212) (end 128.27 88.392) (width 0.1524) (layer F.Cu) (net 28)) + (segment (start 102.108 88.9) (end 101.6 88.392) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.108 88.9) (end 102.489 89.281) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.362 89.027) (end 102.489 89.154) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.362 88.9) (end 102.362 89.027) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.489 88.9) (end 102.362 88.9) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.489 89.154) (end 102.489 88.9) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.489 89.281) (end 102.489 89.154) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.362 88.9) (end 102.108 88.9) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 123.698 88.138) (end 128.016 88.138) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 122.936 88.9) (end 123.698 88.138) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 128.016 88.138) (end 128.27 88.392) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.489 88.9) (end 122.936 88.9) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 114.427 96.266) (end 127 96.266) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.489 90.932) (end 104.267 92.71) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 127 96.266) (end 128.27 97.536) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 104.267 92.71) (end 110.871 92.71) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 128.27 97.536) (end 129.54 98.806) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 110.871 92.71) (end 114.427 96.266) (width 0.1524) (layer In2.Cu) (net 28)) + (segment (start 102.489 89.281) (end 102.489 90.932) (width 0.1524) (layer In2.Cu) (net 28)) - (via (at 105.791 78.105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 103.505 78.105) (end 105.791 78.105) (width 0.762) (layer F.Cu) (net 1)) - (segment (start 106.675 78.105) (end 106.68 78.11) (width 0.508) (layer F.Cu) (net 1)) - (segment (start 105.791 78.105) (end 106.675 78.105) (width 0.508) (layer F.Cu) (net 1)) - (via (at 122.809 78.105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 125.095 78.105) (end 122.809 78.105) (width 0.762) (layer F.Cu) (net 1)) - (via (at 140.081 78.105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5D13256D)) - (segment (start 137.668 78.105) (end 140.081 78.105) (width 0.762) (layer F.Cu) (net 1) (tstamp 5D132567)) - (via (at 157.099 78.105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5D132564)) - (segment (start 159.258 78.105) (end 157.099 78.105) (width 0.762) (layer F.Cu) (net 1) (tstamp 5D132576)) - (via (at 160.782 78.105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5D132561)) - (segment (start 159.258 78.105) (end 160.782 78.105) (width 0.762) (layer F.Cu) (net 1) (tstamp 5D13256A)) - (via (at 159.258 79.248) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5D132558)) - (segment (start 159.258 78.105) (end 159.258 79.248) (width 0.762) (layer F.Cu) (net 1) (tstamp 5D132579)) - (via (at 136.144 78.105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5D132573)) - (segment (start 137.668 78.105) (end 136.144 78.105) (width 0.762) (layer F.Cu) (net 1) (tstamp 5D132570)) - (via (at 137.668 79.248) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5D1325B5)) - (segment (start 137.668 78.105) (end 137.668 79.248) (width 0.762) (layer F.Cu) (net 1) (tstamp 5D1325BB)) - (via (at 125.095 79.248) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 125.095 78.105) (end 125.095 79.248) (width 0.762) (layer F.Cu) (net 1)) - (via (at 126.619 78.105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 125.095 78.105) (end 126.619 78.105) (width 0.762) (layer F.Cu) (net 1)) - (via (at 101.981 78.105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 103.505 78.105) (end 101.981 78.105) (width 0.762) (layer F.Cu) (net 1)) - (via (at 103.505 79.248) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 103.505 78.105) (end 103.505 79.248) (width 0.762) (layer F.Cu) (net 1)) - (segment (start 121.925 78.105) (end 121.92 78.11) (width 0.508) (layer F.Cu) (net 1)) - (segment (start 122.809 78.105) (end 121.925 78.105) (width 0.508) (layer F.Cu) (net 1)) - (segment (start 140.965 78.105) (end 140.97 78.11) (width 0.508) (layer F.Cu) (net 1) (tstamp 5D1325CA)) - (segment (start 140.081 78.105) (end 140.965 78.105) (width 0.508) (layer F.Cu) (net 1) (tstamp 5D1325C1)) - (segment (start 156.215 78.105) (end 156.21 78.11) (width 0.508) (layer F.Cu) (net 1) (tstamp 5D1325C4)) - (segment (start 157.099 78.105) (end 156.215 78.105) (width 0.508) (layer F.Cu) (net 1) (tstamp 5D1325C7)) - (via (at 175.006 78.105) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 176.53 78.105) (end 175.006 78.105) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 176.53 82.804) (end 176.53 79.375) (width 1.27) (layer F.Cu) (net 1)) - (segment (start 176.53 78.105) (end 176.53 79.375) (width 0.8) (layer F.Cu) (net 1)) - (via (at 176.53 79.375) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 107.95 73.279) (end 107.95 69.96) (width 0.1524) (layer F.Cu) (net 2)) - (segment (start 107.315 73.914) (end 107.95 73.279) (width 0.1524) (layer F.Cu) (net 2)) - (segment (start 107.315 82.169) (end 107.315 73.914) (width 0.1524) (layer F.Cu) (net 2)) - (segment (start 107.95 82.804) (end 107.315 82.169) (width 0.1524) (layer F.Cu) (net 2)) - (segment (start 107.95 79.756) (end 107.95 78.11) (width 0.1524) (layer F.Cu) (net 3)) - (segment (start 109.982 81.788) (end 107.95 79.756) (width 0.1524) (layer F.Cu) (net 3)) - (segment (start 114.554 81.788) (end 109.982 81.788) (width 0.1524) (layer F.Cu) (net 3)) - (segment (start 115.57 82.804) (end 114.554 81.788) (width 0.1524) (layer F.Cu) (net 3)) - (segment (start 144.78 78.11) (end 144.78 74.93) (width 0.1524) (layer F.Cu) (net 4) (tstamp 5D132DAE)) - (via (at 144.78 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 4) (tstamp 5D132DAF)) - (segment (start 144.78 74.93) (end 144.653 74.93) (width 0.1524) (layer B.Cu) (net 4)) - (segment (start 144.78 74.93) (end 145.034 75.184) (width 0.1524) (layer B.Cu) (net 4)) - (segment (start 144.653 74.93) (end 144.399 75.184) (width 0.1524) (layer B.Cu) (net 4)) - (segment (start 144.78 74.93) (end 144.526 75.184) (width 0.1524) (layer B.Cu) (net 4)) - (segment (start 144.526 75.184) (end 145.034 75.184) (width 0.1524) (layer B.Cu) (net 4)) - (segment (start 144.399 75.184) (end 144.526 75.184) (width 0.1524) (layer B.Cu) (net 4)) - (segment (start 142.494 77.089) (end 144.399 75.184) (width 0.1524) (layer B.Cu) (net 4)) - (segment (start 134.239 77.089) (end 142.494 77.089) (width 0.1524) (layer B.Cu) (net 4)) - (via (at 134.239 77.089) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 4)) - (segment (start 110.49 74.93) (end 110.49 78.11) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 123.444 73.406) (end 122.809 74.041) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 130.683 73.406) (end 123.444 73.406) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 134.239 76.962) (end 130.683 73.406) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 111.379 74.041) (end 110.49 74.93) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 134.239 77.089) (end 134.239 76.962) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 111.379 74.041) (end 113.919 74.041) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 113.919 74.041) (end 114.046 74.168) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 114.046 74.168) (end 114.554 74.168) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 114.554 74.168) (end 114.681 74.041) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 114.681 74.041) (end 122.809 74.041) (width 0.1524) (layer F.Cu) (net 4)) - (segment (start 152.527 81.661) (end 153.67 82.804) (width 0.1524) (layer B.Cu) (net 4)) - (segment (start 151.511 81.661) (end 152.527 81.661) (width 0.1524) (layer B.Cu) (net 4)) - (segment (start 145.034 75.184) (end 151.511 81.661) (width 0.1524) (layer B.Cu) (net 4)) - (via (at 146.05 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 5) (tstamp 5D132DA9)) - (segment (start 146.05 78.11) (end 146.05 74.93) (width 0.1524) (layer F.Cu) (net 5) (tstamp 5D132DAC)) - (segment (start 145.161 74.041) (end 146.05 74.93) (width 0.1524) (layer B.Cu) (net 5)) - (segment (start 144.526 74.041) (end 145.161 74.041) (width 0.1524) (layer B.Cu) (net 5)) - (segment (start 142.113 76.454) (end 144.526 74.041) (width 0.1524) (layer B.Cu) (net 5)) - (segment (start 131.572 76.454) (end 142.113 76.454) (width 0.1524) (layer B.Cu) (net 5)) - (via (at 131.572 76.454) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 5)) - (segment (start 111.76 79.756) (end 111.76 77.36) (width 0.1524) (layer F.Cu) (net 5)) - (segment (start 112.395 80.391) (end 111.76 79.756) (width 0.1524) (layer F.Cu) (net 5)) - (segment (start 127.635 80.391) (end 112.395 80.391) (width 0.1524) (layer F.Cu) (net 5)) - (segment (start 131.572 76.454) (end 127.635 80.391) (width 0.1524) (layer F.Cu) (net 5)) - (segment (start 167.005 80.899) (end 168.91 82.804) (width 0.1524) (layer B.Cu) (net 5)) - (segment (start 152.019 80.899) (end 167.005 80.899) (width 0.1524) (layer B.Cu) (net 5)) - (segment (start 146.05 74.93) (end 152.019 80.899) (width 0.1524) (layer B.Cu) (net 5)) - (segment (start 142.24 73.025) (end 142.24 69.96) (width 0.1524) (layer F.Cu) (net 10) (tstamp 5D1325CD)) - (via (at 110.49 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 6)) - (segment (start 110.49 69.21) (end 110.49 72.39) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 110.49 77.724) (end 110.49 72.39) (width 0.1524) (layer B.Cu) (net 6)) - (segment (start 105.41 82.804) (end 110.49 77.724) (width 0.1524) (layer B.Cu) (net 6)) - (via (at 140.208 74.168) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 6)) - (segment (start 144.78 73.025) (end 144.78 69.21) (width 0.1524) (layer F.Cu) (net 6)) - (via (at 144.78 73.025) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 6)) - (segment (start 111.379 73.279) (end 110.49 72.39) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 123.063 72.644) (end 122.428 73.279) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 138.684 72.644) (end 123.063 72.644) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 140.208 74.168) (end 138.684 72.644) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 142.621 74.168) (end 140.208 74.168) (width 0.1524) (layer B.Cu) (net 6)) - (segment (start 143.764 73.025) (end 142.621 74.168) (width 0.1524) (layer B.Cu) (net 6)) - (segment (start 144.78 73.025) (end 143.764 73.025) (width 0.1524) (layer B.Cu) (net 6)) - (segment (start 111.379 73.279) (end 113.919 73.279) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 113.919 73.279) (end 114.046 73.152) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 114.046 73.152) (end 114.554 73.152) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 114.681 73.279) (end 122.428 73.279) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 114.554 73.152) (end 114.681 73.279) (width 0.1524) (layer F.Cu) (net 6)) - (segment (start 124.333 81.407) (end 125.73 82.804) (width 0.1524) (layer F.Cu) (net 7)) - (segment (start 108.585 73.914) (end 108.585 79.756) (width 0.1524) (layer F.Cu) (net 7)) - (segment (start 110.236 81.407) (end 124.333 81.407) (width 0.1524) (layer F.Cu) (net 7)) - (segment (start 108.585 79.756) (end 110.236 81.407) (width 0.1524) (layer F.Cu) (net 7)) - (segment (start 109.22 73.279) (end 108.585 73.914) (width 0.1524) (layer F.Cu) (net 7)) - (segment (start 109.22 69.21) (end 109.22 73.279) (width 0.1524) (layer F.Cu) (net 7)) - (segment (start 131.572 81.026) (end 133.35 82.804) (width 0.1524) (layer F.Cu) (net 8)) - (segment (start 110.49 81.026) (end 131.572 81.026) (width 0.1524) (layer F.Cu) (net 8)) - (segment (start 109.22 78.11) (end 109.22 79.756) (width 0.1524) (layer F.Cu) (net 8)) - (segment (start 109.22 79.756) (end 110.49 81.026) (width 0.1524) (layer F.Cu) (net 8)) - (via (at 101.981 75.184) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 102.002 75.205) (end 101.981 75.184) (width 0.762) (layer F.Cu) (net 9)) - (segment (start 103.505 75.205) (end 102.002 75.205) (width 0.762) (layer F.Cu) (net 9)) - (via (at 103.505 74.041) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 103.505 75.205) (end 103.505 74.041) (width 0.762) (layer F.Cu) (net 9)) - (via (at 105.029 75.184) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 105.008 75.205) (end 105.029 75.184) (width 0.762) (layer F.Cu) (net 9)) - (segment (start 103.505 75.205) (end 105.008 75.205) (width 0.762) (layer F.Cu) (net 9)) - (via (at 123.571 75.184) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 123.592 75.205) (end 123.571 75.184) (width 0.762) (layer F.Cu) (net 9)) - (segment (start 125.095 75.205) (end 123.592 75.205) (width 0.762) (layer F.Cu) (net 9)) - (via (at 126.619 75.184) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 126.598 75.205) (end 126.619 75.184) (width 0.762) (layer F.Cu) (net 9)) - (segment (start 125.095 75.205) (end 126.598 75.205) (width 0.762) (layer F.Cu) (net 9)) - (via (at 125.095 74.041) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 125.095 75.205) (end 125.095 74.041) (width 0.762) (layer F.Cu) (net 9)) - (via (at 136.144 75.184) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9) (tstamp 5D1325AF)) - (segment (start 136.165 75.205) (end 136.144 75.184) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D13258E)) - (segment (start 137.668 75.205) (end 136.165 75.205) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D132597)) - (via (at 139.192 75.184) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9) (tstamp 5D132585)) - (segment (start 139.171 75.205) (end 139.192 75.184) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D132591)) - (segment (start 137.668 75.205) (end 139.171 75.205) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D1325A6)) - (via (at 137.668 74.041) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9) (tstamp 5D132594)) - (segment (start 137.668 75.205) (end 137.668 74.041) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D13258B)) - (via (at 157.734 75.184) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9) (tstamp 5D132588)) - (segment (start 157.755 75.205) (end 157.734 75.184) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D1325A9)) - (segment (start 159.258 75.205) (end 157.755 75.205) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D13259A)) - (via (at 159.258 74.041) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9) (tstamp 5D13259D)) - (segment (start 159.258 75.205) (end 159.258 74.041) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D1325A0)) - (segment (start 160.761 75.205) (end 160.782 75.184) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D1325B2)) - (via (at 160.782 75.184) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9) (tstamp 5D1325A3)) - (segment (start 159.258 75.205) (end 160.761 75.205) (width 0.762) (layer F.Cu) (net 9) (tstamp 5D1325AC)) - (via (at 140.081 68.834) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (via (at 157.099 69.215) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 157.094 69.21) (end 157.099 69.215) (width 0.508) (layer F.Cu) (net 9)) - (segment (start 156.21 69.21) (end 157.094 69.21) (width 0.508) (layer F.Cu) (net 9)) - (via (at 122.809 68.834) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (via (at 105.791 69.215) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 105.796 69.21) (end 105.791 69.215) (width 0.508) (layer F.Cu) (net 9)) - (segment (start 106.68 69.21) (end 105.796 69.21) (width 0.508) (layer F.Cu) (net 9)) - (via (at 175.006 75.184) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 175.027 75.205) (end 175.006 75.184) (width 0.8) (layer F.Cu) (net 9)) - (segment (start 176.53 75.205) (end 175.027 75.205) (width 0.8) (layer F.Cu) (net 9)) - (via (at 176.53 74.041) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) - (segment (start 176.53 75.205) (end 176.53 74.041) (width 0.8) (layer F.Cu) (net 9)) - (segment (start 141.605 73.66) (end 142.24 73.025) (width 0.1524) (layer F.Cu) (net 10) (tstamp 5D1325D0)) - (segment (start 141.605 82.169) (end 140.97 82.804) (width 0.1524) (layer F.Cu) (net 10)) - (segment (start 141.605 82.169) (end 141.605 73.66) (width 0.1524) (layer F.Cu) (net 10)) - (segment (start 150.114 81.788) (end 151.13 82.804) (width 0.1524) (layer F.Cu) (net 11)) - (segment (start 143.51 81.788) (end 150.114 81.788) (width 0.1524) (layer F.Cu) (net 11)) - (segment (start 142.24 80.518) (end 143.51 81.788) (width 0.1524) (layer F.Cu) (net 11)) - (segment (start 142.24 77.36) (end 142.24 80.518) (width 0.1524) (layer F.Cu) (net 11)) - (segment (start 157.099 81.153) (end 158.75 82.804) (width 0.1524) (layer F.Cu) (net 12)) - (segment (start 142.875 73.66) (end 142.875 80.137) (width 0.1524) (layer F.Cu) (net 12)) - (segment (start 143.891 81.153) (end 157.099 81.153) (width 0.1524) (layer F.Cu) (net 12)) - (segment (start 142.875 80.137) (end 143.891 81.153) (width 0.1524) (layer F.Cu) (net 12)) - (segment (start 143.51 73.025) (end 142.875 73.66) (width 0.1524) (layer F.Cu) (net 12)) - (segment (start 143.51 69.21) (end 143.51 73.025) (width 0.1524) (layer F.Cu) (net 12)) - (segment (start 161.544 80.518) (end 163.83 82.804) (width 0.1524) (layer F.Cu) (net 14)) - (segment (start 143.51 79.756) (end 144.272 80.518) (width 0.1524) (layer F.Cu) (net 14)) - (segment (start 144.272 80.518) (end 161.544 80.518) (width 0.1524) (layer F.Cu) (net 14)) - (segment (start 143.51 77.36) (end 143.51 79.756) (width 0.1524) (layer F.Cu) (net 14)) - (via (at 116.84 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 26)) - (via (at 151.13 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 20)) - (segment (start 151.13 77.36) (end 151.13 74.93) (width 0.1524) (layer F.Cu) (net 20)) - (via (at 118.11 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (via (at 152.4 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 21)) - (segment (start 152.4 78.11) (end 152.4 74.93) (width 0.1524) (layer F.Cu) (net 21)) - (via (at 153.67 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 22)) - (segment (start 153.67 78.11) (end 153.67 74.93) (width 0.1524) (layer F.Cu) (net 22)) - (via (at 113.03 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 24)) - (segment (start 113.03 69.21) (end 113.03 72.39) (width 0.1524) (layer F.Cu) (net 24)) - (via (at 154.94 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 23)) - (segment (start 154.94 78.11) (end 154.94 74.93) (width 0.1524) (layer F.Cu) (net 23)) - (via (at 154.94 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 24)) - (segment (start 154.94 69.21) (end 154.94 72.39) (width 0.1524) (layer F.Cu) (net 24)) - (via (at 153.67 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 25)) - (segment (start 153.67 69.96) (end 153.67 72.39) (width 0.1524) (layer F.Cu) (net 25)) - (via (at 118.11 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 21)) - (via (at 152.4 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 26)) - (segment (start 152.4 69.21) (end 152.4 72.39) (width 0.1524) (layer F.Cu) (net 26)) - (via (at 116.84 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 22)) - (via (at 119.38 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 119.38 69.21) (end 119.38 72.39) (width 0.1524) (layer F.Cu) (net 28)) - (via (at 151.13 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (segment (start 151.13 69.21) (end 151.13 72.39) (width 0.1524) (layer F.Cu) (net 27)) - (segment (start 116.84 78.994) (end 116.84 74.93) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 120.65 82.804) (end 116.84 78.994) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 113.03 80.264) (end 110.49 82.804) (width 0.1524) (layer B.Cu) (net 24)) - (segment (start 113.03 72.39) (end 113.03 80.264) (width 0.1524) (layer B.Cu) (net 24)) - (via (at 115.57 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 23)) - (segment (start 115.57 80.264) (end 115.57 74.93) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 113.03 82.804) (end 115.57 80.264) (width 0.1524) (layer B.Cu) (net 23)) - (via (at 149.86 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 149.86 69.21) (end 149.86 72.39) (width 0.1524) (layer F.Cu) (net 28)) - (via (at 120.65 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 120.65 69.21) (end 120.65 72.39) (width 0.1524) (layer F.Cu) (net 30)) - (via (at 115.57 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 25)) - (via (at 119.38 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 20)) - (segment (start 119.38 78.11) (end 119.38 74.93) (width 0.1524) (layer F.Cu) (net 20)) - (via (at 149.86 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 29)) - (segment (start 149.86 78.11) (end 149.86 74.93) (width 0.1524) (layer F.Cu) (net 29)) - (via (at 120.65 74.93) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 29)) - (segment (start 120.65 78.11) (end 120.65 74.93) (width 0.1524) (layer F.Cu) (net 29)) - (via (at 147.32 72.39) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 147.32 69.21) (end 147.32 72.39) (width 0.1524) (layer F.Cu) (net 30)) - (segment (start 116.84 72.39) (end 116.84 69.96) (width 0.1524) (layer F.Cu) (net 26)) - (segment (start 118.11 72.39) (end 118.11 69.96) (width 0.1524) (layer F.Cu) (net 27)) - (segment (start 118.11 74.93) (end 118.11 77.36) (width 0.1524) (layer F.Cu) (net 21)) - (segment (start 116.84 74.93) (end 116.84 77.36) (width 0.1524) (layer F.Cu) (net 22)) - (segment (start 115.57 72.39) (end 115.57 69.96) (width 0.1524) (layer F.Cu) (net 25)) - (segment (start 115.57 74.93) (end 115.57 77.36) (width 0.1524) (layer F.Cu) (net 23)) - (via (at 153.67 73.406) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (status 40000)) - (via (at 152.4 73.406) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D14A691) (status 40000)) - (via (at 151.13 73.406) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D14A697) (status 40000)) - (via (at 154.94 73.406) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D14A69A) (status 40000)) - (via (at 149.86 73.406) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D14A69D) (status 40000)) - (segment (start 133.096 81.407) (end 137.033 81.407) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 132.334 80.645) (end 133.096 81.407) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 137.033 81.407) (end 138.43 82.804) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 122.809 80.645) (end 132.334 80.645) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 119.38 77.216) (end 122.809 80.645) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 119.38 74.93) (end 119.38 77.216) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 121.158 71.12) (end 119.888 71.12) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 121.793 71.755) (end 121.158 71.12) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 141.605 71.755) (end 121.793 71.755) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 150.495 72.263) (end 149.225 70.993) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 149.225 70.993) (end 142.367 70.993) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 118.745 73.279) (end 119.38 73.914) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 150.495 74.295) (end 150.495 72.263) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 119.888 71.12) (end 118.745 72.263) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 142.367 70.993) (end 141.605 71.755) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 118.745 72.263) (end 118.745 73.279) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 119.38 73.914) (end 119.38 74.93) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 151.13 74.93) (end 150.495 74.295) (width 0.1524) (layer B.Cu) (net 20)) - (segment (start 122.555 81.407) (end 118.11 76.962) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 129.413 81.407) (end 122.555 81.407) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 118.11 76.962) (end 118.11 74.93) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 130.81 82.804) (end 129.413 81.407) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 118.11 73.914) (end 118.11 74.93) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 152.4 74.93) (end 151.765 74.295) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 121.412 70.358) (end 119.38 70.358) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 119.38 70.358) (end 117.475 72.263) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 122.047 70.993) (end 121.412 70.358) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 142.113 70.231) (end 141.351 70.993) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 141.351 70.993) (end 122.047 70.993) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 151.765 72.263) (end 149.733 70.231) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 117.475 73.279) (end 118.11 73.914) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 117.475 72.263) (end 117.475 73.279) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 149.733 70.231) (end 142.113 70.231) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 151.765 74.295) (end 151.765 72.263) (width 0.1524) (layer B.Cu) (net 21)) - (segment (start 141.097 70.231) (end 122.301 70.231) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 121.666 69.596) (end 118.872 69.596) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 116.205 72.263) (end 116.205 73.279) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 116.84 73.914) (end 116.84 74.93) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 118.872 69.596) (end 116.205 72.263) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 116.205 73.279) (end 116.84 73.914) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 141.859 69.469) (end 141.097 70.231) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 150.241 69.469) (end 141.859 69.469) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 153.035 72.263) (end 150.241 69.469) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 122.301 70.231) (end 121.666 69.596) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 153.035 74.295) (end 153.035 72.263) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 153.67 74.93) (end 153.035 74.295) (width 0.1524) (layer B.Cu) (net 22)) - (segment (start 154.305 74.295) (end 154.94 74.93) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 141.605 68.707) (end 150.749 68.707) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 154.305 72.263) (end 154.305 74.295) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 150.749 68.707) (end 154.305 72.263) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 140.843 69.469) (end 141.605 68.707) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 122.555 69.469) (end 140.843 69.469) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 121.92 68.834) (end 122.555 69.469) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 118.4275 68.834) (end 121.92 68.834) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 115.57 74.93) (end 115.57 73.914) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 114.935 73.279) (end 114.935 72.3265) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 115.57 73.914) (end 114.935 73.279) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 114.935 72.3265) (end 118.4275 68.834) (width 0.1524) (layer B.Cu) (net 23)) - (segment (start 115.57 73.279) (end 115.57 72.39) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 116.205 73.914) (end 115.57 73.279) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 116.205 80.899) (end 116.205 73.914) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 118.11 82.804) (end 116.205 80.899) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 153.67 72.263) (end 153.67 72.39) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 150.495 69.088) (end 153.67 72.263) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 141.732 69.088) (end 150.495 69.088) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 140.97 69.85) (end 141.732 69.088) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 122.428 69.85) (end 140.97 69.85) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 121.793 69.215) (end 122.428 69.85) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 118.618 69.215) (end 121.793 69.215) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 115.57 72.263) (end 118.618 69.215) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 115.57 72.39) (end 115.57 72.263) (width 0.1524) (layer B.Cu) (net 25)) - (segment (start 116.84 73.279) (end 116.84 72.39) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 117.475 76.835) (end 117.475 73.914) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 122.428 81.788) (end 117.475 76.835) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 117.475 73.914) (end 116.84 73.279) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 127.254 81.788) (end 122.428 81.788) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 128.27 82.804) (end 127.254 81.788) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 116.84 72.263) (end 116.84 72.39) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 119.126 69.977) (end 116.84 72.263) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 121.539 69.977) (end 119.126 69.977) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 122.174 70.612) (end 121.539 69.977) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 141.224 70.612) (end 122.174 70.612) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 141.986 69.85) (end 141.224 70.612) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 149.987 69.85) (end 141.986 69.85) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 152.4 72.263) (end 149.987 69.85) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 152.4 72.39) (end 152.4 72.263) (width 0.1524) (layer B.Cu) (net 26)) - (segment (start 135.89 82.804) (end 135.89 82.55) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 134.874 81.788) (end 135.89 82.804) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 118.745 77.089) (end 122.682 81.026) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 122.682 81.026) (end 132.207 81.026) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 118.745 73.914) (end 118.745 77.089) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 132.969 81.788) (end 134.874 81.788) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 132.207 81.026) (end 132.969 81.788) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 118.11 73.279) (end 118.745 73.914) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 118.11 72.39) (end 118.11 73.279) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 151.13 72.263) (end 151.13 72.39) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 149.479 70.612) (end 151.13 72.263) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 141.478 71.374) (end 142.24 70.612) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 121.285 70.739) (end 121.92 71.374) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 119.634 70.739) (end 121.285 70.739) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 118.11 72.263) (end 119.634 70.739) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 121.92 71.374) (end 141.478 71.374) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 142.24 70.612) (end 149.479 70.612) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 118.11 72.39) (end 118.11 72.263) (width 0.1524) (layer B.Cu) (net 27)) - (segment (start 119.38 73.279) (end 119.38 72.39) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 120.015 73.914) (end 119.38 73.279) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 120.015 77.343) (end 120.015 73.914) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 132.461 80.264) (end 122.936 80.264) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 133.223 81.026) (end 132.461 80.264) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 141.732 81.026) (end 133.223 81.026) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 122.936 80.264) (end 120.015 77.343) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 143.51 82.804) (end 141.732 81.026) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 149.86 72.263) (end 149.86 72.39) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 148.971 71.374) (end 149.86 72.263) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 142.494 71.374) (end 148.971 71.374) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 141.732 72.136) (end 142.494 71.374) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 121.666 72.136) (end 141.732 72.136) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 121.031 71.501) (end 121.666 72.136) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 120.142 71.501) (end 121.031 71.501) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 119.38 72.263) (end 120.142 71.501) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 119.38 72.39) (end 119.38 72.263) (width 0.1524) (layer B.Cu) (net 28)) - (segment (start 121.158 72.898) (end 120.65 72.39) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 147.32 81.534) (end 148.59 82.804) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 146.05 80.264) (end 147.32 81.534) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 129.921 76.708) (end 133.477 80.264) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 121.539 74.93) (end 123.317 76.708) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 133.477 80.264) (end 146.05 80.264) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 123.317 76.708) (end 129.921 76.708) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 121.539 73.279) (end 121.539 74.93) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 121.158 72.898) (end 121.539 73.279) (width 0.1524) (layer B.Cu) (net 30)) - (via (at 128.397 78.232) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D156D52)) - (via (at 131.826 79.375) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E15)) - (via (at 129.667 77.216) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E1B)) - (via (at 123.317 79.375) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E1D)) - (via (at 148.59 73.66) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E1F)) - (via (at 114.3 73.66) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D159E25)) - (via (at 131.445 73.406) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15A661)) - (via (at 138.684 73.406) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15A667)) - (segment (start 122.809 68.834) (end 122.047 68.834) (width 0.508) (layer F.Cu) (net 9)) - (segment (start 121.92 68.961) (end 121.92 69.96) (width 0.508) (layer F.Cu) (net 9)) - (segment (start 122.047 68.834) (end 121.92 68.961) (width 0.508) (layer F.Cu) (net 9)) - (segment (start 140.081 68.834) (end 140.89859 68.834) (width 0.508) (layer F.Cu) (net 9)) - (segment (start 140.97 68.90541) (end 140.97 69.96) (width 0.508) (layer F.Cu) (net 9)) - (segment (start 140.89859 68.834) (end 140.97 68.90541) (width 0.508) (layer F.Cu) (net 9)) - (via (at 111.76 80.518) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA80)) - (via (at 125.222 81.534) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA86)) - (via (at 124.079 81.915) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA88)) - (via (at 115.443 81.915) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA8A)) - (via (at 114.3 82.296) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA8C)) - (via (at 130.302 81.534) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA8E)) - (via (at 135.763 81.915) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA90)) - (via (at 137.922 81.534) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AA92)) - (via (at 158.115 81.407) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AD6A)) - (via (at 153.289 81.661) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AD70)) - (via (at 166.751 81.407) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 9) (tstamp 5D15AD7E)) - (segment (start 117.348 68.072) (end 113.03 72.39) (width 0.1524) (layer B.Cu) (net 24)) - (segment (start 150.749 68.072) (end 117.348 68.072) (width 0.1524) (layer B.Cu) (net 24)) - (segment (start 154.94 72.263) (end 150.749 68.072) (width 0.1524) (layer B.Cu) (net 24)) - (segment (start 154.94 72.39) (end 154.94 72.263) (width 0.1524) (layer B.Cu) (net 24)) - (segment (start 121.412 73.025) (end 121.539 73.152) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 121.412 72.898) (end 121.412 73.025) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 121.539 72.898) (end 121.412 72.898) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 121.539 73.152) (end 121.539 72.898) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 121.539 73.279) (end 121.539 73.152) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 121.412 72.898) (end 121.158 72.898) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 120.65 77.47) (end 120.65 74.93) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 133.35 80.645) (end 132.588 79.883) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 123.063 79.883) (end 120.65 77.47) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 146.05 82.804) (end 143.891 80.645) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 132.588 79.883) (end 123.063 79.883) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 143.891 80.645) (end 133.35 80.645) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 141.859 72.517) (end 142.621 71.755) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 149.225 74.295) (end 149.86 74.93) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 142.621 71.755) (end 148.717 71.755) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 120.015 73.279) (end 120.015 72.136) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 149.225 72.263) (end 149.225 74.295) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 120.904 71.882) (end 121.539 72.517) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 121.539 72.517) (end 141.859 72.517) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 120.015 72.136) (end 120.269 71.882) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 120.269 71.882) (end 120.904 71.882) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 120.65 73.914) (end 120.015 73.279) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 148.717 71.755) (end 149.225 72.263) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 120.65 74.93) (end 120.65 73.914) (width 0.1524) (layer B.Cu) (net 29)) - (segment (start 142.748 72.136) (end 147.066 72.136) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 141.986 72.898) (end 142.748 72.136) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 147.066 72.136) (end 147.32 72.39) (width 0.1524) (layer B.Cu) (net 30)) - (segment (start 121.539 72.898) (end 141.986 72.898) (width 0.1524) (layer B.Cu) (net 30)) - - (zone (net 9) (net_name GND) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (zone (net 9) (net_name GND) (layer F.Cu) (tstamp 5D35ADD2) (hatch edge 0.508) (connect_pads (clearance 0.1524)) (min_thickness 0.1524) - (fill (arc_segments 16) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (fill yes (arc_segments 16) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) (polygon (pts - (xy 100.838 66.548) (xy 178.562 66.548) (xy 178.562 85.598) (xy 100.838 85.598) + (xy 76.2 82.55) (xy 165.1 82.55) (xy 165.1 101.6) (xy 76.2 101.6) + ) + ) + (filled_polygon + (pts + (xy 164.771401 101.2714) (xy 78.5606 101.2714) (xy 78.5606 99.2505) (xy 82.701294 99.2505) (xy 82.701294 100.3935) + (xy 82.714249 100.525031) (xy 82.752615 100.651507) (xy 82.814918 100.768069) (xy 82.898764 100.870236) (xy 83.000931 100.954082) + (xy 83.117493 101.016385) (xy 83.243969 101.054751) (xy 83.3755 101.067706) (xy 84.2645 101.067706) (xy 84.396031 101.054751) + (xy 84.522507 101.016385) (xy 84.639069 100.954082) (xy 84.741236 100.870236) (xy 84.825082 100.768069) (xy 84.887385 100.651507) + (xy 84.925751 100.525031) (xy 84.938706 100.3935) (xy 84.938706 99.2505) (xy 85.241294 99.2505) (xy 85.241294 100.3935) + (xy 85.254249 100.525031) (xy 85.292615 100.651507) (xy 85.354918 100.768069) (xy 85.438764 100.870236) (xy 85.540931 100.954082) + (xy 85.657493 101.016385) (xy 85.783969 101.054751) (xy 85.9155 101.067706) (xy 86.8045 101.067706) (xy 86.936031 101.054751) + (xy 87.062507 101.016385) (xy 87.179069 100.954082) (xy 87.281236 100.870236) (xy 87.365082 100.768069) (xy 87.427385 100.651507) + (xy 87.465751 100.525031) (xy 87.478706 100.3935) (xy 87.478706 99.2505) (xy 87.465751 99.118969) (xy 87.427385 98.992493) + (xy 87.365082 98.875931) (xy 87.281236 98.773764) (xy 87.179069 98.689918) (xy 87.062507 98.627615) (xy 86.952707 98.594308) + (xy 86.917059 98.508246) (xy 86.848266 98.405291) (xy 86.760709 98.317734) (xy 86.657754 98.248941) (xy 86.543356 98.201556) + (xy 86.421912 98.1774) (xy 86.298088 98.1774) (xy 86.176644 98.201556) (xy 86.062246 98.248941) (xy 85.959291 98.317734) + (xy 85.871734 98.405291) (xy 85.802941 98.508246) (xy 85.767293 98.594308) (xy 85.657493 98.627615) (xy 85.540931 98.689918) + (xy 85.438764 98.773764) (xy 85.354918 98.875931) (xy 85.292615 98.992493) (xy 85.254249 99.118969) (xy 85.241294 99.2505) + (xy 84.938706 99.2505) (xy 84.925751 99.118969) (xy 84.887385 98.992493) (xy 84.825082 98.875931) (xy 84.741236 98.773764) + (xy 84.639069 98.689918) (xy 84.522507 98.627615) (xy 84.412707 98.594308) (xy 84.377059 98.508246) (xy 84.308266 98.405291) + (xy 84.220709 98.317734) (xy 84.117754 98.248941) (xy 84.003356 98.201556) (xy 83.881912 98.1774) (xy 83.758088 98.1774) + (xy 83.636644 98.201556) (xy 83.522246 98.248941) (xy 83.419291 98.317734) (xy 83.331734 98.405291) (xy 83.262941 98.508246) + (xy 83.227293 98.594308) (xy 83.117493 98.627615) (xy 83.000931 98.689918) (xy 82.898764 98.773764) (xy 82.814918 98.875931) + (xy 82.752615 98.992493) (xy 82.714249 99.118969) (xy 82.701294 99.2505) (xy 78.5606 99.2505) (xy 78.5606 97.265865) + (xy 78.559136 97.251) (xy 78.559208 97.240692) (xy 78.55876 97.236126) (xy 78.525079 96.915672) (xy 78.51908 96.886446) + (xy 78.513509 96.857242) (xy 78.512185 96.852858) (xy 78.512184 96.852851) (xy 78.512181 96.852845) (xy 78.4169 96.545042) + (xy 78.405368 96.517608) (xy 78.394203 96.489973) (xy 78.392049 96.485922) (xy 78.238793 96.202483) (xy 78.222151 96.17781) + (xy 78.205832 96.152871) (xy 78.202932 96.149316) (xy 77.997542 95.901042) (xy 77.97641 95.880057) (xy 77.955571 95.858777) + (xy 77.952036 95.855852) (xy 77.702334 95.6522) (xy 77.677513 95.635709) (xy 77.652954 95.618893) (xy 77.648918 95.616711) + (xy 77.364416 95.465438) (xy 77.336876 95.454086) (xy 77.309505 95.442355) (xy 77.30513 95.441001) (xy 77.305124 95.440999) + (xy 77.305118 95.440998) (xy 76.996656 95.347868) (xy 76.967429 95.342081) (xy 76.93831 95.335891) (xy 76.933747 95.335411) + (xy 76.613066 95.303968) (xy 76.613056 95.303968) (xy 76.597135 95.3024) (xy 76.5286 95.3024) (xy 76.5286 94.045088) + (xy 83.1914 94.045088) (xy 83.1914 94.168912) (xy 83.215556 94.290356) (xy 83.262941 94.404754) (xy 83.331734 94.507709) + (xy 83.419291 94.595266) (xy 83.522246 94.664059) (xy 83.636644 94.711444) (xy 83.758088 94.7356) (xy 83.881912 94.7356) + (xy 83.977434 94.7166) (xy 84.303685 94.7166) (xy 84.304458 94.717542) (xy 84.379045 94.778754) (xy 84.464141 94.824239) + (xy 84.480401 94.829171) (xy 84.480401 95.092561) (xy 84.4614 95.188088) (xy 84.4614 95.311912) (xy 84.485556 95.433356) + (xy 84.532941 95.547754) (xy 84.601734 95.650709) (xy 84.689291 95.738266) (xy 84.792246 95.807059) (xy 84.906644 95.854444) + (xy 85.028088 95.8786) (xy 85.151912 95.8786) (xy 85.273356 95.854444) (xy 85.387754 95.807059) (xy 85.490709 95.738266) + (xy 85.578266 95.650709) (xy 85.647059 95.547754) (xy 85.694444 95.433356) (xy 85.7186 95.311912) (xy 85.7186 95.188088) + (xy 85.6996 95.092566) (xy 85.6996 94.829171) (xy 85.715859 94.824239) (xy 85.800955 94.778754) (xy 85.875542 94.717542) + (xy 85.876315 94.7166) (xy 86.583566 94.7166) (xy 86.679088 94.7356) (xy 86.802912 94.7356) (xy 86.924356 94.711444) + (xy 87.038754 94.664059) (xy 87.100294 94.622939) (xy 87.100294 95.212) (xy 87.10759 95.286077) (xy 87.129197 95.357307) + (xy 87.164286 95.422953) (xy 87.211507 95.480493) (xy 87.269047 95.527714) (xy 87.334693 95.562803) (xy 87.405923 95.58441) + (xy 87.48 95.591706) (xy 87.78 95.591706) (xy 87.854077 95.58441) (xy 87.925307 95.562803) (xy 87.9602 95.544152) + (xy 87.9602 95.616042) (xy 87.958727 95.631) (xy 87.9602 95.645958) (xy 87.9602 95.645965) (xy 87.961858 95.662796) + (xy 87.964611 95.690751) (xy 87.974127 95.722121) (xy 87.98204 95.748205) (xy 88.010342 95.801156) (xy 88.048432 95.847568) + (xy 88.060061 95.857112) (xy 88.595201 96.392253) (xy 88.5952 98.253649) (xy 88.499291 98.317734) (xy 88.411734 98.405291) + (xy 88.342941 98.508246) (xy 88.307293 98.594308) (xy 88.197493 98.627615) (xy 88.080931 98.689918) (xy 87.978764 98.773764) + (xy 87.894918 98.875931) (xy 87.832615 98.992493) (xy 87.794249 99.118969) (xy 87.781294 99.2505) (xy 87.781294 100.3935) + (xy 87.794249 100.525031) (xy 87.832615 100.651507) (xy 87.894918 100.768069) (xy 87.978764 100.870236) (xy 88.080931 100.954082) + (xy 88.197493 101.016385) (xy 88.323969 101.054751) (xy 88.4555 101.067706) (xy 89.3445 101.067706) (xy 89.476031 101.054751) + (xy 89.602507 101.016385) (xy 89.719069 100.954082) (xy 89.821236 100.870236) (xy 89.905082 100.768069) (xy 89.967385 100.651507) + (xy 90.005751 100.525031) (xy 90.018706 100.3935) (xy 90.018706 99.2505) (xy 90.321294 99.2505) (xy 90.321294 100.3935) + (xy 90.334249 100.525031) (xy 90.372615 100.651507) (xy 90.434918 100.768069) (xy 90.518764 100.870236) (xy 90.620931 100.954082) + (xy 90.737493 101.016385) (xy 90.863969 101.054751) (xy 90.9955 101.067706) (xy 91.8845 101.067706) (xy 92.016031 101.054751) + (xy 92.142507 101.016385) (xy 92.259069 100.954082) (xy 92.361236 100.870236) (xy 92.445082 100.768069) (xy 92.507385 100.651507) + (xy 92.545751 100.525031) (xy 92.558706 100.3935) (xy 92.558706 99.2505) (xy 92.861294 99.2505) (xy 92.861294 100.3935) + (xy 92.874249 100.525031) (xy 92.912615 100.651507) (xy 92.974918 100.768069) (xy 93.058764 100.870236) (xy 93.160931 100.954082) + (xy 93.277493 101.016385) (xy 93.403969 101.054751) (xy 93.5355 101.067706) (xy 94.4245 101.067706) (xy 94.556031 101.054751) + (xy 94.682507 101.016385) (xy 94.799069 100.954082) (xy 94.901236 100.870236) (xy 94.985082 100.768069) (xy 95.047385 100.651507) + (xy 95.085751 100.525031) (xy 95.098706 100.3935) (xy 95.098706 99.2505) (xy 95.085751 99.118969) (xy 95.047385 98.992493) + (xy 94.985082 98.875931) (xy 94.901236 98.773764) (xy 94.799069 98.689918) (xy 94.682507 98.627615) (xy 94.572707 98.594308) + (xy 94.537059 98.508246) (xy 94.468266 98.405291) (xy 94.380709 98.317734) (xy 94.277754 98.248941) (xy 94.163356 98.201556) + (xy 94.041912 98.1774) (xy 93.918088 98.1774) (xy 93.796644 98.201556) (xy 93.682246 98.248941) (xy 93.579291 98.317734) + (xy 93.491734 98.405291) (xy 93.422941 98.508246) (xy 93.387293 98.594308) (xy 93.277493 98.627615) (xy 93.160931 98.689918) + (xy 93.058764 98.773764) (xy 92.974918 98.875931) (xy 92.912615 98.992493) (xy 92.874249 99.118969) (xy 92.861294 99.2505) + (xy 92.558706 99.2505) (xy 92.545751 99.118969) (xy 92.507385 98.992493) (xy 92.445082 98.875931) (xy 92.361236 98.773764) + (xy 92.259069 98.689918) (xy 92.142507 98.627615) (xy 92.032707 98.594308) (xy 91.997059 98.508246) (xy 91.928266 98.405291) + (xy 91.840709 98.317734) (xy 91.737754 98.248941) (xy 91.623356 98.201556) (xy 91.501912 98.1774) (xy 91.378088 98.1774) + (xy 91.256644 98.201556) (xy 91.142246 98.248941) (xy 91.039291 98.317734) (xy 90.951734 98.405291) (xy 90.882941 98.508246) + (xy 90.847293 98.594308) (xy 90.737493 98.627615) (xy 90.620931 98.689918) (xy 90.518764 98.773764) (xy 90.434918 98.875931) + (xy 90.372615 98.992493) (xy 90.334249 99.118969) (xy 90.321294 99.2505) (xy 90.018706 99.2505) (xy 90.005751 99.118969) + (xy 89.967385 98.992493) (xy 89.905082 98.875931) (xy 89.821236 98.773764) (xy 89.719069 98.689918) (xy 89.602507 98.627615) + (xy 89.492707 98.594308) (xy 89.457059 98.508246) (xy 89.388266 98.405291) (xy 89.300709 98.317734) (xy 89.2048 98.253649) + (xy 89.2048 96.493851) (xy 90.705891 97.994943) (xy 90.715432 98.006568) (xy 90.761843 98.044658) (xy 90.814792 98.072959) + (xy 90.814794 98.07296) (xy 90.872248 98.090389) (xy 90.877403 98.090897) (xy 90.917034 98.0948) (xy 90.917042 98.0948) + (xy 90.932 98.096273) (xy 90.946958 98.0948) (xy 95.377749 98.0948) (xy 95.888902 98.605953) (xy 95.817493 98.627615) + (xy 95.700931 98.689918) (xy 95.598764 98.773764) (xy 95.514918 98.875931) (xy 95.452615 98.992493) (xy 95.414249 99.118969) + (xy 95.401294 99.2505) (xy 95.401294 100.3935) (xy 95.414249 100.525031) (xy 95.452615 100.651507) (xy 95.514918 100.768069) + (xy 95.598764 100.870236) (xy 95.700931 100.954082) (xy 95.817493 101.016385) (xy 95.943969 101.054751) (xy 96.0755 101.067706) + (xy 96.9645 101.067706) (xy 97.096031 101.054751) (xy 97.222507 101.016385) (xy 97.339069 100.954082) (xy 97.441236 100.870236) + (xy 97.525082 100.768069) (xy 97.587385 100.651507) (xy 97.625751 100.525031) (xy 97.638706 100.3935) (xy 97.638706 99.2505) + (xy 97.941294 99.2505) (xy 97.941294 100.3935) (xy 97.954249 100.525031) (xy 97.992615 100.651507) (xy 98.054918 100.768069) + (xy 98.138764 100.870236) (xy 98.240931 100.954082) (xy 98.357493 101.016385) (xy 98.483969 101.054751) (xy 98.6155 101.067706) + (xy 99.5045 101.067706) (xy 99.636031 101.054751) (xy 99.762507 101.016385) (xy 99.879069 100.954082) (xy 99.981236 100.870236) + (xy 100.065082 100.768069) (xy 100.127385 100.651507) (xy 100.165751 100.525031) (xy 100.178706 100.3935) (xy 100.178706 99.2505) + (xy 100.481294 99.2505) (xy 100.481294 100.3935) (xy 100.494249 100.525031) (xy 100.532615 100.651507) (xy 100.594918 100.768069) + (xy 100.678764 100.870236) (xy 100.780931 100.954082) (xy 100.897493 101.016385) (xy 101.023969 101.054751) (xy 101.1555 101.067706) + (xy 102.0445 101.067706) (xy 102.176031 101.054751) (xy 102.302507 101.016385) (xy 102.419069 100.954082) (xy 102.521236 100.870236) + (xy 102.547691 100.838) (xy 103.021294 100.838) (xy 103.025708 100.882813) (xy 103.038779 100.925905) (xy 103.060006 100.965618) + (xy 103.088573 101.000427) (xy 103.123382 101.028994) (xy 103.163095 101.050221) (xy 103.206187 101.063292) (xy 103.251 101.067706) + (xy 104.00905 101.0666) (xy 104.0662 101.00945) (xy 104.0662 99.8958) (xy 104.2138 99.8958) (xy 104.2138 101.00945) + (xy 104.27095 101.0666) (xy 105.029 101.067706) (xy 105.073813 101.063292) (xy 105.116905 101.050221) (xy 105.156618 101.028994) + (xy 105.191427 101.000427) (xy 105.219994 100.965618) (xy 105.241221 100.925905) (xy 105.254292 100.882813) (xy 105.258706 100.838) + (xy 105.2576 99.95295) (xy 105.20045 99.8958) (xy 104.2138 99.8958) (xy 104.0662 99.8958) (xy 103.07955 99.8958) + (xy 103.0224 99.95295) (xy 103.021294 100.838) (xy 102.547691 100.838) (xy 102.605082 100.768069) (xy 102.667385 100.651507) + (xy 102.705751 100.525031) (xy 102.718706 100.3935) (xy 102.718706 99.2505) (xy 102.705751 99.118969) (xy 102.667385 98.992493) + (xy 102.605082 98.875931) (xy 102.547692 98.806) (xy 103.021294 98.806) (xy 103.0224 99.69105) (xy 103.07955 99.7482) + (xy 104.0662 99.7482) (xy 104.0662 99.7282) (xy 104.2138 99.7282) (xy 104.2138 99.7482) (xy 105.20045 99.7482) + (xy 105.2576 99.69105) (xy 105.258706 98.806) (xy 105.254292 98.761187) (xy 105.241221 98.718095) (xy 105.219994 98.678382) + (xy 105.191427 98.643573) (xy 105.156618 98.615006) (xy 105.116905 98.593779) (xy 105.073813 98.580708) (xy 105.029 98.576294) + (xy 104.7253 98.576737) (xy 104.702998 98.519637) (xy 104.690888 98.496978) (xy 104.595046 98.455323) (xy 104.473264 98.577105) + (xy 104.27095 98.5774) (xy 104.213802 98.634548) (xy 104.213802 98.627829) (xy 104.490677 98.350954) (xy 104.449022 98.255112) + (xy 104.335611 98.20541) (xy 104.214683 98.178789) (xy 104.090885 98.176271) (xy 103.968975 98.197953) (xy 103.853637 98.243002) + (xy 103.830978 98.255112) (xy 103.789323 98.350954) (xy 104.066198 98.627829) (xy 104.066198 98.634548) (xy 104.00905 98.5774) + (xy 103.806736 98.577105) (xy 103.684954 98.455323) (xy 103.589112 98.496978) (xy 103.554158 98.576736) (xy 103.251 98.576294) + (xy 103.206187 98.580708) (xy 103.163095 98.593779) (xy 103.123382 98.615006) (xy 103.088573 98.643573) (xy 103.060006 98.678382) + (xy 103.038779 98.718095) (xy 103.025708 98.761187) (xy 103.021294 98.806) (xy 102.547692 98.806) (xy 102.521236 98.773764) + (xy 102.419069 98.689918) (xy 102.302507 98.627615) (xy 102.192707 98.594308) (xy 102.157059 98.508246) (xy 102.088266 98.405291) + (xy 102.000709 98.317734) (xy 101.897754 98.248941) (xy 101.783356 98.201556) (xy 101.661912 98.1774) (xy 101.538088 98.1774) + (xy 101.416644 98.201556) (xy 101.302246 98.248941) (xy 101.199291 98.317734) (xy 101.111734 98.405291) (xy 101.042941 98.508246) + (xy 101.007293 98.594308) (xy 100.897493 98.627615) (xy 100.780931 98.689918) (xy 100.678764 98.773764) (xy 100.594918 98.875931) + (xy 100.532615 98.992493) (xy 100.494249 99.118969) (xy 100.481294 99.2505) (xy 100.178706 99.2505) (xy 100.165751 99.118969) + (xy 100.127385 98.992493) (xy 100.065082 98.875931) (xy 99.981236 98.773764) (xy 99.879069 98.689918) (xy 99.762507 98.627615) + (xy 99.652707 98.594308) (xy 99.617059 98.508246) (xy 99.548266 98.405291) (xy 99.460709 98.317734) (xy 99.357754 98.248941) + (xy 99.243356 98.201556) (xy 99.121912 98.1774) (xy 98.998088 98.1774) (xy 98.876644 98.201556) (xy 98.762246 98.248941) + (xy 98.659291 98.317734) (xy 98.571734 98.405291) (xy 98.502941 98.508246) (xy 98.467293 98.594308) (xy 98.357493 98.627615) + (xy 98.240931 98.689918) (xy 98.138764 98.773764) (xy 98.054918 98.875931) (xy 97.992615 98.992493) (xy 97.954249 99.118969) + (xy 97.941294 99.2505) (xy 97.638706 99.2505) (xy 97.625751 99.118969) (xy 97.587385 98.992493) (xy 97.525082 98.875931) + (xy 97.441236 98.773764) (xy 97.339069 98.689918) (xy 97.222507 98.627615) (xy 97.112707 98.594308) (xy 97.077059 98.508246) + (xy 97.008266 98.405291) (xy 96.920709 98.317734) (xy 96.817754 98.248941) (xy 96.703356 98.201556) (xy 96.581912 98.1774) + (xy 96.458088 98.1774) (xy 96.344955 98.199903) (xy 95.858851 97.7138) (xy 105.156749 97.7138) (xy 106.048902 98.605953) + (xy 105.977493 98.627615) (xy 105.860931 98.689918) (xy 105.758764 98.773764) (xy 105.674918 98.875931) (xy 105.612615 98.992493) + (xy 105.574249 99.118969) (xy 105.561294 99.2505) (xy 105.561294 100.3935) (xy 105.574249 100.525031) (xy 105.612615 100.651507) + (xy 105.674918 100.768069) (xy 105.758764 100.870236) (xy 105.860931 100.954082) (xy 105.977493 101.016385) (xy 106.103969 101.054751) + (xy 106.2355 101.067706) (xy 107.1245 101.067706) (xy 107.256031 101.054751) (xy 107.382507 101.016385) (xy 107.499069 100.954082) + (xy 107.601236 100.870236) (xy 107.685082 100.768069) (xy 107.747385 100.651507) (xy 107.785751 100.525031) (xy 107.798706 100.3935) + (xy 107.798706 99.2505) (xy 108.101294 99.2505) (xy 108.101294 100.3935) (xy 108.114249 100.525031) (xy 108.152615 100.651507) + (xy 108.214918 100.768069) (xy 108.298764 100.870236) (xy 108.400931 100.954082) (xy 108.517493 101.016385) (xy 108.643969 101.054751) + (xy 108.7755 101.067706) (xy 109.6645 101.067706) (xy 109.796031 101.054751) (xy 109.922507 101.016385) (xy 110.039069 100.954082) + (xy 110.141236 100.870236) (xy 110.225082 100.768069) (xy 110.287385 100.651507) (xy 110.325751 100.525031) (xy 110.338706 100.3935) + (xy 110.338706 99.2505) (xy 110.641294 99.2505) (xy 110.641294 100.3935) (xy 110.654249 100.525031) (xy 110.692615 100.651507) + (xy 110.754918 100.768069) (xy 110.838764 100.870236) (xy 110.940931 100.954082) (xy 111.057493 101.016385) (xy 111.183969 101.054751) + (xy 111.3155 101.067706) (xy 112.2045 101.067706) (xy 112.336031 101.054751) (xy 112.462507 101.016385) (xy 112.579069 100.954082) + (xy 112.681236 100.870236) (xy 112.765082 100.768069) (xy 112.827385 100.651507) (xy 112.865751 100.525031) (xy 112.878706 100.3935) + (xy 112.878706 99.2505) (xy 112.865751 99.118969) (xy 112.827385 98.992493) (xy 112.765082 98.875931) (xy 112.681236 98.773764) + (xy 112.579069 98.689918) (xy 112.462507 98.627615) (xy 112.352707 98.594308) (xy 112.317059 98.508246) (xy 112.248266 98.405291) + (xy 112.160709 98.317734) (xy 112.057754 98.248941) (xy 111.943356 98.201556) (xy 111.821912 98.1774) (xy 111.698088 98.1774) + (xy 111.576644 98.201556) (xy 111.462246 98.248941) (xy 111.359291 98.317734) (xy 111.271734 98.405291) (xy 111.202941 98.508246) + (xy 111.167293 98.594308) (xy 111.057493 98.627615) (xy 110.940931 98.689918) (xy 110.838764 98.773764) (xy 110.754918 98.875931) + (xy 110.692615 98.992493) (xy 110.654249 99.118969) (xy 110.641294 99.2505) (xy 110.338706 99.2505) (xy 110.325751 99.118969) + (xy 110.287385 98.992493) (xy 110.225082 98.875931) (xy 110.141236 98.773764) (xy 110.039069 98.689918) (xy 109.922507 98.627615) + (xy 109.812707 98.594308) (xy 109.777059 98.508246) (xy 109.708266 98.405291) (xy 109.620709 98.317734) (xy 109.517754 98.248941) + (xy 109.403356 98.201556) (xy 109.281912 98.1774) (xy 109.158088 98.1774) (xy 109.036644 98.201556) (xy 108.922246 98.248941) + (xy 108.819291 98.317734) (xy 108.731734 98.405291) (xy 108.662941 98.508246) (xy 108.627293 98.594308) (xy 108.517493 98.627615) + (xy 108.400931 98.689918) (xy 108.298764 98.773764) (xy 108.214918 98.875931) (xy 108.152615 98.992493) (xy 108.114249 99.118969) + (xy 108.101294 99.2505) (xy 107.798706 99.2505) (xy 107.785751 99.118969) (xy 107.747385 98.992493) (xy 107.685082 98.875931) + (xy 107.601236 98.773764) (xy 107.499069 98.689918) (xy 107.382507 98.627615) (xy 107.272707 98.594308) (xy 107.237059 98.508246) + (xy 107.168266 98.405291) (xy 107.080709 98.317734) (xy 106.977754 98.248941) (xy 106.863356 98.201556) (xy 106.741912 98.1774) + (xy 106.618088 98.1774) (xy 106.504955 98.199903) (xy 105.637851 97.3328) (xy 112.395749 97.3328) (xy 113.668902 98.605953) + (xy 113.597493 98.627615) (xy 113.480931 98.689918) (xy 113.378764 98.773764) (xy 113.294918 98.875931) (xy 113.232615 98.992493) + (xy 113.194249 99.118969) (xy 113.181294 99.2505) (xy 113.181294 100.3935) (xy 113.194249 100.525031) (xy 113.232615 100.651507) + (xy 113.294918 100.768069) (xy 113.378764 100.870236) (xy 113.480931 100.954082) (xy 113.597493 101.016385) (xy 113.723969 101.054751) + (xy 113.8555 101.067706) (xy 114.7445 101.067706) (xy 114.876031 101.054751) (xy 115.002507 101.016385) (xy 115.119069 100.954082) + (xy 115.221236 100.870236) (xy 115.305082 100.768069) (xy 115.367385 100.651507) (xy 115.405751 100.525031) (xy 115.418706 100.3935) + (xy 115.418706 99.2505) (xy 115.721294 99.2505) (xy 115.721294 100.3935) (xy 115.734249 100.525031) (xy 115.772615 100.651507) + (xy 115.834918 100.768069) (xy 115.918764 100.870236) (xy 116.020931 100.954082) (xy 116.137493 101.016385) (xy 116.263969 101.054751) + (xy 116.3955 101.067706) (xy 117.2845 101.067706) (xy 117.416031 101.054751) (xy 117.542507 101.016385) (xy 117.659069 100.954082) + (xy 117.761236 100.870236) (xy 117.845082 100.768069) (xy 117.907385 100.651507) (xy 117.945751 100.525031) (xy 117.958706 100.3935) + (xy 117.958706 99.2505) (xy 118.261294 99.2505) (xy 118.261294 100.3935) (xy 118.274249 100.525031) (xy 118.312615 100.651507) + (xy 118.374918 100.768069) (xy 118.458764 100.870236) (xy 118.560931 100.954082) (xy 118.677493 101.016385) (xy 118.803969 101.054751) + (xy 118.9355 101.067706) (xy 119.8245 101.067706) (xy 119.956031 101.054751) (xy 120.082507 101.016385) (xy 120.199069 100.954082) + (xy 120.301236 100.870236) (xy 120.385082 100.768069) (xy 120.447385 100.651507) (xy 120.485751 100.525031) (xy 120.498706 100.3935) + (xy 120.498706 99.2505) (xy 120.485751 99.118969) (xy 120.447385 98.992493) (xy 120.385082 98.875931) (xy 120.301236 98.773764) + (xy 120.199069 98.689918) (xy 120.082507 98.627615) (xy 119.972707 98.594308) (xy 119.937059 98.508246) (xy 119.868266 98.405291) + (xy 119.780709 98.317734) (xy 119.677754 98.248941) (xy 119.563356 98.201556) (xy 119.441912 98.1774) (xy 119.318088 98.1774) + (xy 119.196644 98.201556) (xy 119.082246 98.248941) (xy 118.979291 98.317734) (xy 118.891734 98.405291) (xy 118.822941 98.508246) + (xy 118.787293 98.594308) (xy 118.677493 98.627615) (xy 118.560931 98.689918) (xy 118.458764 98.773764) (xy 118.374918 98.875931) + (xy 118.312615 98.992493) (xy 118.274249 99.118969) (xy 118.261294 99.2505) (xy 117.958706 99.2505) (xy 117.945751 99.118969) + (xy 117.907385 98.992493) (xy 117.845082 98.875931) (xy 117.761236 98.773764) (xy 117.659069 98.689918) (xy 117.542507 98.627615) + (xy 117.432707 98.594308) (xy 117.397059 98.508246) (xy 117.328266 98.405291) (xy 117.240709 98.317734) (xy 117.137754 98.248941) + (xy 117.023356 98.201556) (xy 116.901912 98.1774) (xy 116.778088 98.1774) (xy 116.656644 98.201556) (xy 116.542246 98.248941) + (xy 116.439291 98.317734) (xy 116.351734 98.405291) (xy 116.282941 98.508246) (xy 116.247293 98.594308) (xy 116.137493 98.627615) + (xy 116.020931 98.689918) (xy 115.918764 98.773764) (xy 115.834918 98.875931) (xy 115.772615 98.992493) (xy 115.734249 99.118969) + (xy 115.721294 99.2505) (xy 115.418706 99.2505) (xy 115.405751 99.118969) (xy 115.367385 98.992493) (xy 115.305082 98.875931) + (xy 115.221236 98.773764) (xy 115.119069 98.689918) (xy 115.002507 98.627615) (xy 114.892707 98.594308) (xy 114.857059 98.508246) + (xy 114.788266 98.405291) (xy 114.700709 98.317734) (xy 114.597754 98.248941) (xy 114.483356 98.201556) (xy 114.361912 98.1774) + (xy 114.238088 98.1774) (xy 114.124955 98.199903) (xy 112.748112 96.823061) (xy 112.738568 96.811432) (xy 112.692157 96.773342) + (xy 112.639206 96.74504) (xy 112.581751 96.727611) (xy 112.536966 96.7232) (xy 112.536958 96.7232) (xy 112.522 96.721727) + (xy 112.507042 96.7232) (xy 91.566252 96.7232) (xy 90.4748 95.631749) (xy 90.4748 95.557729) (xy 90.530953 95.527714) + (xy 90.588493 95.480493) (xy 90.635714 95.422953) (xy 90.670803 95.357307) (xy 90.69241 95.286077) (xy 90.699706 95.212) + (xy 90.699706 91.512) (xy 90.910294 91.512) (xy 90.910294 95.212) (xy 90.91759 95.286077) (xy 90.939197 95.357307) + (xy 90.974286 95.422953) (xy 91.021507 95.480493) (xy 91.079047 95.527714) (xy 91.144693 95.562803) (xy 91.215923 95.58441) + (xy 91.29 95.591706) (xy 91.59 95.591706) (xy 91.664077 95.58441) (xy 91.735307 95.562803) (xy 91.800953 95.527714) + (xy 91.858493 95.480493) (xy 91.905714 95.422953) (xy 91.940803 95.357307) (xy 91.96241 95.286077) (xy 91.969706 95.212) + (xy 91.969706 91.512) (xy 92.180294 91.512) (xy 92.180294 95.212) (xy 92.18759 95.286077) (xy 92.209197 95.357307) + (xy 92.244286 95.422953) (xy 92.291507 95.480493) (xy 92.349047 95.527714) (xy 92.4052 95.557729) (xy 92.4052 95.743042) + (xy 92.403727 95.758) (xy 92.4052 95.772958) (xy 92.4052 95.772965) (xy 92.407977 95.801156) (xy 92.409611 95.817751) + (xy 92.423201 95.862549) (xy 92.42704 95.875205) (xy 92.455342 95.928156) (xy 92.493432 95.974568) (xy 92.505061 95.984112) + (xy 93.118892 96.597944) (xy 93.128432 96.609568) (xy 93.174843 96.647658) (xy 93.227794 96.67596) (xy 93.285249 96.693389) + (xy 93.330034 96.6978) (xy 93.330042 96.6978) (xy 93.345 96.699273) (xy 93.359958 96.6978) (xy 108.570042 96.6978) + (xy 108.585 96.699273) (xy 108.599958 96.6978) (xy 108.599966 96.6978) (xy 108.644751 96.693389) (xy 108.702206 96.67596) + (xy 108.755157 96.647658) (xy 108.801568 96.609568) (xy 108.811112 96.597939) (xy 111.363963 94.045088) (xy 117.4814 94.045088) + (xy 117.4814 94.168912) (xy 117.505556 94.290356) (xy 117.552941 94.404754) (xy 117.621734 94.507709) (xy 117.709291 94.595266) + (xy 117.812246 94.664059) (xy 117.926644 94.711444) (xy 118.048088 94.7356) (xy 118.171912 94.7356) (xy 118.267434 94.7166) + (xy 118.593685 94.7166) (xy 118.594458 94.717542) (xy 118.669045 94.778754) (xy 118.754141 94.824239) (xy 118.770401 94.829171) + (xy 118.770401 95.092561) (xy 118.7514 95.188088) (xy 118.7514 95.311912) (xy 118.775556 95.433356) (xy 118.822941 95.547754) + (xy 118.891734 95.650709) (xy 118.979291 95.738266) (xy 119.082246 95.807059) (xy 119.196644 95.854444) (xy 119.318088 95.8786) + (xy 119.441912 95.8786) (xy 119.563356 95.854444) (xy 119.677754 95.807059) (xy 119.780709 95.738266) (xy 119.868266 95.650709) + (xy 119.937059 95.547754) (xy 119.984444 95.433356) (xy 120.0086 95.311912) (xy 120.0086 95.188088) (xy 119.9896 95.092566) + (xy 119.9896 94.829171) (xy 120.005859 94.824239) (xy 120.090955 94.778754) (xy 120.165542 94.717542) (xy 120.166315 94.7166) + (xy 120.873566 94.7166) (xy 120.969088 94.7356) (xy 121.092912 94.7356) (xy 121.214356 94.711444) (xy 121.328754 94.664059) + (xy 121.390294 94.622939) (xy 121.390294 95.212) (xy 121.39759 95.286077) (xy 121.419197 95.357307) (xy 121.454286 95.422953) + (xy 121.501507 95.480493) (xy 121.559047 95.527714) (xy 121.624693 95.562803) (xy 121.695923 95.58441) (xy 121.77 95.591706) + (xy 122.07 95.591706) (xy 122.144077 95.58441) (xy 122.215307 95.562803) (xy 122.250201 95.544152) (xy 122.250201 95.631747) + (xy 121.715061 96.166888) (xy 121.703432 96.176432) (xy 121.665342 96.222844) (xy 121.641924 96.266658) (xy 121.63704 96.275795) + (xy 121.621314 96.327637) (xy 121.619611 96.33325) (xy 121.6152 96.378035) (xy 121.6152 96.378042) (xy 121.613727 96.393) + (xy 121.6152 96.407958) (xy 121.615201 98.253649) (xy 121.519291 98.317734) (xy 121.431734 98.405291) (xy 121.362941 98.508246) + (xy 121.327293 98.594308) (xy 121.217493 98.627615) (xy 121.100931 98.689918) (xy 120.998764 98.773764) (xy 120.914918 98.875931) + (xy 120.852615 98.992493) (xy 120.814249 99.118969) (xy 120.801294 99.2505) (xy 120.801294 100.3935) (xy 120.814249 100.525031) + (xy 120.852615 100.651507) (xy 120.914918 100.768069) (xy 120.998764 100.870236) (xy 121.100931 100.954082) (xy 121.217493 101.016385) + (xy 121.343969 101.054751) (xy 121.4755 101.067706) (xy 122.3645 101.067706) (xy 122.496031 101.054751) (xy 122.622507 101.016385) + (xy 122.739069 100.954082) (xy 122.841236 100.870236) (xy 122.925082 100.768069) (xy 122.987385 100.651507) (xy 123.025751 100.525031) + (xy 123.038706 100.3935) (xy 123.038706 99.2505) (xy 123.341294 99.2505) (xy 123.341294 100.3935) (xy 123.354249 100.525031) + (xy 123.392615 100.651507) (xy 123.454918 100.768069) (xy 123.538764 100.870236) (xy 123.640931 100.954082) (xy 123.757493 101.016385) + (xy 123.883969 101.054751) (xy 124.0155 101.067706) (xy 124.9045 101.067706) (xy 125.036031 101.054751) (xy 125.162507 101.016385) + (xy 125.279069 100.954082) (xy 125.381236 100.870236) (xy 125.465082 100.768069) (xy 125.527385 100.651507) (xy 125.565751 100.525031) + (xy 125.578706 100.3935) (xy 125.578706 99.2505) (xy 125.881294 99.2505) (xy 125.881294 100.3935) (xy 125.894249 100.525031) + (xy 125.932615 100.651507) (xy 125.994918 100.768069) (xy 126.078764 100.870236) (xy 126.180931 100.954082) (xy 126.297493 101.016385) + (xy 126.423969 101.054751) (xy 126.5555 101.067706) (xy 127.4445 101.067706) (xy 127.576031 101.054751) (xy 127.702507 101.016385) + (xy 127.819069 100.954082) (xy 127.921236 100.870236) (xy 128.005082 100.768069) (xy 128.067385 100.651507) (xy 128.105751 100.525031) + (xy 128.118706 100.3935) (xy 128.118706 99.2505) (xy 128.421294 99.2505) (xy 128.421294 100.3935) (xy 128.434249 100.525031) + (xy 128.472615 100.651507) (xy 128.534918 100.768069) (xy 128.618764 100.870236) (xy 128.720931 100.954082) (xy 128.837493 101.016385) + (xy 128.963969 101.054751) (xy 129.0955 101.067706) (xy 129.9845 101.067706) (xy 130.116031 101.054751) (xy 130.242507 101.016385) + (xy 130.359069 100.954082) (xy 130.461236 100.870236) (xy 130.545082 100.768069) (xy 130.607385 100.651507) (xy 130.645751 100.525031) + (xy 130.658706 100.3935) (xy 130.658706 99.2505) (xy 130.645751 99.118969) (xy 130.607385 98.992493) (xy 130.545082 98.875931) + (xy 130.461236 98.773764) (xy 130.359069 98.689918) (xy 130.242507 98.627615) (xy 130.132707 98.594308) (xy 130.097059 98.508246) + (xy 130.028266 98.405291) (xy 129.940709 98.317734) (xy 129.837754 98.248941) (xy 129.723356 98.201556) (xy 129.601912 98.1774) + (xy 129.478088 98.1774) (xy 129.356644 98.201556) (xy 129.242246 98.248941) (xy 129.139291 98.317734) (xy 129.051734 98.405291) + (xy 128.982941 98.508246) (xy 128.947293 98.594308) (xy 128.837493 98.627615) (xy 128.720931 98.689918) (xy 128.618764 98.773764) + (xy 128.534918 98.875931) (xy 128.472615 98.992493) (xy 128.434249 99.118969) (xy 128.421294 99.2505) (xy 128.118706 99.2505) + (xy 128.105751 99.118969) (xy 128.067385 98.992493) (xy 128.005082 98.875931) (xy 127.921236 98.773764) (xy 127.819069 98.689918) + (xy 127.702507 98.627615) (xy 127.592707 98.594308) (xy 127.557059 98.508246) (xy 127.488266 98.405291) (xy 127.400709 98.317734) + (xy 127.297754 98.248941) (xy 127.183356 98.201556) (xy 127.061912 98.1774) (xy 126.938088 98.1774) (xy 126.816644 98.201556) + (xy 126.702246 98.248941) (xy 126.599291 98.317734) (xy 126.511734 98.405291) (xy 126.442941 98.508246) (xy 126.407293 98.594308) + (xy 126.297493 98.627615) (xy 126.180931 98.689918) (xy 126.078764 98.773764) (xy 125.994918 98.875931) (xy 125.932615 98.992493) + (xy 125.894249 99.118969) (xy 125.881294 99.2505) (xy 125.578706 99.2505) (xy 125.565751 99.118969) (xy 125.527385 98.992493) + (xy 125.465082 98.875931) (xy 125.381236 98.773764) (xy 125.279069 98.689918) (xy 125.162507 98.627615) (xy 125.052707 98.594308) + (xy 125.017059 98.508246) (xy 124.948266 98.405291) (xy 124.860709 98.317734) (xy 124.757754 98.248941) (xy 124.643356 98.201556) + (xy 124.521912 98.1774) (xy 124.398088 98.1774) (xy 124.276644 98.201556) (xy 124.162246 98.248941) (xy 124.059291 98.317734) + (xy 123.971734 98.405291) (xy 123.902941 98.508246) (xy 123.867293 98.594308) (xy 123.757493 98.627615) (xy 123.640931 98.689918) + (xy 123.538764 98.773764) (xy 123.454918 98.875931) (xy 123.392615 98.992493) (xy 123.354249 99.118969) (xy 123.341294 99.2505) + (xy 123.038706 99.2505) (xy 123.025751 99.118969) (xy 122.987385 98.992493) (xy 122.925082 98.875931) (xy 122.841236 98.773764) + (xy 122.739069 98.689918) (xy 122.622507 98.627615) (xy 122.512707 98.594308) (xy 122.477059 98.508246) (xy 122.408266 98.405291) + (xy 122.320709 98.317734) (xy 122.2248 98.253649) (xy 122.2248 96.519251) (xy 122.759944 95.984108) (xy 122.771568 95.974568) + (xy 122.809658 95.928157) (xy 122.83796 95.875206) (xy 122.855389 95.817751) (xy 122.8598 95.772966) (xy 122.8598 95.772958) + (xy 122.861273 95.758) (xy 122.8598 95.743042) (xy 122.8598 95.544152) (xy 122.885201 95.557729) (xy 122.885201 96.251032) + (xy 122.883727 96.266) (xy 122.889611 96.325751) (xy 122.90704 96.383205) (xy 122.9099 96.388555) (xy 122.935343 96.436157) + (xy 122.973433 96.482568) (xy 122.985057 96.492108) (xy 124.487891 97.994943) (xy 124.497432 98.006568) (xy 124.543843 98.044658) + (xy 124.596794 98.07296) (xy 124.636819 98.085102) (xy 124.654248 98.090389) (xy 124.659889 98.090945) (xy 124.699034 98.0948) + (xy 124.699041 98.0948) (xy 124.713999 98.096273) (xy 124.728957 98.0948) (xy 130.937749 98.0948) (xy 131.448902 98.605953) + (xy 131.377493 98.627615) (xy 131.260931 98.689918) (xy 131.158764 98.773764) (xy 131.074918 98.875931) (xy 131.012615 98.992493) + (xy 130.974249 99.118969) (xy 130.961294 99.2505) (xy 130.961294 100.3935) (xy 130.974249 100.525031) (xy 131.012615 100.651507) + (xy 131.074918 100.768069) (xy 131.158764 100.870236) (xy 131.260931 100.954082) (xy 131.377493 101.016385) (xy 131.503969 101.054751) + (xy 131.6355 101.067706) (xy 132.5245 101.067706) (xy 132.656031 101.054751) (xy 132.782507 101.016385) (xy 132.899069 100.954082) + (xy 133.001236 100.870236) (xy 133.085082 100.768069) (xy 133.147385 100.651507) (xy 133.185751 100.525031) (xy 133.198706 100.3935) + (xy 133.198706 99.2505) (xy 133.501294 99.2505) (xy 133.501294 100.3935) (xy 133.514249 100.525031) (xy 133.552615 100.651507) + (xy 133.614918 100.768069) (xy 133.698764 100.870236) (xy 133.800931 100.954082) (xy 133.917493 101.016385) (xy 134.043969 101.054751) + (xy 134.1755 101.067706) (xy 135.0645 101.067706) (xy 135.196031 101.054751) (xy 135.322507 101.016385) (xy 135.439069 100.954082) + (xy 135.541236 100.870236) (xy 135.567691 100.838) (xy 136.041294 100.838) (xy 136.045708 100.882813) (xy 136.058779 100.925905) + (xy 136.080006 100.965618) (xy 136.108573 101.000427) (xy 136.143382 101.028994) (xy 136.183095 101.050221) (xy 136.226187 101.063292) + (xy 136.271 101.067706) (xy 137.02905 101.0666) (xy 137.0862 101.00945) (xy 137.0862 99.8958) (xy 137.2338 99.8958) + (xy 137.2338 101.00945) (xy 137.29095 101.0666) (xy 138.049 101.067706) (xy 138.093813 101.063292) (xy 138.136905 101.050221) + (xy 138.176618 101.028994) (xy 138.211427 101.000427) (xy 138.239994 100.965618) (xy 138.261221 100.925905) (xy 138.274292 100.882813) + (xy 138.278706 100.838) (xy 138.2776 99.95295) (xy 138.22045 99.8958) (xy 137.2338 99.8958) (xy 137.0862 99.8958) + (xy 136.09955 99.8958) (xy 136.0424 99.95295) (xy 136.041294 100.838) (xy 135.567691 100.838) (xy 135.625082 100.768069) + (xy 135.687385 100.651507) (xy 135.725751 100.525031) (xy 135.738706 100.3935) (xy 135.738706 99.2505) (xy 135.725751 99.118969) + (xy 135.687385 98.992493) (xy 135.625082 98.875931) (xy 135.567692 98.806) (xy 136.041294 98.806) (xy 136.0424 99.69105) + (xy 136.09955 99.7482) (xy 137.0862 99.7482) (xy 137.0862 99.7282) (xy 137.2338 99.7282) (xy 137.2338 99.7482) + (xy 138.22045 99.7482) (xy 138.2776 99.69105) (xy 138.278706 98.806) (xy 138.274292 98.761187) (xy 138.261221 98.718095) + (xy 138.239994 98.678382) (xy 138.211427 98.643573) (xy 138.176618 98.615006) (xy 138.136905 98.593779) (xy 138.093813 98.580708) + (xy 138.049 98.576294) (xy 137.7453 98.576737) (xy 137.722998 98.519637) (xy 137.710888 98.496978) (xy 137.615046 98.455323) + (xy 137.493264 98.577105) (xy 137.29095 98.5774) (xy 137.233802 98.634548) (xy 137.233802 98.627829) (xy 137.510677 98.350954) + (xy 137.469022 98.255112) (xy 137.355611 98.20541) (xy 137.234683 98.178789) (xy 137.110885 98.176271) (xy 136.988975 98.197953) + (xy 136.873637 98.243002) (xy 136.850978 98.255112) (xy 136.809323 98.350954) (xy 137.086198 98.627829) (xy 137.086198 98.634548) + (xy 137.02905 98.5774) (xy 136.826736 98.577105) (xy 136.704954 98.455323) (xy 136.609112 98.496978) (xy 136.574158 98.576736) + (xy 136.271 98.576294) (xy 136.226187 98.580708) (xy 136.183095 98.593779) (xy 136.143382 98.615006) (xy 136.108573 98.643573) + (xy 136.080006 98.678382) (xy 136.058779 98.718095) (xy 136.045708 98.761187) (xy 136.041294 98.806) (xy 135.567692 98.806) + (xy 135.541236 98.773764) (xy 135.439069 98.689918) (xy 135.322507 98.627615) (xy 135.212707 98.594308) (xy 135.177059 98.508246) + (xy 135.108266 98.405291) (xy 135.020709 98.317734) (xy 134.917754 98.248941) (xy 134.803356 98.201556) (xy 134.681912 98.1774) + (xy 134.558088 98.1774) (xy 134.436644 98.201556) (xy 134.322246 98.248941) (xy 134.219291 98.317734) (xy 134.131734 98.405291) + (xy 134.062941 98.508246) (xy 134.027293 98.594308) (xy 133.917493 98.627615) (xy 133.800931 98.689918) (xy 133.698764 98.773764) + (xy 133.614918 98.875931) (xy 133.552615 98.992493) (xy 133.514249 99.118969) (xy 133.501294 99.2505) (xy 133.198706 99.2505) + (xy 133.185751 99.118969) (xy 133.147385 98.992493) (xy 133.085082 98.875931) (xy 133.001236 98.773764) (xy 132.899069 98.689918) + (xy 132.782507 98.627615) (xy 132.672707 98.594308) (xy 132.637059 98.508246) (xy 132.568266 98.405291) (xy 132.480709 98.317734) + (xy 132.377754 98.248941) (xy 132.263356 98.201556) (xy 132.141912 98.1774) (xy 132.018088 98.1774) (xy 131.904955 98.199903) + (xy 131.290112 97.585061) (xy 131.280568 97.573432) (xy 131.234157 97.535342) (xy 131.181206 97.50704) (xy 131.123751 97.489611) + (xy 131.078966 97.4852) (xy 131.078958 97.4852) (xy 131.064 97.483727) (xy 131.049042 97.4852) (xy 124.840252 97.4852) + (xy 123.4948 96.139749) (xy 123.4948 95.557729) (xy 123.520201 95.544152) (xy 123.520201 95.997032) (xy 123.518727 96.012) + (xy 123.524611 96.071751) (xy 123.54204 96.129205) (xy 123.550401 96.144847) (xy 123.570343 96.182157) (xy 123.608433 96.228568) + (xy 123.620057 96.238108) (xy 124.741891 97.359943) (xy 124.751432 97.371568) (xy 124.797843 97.409658) (xy 124.850794 97.43796) + (xy 124.908248 97.455389) (xy 124.913889 97.455945) (xy 124.953034 97.4598) (xy 124.953041 97.4598) (xy 124.967999 97.461273) + (xy 124.982957 97.4598) (xy 137.922749 97.4598) (xy 139.068902 98.605953) (xy 138.997493 98.627615) (xy 138.880931 98.689918) + (xy 138.778764 98.773764) (xy 138.694918 98.875931) (xy 138.632615 98.992493) (xy 138.594249 99.118969) (xy 138.581294 99.2505) + (xy 138.581294 100.3935) (xy 138.594249 100.525031) (xy 138.632615 100.651507) (xy 138.694918 100.768069) (xy 138.778764 100.870236) + (xy 138.880931 100.954082) (xy 138.997493 101.016385) (xy 139.123969 101.054751) (xy 139.2555 101.067706) (xy 140.1445 101.067706) + (xy 140.276031 101.054751) (xy 140.402507 101.016385) (xy 140.519069 100.954082) (xy 140.621236 100.870236) (xy 140.705082 100.768069) + (xy 140.767385 100.651507) (xy 140.805751 100.525031) (xy 140.818706 100.3935) (xy 140.818706 99.2505) (xy 141.121294 99.2505) + (xy 141.121294 100.3935) (xy 141.134249 100.525031) (xy 141.172615 100.651507) (xy 141.234918 100.768069) (xy 141.318764 100.870236) + (xy 141.420931 100.954082) (xy 141.537493 101.016385) (xy 141.663969 101.054751) (xy 141.7955 101.067706) (xy 142.6845 101.067706) + (xy 142.816031 101.054751) (xy 142.942507 101.016385) (xy 143.059069 100.954082) (xy 143.161236 100.870236) (xy 143.245082 100.768069) + (xy 143.307385 100.651507) (xy 143.345751 100.525031) (xy 143.358706 100.3935) (xy 143.358706 99.2505) (xy 143.345751 99.118969) + (xy 143.307385 98.992493) (xy 143.245082 98.875931) (xy 143.161236 98.773764) (xy 143.059069 98.689918) (xy 142.942507 98.627615) + (xy 142.832707 98.594308) (xy 142.797059 98.508246) (xy 142.728266 98.405291) (xy 142.640709 98.317734) (xy 142.537754 98.248941) + (xy 142.423356 98.201556) (xy 142.301912 98.1774) (xy 142.178088 98.1774) (xy 142.056644 98.201556) (xy 141.942246 98.248941) + (xy 141.839291 98.317734) (xy 141.751734 98.405291) (xy 141.682941 98.508246) (xy 141.647293 98.594308) (xy 141.537493 98.627615) + (xy 141.420931 98.689918) (xy 141.318764 98.773764) (xy 141.234918 98.875931) (xy 141.172615 98.992493) (xy 141.134249 99.118969) + (xy 141.121294 99.2505) (xy 140.818706 99.2505) (xy 140.805751 99.118969) (xy 140.767385 98.992493) (xy 140.705082 98.875931) + (xy 140.621236 98.773764) (xy 140.519069 98.689918) (xy 140.402507 98.627615) (xy 140.292707 98.594308) (xy 140.257059 98.508246) + (xy 140.188266 98.405291) (xy 140.100709 98.317734) (xy 139.997754 98.248941) (xy 139.883356 98.201556) (xy 139.761912 98.1774) + (xy 139.638088 98.1774) (xy 139.524955 98.199903) (xy 138.275112 96.950061) (xy 138.265568 96.938432) (xy 138.219157 96.900342) + (xy 138.166206 96.87204) (xy 138.108751 96.854611) (xy 138.063966 96.8502) (xy 138.063958 96.8502) (xy 138.049 96.848727) + (xy 138.034042 96.8502) (xy 125.094252 96.8502) (xy 124.1298 95.885749) (xy 124.1298 95.544152) (xy 124.155201 95.557729) + (xy 124.155201 95.743032) (xy 124.153727 95.758) (xy 124.159611 95.817751) (xy 124.17704 95.875205) (xy 124.182979 95.886316) + (xy 124.205343 95.928157) (xy 124.223596 95.950397) (xy 124.231149 95.9596) (xy 124.243433 95.974568) (xy 124.255057 95.984108) + (xy 124.995891 96.724943) (xy 125.005432 96.736568) (xy 125.051843 96.774658) (xy 125.102796 96.801892) (xy 125.104794 96.80296) + (xy 125.162248 96.820389) (xy 125.167403 96.820897) (xy 125.207034 96.8248) (xy 125.207042 96.8248) (xy 125.222 96.826273) + (xy 125.236958 96.8248) (xy 142.367749 96.8248) (xy 144.148902 98.605953) (xy 144.077493 98.627615) (xy 143.960931 98.689918) + (xy 143.858764 98.773764) (xy 143.774918 98.875931) (xy 143.712615 98.992493) (xy 143.674249 99.118969) (xy 143.661294 99.2505) + (xy 143.661294 100.3935) (xy 143.674249 100.525031) (xy 143.712615 100.651507) (xy 143.774918 100.768069) (xy 143.858764 100.870236) + (xy 143.960931 100.954082) (xy 144.077493 101.016385) (xy 144.203969 101.054751) (xy 144.3355 101.067706) (xy 145.2245 101.067706) + (xy 145.356031 101.054751) (xy 145.482507 101.016385) (xy 145.599069 100.954082) (xy 145.701236 100.870236) (xy 145.785082 100.768069) + (xy 145.847385 100.651507) (xy 145.885751 100.525031) (xy 145.898706 100.3935) (xy 145.898706 99.2505) (xy 146.201294 99.2505) + (xy 146.201294 100.3935) (xy 146.214249 100.525031) (xy 146.252615 100.651507) (xy 146.314918 100.768069) (xy 146.398764 100.870236) + (xy 146.500931 100.954082) (xy 146.617493 101.016385) (xy 146.743969 101.054751) (xy 146.8755 101.067706) (xy 147.7645 101.067706) + (xy 147.896031 101.054751) (xy 148.022507 101.016385) (xy 148.139069 100.954082) (xy 148.241236 100.870236) (xy 148.325082 100.768069) + (xy 148.387385 100.651507) (xy 148.425751 100.525031) (xy 148.438706 100.3935) (xy 148.438706 99.2505) (xy 148.741294 99.2505) + (xy 148.741294 100.3935) (xy 148.754249 100.525031) (xy 148.792615 100.651507) (xy 148.854918 100.768069) (xy 148.938764 100.870236) + (xy 149.040931 100.954082) (xy 149.157493 101.016385) (xy 149.283969 101.054751) (xy 149.4155 101.067706) (xy 150.3045 101.067706) + (xy 150.436031 101.054751) (xy 150.562507 101.016385) (xy 150.679069 100.954082) (xy 150.781236 100.870236) (xy 150.865082 100.768069) + (xy 150.927385 100.651507) (xy 150.965751 100.525031) (xy 150.978706 100.3935) (xy 150.978706 99.2505) (xy 151.281294 99.2505) + (xy 151.281294 100.3935) (xy 151.294249 100.525031) (xy 151.332615 100.651507) (xy 151.394918 100.768069) (xy 151.478764 100.870236) + (xy 151.580931 100.954082) (xy 151.697493 101.016385) (xy 151.823969 101.054751) (xy 151.9555 101.067706) (xy 152.8445 101.067706) + (xy 152.976031 101.054751) (xy 153.102507 101.016385) (xy 153.219069 100.954082) (xy 153.321236 100.870236) (xy 153.405082 100.768069) + (xy 153.467385 100.651507) (xy 153.505751 100.525031) (xy 153.518706 100.3935) (xy 153.518706 99.2505) (xy 153.821294 99.2505) + (xy 153.821294 100.3935) (xy 153.834249 100.525031) (xy 153.872615 100.651507) (xy 153.934918 100.768069) (xy 154.018764 100.870236) + (xy 154.120931 100.954082) (xy 154.237493 101.016385) (xy 154.363969 101.054751) (xy 154.4955 101.067706) (xy 155.3845 101.067706) + (xy 155.516031 101.054751) (xy 155.642507 101.016385) (xy 155.759069 100.954082) (xy 155.861236 100.870236) (xy 155.945082 100.768069) + (xy 156.007385 100.651507) (xy 156.045751 100.525031) (xy 156.058706 100.3935) (xy 156.058706 99.2505) (xy 156.045751 99.118969) + (xy 156.007385 98.992493) (xy 155.945082 98.875931) (xy 155.861236 98.773764) (xy 155.759069 98.689918) (xy 155.642507 98.627615) + (xy 155.532707 98.594308) (xy 155.497059 98.508246) (xy 155.428266 98.405291) (xy 155.340709 98.317734) (xy 155.237754 98.248941) + (xy 155.123356 98.201556) (xy 155.001912 98.1774) (xy 154.878088 98.1774) (xy 154.756644 98.201556) (xy 154.642246 98.248941) + (xy 154.539291 98.317734) (xy 154.451734 98.405291) (xy 154.382941 98.508246) (xy 154.347293 98.594308) (xy 154.237493 98.627615) + (xy 154.120931 98.689918) (xy 154.018764 98.773764) (xy 153.934918 98.875931) (xy 153.872615 98.992493) (xy 153.834249 99.118969) + (xy 153.821294 99.2505) (xy 153.518706 99.2505) (xy 153.505751 99.118969) (xy 153.467385 98.992493) (xy 153.405082 98.875931) + (xy 153.321236 98.773764) (xy 153.219069 98.689918) (xy 153.102507 98.627615) (xy 152.992707 98.594308) (xy 152.957059 98.508246) + (xy 152.888266 98.405291) (xy 152.800709 98.317734) (xy 152.697754 98.248941) (xy 152.583356 98.201556) (xy 152.461912 98.1774) + (xy 152.338088 98.1774) (xy 152.216644 98.201556) (xy 152.102246 98.248941) (xy 151.999291 98.317734) (xy 151.911734 98.405291) + (xy 151.842941 98.508246) (xy 151.807293 98.594308) (xy 151.697493 98.627615) (xy 151.580931 98.689918) (xy 151.478764 98.773764) + (xy 151.394918 98.875931) (xy 151.332615 98.992493) (xy 151.294249 99.118969) (xy 151.281294 99.2505) (xy 150.978706 99.2505) + (xy 150.965751 99.118969) (xy 150.927385 98.992493) (xy 150.865082 98.875931) (xy 150.781236 98.773764) (xy 150.679069 98.689918) + (xy 150.562507 98.627615) (xy 150.452707 98.594308) (xy 150.417059 98.508246) (xy 150.348266 98.405291) (xy 150.260709 98.317734) + (xy 150.157754 98.248941) (xy 150.043356 98.201556) (xy 149.921912 98.1774) (xy 149.798088 98.1774) (xy 149.676644 98.201556) + (xy 149.562246 98.248941) (xy 149.459291 98.317734) (xy 149.371734 98.405291) (xy 149.302941 98.508246) (xy 149.267293 98.594308) + (xy 149.157493 98.627615) (xy 149.040931 98.689918) (xy 148.938764 98.773764) (xy 148.854918 98.875931) (xy 148.792615 98.992493) + (xy 148.754249 99.118969) (xy 148.741294 99.2505) (xy 148.438706 99.2505) (xy 148.425751 99.118969) (xy 148.387385 98.992493) + (xy 148.325082 98.875931) (xy 148.241236 98.773764) (xy 148.139069 98.689918) (xy 148.022507 98.627615) (xy 147.912707 98.594308) + (xy 147.877059 98.508246) (xy 147.808266 98.405291) (xy 147.720709 98.317734) (xy 147.617754 98.248941) (xy 147.503356 98.201556) + (xy 147.381912 98.1774) (xy 147.258088 98.1774) (xy 147.136644 98.201556) (xy 147.022246 98.248941) (xy 146.919291 98.317734) + (xy 146.831734 98.405291) (xy 146.762941 98.508246) (xy 146.727293 98.594308) (xy 146.617493 98.627615) (xy 146.500931 98.689918) + (xy 146.398764 98.773764) (xy 146.314918 98.875931) (xy 146.252615 98.992493) (xy 146.214249 99.118969) (xy 146.201294 99.2505) + (xy 145.898706 99.2505) (xy 145.885751 99.118969) (xy 145.847385 98.992493) (xy 145.785082 98.875931) (xy 145.701236 98.773764) + (xy 145.599069 98.689918) (xy 145.482507 98.627615) (xy 145.372707 98.594308) (xy 145.337059 98.508246) (xy 145.268266 98.405291) + (xy 145.180709 98.317734) (xy 145.077754 98.248941) (xy 144.963356 98.201556) (xy 144.841912 98.1774) (xy 144.718088 98.1774) + (xy 144.604955 98.199903) (xy 142.720112 96.315061) (xy 142.710568 96.303432) (xy 142.664157 96.265342) (xy 142.611206 96.23704) + (xy 142.553751 96.219611) (xy 142.508966 96.2152) (xy 142.508958 96.2152) (xy 142.494 96.213727) (xy 142.479042 96.2152) + (xy 125.348252 96.2152) (xy 124.7648 95.631749) (xy 124.7648 95.557729) (xy 124.820953 95.527714) (xy 124.878493 95.480493) + (xy 124.925714 95.422953) (xy 124.960803 95.357307) (xy 124.98241 95.286077) (xy 124.989706 95.212) (xy 124.989706 91.512) + (xy 125.200294 91.512) (xy 125.200294 95.212) (xy 125.20759 95.286077) (xy 125.229197 95.357307) (xy 125.264286 95.422953) + (xy 125.311507 95.480493) (xy 125.369047 95.527714) (xy 125.434693 95.562803) (xy 125.505923 95.58441) (xy 125.58 95.591706) + (xy 125.88 95.591706) (xy 125.954077 95.58441) (xy 126.025307 95.562803) (xy 126.090953 95.527714) (xy 126.148493 95.480493) + (xy 126.195714 95.422953) (xy 126.230803 95.357307) (xy 126.25241 95.286077) (xy 126.259706 95.212) (xy 126.259706 91.512) + (xy 126.470294 91.512) (xy 126.470294 95.212) (xy 126.47759 95.286077) (xy 126.499197 95.357307) (xy 126.534286 95.422953) + (xy 126.581507 95.480493) (xy 126.639047 95.527714) (xy 126.704693 95.562803) (xy 126.775923 95.58441) (xy 126.85 95.591706) + (xy 127.15 95.591706) (xy 127.224077 95.58441) (xy 127.295307 95.562803) (xy 127.360953 95.527714) (xy 127.418493 95.480493) + (xy 127.465714 95.422953) (xy 127.500803 95.357307) (xy 127.52241 95.286077) (xy 127.529706 95.212) (xy 127.529706 91.512) + (xy 127.740294 91.512) (xy 127.740294 95.212) (xy 127.74759 95.286077) (xy 127.769197 95.357307) (xy 127.804286 95.422953) + (xy 127.851507 95.480493) (xy 127.909047 95.527714) (xy 127.974693 95.562803) (xy 128.045923 95.58441) (xy 128.12 95.591706) + (xy 128.42 95.591706) (xy 128.494077 95.58441) (xy 128.565307 95.562803) (xy 128.630953 95.527714) (xy 128.688493 95.480493) + (xy 128.735714 95.422953) (xy 128.770803 95.357307) (xy 128.79241 95.286077) (xy 128.799706 95.212) (xy 128.799706 91.512) + (xy 130.280294 91.512) (xy 130.280294 95.212) (xy 130.28759 95.286077) (xy 130.309197 95.357307) (xy 130.344286 95.422953) + (xy 130.391507 95.480493) (xy 130.449047 95.527714) (xy 130.514693 95.562803) (xy 130.585923 95.58441) (xy 130.66 95.591706) + (xy 130.96 95.591706) (xy 131.034077 95.58441) (xy 131.105307 95.562803) (xy 131.170953 95.527714) (xy 131.228493 95.480493) + (xy 131.275714 95.422953) (xy 131.310803 95.357307) (xy 131.33241 95.286077) (xy 131.339706 95.212) (xy 131.339706 91.512) + (xy 131.550294 91.512) (xy 131.550294 95.212) (xy 131.55759 95.286077) (xy 131.579197 95.357307) (xy 131.614286 95.422953) + (xy 131.661507 95.480493) (xy 131.719047 95.527714) (xy 131.784693 95.562803) (xy 131.855923 95.58441) (xy 131.93 95.591706) + (xy 132.23 95.591706) (xy 132.304077 95.58441) (xy 132.375307 95.562803) (xy 132.440953 95.527714) (xy 132.498493 95.480493) + (xy 132.545714 95.422953) (xy 132.580803 95.357307) (xy 132.60241 95.286077) (xy 132.609706 95.212) (xy 132.609706 91.512) + (xy 132.820294 91.512) (xy 132.820294 95.212) (xy 132.82759 95.286077) (xy 132.849197 95.357307) (xy 132.884286 95.422953) + (xy 132.931507 95.480493) (xy 132.989047 95.527714) (xy 133.054693 95.562803) (xy 133.125923 95.58441) (xy 133.2 95.591706) + (xy 133.5 95.591706) (xy 133.574077 95.58441) (xy 133.645307 95.562803) (xy 133.710953 95.527714) (xy 133.768493 95.480493) + (xy 133.815714 95.422953) (xy 133.850803 95.357307) (xy 133.87241 95.286077) (xy 133.879706 95.212) (xy 133.879706 91.512) + (xy 134.090294 91.512) (xy 134.090294 95.212) (xy 134.09759 95.286077) (xy 134.119197 95.357307) (xy 134.154286 95.422953) + (xy 134.201507 95.480493) (xy 134.259047 95.527714) (xy 134.324693 95.562803) (xy 134.395923 95.58441) (xy 134.47 95.591706) + (xy 134.77 95.591706) (xy 134.844077 95.58441) (xy 134.915307 95.562803) (xy 134.980953 95.527714) (xy 135.038493 95.480493) + (xy 135.085714 95.422953) (xy 135.120803 95.357307) (xy 135.14241 95.286077) (xy 135.149706 95.212) (xy 135.149706 91.512) + (xy 135.360294 91.512) (xy 135.360294 95.212) (xy 135.36759 95.286077) (xy 135.389197 95.357307) (xy 135.424286 95.422953) + (xy 135.471507 95.480493) (xy 135.529047 95.527714) (xy 135.594693 95.562803) (xy 135.665923 95.58441) (xy 135.74 95.591706) + (xy 136.04 95.591706) (xy 136.114077 95.58441) (xy 136.185307 95.562803) (xy 136.250953 95.527714) (xy 136.308493 95.480493) + (xy 136.355714 95.422953) (xy 136.390803 95.357307) (xy 136.41241 95.286077) (xy 136.419706 95.212) (xy 136.419706 91.512) + (xy 136.630294 91.512) (xy 136.630294 95.212) (xy 136.63759 95.286077) (xy 136.659197 95.357307) (xy 136.694286 95.422953) + (xy 136.741507 95.480493) (xy 136.799047 95.527714) (xy 136.864693 95.562803) (xy 136.935923 95.58441) (xy 137.01 95.591706) + (xy 137.31 95.591706) (xy 137.384077 95.58441) (xy 137.455307 95.562803) (xy 137.520953 95.527714) (xy 137.578493 95.480493) + (xy 137.625714 95.422953) (xy 137.660803 95.357307) (xy 137.68241 95.286077) (xy 137.689706 95.212) (xy 137.689706 94.622939) + (xy 137.751246 94.664059) (xy 137.865644 94.711444) (xy 137.987088 94.7356) (xy 138.110912 94.7356) (xy 138.206434 94.7166) + (xy 138.913685 94.7166) (xy 138.914458 94.717542) (xy 138.989045 94.778754) (xy 139.074141 94.824239) (xy 139.090401 94.829171) + (xy 139.090401 95.092561) (xy 139.0714 95.188088) (xy 139.0714 95.311912) (xy 139.095556 95.433356) (xy 139.142941 95.547754) + (xy 139.211734 95.650709) (xy 139.299291 95.738266) (xy 139.402246 95.807059) (xy 139.516644 95.854444) (xy 139.638088 95.8786) + (xy 139.761912 95.8786) (xy 139.883356 95.854444) (xy 139.997754 95.807059) (xy 140.100709 95.738266) (xy 140.188266 95.650709) + (xy 140.257059 95.547754) (xy 140.304444 95.433356) (xy 140.3286 95.311912) (xy 140.3286 95.188088) (xy 140.3096 95.092566) + (xy 140.3096 94.829171) (xy 140.325859 94.824239) (xy 140.410955 94.778754) (xy 140.485542 94.717542) (xy 140.486315 94.7166) + (xy 140.812566 94.7166) (xy 140.908088 94.7356) (xy 141.031912 94.7356) (xy 141.153356 94.711444) (xy 141.267754 94.664059) + (xy 141.370709 94.595266) (xy 141.458266 94.507709) (xy 141.527059 94.404754) (xy 141.574444 94.290356) (xy 141.5986 94.168912) + (xy 141.5986 94.107) (xy 155.578359 94.107) (xy 155.5814 94.137875) (xy 155.5814 94.168912) (xy 155.587455 94.199355) + (xy 155.590496 94.230227) (xy 155.5995 94.259909) (xy 155.605556 94.290356) (xy 155.617436 94.319038) (xy 155.62644 94.348719) + (xy 155.641061 94.376072) (xy 155.652941 94.404754) (xy 155.670189 94.430567) (xy 155.68481 94.457921) (xy 155.704489 94.4819) + (xy 155.721734 94.507709) (xy 155.743679 94.529654) (xy 155.763362 94.553638) (xy 155.787346 94.573321) (xy 155.809291 94.595266) + (xy 155.8351 94.612511) (xy 155.859079 94.63219) (xy 155.886433 94.646811) (xy 155.912246 94.664059) (xy 155.940928 94.675939) + (xy 155.968281 94.69056) (xy 155.997962 94.699564) (xy 156.026644 94.711444) (xy 156.057091 94.7175) (xy 156.086773 94.726504) + (xy 156.117645 94.729545) (xy 156.148088 94.7356) (xy 156.716462 94.7356) (xy 156.769045 94.778754) (xy 156.827942 94.810235) + (xy 156.75847 94.894888) (xy 156.678279 95.044916) (xy 156.628897 95.207705) (xy 156.616401 95.33458) (xy 156.6164 98.726463) + (xy 156.558764 98.773764) (xy 156.474918 98.875931) (xy 156.412615 98.992493) (xy 156.374249 99.118969) (xy 156.361294 99.2505) + (xy 156.361294 100.3935) (xy 156.374249 100.525031) (xy 156.412615 100.651507) (xy 156.474918 100.768069) (xy 156.558764 100.870236) + (xy 156.660931 100.954082) (xy 156.777493 101.016385) (xy 156.903969 101.054751) (xy 157.0355 101.067706) (xy 157.9245 101.067706) + (xy 158.056031 101.054751) (xy 158.182507 101.016385) (xy 158.299069 100.954082) (xy 158.401236 100.870236) (xy 158.485082 100.768069) + (xy 158.547385 100.651507) (xy 158.585751 100.525031) (xy 158.598706 100.3935) (xy 158.598706 99.2505) (xy 158.585751 99.118969) + (xy 158.547385 98.992493) (xy 158.485082 98.875931) (xy 158.401236 98.773764) (xy 158.3436 98.726464) (xy 158.3436 95.33458) + (xy 158.331104 95.207705) (xy 158.281722 95.044916) (xy 158.201531 94.894888) (xy 158.132058 94.810235) (xy 158.190955 94.778754) + (xy 158.243538 94.7356) (xy 158.811912 94.7356) (xy 158.842355 94.729545) (xy 158.873227 94.726504) (xy 158.902909 94.7175) + (xy 158.933356 94.711444) (xy 158.962038 94.699564) (xy 158.991719 94.69056) (xy 159.019072 94.675939) (xy 159.047754 94.664059) + (xy 159.073567 94.646811) (xy 159.100921 94.63219) (xy 159.1249 94.612511) (xy 159.150709 94.595266) (xy 159.172654 94.573321) + (xy 159.196638 94.553638) (xy 159.216321 94.529654) (xy 159.238266 94.507709) (xy 159.255511 94.4819) (xy 159.27519 94.457921) + (xy 159.289811 94.430567) (xy 159.307059 94.404754) (xy 159.318939 94.376072) (xy 159.33356 94.348719) (xy 159.342564 94.319038) + (xy 159.354444 94.290356) (xy 159.3605 94.259909) (xy 159.369504 94.230227) (xy 159.372545 94.199355) (xy 159.3786 94.168912) + (xy 159.3786 94.137875) (xy 159.381641 94.107) (xy 159.3786 94.076125) (xy 159.3786 94.045088) (xy 159.372545 94.014645) + (xy 159.369504 93.983773) (xy 159.3605 93.954091) (xy 159.354444 93.923644) (xy 159.342564 93.894962) (xy 159.33356 93.865281) + (xy 159.318939 93.837928) (xy 159.307059 93.809246) (xy 159.289811 93.783433) (xy 159.27519 93.756079) (xy 159.255511 93.7321) + (xy 159.238266 93.706291) (xy 159.216321 93.684346) (xy 159.196638 93.660362) (xy 159.172654 93.640679) (xy 159.150709 93.618734) + (xy 159.1249 93.601489) (xy 159.100921 93.58181) (xy 159.073567 93.567189) (xy 159.047754 93.549941) (xy 159.019072 93.538061) + (xy 158.991719 93.52344) (xy 158.962038 93.514436) (xy 158.933356 93.502556) (xy 158.902909 93.4965) (xy 158.873227 93.487496) + (xy 158.842355 93.484455) (xy 158.811912 93.4784) (xy 158.243538 93.4784) (xy 158.190955 93.435246) (xy 158.105859 93.389761) + (xy 158.013525 93.361752) (xy 157.9175 93.352294) (xy 157.0425 93.352294) (xy 156.946475 93.361752) (xy 156.854141 93.389761) + (xy 156.769045 93.435246) (xy 156.716462 93.4784) (xy 156.148088 93.4784) (xy 156.117645 93.484455) (xy 156.086773 93.487496) + (xy 156.057091 93.4965) (xy 156.026644 93.502556) (xy 155.997962 93.514436) (xy 155.968281 93.52344) (xy 155.940928 93.538061) + (xy 155.912246 93.549941) (xy 155.886433 93.567189) (xy 155.859079 93.58181) (xy 155.8351 93.601489) (xy 155.809291 93.618734) + (xy 155.787346 93.640679) (xy 155.763362 93.660362) (xy 155.743679 93.684346) (xy 155.721734 93.706291) (xy 155.704489 93.7321) + (xy 155.68481 93.756079) (xy 155.670189 93.783433) (xy 155.652941 93.809246) (xy 155.641061 93.837928) (xy 155.62644 93.865281) + (xy 155.617436 93.894962) (xy 155.605556 93.923644) (xy 155.5995 93.954091) (xy 155.590496 93.983773) (xy 155.587455 94.014645) + (xy 155.5814 94.045088) (xy 155.5814 94.076125) (xy 155.578359 94.107) (xy 141.5986 94.107) (xy 141.5986 94.045088) + (xy 141.574444 93.923644) (xy 141.527059 93.809246) (xy 141.458266 93.706291) (xy 141.370709 93.618734) (xy 141.267754 93.549941) + (xy 141.153356 93.502556) (xy 141.031912 93.4784) (xy 140.908088 93.4784) (xy 140.812566 93.4974) (xy 140.486315 93.4974) + (xy 140.485542 93.496458) (xy 140.410955 93.435246) (xy 140.325859 93.389761) (xy 140.233525 93.361752) (xy 140.1375 93.352294) + (xy 139.2625 93.352294) (xy 139.166475 93.361752) (xy 139.074141 93.389761) (xy 138.989045 93.435246) (xy 138.914458 93.496458) + (xy 138.913685 93.4974) (xy 138.206434 93.4974) (xy 138.110912 93.4784) (xy 137.987088 93.4784) (xy 137.865644 93.502556) + (xy 137.751246 93.549941) (xy 137.689706 93.591061) (xy 137.689706 92.932) (xy 138.770294 92.932) (xy 138.774708 92.976813) + (xy 138.787779 93.019905) (xy 138.809006 93.059618) (xy 138.837573 93.094427) (xy 138.872382 93.122994) (xy 138.912095 93.144221) + (xy 138.955187 93.157292) (xy 139 93.161706) (xy 139.56905 93.1606) (xy 139.6262 93.10345) (xy 139.6262 92.4808) + (xy 139.7738 92.4808) (xy 139.7738 93.10345) (xy 139.83095 93.1606) (xy 140.4 93.161706) (xy 140.444813 93.157292) + (xy 140.487905 93.144221) (xy 140.527618 93.122994) (xy 140.562427 93.094427) (xy 140.590994 93.059618) (xy 140.612221 93.019905) + (xy 140.625292 92.976813) (xy 140.629706 92.932) (xy 156.550294 92.932) (xy 156.554708 92.976813) (xy 156.567779 93.019905) + (xy 156.589006 93.059618) (xy 156.617573 93.094427) (xy 156.652382 93.122994) (xy 156.692095 93.144221) (xy 156.735187 93.157292) + (xy 156.78 93.161706) (xy 157.34905 93.1606) (xy 157.4062 93.10345) (xy 157.4062 92.4808) (xy 157.5538 92.4808) + (xy 157.5538 93.10345) (xy 157.61095 93.1606) (xy 158.18 93.161706) (xy 158.224813 93.157292) (xy 158.267905 93.144221) + (xy 158.307618 93.122994) (xy 158.342427 93.094427) (xy 158.370994 93.059618) (xy 158.392221 93.019905) (xy 158.405292 92.976813) + (xy 158.409706 92.932) (xy 158.4086 92.53795) (xy 158.35145 92.4808) (xy 157.5538 92.4808) (xy 157.4062 92.4808) + (xy 156.60855 92.4808) (xy 156.5514 92.53795) (xy 156.550294 92.932) (xy 140.629706 92.932) (xy 140.6286 92.53795) + (xy 140.57145 92.4808) (xy 139.7738 92.4808) (xy 139.6262 92.4808) (xy 138.82855 92.4808) (xy 138.7714 92.53795) + (xy 138.770294 92.932) (xy 137.689706 92.932) (xy 137.689706 91.882) (xy 138.770294 91.882) (xy 138.7714 92.27605) + (xy 138.82855 92.3332) (xy 139.6262 92.3332) (xy 139.6262 91.71055) (xy 139.7738 91.71055) (xy 139.7738 92.3332) + (xy 140.57145 92.3332) (xy 140.6286 92.27605) (xy 140.629706 91.882) (xy 156.550294 91.882) (xy 156.5514 92.27605) + (xy 156.60855 92.3332) (xy 157.4062 92.3332) (xy 157.4062 91.71055) (xy 157.5538 91.71055) (xy 157.5538 92.3332) + (xy 158.35145 92.3332) (xy 158.4086 92.27605) (xy 158.409706 91.882) (xy 158.405292 91.837187) (xy 158.392221 91.794095) + (xy 158.370994 91.754382) (xy 158.342427 91.719573) (xy 158.307618 91.691006) (xy 158.267905 91.669779) (xy 158.224813 91.656708) + (xy 158.18 91.652294) (xy 157.61095 91.6534) (xy 157.5538 91.71055) (xy 157.4062 91.71055) (xy 157.34905 91.6534) + (xy 156.78 91.652294) (xy 156.735187 91.656708) (xy 156.692095 91.669779) (xy 156.652382 91.691006) (xy 156.617573 91.719573) + (xy 156.589006 91.754382) (xy 156.567779 91.794095) (xy 156.554708 91.837187) (xy 156.550294 91.882) (xy 140.629706 91.882) + (xy 140.625292 91.837187) (xy 140.612221 91.794095) (xy 140.590994 91.754382) (xy 140.562427 91.719573) (xy 140.527618 91.691006) + (xy 140.487905 91.669779) (xy 140.444813 91.656708) (xy 140.4 91.652294) (xy 139.83095 91.6534) (xy 139.7738 91.71055) + (xy 139.6262 91.71055) (xy 139.56905 91.6534) (xy 139 91.652294) (xy 138.955187 91.656708) (xy 138.912095 91.669779) + (xy 138.872382 91.691006) (xy 138.837573 91.719573) (xy 138.809006 91.754382) (xy 138.787779 91.794095) (xy 138.774708 91.837187) + (xy 138.770294 91.882) (xy 137.689706 91.882) (xy 137.689706 91.512) (xy 137.685243 91.466683) (xy 159.823586 91.466683) + (xy 159.865162 91.83734) (xy 159.97794 92.192862) (xy 160.157626 92.519708) (xy 160.397373 92.805429) (xy 160.688052 93.03914) + (xy 161.018589 93.211941) (xy 161.376396 93.317249) (xy 161.747842 93.351053) (xy 162.11878 93.312066) (xy 162.475081 93.201773) + (xy 162.803174 93.024374) (xy 163.090561 92.786626) (xy 163.326296 92.497587) (xy 163.5014 92.168264) (xy 163.609203 91.811201) + (xy 163.6456 91.44) (xy 163.644855 91.386639) (xy 163.598108 91.016599) (xy 163.480377 90.662686) (xy 163.296146 90.33838) + (xy 163.052432 90.056035) (xy 162.758519 89.826405) (xy 162.425601 89.658236) (xy 162.066359 89.557934) (xy 161.694477 89.529319) + (xy 161.324119 89.573482) (xy 160.969393 89.688739) (xy 160.643809 89.870702) (xy 160.35977 90.112438) (xy 160.128093 90.404741) + (xy 159.957604 90.736477) (xy 159.854797 91.09501) (xy 159.823586 91.466683) (xy 137.685243 91.466683) (xy 137.68241 91.437923) + (xy 137.660803 91.366693) (xy 137.625714 91.301047) (xy 137.578493 91.243507) (xy 137.520953 91.196286) (xy 137.455307 91.161197) + (xy 137.384077 91.13959) (xy 137.31 91.132294) (xy 137.01 91.132294) (xy 136.935923 91.13959) (xy 136.864693 91.161197) + (xy 136.799047 91.196286) (xy 136.741507 91.243507) (xy 136.694286 91.301047) (xy 136.659197 91.366693) (xy 136.63759 91.437923) + (xy 136.630294 91.512) (xy 136.419706 91.512) (xy 136.41241 91.437923) (xy 136.390803 91.366693) (xy 136.355714 91.301047) + (xy 136.308493 91.243507) (xy 136.278644 91.219011) (xy 136.317674 91.160597) (xy 136.354054 91.072769) (xy 136.3726 90.979532) + (xy 136.3726 90.884468) (xy 136.354054 90.791231) (xy 136.317674 90.703403) (xy 136.26486 90.62436) (xy 136.19764 90.55714) + (xy 136.118597 90.504326) (xy 136.030769 90.467946) (xy 135.937532 90.4494) (xy 135.842468 90.4494) (xy 135.749231 90.467946) + (xy 135.661403 90.504326) (xy 135.58236 90.55714) (xy 135.51514 90.62436) (xy 135.462326 90.703403) (xy 135.425946 90.791231) + (xy 135.4074 90.884468) (xy 135.4074 90.979532) (xy 135.425946 91.072769) (xy 135.462326 91.160597) (xy 135.501356 91.219011) + (xy 135.471507 91.243507) (xy 135.424286 91.301047) (xy 135.389197 91.366693) (xy 135.36759 91.437923) (xy 135.360294 91.512) + (xy 135.149706 91.512) (xy 135.14241 91.437923) (xy 135.120803 91.366693) (xy 135.085714 91.301047) (xy 135.038493 91.243507) + (xy 135.008644 91.219011) (xy 135.047674 91.160597) (xy 135.084054 91.072769) (xy 135.1026 90.979532) (xy 135.1026 90.884468) + (xy 135.084054 90.791231) (xy 135.047674 90.703403) (xy 134.99486 90.62436) (xy 134.92764 90.55714) (xy 134.848597 90.504326) + (xy 134.760769 90.467946) (xy 134.667532 90.4494) (xy 134.572468 90.4494) (xy 134.479231 90.467946) (xy 134.391403 90.504326) + (xy 134.31236 90.55714) (xy 134.24514 90.62436) (xy 134.192326 90.703403) (xy 134.155946 90.791231) (xy 134.1374 90.884468) + (xy 134.1374 90.979532) (xy 134.155946 91.072769) (xy 134.192326 91.160597) (xy 134.231356 91.219011) (xy 134.201507 91.243507) + (xy 134.154286 91.301047) (xy 134.119197 91.366693) (xy 134.09759 91.437923) (xy 134.090294 91.512) (xy 133.879706 91.512) + (xy 133.87241 91.437923) (xy 133.850803 91.366693) (xy 133.815714 91.301047) (xy 133.768493 91.243507) (xy 133.738644 91.219011) + (xy 133.777674 91.160597) (xy 133.814054 91.072769) (xy 133.8326 90.979532) (xy 133.8326 90.884468) (xy 133.814054 90.791231) + (xy 133.777674 90.703403) (xy 133.72486 90.62436) (xy 133.65764 90.55714) (xy 133.578597 90.504326) (xy 133.490769 90.467946) + (xy 133.397532 90.4494) (xy 133.302468 90.4494) (xy 133.209231 90.467946) (xy 133.121403 90.504326) (xy 133.04236 90.55714) + (xy 132.97514 90.62436) (xy 132.922326 90.703403) (xy 132.885946 90.791231) (xy 132.8674 90.884468) (xy 132.8674 90.979532) + (xy 132.885946 91.072769) (xy 132.922326 91.160597) (xy 132.961356 91.219011) (xy 132.931507 91.243507) (xy 132.884286 91.301047) + (xy 132.849197 91.366693) (xy 132.82759 91.437923) (xy 132.820294 91.512) (xy 132.609706 91.512) (xy 132.60241 91.437923) + (xy 132.580803 91.366693) (xy 132.545714 91.301047) (xy 132.498493 91.243507) (xy 132.468644 91.219011) (xy 132.507674 91.160597) + (xy 132.544054 91.072769) (xy 132.5626 90.979532) (xy 132.5626 90.884468) (xy 132.544054 90.791231) (xy 132.507674 90.703403) + (xy 132.45486 90.62436) (xy 132.38764 90.55714) (xy 132.308597 90.504326) (xy 132.220769 90.467946) (xy 132.127532 90.4494) + (xy 132.032468 90.4494) (xy 131.939231 90.467946) (xy 131.851403 90.504326) (xy 131.77236 90.55714) (xy 131.70514 90.62436) + (xy 131.652326 90.703403) (xy 131.615946 90.791231) (xy 131.5974 90.884468) (xy 131.5974 90.979532) (xy 131.615946 91.072769) + (xy 131.652326 91.160597) (xy 131.691356 91.219011) (xy 131.661507 91.243507) (xy 131.614286 91.301047) (xy 131.579197 91.366693) + (xy 131.55759 91.437923) (xy 131.550294 91.512) (xy 131.339706 91.512) (xy 131.33241 91.437923) (xy 131.310803 91.366693) + (xy 131.275714 91.301047) (xy 131.228493 91.243507) (xy 131.198644 91.219011) (xy 131.237674 91.160597) (xy 131.274054 91.072769) + (xy 131.2926 90.979532) (xy 131.2926 90.884468) (xy 131.274054 90.791231) (xy 131.237674 90.703403) (xy 131.18486 90.62436) + (xy 131.11764 90.55714) (xy 131.038597 90.504326) (xy 130.950769 90.467946) (xy 130.857532 90.4494) (xy 130.762468 90.4494) + (xy 130.669231 90.467946) (xy 130.581403 90.504326) (xy 130.50236 90.55714) (xy 130.43514 90.62436) (xy 130.382326 90.703403) + (xy 130.345946 90.791231) (xy 130.3274 90.884468) (xy 130.3274 90.979532) (xy 130.345946 91.072769) (xy 130.382326 91.160597) + (xy 130.421356 91.219011) (xy 130.391507 91.243507) (xy 130.344286 91.301047) (xy 130.309197 91.366693) (xy 130.28759 91.437923) + (xy 130.280294 91.512) (xy 128.799706 91.512) (xy 128.79241 91.437923) (xy 128.770803 91.366693) (xy 128.735714 91.301047) + (xy 128.688493 91.243507) (xy 128.630953 91.196286) (xy 128.565307 91.161197) (xy 128.494077 91.13959) (xy 128.42 91.132294) + (xy 128.12 91.132294) (xy 128.045923 91.13959) (xy 127.974693 91.161197) (xy 127.909047 91.196286) (xy 127.851507 91.243507) + (xy 127.804286 91.301047) (xy 127.769197 91.366693) (xy 127.74759 91.437923) (xy 127.740294 91.512) (xy 127.529706 91.512) + (xy 127.52241 91.437923) (xy 127.500803 91.366693) (xy 127.465714 91.301047) (xy 127.418493 91.243507) (xy 127.388644 91.219011) + (xy 127.427674 91.160597) (xy 127.464054 91.072769) (xy 127.4826 90.979532) (xy 127.4826 90.884468) (xy 127.464054 90.791231) + (xy 127.427674 90.703403) (xy 127.37486 90.62436) (xy 127.30764 90.55714) (xy 127.228597 90.504326) (xy 127.140769 90.467946) + (xy 127.047532 90.4494) (xy 126.952468 90.4494) (xy 126.859231 90.467946) (xy 126.771403 90.504326) (xy 126.69236 90.55714) + (xy 126.62514 90.62436) (xy 126.572326 90.703403) (xy 126.535946 90.791231) (xy 126.5174 90.884468) (xy 126.5174 90.979532) + (xy 126.535946 91.072769) (xy 126.572326 91.160597) (xy 126.611356 91.219011) (xy 126.581507 91.243507) (xy 126.534286 91.301047) + (xy 126.499197 91.366693) (xy 126.47759 91.437923) (xy 126.470294 91.512) (xy 126.259706 91.512) (xy 126.25241 91.437923) + (xy 126.230803 91.366693) (xy 126.195714 91.301047) (xy 126.148493 91.243507) (xy 126.118644 91.219011) (xy 126.157674 91.160597) + (xy 126.194054 91.072769) (xy 126.2126 90.979532) (xy 126.2126 90.884468) (xy 126.194054 90.791231) (xy 126.157674 90.703403) + (xy 126.10486 90.62436) (xy 126.03764 90.55714) (xy 125.958597 90.504326) (xy 125.870769 90.467946) (xy 125.777532 90.4494) + (xy 125.682468 90.4494) (xy 125.589231 90.467946) (xy 125.501403 90.504326) (xy 125.42236 90.55714) (xy 125.35514 90.62436) + (xy 125.302326 90.703403) (xy 125.265946 90.791231) (xy 125.2474 90.884468) (xy 125.2474 90.979532) (xy 125.265946 91.072769) + (xy 125.302326 91.160597) (xy 125.341356 91.219011) (xy 125.311507 91.243507) (xy 125.264286 91.301047) (xy 125.229197 91.366693) + (xy 125.20759 91.437923) (xy 125.200294 91.512) (xy 124.989706 91.512) (xy 124.98241 91.437923) (xy 124.960803 91.366693) + (xy 124.925714 91.301047) (xy 124.878493 91.243507) (xy 124.820953 91.196286) (xy 124.755307 91.161197) (xy 124.684077 91.13959) + (xy 124.61 91.132294) (xy 124.31 91.132294) (xy 124.235923 91.13959) (xy 124.164693 91.161197) (xy 124.1298 91.179848) + (xy 124.1298 89.788251) (xy 124.664944 89.253108) (xy 124.676568 89.243568) (xy 124.714658 89.197157) (xy 124.74296 89.144206) + (xy 124.760389 89.086751) (xy 124.7648 89.041966) (xy 124.7648 89.041958) (xy 124.766273 89.027) (xy 124.7648 89.012042) + (xy 124.7648 88.157729) (xy 124.820953 88.127714) (xy 124.878493 88.080493) (xy 124.925714 88.022953) (xy 124.960803 87.957307) + (xy 124.98241 87.886077) (xy 124.989706 87.812) (xy 124.989706 84.112) (xy 125.200294 84.112) (xy 125.200294 87.812) + (xy 125.20759 87.886077) (xy 125.229197 87.957307) (xy 125.264286 88.022953) (xy 125.311507 88.080493) (xy 125.369047 88.127714) + (xy 125.4252 88.157729) (xy 125.4252 88.650242) (xy 125.42236 88.65214) (xy 125.35514 88.71936) (xy 125.302326 88.798403) + (xy 125.265946 88.886231) (xy 125.2474 88.979468) (xy 125.2474 89.074532) (xy 125.265946 89.167769) (xy 125.302326 89.255597) + (xy 125.35514 89.33464) (xy 125.42236 89.40186) (xy 125.501403 89.454674) (xy 125.589231 89.491054) (xy 125.682468 89.5096) + (xy 125.777532 89.5096) (xy 125.870769 89.491054) (xy 125.958597 89.454674) (xy 126.03764 89.40186) (xy 126.10486 89.33464) + (xy 126.157674 89.255597) (xy 126.194054 89.167769) (xy 126.2126 89.074532) (xy 126.2126 88.979468) (xy 126.194054 88.886231) + (xy 126.157674 88.798403) (xy 126.10486 88.71936) (xy 126.03764 88.65214) (xy 126.0348 88.650242) (xy 126.0348 88.157729) + (xy 126.090953 88.127714) (xy 126.148493 88.080493) (xy 126.195714 88.022953) (xy 126.230803 87.957307) (xy 126.25241 87.886077) + (xy 126.259706 87.812) (xy 126.259706 84.112) (xy 126.25241 84.037923) (xy 126.230803 83.966693) (xy 126.228295 83.962) + (xy 126.470294 83.962) (xy 126.471219 85.525745) (xy 126.470294 86.462) (xy 126.471176 86.470958) (xy 126.470294 87.962) + (xy 126.474708 88.006813) (xy 126.487779 88.049905) (xy 126.509006 88.089618) (xy 126.537573 88.124427) (xy 126.572382 88.152994) + (xy 126.612095 88.174221) (xy 126.655187 88.187292) (xy 126.7 88.191706) (xy 126.86905 88.1906) (xy 126.9262 88.13345) + (xy 126.9262 83.79055) (xy 127.0738 83.79055) (xy 127.0738 88.13345) (xy 127.13095 88.1906) (xy 127.3 88.191706) + (xy 127.344813 88.187292) (xy 127.387905 88.174221) (xy 127.427618 88.152994) (xy 127.462427 88.124427) (xy 127.490994 88.089618) + (xy 127.512221 88.049905) (xy 127.525292 88.006813) (xy 127.529706 87.962) (xy 127.528824 86.470958) (xy 127.529706 86.462) + (xy 127.528781 85.525745) (xy 127.529617 84.112) (xy 127.740294 84.112) (xy 127.740294 87.812) (xy 127.74759 87.886077) + (xy 127.769197 87.957307) (xy 127.804286 88.022953) (xy 127.851507 88.080493) (xy 127.881356 88.104989) (xy 127.842326 88.163403) + (xy 127.805946 88.251231) (xy 127.7874 88.344468) (xy 127.7874 88.439532) (xy 127.805946 88.532769) (xy 127.842326 88.620597) + (xy 127.89514 88.69964) (xy 127.96236 88.76686) (xy 128.041403 88.819674) (xy 128.129231 88.856054) (xy 128.222468 88.8746) + (xy 128.317532 88.8746) (xy 128.410769 88.856054) (xy 128.498597 88.819674) (xy 128.57764 88.76686) (xy 128.64486 88.69964) + (xy 128.697674 88.620597) (xy 128.734054 88.532769) (xy 128.7526 88.439532) (xy 128.7526 88.344468) (xy 128.734054 88.251231) + (xy 128.697674 88.163403) (xy 128.658644 88.104989) (xy 128.688493 88.080493) (xy 128.735714 88.022953) (xy 128.770803 87.957307) + (xy 128.79241 87.886077) (xy 128.799706 87.812) (xy 128.799706 84.112) (xy 130.280294 84.112) (xy 130.280294 87.812) + (xy 130.28759 87.886077) (xy 130.309197 87.957307) (xy 130.344286 88.022953) (xy 130.391507 88.080493) (xy 130.421356 88.104989) + (xy 130.382326 88.163403) (xy 130.345946 88.251231) (xy 130.3274 88.344468) (xy 130.3274 88.439532) (xy 130.345946 88.532769) + (xy 130.382326 88.620597) (xy 130.43514 88.69964) (xy 130.50236 88.76686) (xy 130.581403 88.819674) (xy 130.669231 88.856054) + (xy 130.762468 88.8746) (xy 130.857532 88.8746) (xy 130.950769 88.856054) (xy 131.038597 88.819674) (xy 131.11764 88.76686) + (xy 131.18486 88.69964) (xy 131.237674 88.620597) (xy 131.274054 88.532769) (xy 131.2926 88.439532) (xy 131.2926 88.344468) + (xy 131.274054 88.251231) (xy 131.237674 88.163403) (xy 131.198644 88.104989) (xy 131.228493 88.080493) (xy 131.275714 88.022953) + (xy 131.310803 87.957307) (xy 131.33241 87.886077) (xy 131.339706 87.812) (xy 131.339706 84.112) (xy 131.550294 84.112) + (xy 131.550294 87.812) (xy 131.55759 87.886077) (xy 131.579197 87.957307) (xy 131.614286 88.022953) (xy 131.661507 88.080493) + (xy 131.691356 88.104989) (xy 131.652326 88.163403) (xy 131.615946 88.251231) (xy 131.5974 88.344468) (xy 131.5974 88.439532) + (xy 131.615946 88.532769) (xy 131.652326 88.620597) (xy 131.70514 88.69964) (xy 131.77236 88.76686) (xy 131.851403 88.819674) + (xy 131.939231 88.856054) (xy 132.032468 88.8746) (xy 132.127532 88.8746) (xy 132.220769 88.856054) (xy 132.308597 88.819674) + (xy 132.38764 88.76686) (xy 132.45486 88.69964) (xy 132.507674 88.620597) (xy 132.544054 88.532769) (xy 132.5626 88.439532) + (xy 132.5626 88.344468) (xy 132.544054 88.251231) (xy 132.507674 88.163403) (xy 132.468644 88.104989) (xy 132.498493 88.080493) + (xy 132.545714 88.022953) (xy 132.580803 87.957307) (xy 132.60241 87.886077) (xy 132.609706 87.812) (xy 132.609706 84.112) + (xy 132.820294 84.112) (xy 132.820294 87.812) (xy 132.82759 87.886077) (xy 132.849197 87.957307) (xy 132.884286 88.022953) + (xy 132.931507 88.080493) (xy 132.961356 88.104989) (xy 132.922326 88.163403) (xy 132.885946 88.251231) (xy 132.8674 88.344468) + (xy 132.8674 88.439532) (xy 132.885946 88.532769) (xy 132.922326 88.620597) (xy 132.97514 88.69964) (xy 133.04236 88.76686) + (xy 133.121403 88.819674) (xy 133.209231 88.856054) (xy 133.302468 88.8746) (xy 133.397532 88.8746) (xy 133.490769 88.856054) + (xy 133.578597 88.819674) (xy 133.65764 88.76686) (xy 133.72486 88.69964) (xy 133.777674 88.620597) (xy 133.814054 88.532769) + (xy 133.8326 88.439532) (xy 133.8326 88.344468) (xy 133.814054 88.251231) (xy 133.777674 88.163403) (xy 133.738644 88.104989) + (xy 133.768493 88.080493) (xy 133.815714 88.022953) (xy 133.850803 87.957307) (xy 133.87241 87.886077) (xy 133.879706 87.812) + (xy 133.879706 84.112) (xy 134.090294 84.112) (xy 134.090294 87.812) (xy 134.09759 87.886077) (xy 134.119197 87.957307) + (xy 134.154286 88.022953) (xy 134.201507 88.080493) (xy 134.231356 88.104989) (xy 134.192326 88.163403) (xy 134.155946 88.251231) + (xy 134.1374 88.344468) (xy 134.1374 88.439532) (xy 134.155946 88.532769) (xy 134.192326 88.620597) (xy 134.24514 88.69964) + (xy 134.31236 88.76686) (xy 134.391403 88.819674) (xy 134.479231 88.856054) (xy 134.572468 88.8746) (xy 134.667532 88.8746) + (xy 134.760769 88.856054) (xy 134.848597 88.819674) (xy 134.92764 88.76686) (xy 134.99486 88.69964) (xy 135.047674 88.620597) + (xy 135.084054 88.532769) (xy 135.1026 88.439532) (xy 135.1026 88.344468) (xy 135.084054 88.251231) (xy 135.047674 88.163403) + (xy 135.008644 88.104989) (xy 135.038493 88.080493) (xy 135.085714 88.022953) (xy 135.120803 87.957307) (xy 135.14241 87.886077) + (xy 135.149706 87.812) (xy 135.149706 84.112) (xy 135.360294 84.112) (xy 135.360294 87.812) (xy 135.36759 87.886077) + (xy 135.389197 87.957307) (xy 135.424286 88.022953) (xy 135.471507 88.080493) (xy 135.501356 88.104989) (xy 135.462326 88.163403) + (xy 135.425946 88.251231) (xy 135.4074 88.344468) (xy 135.4074 88.439532) (xy 135.425946 88.532769) (xy 135.462326 88.620597) + (xy 135.51514 88.69964) (xy 135.58236 88.76686) (xy 135.661403 88.819674) (xy 135.749231 88.856054) (xy 135.842468 88.8746) + (xy 135.937532 88.8746) (xy 136.030769 88.856054) (xy 136.118597 88.819674) (xy 136.19764 88.76686) (xy 136.26486 88.69964) + (xy 136.317674 88.620597) (xy 136.354054 88.532769) (xy 136.3726 88.439532) (xy 136.3726 88.344468) (xy 136.354054 88.251231) + (xy 136.317674 88.163403) (xy 136.278644 88.104989) (xy 136.308493 88.080493) (xy 136.355714 88.022953) (xy 136.390803 87.957307) + (xy 136.41241 87.886077) (xy 136.419706 87.812) (xy 136.419706 84.112) (xy 136.41241 84.037923) (xy 136.390803 83.966693) + (xy 136.388295 83.962) (xy 136.630294 83.962) (xy 136.631219 85.525745) (xy 136.630294 86.462) (xy 136.631176 86.470958) + (xy 136.630294 87.962) (xy 136.634708 88.006813) (xy 136.647779 88.049905) (xy 136.669006 88.089618) (xy 136.697573 88.124427) + (xy 136.732382 88.152994) (xy 136.772095 88.174221) (xy 136.815187 88.187292) (xy 136.86 88.191706) (xy 137.02905 88.1906) + (xy 137.0862 88.13345) (xy 137.0862 83.79055) (xy 137.2338 83.79055) (xy 137.2338 88.13345) (xy 137.29095 88.1906) + (xy 137.46 88.191706) (xy 137.504813 88.187292) (xy 137.547905 88.174221) (xy 137.587618 88.152994) (xy 137.622427 88.124427) + (xy 137.650994 88.089618) (xy 137.672221 88.049905) (xy 137.685292 88.006813) (xy 137.689706 87.962) (xy 137.688824 86.470958) + (xy 137.689706 86.462) (xy 137.688781 85.525745) (xy 137.689706 83.962) (xy 137.685292 83.917187) (xy 137.672221 83.874095) + (xy 137.650994 83.834382) (xy 137.622427 83.799573) (xy 137.587618 83.771006) (xy 137.547905 83.749779) (xy 137.504813 83.736708) + (xy 137.46 83.732294) (xy 137.29095 83.7334) (xy 137.2338 83.79055) (xy 137.0862 83.79055) (xy 137.02905 83.7334) + (xy 136.86 83.732294) (xy 136.815187 83.736708) (xy 136.772095 83.749779) (xy 136.732382 83.771006) (xy 136.697573 83.799573) + (xy 136.669006 83.834382) (xy 136.647779 83.874095) (xy 136.634708 83.917187) (xy 136.630294 83.962) (xy 136.388295 83.962) + (xy 136.355714 83.901047) (xy 136.308493 83.843507) (xy 136.250953 83.796286) (xy 136.185307 83.761197) (xy 136.114077 83.73959) + (xy 136.04 83.732294) (xy 135.74 83.732294) (xy 135.665923 83.73959) (xy 135.594693 83.761197) (xy 135.529047 83.796286) + (xy 135.471507 83.843507) (xy 135.424286 83.901047) (xy 135.389197 83.966693) (xy 135.36759 84.037923) (xy 135.360294 84.112) + (xy 135.149706 84.112) (xy 135.14241 84.037923) (xy 135.120803 83.966693) (xy 135.085714 83.901047) (xy 135.038493 83.843507) + (xy 134.980953 83.796286) (xy 134.915307 83.761197) (xy 134.844077 83.73959) (xy 134.77 83.732294) (xy 134.47 83.732294) + (xy 134.395923 83.73959) (xy 134.324693 83.761197) (xy 134.259047 83.796286) (xy 134.201507 83.843507) (xy 134.154286 83.901047) + (xy 134.119197 83.966693) (xy 134.09759 84.037923) (xy 134.090294 84.112) (xy 133.879706 84.112) (xy 133.87241 84.037923) + (xy 133.850803 83.966693) (xy 133.815714 83.901047) (xy 133.768493 83.843507) (xy 133.710953 83.796286) (xy 133.645307 83.761197) + (xy 133.574077 83.73959) (xy 133.5 83.732294) (xy 133.2 83.732294) (xy 133.125923 83.73959) (xy 133.054693 83.761197) + (xy 132.989047 83.796286) (xy 132.931507 83.843507) (xy 132.884286 83.901047) (xy 132.849197 83.966693) (xy 132.82759 84.037923) + (xy 132.820294 84.112) (xy 132.609706 84.112) (xy 132.60241 84.037923) (xy 132.580803 83.966693) (xy 132.545714 83.901047) + (xy 132.498493 83.843507) (xy 132.440953 83.796286) (xy 132.375307 83.761197) (xy 132.304077 83.73959) (xy 132.23 83.732294) + (xy 131.93 83.732294) (xy 131.855923 83.73959) (xy 131.784693 83.761197) (xy 131.719047 83.796286) (xy 131.661507 83.843507) + (xy 131.614286 83.901047) (xy 131.579197 83.966693) (xy 131.55759 84.037923) (xy 131.550294 84.112) (xy 131.339706 84.112) + (xy 131.33241 84.037923) (xy 131.310803 83.966693) (xy 131.275714 83.901047) (xy 131.228493 83.843507) (xy 131.170953 83.796286) + (xy 131.105307 83.761197) (xy 131.034077 83.73959) (xy 130.96 83.732294) (xy 130.66 83.732294) (xy 130.585923 83.73959) + (xy 130.514693 83.761197) (xy 130.449047 83.796286) (xy 130.391507 83.843507) (xy 130.344286 83.901047) (xy 130.309197 83.966693) + (xy 130.28759 84.037923) (xy 130.280294 84.112) (xy 128.799706 84.112) (xy 128.79241 84.037923) (xy 128.770803 83.966693) + (xy 128.735714 83.901047) (xy 128.688493 83.843507) (xy 128.630953 83.796286) (xy 128.565307 83.761197) (xy 128.494077 83.73959) + (xy 128.42 83.732294) (xy 128.12 83.732294) (xy 128.045923 83.73959) (xy 127.974693 83.761197) (xy 127.909047 83.796286) + (xy 127.851507 83.843507) (xy 127.804286 83.901047) (xy 127.769197 83.966693) (xy 127.74759 84.037923) (xy 127.740294 84.112) + (xy 127.529617 84.112) (xy 127.529706 83.962) (xy 127.525292 83.917187) (xy 127.512221 83.874095) (xy 127.490994 83.834382) + (xy 127.462427 83.799573) (xy 127.427618 83.771006) (xy 127.387905 83.749779) (xy 127.344813 83.736708) (xy 127.3 83.732294) + (xy 127.13095 83.7334) (xy 127.0738 83.79055) (xy 126.9262 83.79055) (xy 126.86905 83.7334) (xy 126.7 83.732294) + (xy 126.655187 83.736708) (xy 126.612095 83.749779) (xy 126.572382 83.771006) (xy 126.537573 83.799573) (xy 126.509006 83.834382) + (xy 126.487779 83.874095) (xy 126.474708 83.917187) (xy 126.470294 83.962) (xy 126.228295 83.962) (xy 126.195714 83.901047) + (xy 126.148493 83.843507) (xy 126.090953 83.796286) (xy 126.025307 83.761197) (xy 125.954077 83.73959) (xy 125.88 83.732294) + (xy 125.58 83.732294) (xy 125.505923 83.73959) (xy 125.434693 83.761197) (xy 125.369047 83.796286) (xy 125.311507 83.843507) + (xy 125.264286 83.901047) (xy 125.229197 83.966693) (xy 125.20759 84.037923) (xy 125.200294 84.112) (xy 124.989706 84.112) + (xy 124.98241 84.037923) (xy 124.960803 83.966693) (xy 124.925714 83.901047) (xy 124.878493 83.843507) (xy 124.820953 83.796286) + (xy 124.755307 83.761197) (xy 124.684077 83.73959) (xy 124.61 83.732294) (xy 124.31 83.732294) (xy 124.235923 83.73959) + (xy 124.164693 83.761197) (xy 124.099047 83.796286) (xy 124.041507 83.843507) (xy 123.994286 83.901047) (xy 123.959197 83.966693) + (xy 123.93759 84.037923) (xy 123.930294 84.112) (xy 123.930294 87.812) (xy 123.93759 87.886077) (xy 123.959197 87.957307) + (xy 123.994286 88.022953) (xy 124.041507 88.080493) (xy 124.099047 88.127714) (xy 124.155201 88.157729) (xy 124.155201 88.900747) + (xy 123.620061 89.435888) (xy 123.608432 89.445432) (xy 123.570342 89.491844) (xy 123.550312 89.529319) (xy 123.54204 89.544795) + (xy 123.53192 89.578157) (xy 123.524611 89.60225) (xy 123.5202 89.647035) (xy 123.5202 89.647042) (xy 123.518727 89.662) + (xy 123.5202 89.676958) (xy 123.5202 91.179848) (xy 123.485307 91.161197) (xy 123.414077 91.13959) (xy 123.34 91.132294) + (xy 123.04 91.132294) (xy 122.965923 91.13959) (xy 122.894693 91.161197) (xy 122.8598 91.179848) (xy 122.8598 89.788251) + (xy 123.394944 89.253108) (xy 123.406568 89.243568) (xy 123.444658 89.197157) (xy 123.47296 89.144206) (xy 123.490389 89.086751) + (xy 123.4948 89.041966) (xy 123.4948 89.041958) (xy 123.496273 89.027) (xy 123.4948 89.012042) (xy 123.4948 88.157729) + (xy 123.550953 88.127714) (xy 123.608493 88.080493) (xy 123.655714 88.022953) (xy 123.690803 87.957307) (xy 123.71241 87.886077) + (xy 123.719706 87.812) (xy 123.719706 84.112) (xy 123.71241 84.037923) (xy 123.690803 83.966693) (xy 123.655714 83.901047) + (xy 123.608493 83.843507) (xy 123.550953 83.796286) (xy 123.485307 83.761197) (xy 123.414077 83.73959) (xy 123.34 83.732294) + (xy 123.04 83.732294) (xy 122.965923 83.73959) (xy 122.894693 83.761197) (xy 122.829047 83.796286) (xy 122.771507 83.843507) + (xy 122.724286 83.901047) (xy 122.689197 83.966693) (xy 122.66759 84.037923) (xy 122.660294 84.112) (xy 122.660294 87.812) + (xy 122.66759 87.886077) (xy 122.689197 87.957307) (xy 122.724286 88.022953) (xy 122.771507 88.080493) (xy 122.829047 88.127714) + (xy 122.885201 88.157729) (xy 122.885201 88.900747) (xy 122.350061 89.435888) (xy 122.338432 89.445432) (xy 122.300342 89.491844) + (xy 122.280312 89.529319) (xy 122.27204 89.544795) (xy 122.26192 89.578157) (xy 122.254611 89.60225) (xy 122.2502 89.647035) + (xy 122.2502 89.647042) (xy 122.248727 89.662) (xy 122.2502 89.676958) (xy 122.2502 91.179848) (xy 122.215307 91.161197) + (xy 122.144077 91.13959) (xy 122.07 91.132294) (xy 121.77 91.132294) (xy 121.695923 91.13959) (xy 121.624693 91.161197) + (xy 121.559047 91.196286) (xy 121.501507 91.243507) (xy 121.454286 91.301047) (xy 121.419197 91.366693) (xy 121.39759 91.437923) + (xy 121.390294 91.512) (xy 121.390294 93.591061) (xy 121.328754 93.549941) (xy 121.214356 93.502556) (xy 121.092912 93.4784) + (xy 120.969088 93.4784) (xy 120.873566 93.4974) (xy 120.166315 93.4974) (xy 120.165542 93.496458) (xy 120.090955 93.435246) + (xy 120.005859 93.389761) (xy 119.913525 93.361752) (xy 119.8175 93.352294) (xy 118.9425 93.352294) (xy 118.846475 93.361752) + (xy 118.754141 93.389761) (xy 118.669045 93.435246) (xy 118.594458 93.496458) (xy 118.593685 93.4974) (xy 118.267434 93.4974) + (xy 118.171912 93.4784) (xy 118.048088 93.4784) (xy 117.926644 93.502556) (xy 117.812246 93.549941) (xy 117.709291 93.618734) + (xy 117.621734 93.706291) (xy 117.552941 93.809246) (xy 117.505556 93.923644) (xy 117.4814 94.045088) (xy 111.363963 94.045088) + (xy 112.471118 92.937934) (xy 112.474468 92.9386) (xy 112.569532 92.9386) (xy 112.662769 92.920054) (xy 112.750597 92.883674) + (xy 112.82964 92.83086) (xy 112.89686 92.76364) (xy 112.949674 92.684597) (xy 112.986054 92.596769) (xy 113.0046 92.503532) + (xy 113.0046 92.408468) (xy 112.986054 92.315231) (xy 112.949674 92.227403) (xy 112.89686 92.14836) (xy 112.82964 92.08114) + (xy 112.750597 92.028326) (xy 112.662769 91.991946) (xy 112.569532 91.9734) (xy 112.474468 91.9734) (xy 112.381231 91.991946) + (xy 112.293403 92.028326) (xy 112.21436 92.08114) (xy 112.14714 92.14836) (xy 112.094326 92.227403) (xy 112.057946 92.315231) + (xy 112.0394 92.408468) (xy 112.0394 92.503532) (xy 112.040066 92.506882) (xy 108.458749 96.0882) (xy 93.471252 96.0882) + (xy 93.0148 95.631749) (xy 93.0148 95.557729) (xy 93.070953 95.527714) (xy 93.128493 95.480493) (xy 93.175714 95.422953) + (xy 93.210803 95.357307) (xy 93.23241 95.286077) (xy 93.239706 95.212) (xy 93.239706 91.512) (xy 93.450294 91.512) + (xy 93.450294 95.212) (xy 93.45759 95.286077) (xy 93.479197 95.357307) (xy 93.514286 95.422953) (xy 93.561507 95.480493) + (xy 93.619047 95.527714) (xy 93.684693 95.562803) (xy 93.755923 95.58441) (xy 93.83 95.591706) (xy 94.13 95.591706) + (xy 94.204077 95.58441) (xy 94.275307 95.562803) (xy 94.340953 95.527714) (xy 94.398493 95.480493) (xy 94.445714 95.422953) + (xy 94.480803 95.357307) (xy 94.50241 95.286077) (xy 94.509706 95.212) (xy 94.509706 91.512) (xy 95.990294 91.512) + (xy 95.990294 95.212) (xy 95.99759 95.286077) (xy 96.019197 95.357307) (xy 96.054286 95.422953) (xy 96.101507 95.480493) + (xy 96.159047 95.527714) (xy 96.224693 95.562803) (xy 96.295923 95.58441) (xy 96.37 95.591706) (xy 96.67 95.591706) + (xy 96.744077 95.58441) (xy 96.815307 95.562803) (xy 96.880953 95.527714) (xy 96.938493 95.480493) (xy 96.985714 95.422953) + (xy 97.020803 95.357307) (xy 97.04241 95.286077) (xy 97.049706 95.212) (xy 97.049706 91.512) (xy 97.260294 91.512) + (xy 97.260294 95.212) (xy 97.26759 95.286077) (xy 97.289197 95.357307) (xy 97.324286 95.422953) (xy 97.371507 95.480493) + (xy 97.429047 95.527714) (xy 97.494693 95.562803) (xy 97.565923 95.58441) (xy 97.64 95.591706) (xy 97.94 95.591706) + (xy 98.014077 95.58441) (xy 98.085307 95.562803) (xy 98.150953 95.527714) (xy 98.208493 95.480493) (xy 98.255714 95.422953) + (xy 98.290803 95.357307) (xy 98.31241 95.286077) (xy 98.319706 95.212) (xy 98.319706 91.512) (xy 98.530294 91.512) + (xy 98.530294 95.212) (xy 98.53759 95.286077) (xy 98.559197 95.357307) (xy 98.594286 95.422953) (xy 98.641507 95.480493) + (xy 98.699047 95.527714) (xy 98.764693 95.562803) (xy 98.835923 95.58441) (xy 98.91 95.591706) (xy 99.21 95.591706) + (xy 99.284077 95.58441) (xy 99.355307 95.562803) (xy 99.420953 95.527714) (xy 99.478493 95.480493) (xy 99.525714 95.422953) + (xy 99.560803 95.357307) (xy 99.58241 95.286077) (xy 99.589706 95.212) (xy 99.589706 91.512) (xy 99.800294 91.512) + (xy 99.800294 95.212) (xy 99.80759 95.286077) (xy 99.829197 95.357307) (xy 99.864286 95.422953) (xy 99.911507 95.480493) + (xy 99.969047 95.527714) (xy 100.034693 95.562803) (xy 100.105923 95.58441) (xy 100.18 95.591706) (xy 100.48 95.591706) + (xy 100.554077 95.58441) (xy 100.625307 95.562803) (xy 100.690953 95.527714) (xy 100.748493 95.480493) (xy 100.795714 95.422953) + (xy 100.830803 95.357307) (xy 100.85241 95.286077) (xy 100.859706 95.212) (xy 100.859706 91.512) (xy 101.070294 91.512) + (xy 101.070294 95.212) (xy 101.07759 95.286077) (xy 101.099197 95.357307) (xy 101.134286 95.422953) (xy 101.181507 95.480493) + (xy 101.239047 95.527714) (xy 101.304693 95.562803) (xy 101.375923 95.58441) (xy 101.45 95.591706) (xy 101.75 95.591706) + (xy 101.824077 95.58441) (xy 101.895307 95.562803) (xy 101.960953 95.527714) (xy 102.018493 95.480493) (xy 102.065714 95.422953) + (xy 102.100803 95.357307) (xy 102.12241 95.286077) (xy 102.129706 95.212) (xy 102.129706 91.512) (xy 102.340294 91.512) + (xy 102.340294 95.212) (xy 102.34759 95.286077) (xy 102.369197 95.357307) (xy 102.404286 95.422953) (xy 102.451507 95.480493) + (xy 102.509047 95.527714) (xy 102.574693 95.562803) (xy 102.645923 95.58441) (xy 102.72 95.591706) (xy 103.02 95.591706) + (xy 103.094077 95.58441) (xy 103.165307 95.562803) (xy 103.230953 95.527714) (xy 103.288493 95.480493) (xy 103.335714 95.422953) + (xy 103.370803 95.357307) (xy 103.39241 95.286077) (xy 103.399706 95.212) (xy 103.399706 94.622939) (xy 103.461246 94.664059) + (xy 103.575644 94.711444) (xy 103.697088 94.7356) (xy 103.820912 94.7356) (xy 103.916434 94.7166) (xy 104.623685 94.7166) + (xy 104.624458 94.717542) (xy 104.699045 94.778754) (xy 104.784141 94.824239) (xy 104.876475 94.852248) (xy 104.927401 94.857264) + (xy 104.927401 94.948463) (xy 104.9274 94.948468) (xy 104.9274 95.043532) (xy 104.93205 95.06691) (xy 104.934384 95.090606) + (xy 104.941296 95.113393) (xy 104.945946 95.136769) (xy 104.955065 95.158784) (xy 104.961979 95.181577) (xy 104.973208 95.202585) + (xy 104.982326 95.224597) (xy 104.995564 95.24441) (xy 105.006792 95.265415) (xy 105.021899 95.283823) (xy 105.03514 95.30364) + (xy 105.051993 95.320493) (xy 105.0671 95.338901) (xy 105.085508 95.354008) (xy 105.10236 95.37086) (xy 105.122176 95.3841) + (xy 105.140586 95.399209) (xy 105.161593 95.410438) (xy 105.181403 95.423674) (xy 105.203411 95.43279) (xy 105.224424 95.444022) + (xy 105.247222 95.450938) (xy 105.269231 95.460054) (xy 105.2926 95.464702) (xy 105.315395 95.471617) (xy 105.3391 95.473952) + (xy 105.362468 95.4786) (xy 105.386293 95.4786) (xy 105.41 95.480935) (xy 105.433707 95.4786) (xy 105.457532 95.4786) + (xy 105.480899 95.473952) (xy 105.504606 95.471617) (xy 105.527403 95.464702) (xy 105.550769 95.460054) (xy 105.572775 95.450939) + (xy 105.595577 95.444022) (xy 105.616594 95.432788) (xy 105.638597 95.423674) (xy 105.658403 95.41044) (xy 105.679415 95.399209) + (xy 105.697829 95.384097) (xy 105.71764 95.37086) (xy 105.734488 95.354012) (xy 105.752901 95.338901) (xy 105.768012 95.320488) + (xy 105.78486 95.30364) (xy 105.798097 95.283829) (xy 105.813209 95.265415) (xy 105.82444 95.244403) (xy 105.837674 95.224597) + (xy 105.846788 95.202594) (xy 105.858022 95.181577) (xy 105.864939 95.158775) (xy 105.874054 95.136769) (xy 105.878702 95.113403) + (xy 105.885617 95.090606) (xy 105.887952 95.0669) (xy 105.8926 95.043532) (xy 105.8926 94.857264) (xy 105.943525 94.852248) + (xy 106.035859 94.824239) (xy 106.120955 94.778754) (xy 106.195542 94.717542) (xy 106.196315 94.7166) (xy 106.522566 94.7166) + (xy 106.618088 94.7356) (xy 106.741912 94.7356) (xy 106.863356 94.711444) (xy 106.977754 94.664059) (xy 107.080709 94.595266) + (xy 107.168266 94.507709) (xy 107.237059 94.404754) (xy 107.284444 94.290356) (xy 107.3086 94.168912) (xy 107.3086 94.045088) + (xy 107.284444 93.923644) (xy 107.237059 93.809246) (xy 107.168266 93.706291) (xy 107.080709 93.618734) (xy 106.977754 93.549941) + (xy 106.863356 93.502556) (xy 106.741912 93.4784) (xy 106.618088 93.4784) (xy 106.522566 93.4974) (xy 106.196315 93.4974) + (xy 106.195542 93.496458) (xy 106.120955 93.435246) (xy 106.035859 93.389761) (xy 105.943525 93.361752) (xy 105.8475 93.352294) + (xy 104.9725 93.352294) (xy 104.876475 93.361752) (xy 104.784141 93.389761) (xy 104.699045 93.435246) (xy 104.624458 93.496458) + (xy 104.623685 93.4974) (xy 103.916434 93.4974) (xy 103.820912 93.4784) (xy 103.697088 93.4784) (xy 103.575644 93.502556) + (xy 103.461246 93.549941) (xy 103.399706 93.591061) (xy 103.399706 92.932) (xy 104.480294 92.932) (xy 104.484708 92.976813) + (xy 104.497779 93.019905) (xy 104.519006 93.059618) (xy 104.547573 93.094427) (xy 104.582382 93.122994) (xy 104.622095 93.144221) + (xy 104.665187 93.157292) (xy 104.71 93.161706) (xy 105.27905 93.1606) (xy 105.3362 93.10345) (xy 105.3362 92.4808) + (xy 105.4838 92.4808) (xy 105.4838 93.10345) (xy 105.54095 93.1606) (xy 106.11 93.161706) (xy 106.154813 93.157292) + (xy 106.197905 93.144221) (xy 106.237618 93.122994) (xy 106.272427 93.094427) (xy 106.300994 93.059618) (xy 106.322221 93.019905) + (xy 106.335292 92.976813) (xy 106.339706 92.932) (xy 106.3386 92.53795) (xy 106.28145 92.4808) (xy 105.4838 92.4808) + (xy 105.3362 92.4808) (xy 104.53855 92.4808) (xy 104.4814 92.53795) (xy 104.480294 92.932) (xy 103.399706 92.932) + (xy 103.399706 91.882) (xy 104.480294 91.882) (xy 104.4814 92.27605) (xy 104.53855 92.3332) (xy 105.3362 92.3332) + (xy 105.3362 91.71055) (xy 105.4838 91.71055) (xy 105.4838 92.3332) (xy 106.28145 92.3332) (xy 106.3386 92.27605) + (xy 106.339706 91.882) (xy 106.335292 91.837187) (xy 106.322221 91.794095) (xy 106.300994 91.754382) (xy 106.272427 91.719573) + (xy 106.237618 91.691006) (xy 106.197905 91.669779) (xy 106.154813 91.656708) (xy 106.11 91.652294) (xy 105.54095 91.6534) + (xy 105.4838 91.71055) (xy 105.3362 91.71055) (xy 105.27905 91.6534) (xy 104.71 91.652294) (xy 104.665187 91.656708) + (xy 104.622095 91.669779) (xy 104.582382 91.691006) (xy 104.547573 91.719573) (xy 104.519006 91.754382) (xy 104.497779 91.794095) + (xy 104.484708 91.837187) (xy 104.480294 91.882) (xy 103.399706 91.882) (xy 103.399706 91.512) (xy 103.39241 91.437923) + (xy 103.370803 91.366693) (xy 103.335714 91.301047) (xy 103.288493 91.243507) (xy 103.230953 91.196286) (xy 103.165307 91.161197) + (xy 103.094077 91.13959) (xy 103.02 91.132294) (xy 102.72 91.132294) (xy 102.645923 91.13959) (xy 102.574693 91.161197) + (xy 102.509047 91.196286) (xy 102.451507 91.243507) (xy 102.404286 91.301047) (xy 102.369197 91.366693) (xy 102.34759 91.437923) + (xy 102.340294 91.512) (xy 102.129706 91.512) (xy 102.12241 91.437923) (xy 102.100803 91.366693) (xy 102.065714 91.301047) + (xy 102.018493 91.243507) (xy 101.988644 91.219011) (xy 102.027674 91.160597) (xy 102.064054 91.072769) (xy 102.0826 90.979532) + (xy 102.0826 90.884468) (xy 102.064054 90.791231) (xy 102.027674 90.703403) (xy 101.97486 90.62436) (xy 101.90764 90.55714) + (xy 101.828597 90.504326) (xy 101.740769 90.467946) (xy 101.647532 90.4494) (xy 101.552468 90.4494) (xy 101.459231 90.467946) + (xy 101.371403 90.504326) (xy 101.29236 90.55714) (xy 101.22514 90.62436) (xy 101.172326 90.703403) (xy 101.135946 90.791231) + (xy 101.1174 90.884468) (xy 101.1174 90.979532) (xy 101.135946 91.072769) (xy 101.172326 91.160597) (xy 101.211356 91.219011) + (xy 101.181507 91.243507) (xy 101.134286 91.301047) (xy 101.099197 91.366693) (xy 101.07759 91.437923) (xy 101.070294 91.512) + (xy 100.859706 91.512) (xy 100.85241 91.437923) (xy 100.830803 91.366693) (xy 100.795714 91.301047) (xy 100.748493 91.243507) + (xy 100.718644 91.219011) (xy 100.757674 91.160597) (xy 100.794054 91.072769) (xy 100.8126 90.979532) (xy 100.8126 90.884468) + (xy 100.794054 90.791231) (xy 100.757674 90.703403) (xy 100.70486 90.62436) (xy 100.63764 90.55714) (xy 100.558597 90.504326) + (xy 100.470769 90.467946) (xy 100.377532 90.4494) (xy 100.282468 90.4494) (xy 100.189231 90.467946) (xy 100.101403 90.504326) + (xy 100.02236 90.55714) (xy 99.95514 90.62436) (xy 99.902326 90.703403) (xy 99.865946 90.791231) (xy 99.8474 90.884468) + (xy 99.8474 90.979532) (xy 99.865946 91.072769) (xy 99.902326 91.160597) (xy 99.941356 91.219011) (xy 99.911507 91.243507) + (xy 99.864286 91.301047) (xy 99.829197 91.366693) (xy 99.80759 91.437923) (xy 99.800294 91.512) (xy 99.589706 91.512) + (xy 99.58241 91.437923) (xy 99.560803 91.366693) (xy 99.525714 91.301047) (xy 99.478493 91.243507) (xy 99.448644 91.219011) + (xy 99.487674 91.160597) (xy 99.524054 91.072769) (xy 99.5426 90.979532) (xy 99.5426 90.884468) (xy 99.524054 90.791231) + (xy 99.487674 90.703403) (xy 99.43486 90.62436) (xy 99.36764 90.55714) (xy 99.288597 90.504326) (xy 99.200769 90.467946) + (xy 99.107532 90.4494) (xy 99.012468 90.4494) (xy 98.919231 90.467946) (xy 98.831403 90.504326) (xy 98.75236 90.55714) + (xy 98.68514 90.62436) (xy 98.632326 90.703403) (xy 98.595946 90.791231) (xy 98.5774 90.884468) (xy 98.5774 90.979532) + (xy 98.595946 91.072769) (xy 98.632326 91.160597) (xy 98.671356 91.219011) (xy 98.641507 91.243507) (xy 98.594286 91.301047) + (xy 98.559197 91.366693) (xy 98.53759 91.437923) (xy 98.530294 91.512) (xy 98.319706 91.512) (xy 98.31241 91.437923) + (xy 98.290803 91.366693) (xy 98.255714 91.301047) (xy 98.208493 91.243507) (xy 98.178644 91.219011) (xy 98.217674 91.160597) + (xy 98.254054 91.072769) (xy 98.2726 90.979532) (xy 98.2726 90.884468) (xy 98.254054 90.791231) (xy 98.217674 90.703403) + (xy 98.16486 90.62436) (xy 98.09764 90.55714) (xy 98.018597 90.504326) (xy 97.930769 90.467946) (xy 97.837532 90.4494) + (xy 97.742468 90.4494) (xy 97.649231 90.467946) (xy 97.561403 90.504326) (xy 97.48236 90.55714) (xy 97.41514 90.62436) + (xy 97.362326 90.703403) (xy 97.325946 90.791231) (xy 97.3074 90.884468) (xy 97.3074 90.979532) (xy 97.325946 91.072769) + (xy 97.362326 91.160597) (xy 97.401356 91.219011) (xy 97.371507 91.243507) (xy 97.324286 91.301047) (xy 97.289197 91.366693) + (xy 97.26759 91.437923) (xy 97.260294 91.512) (xy 97.049706 91.512) (xy 97.04241 91.437923) (xy 97.020803 91.366693) + (xy 96.985714 91.301047) (xy 96.938493 91.243507) (xy 96.908644 91.219011) (xy 96.947674 91.160597) (xy 96.984054 91.072769) + (xy 97.0026 90.979532) (xy 97.0026 90.884468) (xy 96.984054 90.791231) (xy 96.947674 90.703403) (xy 96.89486 90.62436) + (xy 96.82764 90.55714) (xy 96.748597 90.504326) (xy 96.660769 90.467946) (xy 96.567532 90.4494) (xy 96.472468 90.4494) + (xy 96.379231 90.467946) (xy 96.291403 90.504326) (xy 96.21236 90.55714) (xy 96.14514 90.62436) (xy 96.092326 90.703403) + (xy 96.055946 90.791231) (xy 96.0374 90.884468) (xy 96.0374 90.979532) (xy 96.055946 91.072769) (xy 96.092326 91.160597) + (xy 96.131356 91.219011) (xy 96.101507 91.243507) (xy 96.054286 91.301047) (xy 96.019197 91.366693) (xy 95.99759 91.437923) + (xy 95.990294 91.512) (xy 94.509706 91.512) (xy 94.50241 91.437923) (xy 94.480803 91.366693) (xy 94.445714 91.301047) + (xy 94.398493 91.243507) (xy 94.340953 91.196286) (xy 94.275307 91.161197) (xy 94.204077 91.13959) (xy 94.13 91.132294) + (xy 93.83 91.132294) (xy 93.755923 91.13959) (xy 93.684693 91.161197) (xy 93.619047 91.196286) (xy 93.561507 91.243507) + (xy 93.514286 91.301047) (xy 93.479197 91.366693) (xy 93.45759 91.437923) (xy 93.450294 91.512) (xy 93.239706 91.512) + (xy 93.23241 91.437923) (xy 93.210803 91.366693) (xy 93.175714 91.301047) (xy 93.128493 91.243507) (xy 93.070953 91.196286) + (xy 93.005307 91.161197) (xy 92.934077 91.13959) (xy 92.86 91.132294) (xy 92.56 91.132294) (xy 92.485923 91.13959) + (xy 92.414693 91.161197) (xy 92.349047 91.196286) (xy 92.291507 91.243507) (xy 92.244286 91.301047) (xy 92.209197 91.366693) + (xy 92.18759 91.437923) (xy 92.180294 91.512) (xy 91.969706 91.512) (xy 91.96241 91.437923) (xy 91.940803 91.366693) + (xy 91.905714 91.301047) (xy 91.858493 91.243507) (xy 91.800953 91.196286) (xy 91.7448 91.166271) (xy 91.7448 91.058251) + (xy 92.455252 90.3478) (xy 94.742749 90.3478) (xy 94.769888 90.374939) (xy 94.779432 90.386568) (xy 94.825843 90.424658) + (xy 94.878792 90.452959) (xy 94.878794 90.45296) (xy 94.936248 90.470389) (xy 94.941889 90.470945) (xy 94.981034 90.4748) + (xy 94.981041 90.4748) (xy 94.995999 90.476273) (xy 95.010957 90.4748) (xy 95.489042 90.4748) (xy 95.504 90.476273) + (xy 95.518958 90.4748) (xy 95.518966 90.4748) (xy 95.563751 90.470389) (xy 95.621206 90.45296) (xy 95.674157 90.424658) + (xy 95.720568 90.386568) (xy 95.730112 90.374939) (xy 95.820751 90.2843) (xy 104.188542 90.2843) (xy 104.2035 90.285773) + (xy 104.218458 90.2843) (xy 104.218466 90.2843) (xy 104.263251 90.279889) (xy 104.320706 90.26246) (xy 104.373657 90.234158) + (xy 104.420068 90.196068) (xy 104.429612 90.184439) (xy 104.901252 89.7128) (xy 111.506749 89.7128) (xy 114.795582 93.001634) + (xy 114.761326 93.052903) (xy 114.724946 93.140731) (xy 114.7064 93.233968) (xy 114.7064 93.329032) (xy 114.724946 93.422269) + (xy 114.761326 93.510097) (xy 114.81414 93.58914) (xy 114.88136 93.65636) (xy 114.960403 93.709174) (xy 115.048231 93.745554) + (xy 115.141468 93.7641) (xy 115.236532 93.7641) (xy 115.329769 93.745554) (xy 115.417597 93.709174) (xy 115.49664 93.65636) + (xy 115.56386 93.58914) (xy 115.616674 93.510097) (xy 115.653054 93.422269) (xy 115.6716 93.329032) (xy 115.6716 93.233968) + (xy 115.653054 93.140731) (xy 115.616674 93.052903) (xy 115.56386 92.97386) (xy 115.522 92.932) (xy 118.450294 92.932) + (xy 118.454708 92.976813) (xy 118.467779 93.019905) (xy 118.489006 93.059618) (xy 118.517573 93.094427) (xy 118.552382 93.122994) + (xy 118.592095 93.144221) (xy 118.635187 93.157292) (xy 118.68 93.161706) (xy 119.24905 93.1606) (xy 119.3062 93.10345) + (xy 119.3062 92.4808) (xy 119.4538 92.4808) (xy 119.4538 93.10345) (xy 119.51095 93.1606) (xy 120.08 93.161706) + (xy 120.124813 93.157292) (xy 120.167905 93.144221) (xy 120.207618 93.122994) (xy 120.242427 93.094427) (xy 120.270994 93.059618) + (xy 120.292221 93.019905) (xy 120.305292 92.976813) (xy 120.309706 92.932) (xy 120.3086 92.53795) (xy 120.25145 92.4808) + (xy 119.4538 92.4808) (xy 119.3062 92.4808) (xy 118.50855 92.4808) (xy 118.4514 92.53795) (xy 118.450294 92.932) + (xy 115.522 92.932) (xy 115.49664 92.90664) (xy 115.488456 92.901172) (xy 115.474093 92.853826) (xy 115.47196 92.846794) + (xy 115.443658 92.793843) (xy 115.405568 92.747432) (xy 115.393944 92.737892) (xy 114.538052 91.882) (xy 118.450294 91.882) + (xy 118.4514 92.27605) (xy 118.50855 92.3332) (xy 119.3062 92.3332) (xy 119.3062 91.71055) (xy 119.4538 91.71055) + (xy 119.4538 92.3332) (xy 120.25145 92.3332) (xy 120.3086 92.27605) (xy 120.309706 91.882) (xy 120.305292 91.837187) + (xy 120.292221 91.794095) (xy 120.270994 91.754382) (xy 120.242427 91.719573) (xy 120.207618 91.691006) (xy 120.167905 91.669779) + (xy 120.124813 91.656708) (xy 120.08 91.652294) (xy 119.51095 91.6534) (xy 119.4538 91.71055) (xy 119.3062 91.71055) + (xy 119.24905 91.6534) (xy 118.68 91.652294) (xy 118.635187 91.656708) (xy 118.592095 91.669779) (xy 118.552382 91.691006) + (xy 118.517573 91.719573) (xy 118.489006 91.754382) (xy 118.467779 91.794095) (xy 118.454708 91.837187) (xy 118.450294 91.882) + (xy 114.538052 91.882) (xy 111.859112 89.203061) (xy 111.849568 89.191432) (xy 111.803157 89.153342) (xy 111.750206 89.12504) + (xy 111.692751 89.107611) (xy 111.647966 89.1032) (xy 111.647958 89.1032) (xy 111.633 89.101727) (xy 111.618042 89.1032) + (xy 104.789957 89.1032) (xy 104.774999 89.101727) (xy 104.760041 89.1032) (xy 104.760034 89.1032) (xy 104.720889 89.107055) + (xy 104.715248 89.107611) (xy 104.704591 89.110844) (xy 104.657794 89.12504) (xy 104.604843 89.153342) (xy 104.558432 89.191432) + (xy 104.548892 89.203056) (xy 104.077249 89.6747) (xy 95.709458 89.6747) (xy 95.6945 89.673227) (xy 95.679542 89.6747) + (xy 95.679534 89.6747) (xy 95.639903 89.678603) (xy 95.634748 89.679111) (xy 95.577294 89.69654) (xy 95.524343 89.724842) + (xy 95.477932 89.762932) (xy 95.468388 89.774561) (xy 95.377749 89.8652) (xy 95.122251 89.8652) (xy 95.095112 89.838061) + (xy 95.085568 89.826432) (xy 95.039157 89.788342) (xy 94.986206 89.76004) (xy 94.928751 89.742611) (xy 94.883966 89.7382) + (xy 94.883958 89.7382) (xy 94.869 89.736727) (xy 94.854042 89.7382) (xy 92.343958 89.7382) (xy 92.329 89.736727) + (xy 92.314042 89.7382) (xy 92.314034 89.7382) (xy 92.274403 89.742103) (xy 92.269248 89.742611) (xy 92.258591 89.745844) + (xy 92.211794 89.76004) (xy 92.158843 89.788342) (xy 92.112432 89.826432) (xy 92.102892 89.838056) (xy 91.235061 90.705888) + (xy 91.223432 90.715432) (xy 91.185342 90.761844) (xy 91.15704 90.814795) (xy 91.139611 90.87225) (xy 91.1352 90.917035) + (xy 91.1352 90.917042) (xy 91.133727 90.932) (xy 91.1352 90.946958) (xy 91.1352 91.166271) (xy 91.079047 91.196286) + (xy 91.021507 91.243507) (xy 90.974286 91.301047) (xy 90.939197 91.366693) (xy 90.91759 91.437923) (xy 90.910294 91.512) + (xy 90.699706 91.512) (xy 90.69241 91.437923) (xy 90.670803 91.366693) (xy 90.635714 91.301047) (xy 90.588493 91.243507) + (xy 90.530953 91.196286) (xy 90.465307 91.161197) (xy 90.394077 91.13959) (xy 90.32 91.132294) (xy 90.02 91.132294) + (xy 89.945923 91.13959) (xy 89.874693 91.161197) (xy 89.8398 91.179848) (xy 89.8398 90.042251) (xy 90.374944 89.507108) + (xy 90.386568 89.497568) (xy 90.424658 89.451157) (xy 90.45296 89.398206) (xy 90.470389 89.340751) (xy 90.4748 89.295966) + (xy 90.4748 89.295958) (xy 90.476273 89.281) (xy 90.4748 89.266042) (xy 90.4748 88.157729) (xy 90.530953 88.127714) + (xy 90.588493 88.080493) (xy 90.635714 88.022953) (xy 90.670803 87.957307) (xy 90.69241 87.886077) (xy 90.699706 87.812) + (xy 90.699706 84.112) (xy 90.910294 84.112) (xy 90.910294 87.812) (xy 90.91759 87.886077) (xy 90.939197 87.957307) + (xy 90.974286 88.022953) (xy 91.021507 88.080493) (xy 91.051356 88.104989) (xy 91.012326 88.163403) (xy 90.975946 88.251231) + (xy 90.9574 88.344468) (xy 90.9574 88.439532) (xy 90.975946 88.532769) (xy 91.012326 88.620597) (xy 91.06514 88.69964) + (xy 91.13236 88.76686) (xy 91.211403 88.819674) (xy 91.299231 88.856054) (xy 91.392468 88.8746) (xy 91.487532 88.8746) + (xy 91.490882 88.873934) (xy 92.102892 89.485944) (xy 92.112432 89.497568) (xy 92.158843 89.535658) (xy 92.20052 89.557934) + (xy 92.211794 89.56396) (xy 92.269248 89.581389) (xy 92.274403 89.581897) (xy 92.314034 89.5858) (xy 92.314042 89.5858) + (xy 92.329 89.587273) (xy 92.343958 89.5858) (xy 94.854042 89.5858) (xy 94.869 89.587273) (xy 94.883958 89.5858) + (xy 94.883966 89.5858) (xy 94.928751 89.581389) (xy 94.986206 89.56396) (xy 95.039157 89.535658) (xy 95.085568 89.497568) + (xy 95.095112 89.485939) (xy 95.122251 89.4588) (xy 95.377749 89.4588) (xy 95.404888 89.485939) (xy 95.414432 89.497568) + (xy 95.460843 89.535658) (xy 95.50252 89.557934) (xy 95.513794 89.56396) (xy 95.571248 89.581389) (xy 95.576889 89.581945) + (xy 95.616034 89.5858) (xy 95.616041 89.5858) (xy 95.630999 89.587273) (xy 95.645957 89.5858) (xy 103.363042 89.5858) + (xy 103.378 89.587273) (xy 103.392958 89.5858) (xy 103.392966 89.5858) (xy 103.437751 89.581389) (xy 103.495206 89.56396) + (xy 103.548157 89.535658) (xy 103.594568 89.497568) (xy 103.604112 89.485939) (xy 104.139252 88.9508) (xy 119.507749 88.9508) + (xy 120.676066 90.119118) (xy 120.6754 90.122468) (xy 120.6754 90.217532) (xy 120.693946 90.310769) (xy 120.730326 90.398597) + (xy 120.78314 90.47764) (xy 120.85036 90.54486) (xy 120.929403 90.597674) (xy 121.017231 90.634054) (xy 121.110468 90.6526) + (xy 121.205532 90.6526) (xy 121.298769 90.634054) (xy 121.386597 90.597674) (xy 121.46564 90.54486) (xy 121.53286 90.47764) + (xy 121.585674 90.398597) (xy 121.622054 90.310769) (xy 121.6406 90.217532) (xy 121.6406 90.122468) (xy 121.622054 90.029231) + (xy 121.585674 89.941403) (xy 121.53286 89.86236) (xy 121.46564 89.79514) (xy 121.386597 89.742326) (xy 121.298769 89.705946) + (xy 121.205532 89.6874) (xy 121.110468 89.6874) (xy 121.107118 89.688066) (xy 119.860112 88.441061) (xy 119.850568 88.429432) + (xy 119.804157 88.391342) (xy 119.751206 88.36304) (xy 119.693751 88.345611) (xy 119.648966 88.3412) (xy 119.648958 88.3412) + (xy 119.634 88.339727) (xy 119.619042 88.3412) (xy 104.027958 88.3412) (xy 104.013 88.339727) (xy 103.998042 88.3412) + (xy 103.998034 88.3412) (xy 103.953249 88.345611) (xy 103.895794 88.36304) (xy 103.842843 88.391342) (xy 103.796432 88.429432) + (xy 103.786892 88.441056) (xy 103.251749 88.9762) (xy 95.757251 88.9762) (xy 95.730112 88.949061) (xy 95.720568 88.937432) + (xy 95.674157 88.899342) (xy 95.621206 88.87104) (xy 95.563751 88.853611) (xy 95.518966 88.8492) (xy 95.518958 88.8492) + (xy 95.504 88.847727) (xy 95.489042 88.8492) (xy 95.010957 88.8492) (xy 94.995999 88.847727) (xy 94.981041 88.8492) + (xy 94.981034 88.8492) (xy 94.941889 88.853055) (xy 94.936248 88.853611) (xy 94.906722 88.862568) (xy 94.878794 88.87104) + (xy 94.825843 88.899342) (xy 94.779432 88.937432) (xy 94.769888 88.949061) (xy 94.742749 88.9762) (xy 92.455252 88.9762) + (xy 91.921934 88.442882) (xy 91.9226 88.439532) (xy 91.9226 88.344468) (xy 91.904054 88.251231) (xy 91.867674 88.163403) + (xy 91.828644 88.104989) (xy 91.858493 88.080493) (xy 91.905714 88.022953) (xy 91.940803 87.957307) (xy 91.96241 87.886077) + (xy 91.969706 87.812) (xy 91.969706 84.112) (xy 91.96241 84.037923) (xy 91.940803 83.966693) (xy 91.938295 83.962) + (xy 92.180294 83.962) (xy 92.181219 85.525745) (xy 92.180294 86.462) (xy 92.181176 86.470958) (xy 92.180294 87.962) + (xy 92.184708 88.006813) (xy 92.197779 88.049905) (xy 92.219006 88.089618) (xy 92.247573 88.124427) (xy 92.282382 88.152994) + (xy 92.322095 88.174221) (xy 92.365187 88.187292) (xy 92.41 88.191706) (xy 92.57905 88.1906) (xy 92.6362 88.13345) + (xy 92.6362 83.79055) (xy 92.7838 83.79055) (xy 92.7838 88.13345) (xy 92.84095 88.1906) (xy 93.01 88.191706) + (xy 93.054813 88.187292) (xy 93.097905 88.174221) (xy 93.137618 88.152994) (xy 93.172427 88.124427) (xy 93.200994 88.089618) + (xy 93.222221 88.049905) (xy 93.235292 88.006813) (xy 93.239706 87.962) (xy 93.238824 86.470958) (xy 93.239706 86.462) + (xy 93.238781 85.525745) (xy 93.239617 84.112) (xy 93.450294 84.112) (xy 93.450294 87.812) (xy 93.45759 87.886077) + (xy 93.479197 87.957307) (xy 93.514286 88.022953) (xy 93.561507 88.080493) (xy 93.591356 88.104989) (xy 93.552326 88.163403) + (xy 93.515946 88.251231) (xy 93.4974 88.344468) (xy 93.4974 88.439532) (xy 93.515946 88.532769) (xy 93.552326 88.620597) + (xy 93.60514 88.69964) (xy 93.67236 88.76686) (xy 93.751403 88.819674) (xy 93.839231 88.856054) (xy 93.932468 88.8746) + (xy 94.027532 88.8746) (xy 94.120769 88.856054) (xy 94.208597 88.819674) (xy 94.28764 88.76686) (xy 94.35486 88.69964) + (xy 94.407674 88.620597) (xy 94.444054 88.532769) (xy 94.4626 88.439532) (xy 94.4626 88.344468) (xy 94.444054 88.251231) + (xy 94.407674 88.163403) (xy 94.368644 88.104989) (xy 94.398493 88.080493) (xy 94.445714 88.022953) (xy 94.480803 87.957307) + (xy 94.50241 87.886077) (xy 94.509706 87.812) (xy 94.509706 84.112) (xy 95.990294 84.112) (xy 95.990294 87.812) + (xy 95.99759 87.886077) (xy 96.019197 87.957307) (xy 96.054286 88.022953) (xy 96.101507 88.080493) (xy 96.131356 88.104989) + (xy 96.092326 88.163403) (xy 96.055946 88.251231) (xy 96.0374 88.344468) (xy 96.0374 88.439532) (xy 96.055946 88.532769) + (xy 96.092326 88.620597) (xy 96.14514 88.69964) (xy 96.21236 88.76686) (xy 96.291403 88.819674) (xy 96.379231 88.856054) + (xy 96.472468 88.8746) (xy 96.567532 88.8746) (xy 96.660769 88.856054) (xy 96.748597 88.819674) (xy 96.82764 88.76686) + (xy 96.89486 88.69964) (xy 96.947674 88.620597) (xy 96.984054 88.532769) (xy 97.0026 88.439532) (xy 97.0026 88.344468) + (xy 96.984054 88.251231) (xy 96.947674 88.163403) (xy 96.908644 88.104989) (xy 96.938493 88.080493) (xy 96.985714 88.022953) + (xy 97.020803 87.957307) (xy 97.04241 87.886077) (xy 97.049706 87.812) (xy 97.049706 84.112) (xy 97.260294 84.112) + (xy 97.260294 87.812) (xy 97.26759 87.886077) (xy 97.289197 87.957307) (xy 97.324286 88.022953) (xy 97.371507 88.080493) + (xy 97.401356 88.104989) (xy 97.362326 88.163403) (xy 97.325946 88.251231) (xy 97.3074 88.344468) (xy 97.3074 88.439532) + (xy 97.325946 88.532769) (xy 97.362326 88.620597) (xy 97.41514 88.69964) (xy 97.48236 88.76686) (xy 97.561403 88.819674) + (xy 97.649231 88.856054) (xy 97.742468 88.8746) (xy 97.837532 88.8746) (xy 97.930769 88.856054) (xy 98.018597 88.819674) + (xy 98.09764 88.76686) (xy 98.16486 88.69964) (xy 98.217674 88.620597) (xy 98.254054 88.532769) (xy 98.2726 88.439532) + (xy 98.2726 88.344468) (xy 98.254054 88.251231) (xy 98.217674 88.163403) (xy 98.178644 88.104989) (xy 98.208493 88.080493) + (xy 98.255714 88.022953) (xy 98.290803 87.957307) (xy 98.31241 87.886077) (xy 98.319706 87.812) (xy 98.319706 84.112) + (xy 98.530294 84.112) (xy 98.530294 87.812) (xy 98.53759 87.886077) (xy 98.559197 87.957307) (xy 98.594286 88.022953) + (xy 98.641507 88.080493) (xy 98.671356 88.104989) (xy 98.632326 88.163403) (xy 98.595946 88.251231) (xy 98.5774 88.344468) + (xy 98.5774 88.439532) (xy 98.595946 88.532769) (xy 98.632326 88.620597) (xy 98.68514 88.69964) (xy 98.75236 88.76686) + (xy 98.831403 88.819674) (xy 98.919231 88.856054) (xy 99.012468 88.8746) (xy 99.107532 88.8746) (xy 99.200769 88.856054) + (xy 99.288597 88.819674) (xy 99.36764 88.76686) (xy 99.43486 88.69964) (xy 99.487674 88.620597) (xy 99.524054 88.532769) + (xy 99.5426 88.439532) (xy 99.5426 88.344468) (xy 99.524054 88.251231) (xy 99.487674 88.163403) (xy 99.448644 88.104989) + (xy 99.478493 88.080493) (xy 99.525714 88.022953) (xy 99.560803 87.957307) (xy 99.58241 87.886077) (xy 99.589706 87.812) + (xy 99.589706 84.112) (xy 99.800294 84.112) (xy 99.800294 87.812) (xy 99.80759 87.886077) (xy 99.829197 87.957307) + (xy 99.864286 88.022953) (xy 99.911507 88.080493) (xy 99.941356 88.104989) (xy 99.902326 88.163403) (xy 99.865946 88.251231) + (xy 99.8474 88.344468) (xy 99.8474 88.439532) (xy 99.865946 88.532769) (xy 99.902326 88.620597) (xy 99.95514 88.69964) + (xy 100.02236 88.76686) (xy 100.101403 88.819674) (xy 100.189231 88.856054) (xy 100.282468 88.8746) (xy 100.377532 88.8746) + (xy 100.470769 88.856054) (xy 100.558597 88.819674) (xy 100.63764 88.76686) (xy 100.70486 88.69964) (xy 100.757674 88.620597) + (xy 100.794054 88.532769) (xy 100.8126 88.439532) (xy 100.8126 88.344468) (xy 100.794054 88.251231) (xy 100.757674 88.163403) + (xy 100.718644 88.104989) (xy 100.748493 88.080493) (xy 100.795714 88.022953) (xy 100.830803 87.957307) (xy 100.85241 87.886077) + (xy 100.859706 87.812) (xy 100.859706 84.112) (xy 101.070294 84.112) (xy 101.070294 87.812) (xy 101.07759 87.886077) + (xy 101.099197 87.957307) (xy 101.134286 88.022953) (xy 101.181507 88.080493) (xy 101.211356 88.104989) (xy 101.172326 88.163403) + (xy 101.135946 88.251231) (xy 101.1174 88.344468) (xy 101.1174 88.439532) (xy 101.135946 88.532769) (xy 101.172326 88.620597) + (xy 101.22514 88.69964) (xy 101.29236 88.76686) (xy 101.371403 88.819674) (xy 101.459231 88.856054) (xy 101.552468 88.8746) + (xy 101.647532 88.8746) (xy 101.740769 88.856054) (xy 101.828597 88.819674) (xy 101.90764 88.76686) (xy 101.97486 88.69964) + (xy 102.027674 88.620597) (xy 102.064054 88.532769) (xy 102.0826 88.439532) (xy 102.0826 88.344468) (xy 102.064054 88.251231) + (xy 102.027674 88.163403) (xy 101.988644 88.104989) (xy 102.018493 88.080493) (xy 102.065714 88.022953) (xy 102.100803 87.957307) + (xy 102.12241 87.886077) (xy 102.129706 87.812) (xy 102.129706 84.112) (xy 102.12241 84.037923) (xy 102.100803 83.966693) + (xy 102.098295 83.962) (xy 102.340294 83.962) (xy 102.341219 85.525745) (xy 102.340294 86.462) (xy 102.341176 86.470958) + (xy 102.340294 87.962) (xy 102.344708 88.006813) (xy 102.357779 88.049905) (xy 102.379006 88.089618) (xy 102.407573 88.124427) + (xy 102.442382 88.152994) (xy 102.482095 88.174221) (xy 102.525187 88.187292) (xy 102.57 88.191706) (xy 102.73905 88.1906) + (xy 102.7962 88.13345) (xy 102.7962 83.79055) (xy 102.9438 83.79055) (xy 102.9438 88.13345) (xy 103.00095 88.1906) + (xy 103.17 88.191706) (xy 103.214813 88.187292) (xy 103.257905 88.174221) (xy 103.297618 88.152994) (xy 103.332427 88.124427) + (xy 103.360994 88.089618) (xy 103.382221 88.049905) (xy 103.395292 88.006813) (xy 103.399706 87.962) (xy 103.398824 86.470958) + (xy 103.399706 86.462) (xy 103.398781 85.525745) (xy 103.399706 83.962) (xy 121.390294 83.962) (xy 121.391219 85.525745) + (xy 121.390294 86.462) (xy 121.391176 86.470958) (xy 121.390294 87.962) (xy 121.394708 88.006813) (xy 121.407779 88.049905) + (xy 121.429006 88.089618) (xy 121.457573 88.124427) (xy 121.492382 88.152994) (xy 121.532095 88.174221) (xy 121.575187 88.187292) + (xy 121.62 88.191706) (xy 121.78905 88.1906) (xy 121.8462 88.13345) (xy 121.8462 83.79055) (xy 121.9938 83.79055) + (xy 121.9938 88.13345) (xy 122.05095 88.1906) (xy 122.22 88.191706) (xy 122.264813 88.187292) (xy 122.307905 88.174221) + (xy 122.347618 88.152994) (xy 122.382427 88.124427) (xy 122.410994 88.089618) (xy 122.432221 88.049905) (xy 122.445292 88.006813) + (xy 122.449706 87.962) (xy 122.448824 86.470958) (xy 122.449706 86.462) (xy 122.448781 85.525745) (xy 122.449706 83.962) + (xy 122.445292 83.917187) (xy 122.432221 83.874095) (xy 122.410994 83.834382) (xy 122.382427 83.799573) (xy 122.347618 83.771006) + (xy 122.307905 83.749779) (xy 122.264813 83.736708) (xy 122.22 83.732294) (xy 122.05095 83.7334) (xy 121.9938 83.79055) + (xy 121.8462 83.79055) (xy 121.78905 83.7334) (xy 121.62 83.732294) (xy 121.575187 83.736708) (xy 121.532095 83.749779) + (xy 121.492382 83.771006) (xy 121.457573 83.799573) (xy 121.429006 83.834382) (xy 121.407779 83.874095) (xy 121.394708 83.917187) + (xy 121.390294 83.962) (xy 103.399706 83.962) (xy 103.395292 83.917187) (xy 103.382221 83.874095) (xy 103.360994 83.834382) + (xy 103.332427 83.799573) (xy 103.297618 83.771006) (xy 103.257905 83.749779) (xy 103.214813 83.736708) (xy 103.17 83.732294) + (xy 103.00095 83.7334) (xy 102.9438 83.79055) (xy 102.7962 83.79055) (xy 102.73905 83.7334) (xy 102.57 83.732294) + (xy 102.525187 83.736708) (xy 102.482095 83.749779) (xy 102.442382 83.771006) (xy 102.407573 83.799573) (xy 102.379006 83.834382) + (xy 102.357779 83.874095) (xy 102.344708 83.917187) (xy 102.340294 83.962) (xy 102.098295 83.962) (xy 102.065714 83.901047) + (xy 102.018493 83.843507) (xy 101.960953 83.796286) (xy 101.895307 83.761197) (xy 101.824077 83.73959) (xy 101.75 83.732294) + (xy 101.45 83.732294) (xy 101.375923 83.73959) (xy 101.304693 83.761197) (xy 101.239047 83.796286) (xy 101.181507 83.843507) + (xy 101.134286 83.901047) (xy 101.099197 83.966693) (xy 101.07759 84.037923) (xy 101.070294 84.112) (xy 100.859706 84.112) + (xy 100.85241 84.037923) (xy 100.830803 83.966693) (xy 100.795714 83.901047) (xy 100.748493 83.843507) (xy 100.690953 83.796286) + (xy 100.625307 83.761197) (xy 100.554077 83.73959) (xy 100.48 83.732294) (xy 100.18 83.732294) (xy 100.105923 83.73959) + (xy 100.034693 83.761197) (xy 99.969047 83.796286) (xy 99.911507 83.843507) (xy 99.864286 83.901047) (xy 99.829197 83.966693) + (xy 99.80759 84.037923) (xy 99.800294 84.112) (xy 99.589706 84.112) (xy 99.58241 84.037923) (xy 99.560803 83.966693) + (xy 99.525714 83.901047) (xy 99.478493 83.843507) (xy 99.420953 83.796286) (xy 99.355307 83.761197) (xy 99.284077 83.73959) + (xy 99.21 83.732294) (xy 98.91 83.732294) (xy 98.835923 83.73959) (xy 98.764693 83.761197) (xy 98.699047 83.796286) + (xy 98.641507 83.843507) (xy 98.594286 83.901047) (xy 98.559197 83.966693) (xy 98.53759 84.037923) (xy 98.530294 84.112) + (xy 98.319706 84.112) (xy 98.31241 84.037923) (xy 98.290803 83.966693) (xy 98.255714 83.901047) (xy 98.208493 83.843507) + (xy 98.150953 83.796286) (xy 98.085307 83.761197) (xy 98.014077 83.73959) (xy 97.94 83.732294) (xy 97.64 83.732294) + (xy 97.565923 83.73959) (xy 97.494693 83.761197) (xy 97.429047 83.796286) (xy 97.371507 83.843507) (xy 97.324286 83.901047) + (xy 97.289197 83.966693) (xy 97.26759 84.037923) (xy 97.260294 84.112) (xy 97.049706 84.112) (xy 97.04241 84.037923) + (xy 97.020803 83.966693) (xy 96.985714 83.901047) (xy 96.938493 83.843507) (xy 96.880953 83.796286) (xy 96.815307 83.761197) + (xy 96.744077 83.73959) (xy 96.67 83.732294) (xy 96.37 83.732294) (xy 96.295923 83.73959) (xy 96.224693 83.761197) + (xy 96.159047 83.796286) (xy 96.101507 83.843507) (xy 96.054286 83.901047) (xy 96.019197 83.966693) (xy 95.99759 84.037923) + (xy 95.990294 84.112) (xy 94.509706 84.112) (xy 94.50241 84.037923) (xy 94.480803 83.966693) (xy 94.445714 83.901047) + (xy 94.398493 83.843507) (xy 94.340953 83.796286) (xy 94.275307 83.761197) (xy 94.204077 83.73959) (xy 94.13 83.732294) + (xy 93.83 83.732294) (xy 93.755923 83.73959) (xy 93.684693 83.761197) (xy 93.619047 83.796286) (xy 93.561507 83.843507) + (xy 93.514286 83.901047) (xy 93.479197 83.966693) (xy 93.45759 84.037923) (xy 93.450294 84.112) (xy 93.239617 84.112) + (xy 93.239706 83.962) (xy 93.235292 83.917187) (xy 93.222221 83.874095) (xy 93.200994 83.834382) (xy 93.172427 83.799573) + (xy 93.137618 83.771006) (xy 93.097905 83.749779) (xy 93.054813 83.736708) (xy 93.01 83.732294) (xy 92.84095 83.7334) + (xy 92.7838 83.79055) (xy 92.6362 83.79055) (xy 92.57905 83.7334) (xy 92.41 83.732294) (xy 92.365187 83.736708) + (xy 92.322095 83.749779) (xy 92.282382 83.771006) (xy 92.247573 83.799573) (xy 92.219006 83.834382) (xy 92.197779 83.874095) + (xy 92.184708 83.917187) (xy 92.180294 83.962) (xy 91.938295 83.962) (xy 91.905714 83.901047) (xy 91.858493 83.843507) + (xy 91.800953 83.796286) (xy 91.735307 83.761197) (xy 91.664077 83.73959) (xy 91.59 83.732294) (xy 91.29 83.732294) + (xy 91.215923 83.73959) (xy 91.144693 83.761197) (xy 91.079047 83.796286) (xy 91.021507 83.843507) (xy 90.974286 83.901047) + (xy 90.939197 83.966693) (xy 90.91759 84.037923) (xy 90.910294 84.112) (xy 90.699706 84.112) (xy 90.69241 84.037923) + (xy 90.670803 83.966693) (xy 90.635714 83.901047) (xy 90.588493 83.843507) (xy 90.530953 83.796286) (xy 90.465307 83.761197) + (xy 90.394077 83.73959) (xy 90.32 83.732294) (xy 90.02 83.732294) (xy 89.945923 83.73959) (xy 89.874693 83.761197) + (xy 89.809047 83.796286) (xy 89.751507 83.843507) (xy 89.704286 83.901047) (xy 89.669197 83.966693) (xy 89.64759 84.037923) + (xy 89.640294 84.112) (xy 89.640294 87.812) (xy 89.64759 87.886077) (xy 89.669197 87.957307) (xy 89.704286 88.022953) + (xy 89.751507 88.080493) (xy 89.809047 88.127714) (xy 89.865201 88.157729) (xy 89.865201 89.154747) (xy 89.330061 89.689888) + (xy 89.318432 89.699432) (xy 89.280342 89.745844) (xy 89.25204 89.798795) (xy 89.234611 89.85625) (xy 89.2302 89.901035) + (xy 89.2302 89.901042) (xy 89.228727 89.916) (xy 89.2302 89.930958) (xy 89.2302 91.179848) (xy 89.195307 91.161197) + (xy 89.124077 91.13959) (xy 89.05 91.132294) (xy 88.75 91.132294) (xy 88.675923 91.13959) (xy 88.604693 91.161197) + (xy 88.5698 91.179848) (xy 88.5698 90.042251) (xy 89.104945 89.507107) (xy 89.116568 89.497568) (xy 89.154658 89.451157) + (xy 89.18296 89.398206) (xy 89.200389 89.340751) (xy 89.2048 89.295966) (xy 89.2048 89.295958) (xy 89.206273 89.281) + (xy 89.2048 89.266042) (xy 89.2048 88.157729) (xy 89.260953 88.127714) (xy 89.318493 88.080493) (xy 89.365714 88.022953) + (xy 89.400803 87.957307) (xy 89.42241 87.886077) (xy 89.429706 87.812) (xy 89.429706 84.112) (xy 89.42241 84.037923) + (xy 89.400803 83.966693) (xy 89.365714 83.901047) (xy 89.318493 83.843507) (xy 89.260953 83.796286) (xy 89.195307 83.761197) + (xy 89.124077 83.73959) (xy 89.05 83.732294) (xy 88.75 83.732294) (xy 88.675923 83.73959) (xy 88.604693 83.761197) + (xy 88.539047 83.796286) (xy 88.481507 83.843507) (xy 88.434286 83.901047) (xy 88.399197 83.966693) (xy 88.37759 84.037923) + (xy 88.370294 84.112) (xy 88.370294 87.812) (xy 88.37759 87.886077) (xy 88.399197 87.957307) (xy 88.434286 88.022953) + (xy 88.481507 88.080493) (xy 88.539047 88.127714) (xy 88.5952 88.157729) (xy 88.5952 89.154748) (xy 88.060056 89.689892) + (xy 88.048433 89.699432) (xy 88.010343 89.745843) (xy 88.006308 89.753392) (xy 87.98204 89.798795) (xy 87.964611 89.856249) + (xy 87.958727 89.916) (xy 87.960201 89.930968) (xy 87.960201 91.179848) (xy 87.925307 91.161197) (xy 87.854077 91.13959) + (xy 87.78 91.132294) (xy 87.48 91.132294) (xy 87.405923 91.13959) (xy 87.334693 91.161197) (xy 87.269047 91.196286) + (xy 87.211507 91.243507) (xy 87.164286 91.301047) (xy 87.129197 91.366693) (xy 87.10759 91.437923) (xy 87.100294 91.512) + (xy 87.100294 93.591061) (xy 87.038754 93.549941) (xy 86.924356 93.502556) (xy 86.802912 93.4784) (xy 86.679088 93.4784) + (xy 86.583566 93.4974) (xy 85.876315 93.4974) (xy 85.875542 93.496458) (xy 85.800955 93.435246) (xy 85.715859 93.389761) + (xy 85.623525 93.361752) (xy 85.5275 93.352294) (xy 84.6525 93.352294) (xy 84.556475 93.361752) (xy 84.464141 93.389761) + (xy 84.379045 93.435246) (xy 84.304458 93.496458) (xy 84.303685 93.4974) (xy 83.977434 93.4974) (xy 83.881912 93.4784) + (xy 83.758088 93.4784) (xy 83.636644 93.502556) (xy 83.522246 93.549941) (xy 83.419291 93.618734) (xy 83.331734 93.706291) + (xy 83.262941 93.809246) (xy 83.215556 93.923644) (xy 83.1914 94.045088) (xy 76.5286 94.045088) (xy 76.5286 91.466683) + (xy 77.654586 91.466683) (xy 77.696162 91.83734) (xy 77.80894 92.192862) (xy 77.988626 92.519708) (xy 78.228373 92.805429) + (xy 78.519052 93.03914) (xy 78.849589 93.211941) (xy 79.207396 93.317249) (xy 79.578842 93.351053) (xy 79.94978 93.312066) + (xy 80.306081 93.201773) (xy 80.634174 93.024374) (xy 80.745834 92.932) (xy 84.160294 92.932) (xy 84.164708 92.976813) + (xy 84.177779 93.019905) (xy 84.199006 93.059618) (xy 84.227573 93.094427) (xy 84.262382 93.122994) (xy 84.302095 93.144221) + (xy 84.345187 93.157292) (xy 84.39 93.161706) (xy 84.95905 93.1606) (xy 85.0162 93.10345) (xy 85.0162 92.4808) + (xy 85.1638 92.4808) (xy 85.1638 93.10345) (xy 85.22095 93.1606) (xy 85.79 93.161706) (xy 85.834813 93.157292) + (xy 85.877905 93.144221) (xy 85.917618 93.122994) (xy 85.952427 93.094427) (xy 85.980994 93.059618) (xy 86.002221 93.019905) + (xy 86.015292 92.976813) (xy 86.019706 92.932) (xy 86.0186 92.53795) (xy 85.96145 92.4808) (xy 85.1638 92.4808) + (xy 85.0162 92.4808) (xy 84.21855 92.4808) (xy 84.1614 92.53795) (xy 84.160294 92.932) (xy 80.745834 92.932) + (xy 80.921561 92.786626) (xy 81.157296 92.497587) (xy 81.3324 92.168264) (xy 81.418827 91.882) (xy 84.160294 91.882) + (xy 84.1614 92.27605) (xy 84.21855 92.3332) (xy 85.0162 92.3332) (xy 85.0162 91.71055) (xy 85.1638 91.71055) + (xy 85.1638 92.3332) (xy 85.96145 92.3332) (xy 86.0186 92.27605) (xy 86.019706 91.882) (xy 86.015292 91.837187) + (xy 86.002221 91.794095) (xy 85.980994 91.754382) (xy 85.952427 91.719573) (xy 85.917618 91.691006) (xy 85.877905 91.669779) + (xy 85.834813 91.656708) (xy 85.79 91.652294) (xy 85.22095 91.6534) (xy 85.1638 91.71055) (xy 85.0162 91.71055) + (xy 84.95905 91.6534) (xy 84.39 91.652294) (xy 84.345187 91.656708) (xy 84.302095 91.669779) (xy 84.262382 91.691006) + (xy 84.227573 91.719573) (xy 84.199006 91.754382) (xy 84.177779 91.794095) (xy 84.164708 91.837187) (xy 84.160294 91.882) + (xy 81.418827 91.882) (xy 81.440203 91.811201) (xy 81.4766 91.44) (xy 81.475855 91.386639) (xy 81.429108 91.016599) + (xy 81.311377 90.662686) (xy 81.127146 90.33838) (xy 80.883432 90.056035) (xy 80.589519 89.826405) (xy 80.256601 89.658236) + (xy 79.897359 89.557934) (xy 79.525477 89.529319) (xy 79.155119 89.573482) (xy 78.800393 89.688739) (xy 78.474809 89.870702) + (xy 78.19077 90.112438) (xy 77.959093 90.404741) (xy 77.788604 90.736477) (xy 77.685797 91.09501) (xy 77.654586 91.466683) + (xy 76.5286 91.466683) (xy 76.5286 83.962) (xy 87.100294 83.962) (xy 87.101219 85.525745) (xy 87.100294 86.462) + (xy 87.101176 86.470958) (xy 87.100294 87.962) (xy 87.104708 88.006813) (xy 87.117779 88.049905) (xy 87.139006 88.089618) + (xy 87.167573 88.124427) (xy 87.202382 88.152994) (xy 87.242095 88.174221) (xy 87.285187 88.187292) (xy 87.33 88.191706) + (xy 87.49905 88.1906) (xy 87.5562 88.13345) (xy 87.5562 83.79055) (xy 87.7038 83.79055) (xy 87.7038 88.13345) + (xy 87.76095 88.1906) (xy 87.93 88.191706) (xy 87.974813 88.187292) (xy 88.017905 88.174221) (xy 88.057618 88.152994) + (xy 88.092427 88.124427) (xy 88.120994 88.089618) (xy 88.142221 88.049905) (xy 88.155292 88.006813) (xy 88.159706 87.962) + (xy 88.158824 86.470958) (xy 88.159706 86.462) (xy 88.158781 85.525745) (xy 88.159706 83.962) (xy 88.155292 83.917187) + (xy 88.142221 83.874095) (xy 88.120994 83.834382) (xy 88.092427 83.799573) (xy 88.057618 83.771006) (xy 88.017905 83.749779) + (xy 87.974813 83.736708) (xy 87.93 83.732294) (xy 87.76095 83.7334) (xy 87.7038 83.79055) (xy 87.5562 83.79055) + (xy 87.49905 83.7334) (xy 87.33 83.732294) (xy 87.285187 83.736708) (xy 87.242095 83.749779) (xy 87.202382 83.771006) + (xy 87.167573 83.799573) (xy 87.139006 83.834382) (xy 87.117779 83.874095) (xy 87.104708 83.917187) (xy 87.100294 83.962) + (xy 76.5286 83.962) (xy 76.5286 82.8786) (xy 164.7714 82.8786) ) ) ) - (zone (net 9) (net_name GND) (layer In1.Cu) (tstamp 5D130658) (hatch edge 0.508) + (zone (net 1) (net_name +5V) (layer B.Cu) (tstamp 5D35ADCF) (hatch edge 0.508) (connect_pads (clearance 0.1524)) (min_thickness 0.1524) - (fill (arc_segments 16) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (fill yes (arc_segments 16) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) (polygon (pts - (xy 100.838 66.548) (xy 178.562 66.548) (xy 178.562 85.598) (xy 100.838 85.598) + (xy 76.2 82.55) (xy 165.1 82.55) (xy 165.1 101.6) (xy 76.2 101.6) + ) + ) + (filled_polygon + (pts + (xy 164.771401 101.2714) (xy 78.5606 101.2714) (xy 78.5606 100.663468) (xy 78.6384 100.663468) (xy 78.6384 100.758532) + (xy 78.656946 100.851769) (xy 78.693326 100.939597) (xy 78.74614 101.01864) (xy 78.81336 101.08586) (xy 78.892403 101.138674) + (xy 78.980231 101.175054) (xy 79.073468 101.1936) (xy 79.168532 101.1936) (xy 79.261769 101.175054) (xy 79.349597 101.138674) + (xy 79.42864 101.08586) (xy 79.49586 101.01864) (xy 79.548674 100.939597) (xy 79.585054 100.851769) (xy 79.587792 100.838) + (xy 82.701294 100.838) (xy 82.705708 100.882813) (xy 82.718779 100.925905) (xy 82.740006 100.965618) (xy 82.768573 101.000427) + (xy 82.803382 101.028994) (xy 82.843095 101.050221) (xy 82.886187 101.063292) (xy 82.931 101.067706) (xy 83.68905 101.0666) + (xy 83.7462 101.00945) (xy 83.7462 99.8958) (xy 83.8938 99.8958) (xy 83.8938 101.00945) (xy 83.95095 101.0666) + (xy 84.709 101.067706) (xy 84.753813 101.063292) (xy 84.796905 101.050221) (xy 84.836618 101.028994) (xy 84.871427 101.000427) + (xy 84.899994 100.965618) (xy 84.921221 100.925905) (xy 84.934292 100.882813) (xy 84.938706 100.838) (xy 84.9376 99.95295) + (xy 84.88045 99.8958) (xy 83.8938 99.8958) (xy 83.7462 99.8958) (xy 82.75955 99.8958) (xy 82.7024 99.95295) + (xy 82.701294 100.838) (xy 79.587792 100.838) (xy 79.6036 100.758532) (xy 79.6036 100.663468) (xy 79.585054 100.570231) + (xy 79.548674 100.482403) (xy 79.49586 100.40336) (xy 79.42864 100.33614) (xy 79.349597 100.283326) (xy 79.261769 100.246946) + (xy 79.168532 100.2284) (xy 79.073468 100.2284) (xy 78.980231 100.246946) (xy 78.892403 100.283326) (xy 78.81336 100.33614) + (xy 78.74614 100.40336) (xy 78.693326 100.482403) (xy 78.656946 100.570231) (xy 78.6384 100.663468) (xy 78.5606 100.663468) + (xy 78.5606 98.806) (xy 82.701294 98.806) (xy 82.7024 99.69105) (xy 82.75955 99.7482) (xy 83.7462 99.7482) + (xy 83.7462 99.7282) (xy 83.8938 99.7282) (xy 83.8938 99.7482) (xy 84.88045 99.7482) (xy 84.9376 99.69105) + (xy 84.93815 99.2505) (xy 85.241294 99.2505) (xy 85.241294 100.3935) (xy 85.254249 100.525031) (xy 85.292615 100.651507) + (xy 85.354918 100.768069) (xy 85.438764 100.870236) (xy 85.540931 100.954082) (xy 85.657493 101.016385) (xy 85.783969 101.054751) + (xy 85.9155 101.067706) (xy 86.8045 101.067706) (xy 86.936031 101.054751) (xy 87.062507 101.016385) (xy 87.179069 100.954082) + (xy 87.281236 100.870236) (xy 87.365082 100.768069) (xy 87.427385 100.651507) (xy 87.465751 100.525031) (xy 87.478706 100.3935) + (xy 87.478706 99.2505) (xy 87.781294 99.2505) (xy 87.781294 100.3935) (xy 87.794249 100.525031) (xy 87.832615 100.651507) + (xy 87.894918 100.768069) (xy 87.978764 100.870236) (xy 88.080931 100.954082) (xy 88.197493 101.016385) (xy 88.323969 101.054751) + (xy 88.4555 101.067706) (xy 89.3445 101.067706) (xy 89.476031 101.054751) (xy 89.602507 101.016385) (xy 89.719069 100.954082) + (xy 89.821236 100.870236) (xy 89.905082 100.768069) (xy 89.967385 100.651507) (xy 90.005751 100.525031) (xy 90.018706 100.3935) + (xy 90.018706 99.2505) (xy 90.321294 99.2505) (xy 90.321294 100.3935) (xy 90.334249 100.525031) (xy 90.372615 100.651507) + (xy 90.434918 100.768069) (xy 90.518764 100.870236) (xy 90.620931 100.954082) (xy 90.737493 101.016385) (xy 90.863969 101.054751) + (xy 90.9955 101.067706) (xy 91.8845 101.067706) (xy 92.016031 101.054751) (xy 92.142507 101.016385) (xy 92.259069 100.954082) + (xy 92.361236 100.870236) (xy 92.445082 100.768069) (xy 92.507385 100.651507) (xy 92.545751 100.525031) (xy 92.558706 100.3935) + (xy 92.558706 99.2505) (xy 92.861294 99.2505) (xy 92.861294 100.3935) (xy 92.874249 100.525031) (xy 92.912615 100.651507) + (xy 92.974918 100.768069) (xy 93.058764 100.870236) (xy 93.160931 100.954082) (xy 93.277493 101.016385) (xy 93.403969 101.054751) + (xy 93.5355 101.067706) (xy 94.4245 101.067706) (xy 94.556031 101.054751) (xy 94.682507 101.016385) (xy 94.799069 100.954082) + (xy 94.901236 100.870236) (xy 94.985082 100.768069) (xy 95.047385 100.651507) (xy 95.085751 100.525031) (xy 95.098706 100.3935) + (xy 95.098706 99.2505) (xy 95.401294 99.2505) (xy 95.401294 100.3935) (xy 95.414249 100.525031) (xy 95.452615 100.651507) + (xy 95.514918 100.768069) (xy 95.598764 100.870236) (xy 95.700931 100.954082) (xy 95.817493 101.016385) (xy 95.943969 101.054751) + (xy 96.0755 101.067706) (xy 96.9645 101.067706) (xy 97.096031 101.054751) (xy 97.222507 101.016385) (xy 97.339069 100.954082) + (xy 97.441236 100.870236) (xy 97.525082 100.768069) (xy 97.587385 100.651507) (xy 97.625751 100.525031) (xy 97.638706 100.3935) + (xy 97.638706 99.2505) (xy 97.941294 99.2505) (xy 97.941294 100.3935) (xy 97.954249 100.525031) (xy 97.992615 100.651507) + (xy 98.054918 100.768069) (xy 98.138764 100.870236) (xy 98.240931 100.954082) (xy 98.357493 101.016385) (xy 98.483969 101.054751) + (xy 98.6155 101.067706) (xy 99.5045 101.067706) (xy 99.636031 101.054751) (xy 99.762507 101.016385) (xy 99.879069 100.954082) + (xy 99.981236 100.870236) (xy 100.065082 100.768069) (xy 100.127385 100.651507) (xy 100.165751 100.525031) (xy 100.178706 100.3935) + (xy 100.178706 99.2505) (xy 100.481294 99.2505) (xy 100.481294 100.3935) (xy 100.494249 100.525031) (xy 100.532615 100.651507) + (xy 100.594918 100.768069) (xy 100.678764 100.870236) (xy 100.780931 100.954082) (xy 100.897493 101.016385) (xy 101.023969 101.054751) + (xy 101.1555 101.067706) (xy 102.0445 101.067706) (xy 102.176031 101.054751) (xy 102.302507 101.016385) (xy 102.419069 100.954082) + (xy 102.521236 100.870236) (xy 102.605082 100.768069) (xy 102.667385 100.651507) (xy 102.705751 100.525031) (xy 102.718706 100.3935) + (xy 102.718706 99.2505) (xy 103.021294 99.2505) (xy 103.021294 100.3935) (xy 103.034249 100.525031) (xy 103.072615 100.651507) + (xy 103.134918 100.768069) (xy 103.218764 100.870236) (xy 103.320931 100.954082) (xy 103.437493 101.016385) (xy 103.563969 101.054751) + (xy 103.6955 101.067706) (xy 104.5845 101.067706) (xy 104.716031 101.054751) (xy 104.842507 101.016385) (xy 104.959069 100.954082) + (xy 105.061236 100.870236) (xy 105.145082 100.768069) (xy 105.207385 100.651507) (xy 105.245751 100.525031) (xy 105.258706 100.3935) + (xy 105.258706 99.2505) (xy 105.561294 99.2505) (xy 105.561294 100.3935) (xy 105.574249 100.525031) (xy 105.612615 100.651507) + (xy 105.674918 100.768069) (xy 105.758764 100.870236) (xy 105.860931 100.954082) (xy 105.977493 101.016385) (xy 106.103969 101.054751) + (xy 106.2355 101.067706) (xy 107.1245 101.067706) (xy 107.256031 101.054751) (xy 107.382507 101.016385) (xy 107.499069 100.954082) + (xy 107.601236 100.870236) (xy 107.685082 100.768069) (xy 107.747385 100.651507) (xy 107.785751 100.525031) (xy 107.798706 100.3935) + (xy 107.798706 99.2505) (xy 108.101294 99.2505) (xy 108.101294 100.3935) (xy 108.114249 100.525031) (xy 108.152615 100.651507) + (xy 108.214918 100.768069) (xy 108.298764 100.870236) (xy 108.400931 100.954082) (xy 108.517493 101.016385) (xy 108.643969 101.054751) + (xy 108.7755 101.067706) (xy 109.6645 101.067706) (xy 109.796031 101.054751) (xy 109.922507 101.016385) (xy 110.039069 100.954082) + (xy 110.141236 100.870236) (xy 110.225082 100.768069) (xy 110.287385 100.651507) (xy 110.325751 100.525031) (xy 110.338706 100.3935) + (xy 110.338706 99.2505) (xy 110.641294 99.2505) (xy 110.641294 100.3935) (xy 110.654249 100.525031) (xy 110.692615 100.651507) + (xy 110.754918 100.768069) (xy 110.838764 100.870236) (xy 110.940931 100.954082) (xy 111.057493 101.016385) (xy 111.183969 101.054751) + (xy 111.3155 101.067706) (xy 112.2045 101.067706) (xy 112.336031 101.054751) (xy 112.462507 101.016385) (xy 112.579069 100.954082) + (xy 112.681236 100.870236) (xy 112.765082 100.768069) (xy 112.827385 100.651507) (xy 112.865751 100.525031) (xy 112.878706 100.3935) + (xy 112.878706 99.2505) (xy 113.181294 99.2505) (xy 113.181294 100.3935) (xy 113.194249 100.525031) (xy 113.232615 100.651507) + (xy 113.294918 100.768069) (xy 113.378764 100.870236) (xy 113.480931 100.954082) (xy 113.597493 101.016385) (xy 113.723969 101.054751) + (xy 113.8555 101.067706) (xy 114.7445 101.067706) (xy 114.876031 101.054751) (xy 115.002507 101.016385) (xy 115.119069 100.954082) + (xy 115.221236 100.870236) (xy 115.305082 100.768069) (xy 115.367385 100.651507) (xy 115.405751 100.525031) (xy 115.418706 100.3935) + (xy 115.418706 99.2505) (xy 115.721294 99.2505) (xy 115.721294 100.3935) (xy 115.734249 100.525031) (xy 115.772615 100.651507) + (xy 115.834918 100.768069) (xy 115.918764 100.870236) (xy 116.020931 100.954082) (xy 116.137493 101.016385) (xy 116.263969 101.054751) + (xy 116.3955 101.067706) (xy 117.2845 101.067706) (xy 117.416031 101.054751) (xy 117.542507 101.016385) (xy 117.659069 100.954082) + (xy 117.761236 100.870236) (xy 117.845082 100.768069) (xy 117.907385 100.651507) (xy 117.945751 100.525031) (xy 117.958706 100.3935) + (xy 117.958706 99.2505) (xy 118.261294 99.2505) (xy 118.261294 100.3935) (xy 118.274249 100.525031) (xy 118.312615 100.651507) + (xy 118.374918 100.768069) (xy 118.458764 100.870236) (xy 118.560931 100.954082) (xy 118.677493 101.016385) (xy 118.803969 101.054751) + (xy 118.9355 101.067706) (xy 119.8245 101.067706) (xy 119.956031 101.054751) (xy 120.082507 101.016385) (xy 120.199069 100.954082) + (xy 120.301236 100.870236) (xy 120.385082 100.768069) (xy 120.447385 100.651507) (xy 120.485751 100.525031) (xy 120.498706 100.3935) + (xy 120.498706 99.2505) (xy 120.801294 99.2505) (xy 120.801294 100.3935) (xy 120.814249 100.525031) (xy 120.852615 100.651507) + (xy 120.914918 100.768069) (xy 120.998764 100.870236) (xy 121.100931 100.954082) (xy 121.217493 101.016385) (xy 121.343969 101.054751) + (xy 121.4755 101.067706) (xy 122.3645 101.067706) (xy 122.496031 101.054751) (xy 122.622507 101.016385) (xy 122.739069 100.954082) + (xy 122.841236 100.870236) (xy 122.925082 100.768069) (xy 122.987385 100.651507) (xy 123.025751 100.525031) (xy 123.038706 100.3935) + (xy 123.038706 99.2505) (xy 123.341294 99.2505) (xy 123.341294 100.3935) (xy 123.354249 100.525031) (xy 123.392615 100.651507) + (xy 123.454918 100.768069) (xy 123.538764 100.870236) (xy 123.640931 100.954082) (xy 123.757493 101.016385) (xy 123.883969 101.054751) + (xy 124.0155 101.067706) (xy 124.9045 101.067706) (xy 125.036031 101.054751) (xy 125.162507 101.016385) (xy 125.279069 100.954082) + (xy 125.381236 100.870236) (xy 125.465082 100.768069) (xy 125.527385 100.651507) (xy 125.565751 100.525031) (xy 125.578706 100.3935) + (xy 125.578706 99.2505) (xy 125.881294 99.2505) (xy 125.881294 100.3935) (xy 125.894249 100.525031) (xy 125.932615 100.651507) + (xy 125.994918 100.768069) (xy 126.078764 100.870236) (xy 126.180931 100.954082) (xy 126.297493 101.016385) (xy 126.423969 101.054751) + (xy 126.5555 101.067706) (xy 127.4445 101.067706) (xy 127.576031 101.054751) (xy 127.702507 101.016385) (xy 127.819069 100.954082) + (xy 127.921236 100.870236) (xy 128.005082 100.768069) (xy 128.067385 100.651507) (xy 128.105751 100.525031) (xy 128.118706 100.3935) + (xy 128.118706 99.2505) (xy 128.421294 99.2505) (xy 128.421294 100.3935) (xy 128.434249 100.525031) (xy 128.472615 100.651507) + (xy 128.534918 100.768069) (xy 128.618764 100.870236) (xy 128.720931 100.954082) (xy 128.837493 101.016385) (xy 128.963969 101.054751) + (xy 129.0955 101.067706) (xy 129.9845 101.067706) (xy 130.116031 101.054751) (xy 130.242507 101.016385) (xy 130.359069 100.954082) + (xy 130.461236 100.870236) (xy 130.545082 100.768069) (xy 130.607385 100.651507) (xy 130.645751 100.525031) (xy 130.658706 100.3935) + (xy 130.658706 99.2505) (xy 130.961294 99.2505) (xy 130.961294 100.3935) (xy 130.974249 100.525031) (xy 131.012615 100.651507) + (xy 131.074918 100.768069) (xy 131.158764 100.870236) (xy 131.260931 100.954082) (xy 131.377493 101.016385) (xy 131.503969 101.054751) + (xy 131.6355 101.067706) (xy 132.5245 101.067706) (xy 132.656031 101.054751) (xy 132.782507 101.016385) (xy 132.899069 100.954082) + (xy 133.001236 100.870236) (xy 133.085082 100.768069) (xy 133.147385 100.651507) (xy 133.185751 100.525031) (xy 133.198706 100.3935) + (xy 133.198706 99.2505) (xy 133.501294 99.2505) (xy 133.501294 100.3935) (xy 133.514249 100.525031) (xy 133.552615 100.651507) + (xy 133.614918 100.768069) (xy 133.698764 100.870236) (xy 133.800931 100.954082) (xy 133.917493 101.016385) (xy 134.043969 101.054751) + (xy 134.1755 101.067706) (xy 135.0645 101.067706) (xy 135.196031 101.054751) (xy 135.322507 101.016385) (xy 135.439069 100.954082) + (xy 135.541236 100.870236) (xy 135.625082 100.768069) (xy 135.687385 100.651507) (xy 135.725751 100.525031) (xy 135.738706 100.3935) + (xy 135.738706 99.2505) (xy 136.041294 99.2505) (xy 136.041294 100.3935) (xy 136.054249 100.525031) (xy 136.092615 100.651507) + (xy 136.154918 100.768069) (xy 136.238764 100.870236) (xy 136.340931 100.954082) (xy 136.457493 101.016385) (xy 136.583969 101.054751) + (xy 136.7155 101.067706) (xy 137.6045 101.067706) (xy 137.736031 101.054751) (xy 137.862507 101.016385) (xy 137.979069 100.954082) + (xy 138.081236 100.870236) (xy 138.165082 100.768069) (xy 138.227385 100.651507) (xy 138.265751 100.525031) (xy 138.278706 100.3935) + (xy 138.278706 99.2505) (xy 138.581294 99.2505) (xy 138.581294 100.3935) (xy 138.594249 100.525031) (xy 138.632615 100.651507) + (xy 138.694918 100.768069) (xy 138.778764 100.870236) (xy 138.880931 100.954082) (xy 138.997493 101.016385) (xy 139.123969 101.054751) + (xy 139.2555 101.067706) (xy 140.1445 101.067706) (xy 140.276031 101.054751) (xy 140.402507 101.016385) (xy 140.519069 100.954082) + (xy 140.621236 100.870236) (xy 140.705082 100.768069) (xy 140.767385 100.651507) (xy 140.805751 100.525031) (xy 140.818706 100.3935) + (xy 140.818706 99.2505) (xy 141.121294 99.2505) (xy 141.121294 100.3935) (xy 141.134249 100.525031) (xy 141.172615 100.651507) + (xy 141.234918 100.768069) (xy 141.318764 100.870236) (xy 141.420931 100.954082) (xy 141.537493 101.016385) (xy 141.663969 101.054751) + (xy 141.7955 101.067706) (xy 142.6845 101.067706) (xy 142.816031 101.054751) (xy 142.942507 101.016385) (xy 143.059069 100.954082) + (xy 143.161236 100.870236) (xy 143.245082 100.768069) (xy 143.307385 100.651507) (xy 143.345751 100.525031) (xy 143.358706 100.3935) + (xy 143.358706 99.2505) (xy 143.661294 99.2505) (xy 143.661294 100.3935) (xy 143.674249 100.525031) (xy 143.712615 100.651507) + (xy 143.774918 100.768069) (xy 143.858764 100.870236) (xy 143.960931 100.954082) (xy 144.077493 101.016385) (xy 144.203969 101.054751) + (xy 144.3355 101.067706) (xy 145.2245 101.067706) (xy 145.356031 101.054751) (xy 145.482507 101.016385) (xy 145.599069 100.954082) + (xy 145.701236 100.870236) (xy 145.785082 100.768069) (xy 145.847385 100.651507) (xy 145.885751 100.525031) (xy 145.898706 100.3935) + (xy 145.898706 99.2505) (xy 146.201294 99.2505) (xy 146.201294 100.3935) (xy 146.214249 100.525031) (xy 146.252615 100.651507) + (xy 146.314918 100.768069) (xy 146.398764 100.870236) (xy 146.500931 100.954082) (xy 146.617493 101.016385) (xy 146.743969 101.054751) + (xy 146.8755 101.067706) (xy 147.7645 101.067706) (xy 147.896031 101.054751) (xy 148.022507 101.016385) (xy 148.139069 100.954082) + (xy 148.241236 100.870236) (xy 148.325082 100.768069) (xy 148.387385 100.651507) (xy 148.425751 100.525031) (xy 148.438706 100.3935) + (xy 148.438706 99.2505) (xy 148.741294 99.2505) (xy 148.741294 100.3935) (xy 148.754249 100.525031) (xy 148.792615 100.651507) + (xy 148.854918 100.768069) (xy 148.938764 100.870236) (xy 149.040931 100.954082) (xy 149.157493 101.016385) (xy 149.283969 101.054751) + (xy 149.4155 101.067706) (xy 150.3045 101.067706) (xy 150.436031 101.054751) (xy 150.562507 101.016385) (xy 150.679069 100.954082) + (xy 150.781236 100.870236) (xy 150.865082 100.768069) (xy 150.927385 100.651507) (xy 150.965751 100.525031) (xy 150.978706 100.3935) + (xy 150.978706 99.2505) (xy 151.281294 99.2505) (xy 151.281294 100.3935) (xy 151.294249 100.525031) (xy 151.332615 100.651507) + (xy 151.394918 100.768069) (xy 151.478764 100.870236) (xy 151.580931 100.954082) (xy 151.697493 101.016385) (xy 151.823969 101.054751) + (xy 151.9555 101.067706) (xy 152.8445 101.067706) (xy 152.976031 101.054751) (xy 153.102507 101.016385) (xy 153.219069 100.954082) + (xy 153.321236 100.870236) (xy 153.405082 100.768069) (xy 153.467385 100.651507) (xy 153.505751 100.525031) (xy 153.518706 100.3935) + (xy 153.518706 99.2505) (xy 153.821294 99.2505) (xy 153.821294 100.3935) (xy 153.834249 100.525031) (xy 153.872615 100.651507) + (xy 153.934918 100.768069) (xy 154.018764 100.870236) (xy 154.120931 100.954082) (xy 154.237493 101.016385) (xy 154.363969 101.054751) + (xy 154.4955 101.067706) (xy 155.3845 101.067706) (xy 155.516031 101.054751) (xy 155.642507 101.016385) (xy 155.759069 100.954082) + (xy 155.861236 100.870236) (xy 155.887691 100.838) (xy 156.361294 100.838) (xy 156.365708 100.882813) (xy 156.378779 100.925905) + (xy 156.400006 100.965618) (xy 156.428573 101.000427) (xy 156.463382 101.028994) (xy 156.503095 101.050221) (xy 156.546187 101.063292) + (xy 156.591 101.067706) (xy 157.34905 101.0666) (xy 157.4062 101.00945) (xy 157.4062 99.8958) (xy 157.5538 99.8958) + (xy 157.5538 101.00945) (xy 157.61095 101.0666) (xy 158.369 101.067706) (xy 158.413813 101.063292) (xy 158.456905 101.050221) + (xy 158.496618 101.028994) (xy 158.531427 101.000427) (xy 158.559994 100.965618) (xy 158.581221 100.925905) (xy 158.594292 100.882813) + (xy 158.598706 100.838) (xy 158.598488 100.663468) (xy 163.7284 100.663468) (xy 163.7284 100.758532) (xy 163.746946 100.851769) + (xy 163.783326 100.939597) (xy 163.83614 101.01864) (xy 163.90336 101.08586) (xy 163.982403 101.138674) (xy 164.070231 101.175054) + (xy 164.163468 101.1936) (xy 164.258532 101.1936) (xy 164.351769 101.175054) (xy 164.439597 101.138674) (xy 164.51864 101.08586) + (xy 164.58586 101.01864) (xy 164.638674 100.939597) (xy 164.675054 100.851769) (xy 164.6936 100.758532) (xy 164.6936 100.663468) + (xy 164.675054 100.570231) (xy 164.638674 100.482403) (xy 164.58586 100.40336) (xy 164.51864 100.33614) (xy 164.439597 100.283326) + (xy 164.351769 100.246946) (xy 164.258532 100.2284) (xy 164.163468 100.2284) (xy 164.070231 100.246946) (xy 163.982403 100.283326) + (xy 163.90336 100.33614) (xy 163.83614 100.40336) (xy 163.783326 100.482403) (xy 163.746946 100.570231) (xy 163.7284 100.663468) + (xy 158.598488 100.663468) (xy 158.5976 99.95295) (xy 158.54045 99.8958) (xy 157.5538 99.8958) (xy 157.4062 99.8958) + (xy 156.41955 99.8958) (xy 156.3624 99.95295) (xy 156.361294 100.838) (xy 155.887691 100.838) (xy 155.945082 100.768069) + (xy 156.007385 100.651507) (xy 156.045751 100.525031) (xy 156.058706 100.3935) (xy 156.058706 99.2505) (xy 156.045751 99.118969) + (xy 156.007385 98.992493) (xy 155.945082 98.875931) (xy 155.887692 98.806) (xy 156.361294 98.806) (xy 156.3624 99.69105) + (xy 156.41955 99.7482) (xy 157.4062 99.7482) (xy 157.4062 99.7282) (xy 157.5538 99.7282) (xy 157.5538 99.7482) + (xy 158.54045 99.7482) (xy 158.5976 99.69105) (xy 158.598706 98.806) (xy 158.594292 98.761187) (xy 158.581221 98.718095) + (xy 158.559994 98.678382) (xy 158.531427 98.643573) (xy 158.496618 98.615006) (xy 158.456905 98.593779) (xy 158.413813 98.580708) + (xy 158.369 98.576294) (xy 158.0653 98.576737) (xy 158.042998 98.519637) (xy 158.034891 98.504468) (xy 160.8074 98.504468) + (xy 160.8074 98.599532) (xy 160.825946 98.692769) (xy 160.862326 98.780597) (xy 160.91514 98.85964) (xy 160.98236 98.92686) + (xy 161.061403 98.979674) (xy 161.149231 99.016054) (xy 161.242468 99.0346) (xy 161.337532 99.0346) (xy 161.430769 99.016054) + (xy 161.518597 98.979674) (xy 161.59764 98.92686) (xy 161.66486 98.85964) (xy 161.717674 98.780597) (xy 161.754054 98.692769) + (xy 161.7726 98.599532) (xy 161.7726 98.504468) (xy 161.754054 98.411231) (xy 161.717674 98.323403) (xy 161.66486 98.24436) + (xy 161.59764 98.17714) (xy 161.518597 98.124326) (xy 161.430769 98.087946) (xy 161.337532 98.0694) (xy 161.242468 98.0694) + (xy 161.149231 98.087946) (xy 161.061403 98.124326) (xy 160.98236 98.17714) (xy 160.91514 98.24436) (xy 160.862326 98.323403) + (xy 160.825946 98.411231) (xy 160.8074 98.504468) (xy 158.034891 98.504468) (xy 158.030888 98.496978) (xy 157.935046 98.455323) + (xy 157.813264 98.577105) (xy 157.61095 98.5774) (xy 157.553802 98.634548) (xy 157.553802 98.627829) (xy 157.830677 98.350954) + (xy 157.789022 98.255112) (xy 157.675611 98.20541) (xy 157.554683 98.178789) (xy 157.430885 98.176271) (xy 157.308975 98.197953) + (xy 157.193637 98.243002) (xy 157.170978 98.255112) (xy 157.129323 98.350954) (xy 157.406198 98.627829) (xy 157.406198 98.634548) + (xy 157.34905 98.5774) (xy 157.146736 98.577105) (xy 157.024954 98.455323) (xy 156.929112 98.496978) (xy 156.894158 98.576736) + (xy 156.591 98.576294) (xy 156.546187 98.580708) (xy 156.503095 98.593779) (xy 156.463382 98.615006) (xy 156.428573 98.643573) + (xy 156.400006 98.678382) (xy 156.378779 98.718095) (xy 156.365708 98.761187) (xy 156.361294 98.806) (xy 155.887692 98.806) + (xy 155.861236 98.773764) (xy 155.759069 98.689918) (xy 155.642507 98.627615) (xy 155.532707 98.594308) (xy 155.497059 98.508246) + (xy 155.428266 98.405291) (xy 155.340709 98.317734) (xy 155.237754 98.248941) (xy 155.123356 98.201556) (xy 155.001912 98.1774) + (xy 154.878088 98.1774) (xy 154.756644 98.201556) (xy 154.642246 98.248941) (xy 154.539291 98.317734) (xy 154.451734 98.405291) + (xy 154.382941 98.508246) (xy 154.347293 98.594308) (xy 154.237493 98.627615) (xy 154.120931 98.689918) (xy 154.018764 98.773764) + (xy 153.934918 98.875931) (xy 153.872615 98.992493) (xy 153.834249 99.118969) (xy 153.821294 99.2505) (xy 153.518706 99.2505) + (xy 153.505751 99.118969) (xy 153.467385 98.992493) (xy 153.405082 98.875931) (xy 153.321236 98.773764) (xy 153.219069 98.689918) + (xy 153.102507 98.627615) (xy 152.992707 98.594308) (xy 152.957059 98.508246) (xy 152.888266 98.405291) (xy 152.800709 98.317734) + (xy 152.697754 98.248941) (xy 152.583356 98.201556) (xy 152.461912 98.1774) (xy 152.338088 98.1774) (xy 152.216644 98.201556) + (xy 152.102246 98.248941) (xy 151.999291 98.317734) (xy 151.911734 98.405291) (xy 151.842941 98.508246) (xy 151.807293 98.594308) + (xy 151.697493 98.627615) (xy 151.580931 98.689918) (xy 151.478764 98.773764) (xy 151.394918 98.875931) (xy 151.332615 98.992493) + (xy 151.294249 99.118969) (xy 151.281294 99.2505) (xy 150.978706 99.2505) (xy 150.965751 99.118969) (xy 150.927385 98.992493) + (xy 150.865082 98.875931) (xy 150.781236 98.773764) (xy 150.679069 98.689918) (xy 150.562507 98.627615) (xy 150.452707 98.594308) + (xy 150.417059 98.508246) (xy 150.348266 98.405291) (xy 150.260709 98.317734) (xy 150.157754 98.248941) (xy 150.043356 98.201556) + (xy 149.921912 98.1774) (xy 149.798088 98.1774) (xy 149.676644 98.201556) (xy 149.562246 98.248941) (xy 149.459291 98.317734) + (xy 149.371734 98.405291) (xy 149.302941 98.508246) (xy 149.267293 98.594308) (xy 149.157493 98.627615) (xy 149.040931 98.689918) + (xy 148.938764 98.773764) (xy 148.854918 98.875931) (xy 148.792615 98.992493) (xy 148.754249 99.118969) (xy 148.741294 99.2505) + (xy 148.438706 99.2505) (xy 148.425751 99.118969) (xy 148.387385 98.992493) (xy 148.325082 98.875931) (xy 148.241236 98.773764) + (xy 148.139069 98.689918) (xy 148.022507 98.627615) (xy 147.912707 98.594308) (xy 147.877059 98.508246) (xy 147.808266 98.405291) + (xy 147.720709 98.317734) (xy 147.617754 98.248941) (xy 147.503356 98.201556) (xy 147.381912 98.1774) (xy 147.258088 98.1774) + (xy 147.136644 98.201556) (xy 147.022246 98.248941) (xy 146.919291 98.317734) (xy 146.831734 98.405291) (xy 146.762941 98.508246) + (xy 146.727293 98.594308) (xy 146.617493 98.627615) (xy 146.500931 98.689918) (xy 146.398764 98.773764) (xy 146.314918 98.875931) + (xy 146.252615 98.992493) (xy 146.214249 99.118969) (xy 146.201294 99.2505) (xy 145.898706 99.2505) (xy 145.885751 99.118969) + (xy 145.847385 98.992493) (xy 145.785082 98.875931) (xy 145.701236 98.773764) (xy 145.599069 98.689918) (xy 145.482507 98.627615) + (xy 145.372707 98.594308) (xy 145.337059 98.508246) (xy 145.268266 98.405291) (xy 145.180709 98.317734) (xy 145.077754 98.248941) + (xy 144.963356 98.201556) (xy 144.841912 98.1774) (xy 144.718088 98.1774) (xy 144.596644 98.201556) (xy 144.482246 98.248941) + (xy 144.379291 98.317734) (xy 144.291734 98.405291) (xy 144.222941 98.508246) (xy 144.187293 98.594308) (xy 144.077493 98.627615) + (xy 143.960931 98.689918) (xy 143.858764 98.773764) (xy 143.774918 98.875931) (xy 143.712615 98.992493) (xy 143.674249 99.118969) + (xy 143.661294 99.2505) (xy 143.358706 99.2505) (xy 143.345751 99.118969) (xy 143.307385 98.992493) (xy 143.245082 98.875931) + (xy 143.161236 98.773764) (xy 143.059069 98.689918) (xy 142.942507 98.627615) (xy 142.832707 98.594308) (xy 142.797059 98.508246) + (xy 142.728266 98.405291) (xy 142.640709 98.317734) (xy 142.537754 98.248941) (xy 142.423356 98.201556) (xy 142.301912 98.1774) + (xy 142.178088 98.1774) (xy 142.056644 98.201556) (xy 141.942246 98.248941) (xy 141.839291 98.317734) (xy 141.751734 98.405291) + (xy 141.682941 98.508246) (xy 141.647293 98.594308) (xy 141.537493 98.627615) (xy 141.420931 98.689918) (xy 141.318764 98.773764) + (xy 141.234918 98.875931) (xy 141.172615 98.992493) (xy 141.134249 99.118969) (xy 141.121294 99.2505) (xy 140.818706 99.2505) + (xy 140.805751 99.118969) (xy 140.767385 98.992493) (xy 140.705082 98.875931) (xy 140.621236 98.773764) (xy 140.519069 98.689918) + (xy 140.402507 98.627615) (xy 140.292707 98.594308) (xy 140.257059 98.508246) (xy 140.188266 98.405291) (xy 140.100709 98.317734) + (xy 139.997754 98.248941) (xy 139.883356 98.201556) (xy 139.761912 98.1774) (xy 139.638088 98.1774) (xy 139.516644 98.201556) + (xy 139.402246 98.248941) (xy 139.299291 98.317734) (xy 139.211734 98.405291) (xy 139.142941 98.508246) (xy 139.107293 98.594308) + (xy 138.997493 98.627615) (xy 138.880931 98.689918) (xy 138.778764 98.773764) (xy 138.694918 98.875931) (xy 138.632615 98.992493) + (xy 138.594249 99.118969) (xy 138.581294 99.2505) (xy 138.278706 99.2505) (xy 138.265751 99.118969) (xy 138.227385 98.992493) + (xy 138.165082 98.875931) (xy 138.081236 98.773764) (xy 137.979069 98.689918) (xy 137.862507 98.627615) (xy 137.752707 98.594308) + (xy 137.717059 98.508246) (xy 137.648266 98.405291) (xy 137.560709 98.317734) (xy 137.457754 98.248941) (xy 137.343356 98.201556) + (xy 137.221912 98.1774) (xy 137.098088 98.1774) (xy 136.976644 98.201556) (xy 136.862246 98.248941) (xy 136.759291 98.317734) + (xy 136.671734 98.405291) (xy 136.602941 98.508246) (xy 136.567293 98.594308) (xy 136.457493 98.627615) (xy 136.340931 98.689918) + (xy 136.238764 98.773764) (xy 136.154918 98.875931) (xy 136.092615 98.992493) (xy 136.054249 99.118969) (xy 136.041294 99.2505) + (xy 135.738706 99.2505) (xy 135.725751 99.118969) (xy 135.687385 98.992493) (xy 135.625082 98.875931) (xy 135.541236 98.773764) + (xy 135.439069 98.689918) (xy 135.322507 98.627615) (xy 135.212707 98.594308) (xy 135.177059 98.508246) (xy 135.108266 98.405291) + (xy 135.020709 98.317734) (xy 134.917754 98.248941) (xy 134.803356 98.201556) (xy 134.681912 98.1774) (xy 134.558088 98.1774) + (xy 134.436644 98.201556) (xy 134.322246 98.248941) (xy 134.219291 98.317734) (xy 134.131734 98.405291) (xy 134.062941 98.508246) + (xy 134.027293 98.594308) (xy 133.917493 98.627615) (xy 133.800931 98.689918) (xy 133.698764 98.773764) (xy 133.614918 98.875931) + (xy 133.552615 98.992493) (xy 133.514249 99.118969) (xy 133.501294 99.2505) (xy 133.198706 99.2505) (xy 133.185751 99.118969) + (xy 133.147385 98.992493) (xy 133.085082 98.875931) (xy 133.001236 98.773764) (xy 132.899069 98.689918) (xy 132.782507 98.627615) + (xy 132.672707 98.594308) (xy 132.637059 98.508246) (xy 132.568266 98.405291) (xy 132.480709 98.317734) (xy 132.377754 98.248941) + (xy 132.263356 98.201556) (xy 132.141912 98.1774) (xy 132.018088 98.1774) (xy 131.896644 98.201556) (xy 131.782246 98.248941) + (xy 131.679291 98.317734) (xy 131.591734 98.405291) (xy 131.522941 98.508246) (xy 131.487293 98.594308) (xy 131.377493 98.627615) + (xy 131.260931 98.689918) (xy 131.158764 98.773764) (xy 131.074918 98.875931) (xy 131.012615 98.992493) (xy 130.974249 99.118969) + (xy 130.961294 99.2505) (xy 130.658706 99.2505) (xy 130.645751 99.118969) (xy 130.607385 98.992493) (xy 130.545082 98.875931) + (xy 130.461236 98.773764) (xy 130.359069 98.689918) (xy 130.242507 98.627615) (xy 130.132707 98.594308) (xy 130.097059 98.508246) + (xy 130.028266 98.405291) (xy 129.940709 98.317734) (xy 129.837754 98.248941) (xy 129.723356 98.201556) (xy 129.601912 98.1774) + (xy 129.478088 98.1774) (xy 129.356644 98.201556) (xy 129.242246 98.248941) (xy 129.139291 98.317734) (xy 129.051734 98.405291) + (xy 128.982941 98.508246) (xy 128.947293 98.594308) (xy 128.837493 98.627615) (xy 128.720931 98.689918) (xy 128.618764 98.773764) + (xy 128.534918 98.875931) (xy 128.472615 98.992493) (xy 128.434249 99.118969) (xy 128.421294 99.2505) (xy 128.118706 99.2505) + (xy 128.105751 99.118969) (xy 128.067385 98.992493) (xy 128.005082 98.875931) (xy 127.921236 98.773764) (xy 127.819069 98.689918) + (xy 127.702507 98.627615) (xy 127.592707 98.594308) (xy 127.557059 98.508246) (xy 127.488266 98.405291) (xy 127.400709 98.317734) + (xy 127.30004 98.250468) (xy 127.7874 98.250468) (xy 127.7874 98.345532) (xy 127.805946 98.438769) (xy 127.842326 98.526597) + (xy 127.89514 98.60564) (xy 127.96236 98.67286) (xy 128.041403 98.725674) (xy 128.129231 98.762054) (xy 128.222468 98.7806) + (xy 128.317532 98.7806) (xy 128.410769 98.762054) (xy 128.498597 98.725674) (xy 128.57764 98.67286) (xy 128.64486 98.60564) + (xy 128.697674 98.526597) (xy 128.734054 98.438769) (xy 128.7526 98.345532) (xy 128.7526 98.250468) (xy 128.734054 98.157231) + (xy 128.697674 98.069403) (xy 128.64486 97.99036) (xy 128.57764 97.92314) (xy 128.498597 97.870326) (xy 128.410769 97.833946) + (xy 128.317532 97.8154) (xy 128.222468 97.8154) (xy 128.129231 97.833946) (xy 128.041403 97.870326) (xy 127.96236 97.92314) + (xy 127.89514 97.99036) (xy 127.842326 98.069403) (xy 127.805946 98.157231) (xy 127.7874 98.250468) (xy 127.30004 98.250468) + (xy 127.297754 98.248941) (xy 127.183356 98.201556) (xy 127.061912 98.1774) (xy 126.938088 98.1774) (xy 126.816644 98.201556) + (xy 126.702246 98.248941) (xy 126.599291 98.317734) (xy 126.511734 98.405291) (xy 126.442941 98.508246) (xy 126.407293 98.594308) + (xy 126.297493 98.627615) (xy 126.180931 98.689918) (xy 126.078764 98.773764) (xy 125.994918 98.875931) (xy 125.932615 98.992493) + (xy 125.894249 99.118969) (xy 125.881294 99.2505) (xy 125.578706 99.2505) (xy 125.565751 99.118969) (xy 125.527385 98.992493) + (xy 125.465082 98.875931) (xy 125.381236 98.773764) (xy 125.279069 98.689918) (xy 125.162507 98.627615) (xy 125.052707 98.594308) + (xy 125.017059 98.508246) (xy 124.948266 98.405291) (xy 124.860709 98.317734) (xy 124.757754 98.248941) (xy 124.643356 98.201556) + (xy 124.521912 98.1774) (xy 124.398088 98.1774) (xy 124.276644 98.201556) (xy 124.162246 98.248941) (xy 124.059291 98.317734) + (xy 123.971734 98.405291) (xy 123.902941 98.508246) (xy 123.867293 98.594308) (xy 123.757493 98.627615) (xy 123.640931 98.689918) + (xy 123.538764 98.773764) (xy 123.454918 98.875931) (xy 123.392615 98.992493) (xy 123.354249 99.118969) (xy 123.341294 99.2505) + (xy 123.038706 99.2505) (xy 123.025751 99.118969) (xy 122.987385 98.992493) (xy 122.925082 98.875931) (xy 122.841236 98.773764) + (xy 122.739069 98.689918) (xy 122.622507 98.627615) (xy 122.512707 98.594308) (xy 122.477059 98.508246) (xy 122.408266 98.405291) + (xy 122.320709 98.317734) (xy 122.217754 98.248941) (xy 122.103356 98.201556) (xy 121.981912 98.1774) (xy 121.858088 98.1774) + (xy 121.736644 98.201556) (xy 121.622246 98.248941) (xy 121.519291 98.317734) (xy 121.431734 98.405291) (xy 121.362941 98.508246) + (xy 121.327293 98.594308) (xy 121.217493 98.627615) (xy 121.100931 98.689918) (xy 120.998764 98.773764) (xy 120.914918 98.875931) + (xy 120.852615 98.992493) (xy 120.814249 99.118969) (xy 120.801294 99.2505) (xy 120.498706 99.2505) (xy 120.485751 99.118969) + (xy 120.447385 98.992493) (xy 120.385082 98.875931) (xy 120.301236 98.773764) (xy 120.199069 98.689918) (xy 120.082507 98.627615) + (xy 119.972707 98.594308) (xy 119.937059 98.508246) (xy 119.868266 98.405291) (xy 119.780709 98.317734) (xy 119.677754 98.248941) + (xy 119.563356 98.201556) (xy 119.441912 98.1774) (xy 119.318088 98.1774) (xy 119.196644 98.201556) (xy 119.082246 98.248941) + (xy 118.979291 98.317734) (xy 118.891734 98.405291) (xy 118.822941 98.508246) (xy 118.787293 98.594308) (xy 118.677493 98.627615) + (xy 118.560931 98.689918) (xy 118.458764 98.773764) (xy 118.374918 98.875931) (xy 118.312615 98.992493) (xy 118.274249 99.118969) + (xy 118.261294 99.2505) (xy 117.958706 99.2505) (xy 117.945751 99.118969) (xy 117.907385 98.992493) (xy 117.845082 98.875931) + (xy 117.761236 98.773764) (xy 117.659069 98.689918) (xy 117.542507 98.627615) (xy 117.432707 98.594308) (xy 117.397059 98.508246) + (xy 117.328266 98.405291) (xy 117.240709 98.317734) (xy 117.137754 98.248941) (xy 117.023356 98.201556) (xy 116.901912 98.1774) + (xy 116.778088 98.1774) (xy 116.656644 98.201556) (xy 116.542246 98.248941) (xy 116.439291 98.317734) (xy 116.351734 98.405291) + (xy 116.282941 98.508246) (xy 116.247293 98.594308) (xy 116.137493 98.627615) (xy 116.020931 98.689918) (xy 115.918764 98.773764) + (xy 115.834918 98.875931) (xy 115.772615 98.992493) (xy 115.734249 99.118969) (xy 115.721294 99.2505) (xy 115.418706 99.2505) + (xy 115.405751 99.118969) (xy 115.367385 98.992493) (xy 115.305082 98.875931) (xy 115.221236 98.773764) (xy 115.119069 98.689918) + (xy 115.002507 98.627615) (xy 114.892707 98.594308) (xy 114.857059 98.508246) (xy 114.788266 98.405291) (xy 114.700709 98.317734) + (xy 114.597754 98.248941) (xy 114.483356 98.201556) (xy 114.361912 98.1774) (xy 114.238088 98.1774) (xy 114.116644 98.201556) + (xy 114.002246 98.248941) (xy 113.899291 98.317734) (xy 113.811734 98.405291) (xy 113.742941 98.508246) (xy 113.707293 98.594308) + (xy 113.597493 98.627615) (xy 113.480931 98.689918) (xy 113.378764 98.773764) (xy 113.294918 98.875931) (xy 113.232615 98.992493) + (xy 113.194249 99.118969) (xy 113.181294 99.2505) (xy 112.878706 99.2505) (xy 112.865751 99.118969) (xy 112.827385 98.992493) + (xy 112.765082 98.875931) (xy 112.681236 98.773764) (xy 112.579069 98.689918) (xy 112.462507 98.627615) (xy 112.352707 98.594308) + (xy 112.317059 98.508246) (xy 112.248266 98.405291) (xy 112.160709 98.317734) (xy 112.057754 98.248941) (xy 111.943356 98.201556) + (xy 111.821912 98.1774) (xy 111.698088 98.1774) (xy 111.576644 98.201556) (xy 111.462246 98.248941) (xy 111.359291 98.317734) + (xy 111.271734 98.405291) (xy 111.202941 98.508246) (xy 111.167293 98.594308) (xy 111.057493 98.627615) (xy 110.940931 98.689918) + (xy 110.838764 98.773764) (xy 110.754918 98.875931) (xy 110.692615 98.992493) (xy 110.654249 99.118969) (xy 110.641294 99.2505) + (xy 110.338706 99.2505) (xy 110.325751 99.118969) (xy 110.287385 98.992493) (xy 110.225082 98.875931) (xy 110.141236 98.773764) + (xy 110.039069 98.689918) (xy 109.922507 98.627615) (xy 109.812707 98.594308) (xy 109.777059 98.508246) (xy 109.708266 98.405291) + (xy 109.620709 98.317734) (xy 109.517754 98.248941) (xy 109.403356 98.201556) (xy 109.281912 98.1774) (xy 109.158088 98.1774) + (xy 109.036644 98.201556) (xy 108.922246 98.248941) (xy 108.819291 98.317734) (xy 108.731734 98.405291) (xy 108.662941 98.508246) + (xy 108.627293 98.594308) (xy 108.517493 98.627615) (xy 108.400931 98.689918) (xy 108.298764 98.773764) (xy 108.214918 98.875931) + (xy 108.152615 98.992493) (xy 108.114249 99.118969) (xy 108.101294 99.2505) (xy 107.798706 99.2505) (xy 107.785751 99.118969) + (xy 107.747385 98.992493) (xy 107.685082 98.875931) (xy 107.601236 98.773764) (xy 107.499069 98.689918) (xy 107.382507 98.627615) + (xy 107.272707 98.594308) (xy 107.237059 98.508246) (xy 107.168266 98.405291) (xy 107.080709 98.317734) (xy 106.977754 98.248941) + (xy 106.863356 98.201556) (xy 106.741912 98.1774) (xy 106.618088 98.1774) (xy 106.496644 98.201556) (xy 106.382246 98.248941) + (xy 106.279291 98.317734) (xy 106.191734 98.405291) (xy 106.122941 98.508246) (xy 106.087293 98.594308) (xy 105.977493 98.627615) + (xy 105.860931 98.689918) (xy 105.758764 98.773764) (xy 105.674918 98.875931) (xy 105.612615 98.992493) (xy 105.574249 99.118969) + (xy 105.561294 99.2505) (xy 105.258706 99.2505) (xy 105.245751 99.118969) (xy 105.207385 98.992493) (xy 105.145082 98.875931) + (xy 105.061236 98.773764) (xy 104.959069 98.689918) (xy 104.842507 98.627615) (xy 104.732707 98.594308) (xy 104.697059 98.508246) + (xy 104.628266 98.405291) (xy 104.540709 98.317734) (xy 104.437754 98.248941) (xy 104.323356 98.201556) (xy 104.201912 98.1774) + (xy 104.078088 98.1774) (xy 103.956644 98.201556) (xy 103.842246 98.248941) (xy 103.739291 98.317734) (xy 103.651734 98.405291) + (xy 103.582941 98.508246) (xy 103.547293 98.594308) (xy 103.437493 98.627615) (xy 103.320931 98.689918) (xy 103.218764 98.773764) + (xy 103.134918 98.875931) (xy 103.072615 98.992493) (xy 103.034249 99.118969) (xy 103.021294 99.2505) (xy 102.718706 99.2505) + (xy 102.705751 99.118969) (xy 102.667385 98.992493) (xy 102.605082 98.875931) (xy 102.521236 98.773764) (xy 102.419069 98.689918) + (xy 102.302507 98.627615) (xy 102.192707 98.594308) (xy 102.157059 98.508246) (xy 102.088266 98.405291) (xy 102.000709 98.317734) + (xy 101.897754 98.248941) (xy 101.783356 98.201556) (xy 101.661912 98.1774) (xy 101.538088 98.1774) (xy 101.416644 98.201556) + (xy 101.302246 98.248941) (xy 101.199291 98.317734) (xy 101.111734 98.405291) (xy 101.042941 98.508246) (xy 101.007293 98.594308) + (xy 100.897493 98.627615) (xy 100.780931 98.689918) (xy 100.678764 98.773764) (xy 100.594918 98.875931) (xy 100.532615 98.992493) + (xy 100.494249 99.118969) (xy 100.481294 99.2505) (xy 100.178706 99.2505) (xy 100.165751 99.118969) (xy 100.127385 98.992493) + (xy 100.065082 98.875931) (xy 99.981236 98.773764) (xy 99.879069 98.689918) (xy 99.762507 98.627615) (xy 99.652707 98.594308) + (xy 99.617059 98.508246) (xy 99.548266 98.405291) (xy 99.460709 98.317734) (xy 99.357754 98.248941) (xy 99.243356 98.201556) + (xy 99.121912 98.1774) (xy 98.998088 98.1774) (xy 98.876644 98.201556) (xy 98.762246 98.248941) (xy 98.659291 98.317734) + (xy 98.571734 98.405291) (xy 98.502941 98.508246) (xy 98.467293 98.594308) (xy 98.357493 98.627615) (xy 98.240931 98.689918) + (xy 98.138764 98.773764) (xy 98.054918 98.875931) (xy 97.992615 98.992493) (xy 97.954249 99.118969) (xy 97.941294 99.2505) + (xy 97.638706 99.2505) (xy 97.625751 99.118969) (xy 97.587385 98.992493) (xy 97.525082 98.875931) (xy 97.441236 98.773764) + (xy 97.339069 98.689918) (xy 97.222507 98.627615) (xy 97.112707 98.594308) (xy 97.077059 98.508246) (xy 97.008266 98.405291) + (xy 96.920709 98.317734) (xy 96.817754 98.248941) (xy 96.703356 98.201556) (xy 96.581912 98.1774) (xy 96.458088 98.1774) + (xy 96.336644 98.201556) (xy 96.222246 98.248941) (xy 96.119291 98.317734) (xy 96.031734 98.405291) (xy 95.962941 98.508246) + (xy 95.927293 98.594308) (xy 95.817493 98.627615) (xy 95.700931 98.689918) (xy 95.598764 98.773764) (xy 95.514918 98.875931) + (xy 95.452615 98.992493) (xy 95.414249 99.118969) (xy 95.401294 99.2505) (xy 95.098706 99.2505) (xy 95.085751 99.118969) + (xy 95.047385 98.992493) (xy 94.985082 98.875931) (xy 94.901236 98.773764) (xy 94.799069 98.689918) (xy 94.682507 98.627615) + (xy 94.572707 98.594308) (xy 94.537059 98.508246) (xy 94.468266 98.405291) (xy 94.380709 98.317734) (xy 94.277754 98.248941) + (xy 94.163356 98.201556) (xy 94.041912 98.1774) (xy 93.918088 98.1774) (xy 93.796644 98.201556) (xy 93.682246 98.248941) + (xy 93.579291 98.317734) (xy 93.491734 98.405291) (xy 93.422941 98.508246) (xy 93.387293 98.594308) (xy 93.277493 98.627615) + (xy 93.160931 98.689918) (xy 93.058764 98.773764) (xy 92.974918 98.875931) (xy 92.912615 98.992493) (xy 92.874249 99.118969) + (xy 92.861294 99.2505) (xy 92.558706 99.2505) (xy 92.545751 99.118969) (xy 92.507385 98.992493) (xy 92.445082 98.875931) + (xy 92.361236 98.773764) (xy 92.259069 98.689918) (xy 92.142507 98.627615) (xy 92.032707 98.594308) (xy 91.997059 98.508246) + (xy 91.928266 98.405291) (xy 91.840709 98.317734) (xy 91.737754 98.248941) (xy 91.623356 98.201556) (xy 91.501912 98.1774) + (xy 91.378088 98.1774) (xy 91.256644 98.201556) (xy 91.142246 98.248941) (xy 91.039291 98.317734) (xy 90.951734 98.405291) + (xy 90.882941 98.508246) (xy 90.847293 98.594308) (xy 90.737493 98.627615) (xy 90.620931 98.689918) (xy 90.518764 98.773764) + (xy 90.434918 98.875931) (xy 90.372615 98.992493) (xy 90.334249 99.118969) (xy 90.321294 99.2505) (xy 90.018706 99.2505) + (xy 90.005751 99.118969) (xy 89.967385 98.992493) (xy 89.905082 98.875931) (xy 89.821236 98.773764) (xy 89.719069 98.689918) + (xy 89.602507 98.627615) (xy 89.492707 98.594308) (xy 89.457059 98.508246) (xy 89.388266 98.405291) (xy 89.300709 98.317734) + (xy 89.197754 98.248941) (xy 89.083356 98.201556) (xy 88.961912 98.1774) (xy 88.838088 98.1774) (xy 88.716644 98.201556) + (xy 88.602246 98.248941) (xy 88.499291 98.317734) (xy 88.411734 98.405291) (xy 88.342941 98.508246) (xy 88.307293 98.594308) + (xy 88.197493 98.627615) (xy 88.080931 98.689918) (xy 87.978764 98.773764) (xy 87.894918 98.875931) (xy 87.832615 98.992493) + (xy 87.794249 99.118969) (xy 87.781294 99.2505) (xy 87.478706 99.2505) (xy 87.465751 99.118969) (xy 87.427385 98.992493) + (xy 87.365082 98.875931) (xy 87.281236 98.773764) (xy 87.179069 98.689918) (xy 87.062507 98.627615) (xy 86.952707 98.594308) + (xy 86.917059 98.508246) (xy 86.848266 98.405291) (xy 86.760709 98.317734) (xy 86.657754 98.248941) (xy 86.543356 98.201556) + (xy 86.421912 98.1774) (xy 86.298088 98.1774) (xy 86.176644 98.201556) (xy 86.062246 98.248941) (xy 85.959291 98.317734) + (xy 85.871734 98.405291) (xy 85.802941 98.508246) (xy 85.767293 98.594308) (xy 85.657493 98.627615) (xy 85.540931 98.689918) + (xy 85.438764 98.773764) (xy 85.354918 98.875931) (xy 85.292615 98.992493) (xy 85.254249 99.118969) (xy 85.241294 99.2505) + (xy 84.93815 99.2505) (xy 84.938706 98.806) (xy 84.934292 98.761187) (xy 84.921221 98.718095) (xy 84.899994 98.678382) + (xy 84.871427 98.643573) (xy 84.836618 98.615006) (xy 84.796905 98.593779) (xy 84.753813 98.580708) (xy 84.709 98.576294) + (xy 84.4053 98.576737) (xy 84.382998 98.519637) (xy 84.370888 98.496978) (xy 84.275046 98.455323) (xy 84.153264 98.577105) + (xy 83.95095 98.5774) (xy 83.893802 98.634548) (xy 83.893802 98.627829) (xy 84.170677 98.350954) (xy 84.129022 98.255112) + (xy 84.015611 98.20541) (xy 83.894683 98.178789) (xy 83.770885 98.176271) (xy 83.648975 98.197953) (xy 83.533637 98.243002) + (xy 83.510978 98.255112) (xy 83.469323 98.350954) (xy 83.746198 98.627829) (xy 83.746198 98.634548) (xy 83.68905 98.5774) + (xy 83.486736 98.577105) (xy 83.364954 98.455323) (xy 83.269112 98.496978) (xy 83.234158 98.576736) (xy 82.931 98.576294) + (xy 82.886187 98.580708) (xy 82.843095 98.593779) (xy 82.803382 98.615006) (xy 82.768573 98.643573) (xy 82.740006 98.678382) + (xy 82.718779 98.718095) (xy 82.705708 98.761187) (xy 82.701294 98.806) (xy 78.5606 98.806) (xy 78.5606 97.742468) + (xy 80.4164 97.742468) (xy 80.4164 97.837532) (xy 80.434946 97.930769) (xy 80.471326 98.018597) (xy 80.52414 98.09764) + (xy 80.59136 98.16486) (xy 80.670403 98.217674) (xy 80.758231 98.254054) (xy 80.851468 98.2726) (xy 80.946532 98.2726) + (xy 81.039769 98.254054) (xy 81.127597 98.217674) (xy 81.20664 98.16486) (xy 81.27386 98.09764) (xy 81.326674 98.018597) + (xy 81.363054 97.930769) (xy 81.375247 97.869468) (xy 96.9264 97.869468) (xy 96.9264 97.964532) (xy 96.944946 98.057769) + (xy 96.981326 98.145597) (xy 97.03414 98.22464) (xy 97.10136 98.29186) (xy 97.180403 98.344674) (xy 97.268231 98.381054) + (xy 97.361468 98.3996) (xy 97.456532 98.3996) (xy 97.549769 98.381054) (xy 97.637597 98.344674) (xy 97.71664 98.29186) + (xy 97.78386 98.22464) (xy 97.836674 98.145597) (xy 97.873054 98.057769) (xy 97.8916 97.964532) (xy 97.8916 97.869468) + (xy 99.4664 97.869468) (xy 99.4664 97.964532) (xy 99.484946 98.057769) (xy 99.521326 98.145597) (xy 99.57414 98.22464) + (xy 99.64136 98.29186) (xy 99.720403 98.344674) (xy 99.808231 98.381054) (xy 99.901468 98.3996) (xy 99.996532 98.3996) + (xy 100.089769 98.381054) (xy 100.177597 98.344674) (xy 100.25664 98.29186) (xy 100.32386 98.22464) (xy 100.376674 98.145597) + (xy 100.413054 98.057769) (xy 100.4316 97.964532) (xy 100.4316 97.869468) (xy 102.2604 97.869468) (xy 102.2604 97.964532) + (xy 102.278946 98.057769) (xy 102.315326 98.145597) (xy 102.36814 98.22464) (xy 102.43536 98.29186) (xy 102.514403 98.344674) + (xy 102.602231 98.381054) (xy 102.695468 98.3996) (xy 102.790532 98.3996) (xy 102.883769 98.381054) (xy 102.971597 98.344674) + (xy 103.05064 98.29186) (xy 103.11786 98.22464) (xy 103.170674 98.145597) (xy 103.207054 98.057769) (xy 103.2256 97.964532) + (xy 103.2256 97.869468) (xy 109.6264 97.869468) (xy 109.6264 97.964532) (xy 109.644946 98.057769) (xy 109.681326 98.145597) + (xy 109.73414 98.22464) (xy 109.80136 98.29186) (xy 109.880403 98.344674) (xy 109.968231 98.381054) (xy 110.061468 98.3996) + (xy 110.156532 98.3996) (xy 110.249769 98.381054) (xy 110.337597 98.344674) (xy 110.41664 98.29186) (xy 110.48386 98.22464) + (xy 110.536674 98.145597) (xy 110.573054 98.057769) (xy 110.5916 97.964532) (xy 110.5916 97.869468) (xy 110.573054 97.776231) + (xy 110.536674 97.688403) (xy 110.48386 97.60936) (xy 110.41664 97.54214) (xy 110.337597 97.489326) (xy 110.335526 97.488468) + (xy 110.7694 97.488468) (xy 110.7694 97.583532) (xy 110.787946 97.676769) (xy 110.824326 97.764597) (xy 110.87714 97.84364) + (xy 110.94436 97.91086) (xy 111.023403 97.963674) (xy 111.111231 98.000054) (xy 111.204468 98.0186) (xy 111.299532 98.0186) + (xy 111.392769 98.000054) (xy 111.480597 97.963674) (xy 111.55964 97.91086) (xy 111.601032 97.869468) (xy 117.2464 97.869468) + (xy 117.2464 97.964532) (xy 117.264946 98.057769) (xy 117.301326 98.145597) (xy 117.35414 98.22464) (xy 117.42136 98.29186) + (xy 117.500403 98.344674) (xy 117.588231 98.381054) (xy 117.681468 98.3996) (xy 117.776532 98.3996) (xy 117.869769 98.381054) + (xy 117.957597 98.344674) (xy 118.03664 98.29186) (xy 118.10386 98.22464) (xy 118.156674 98.145597) (xy 118.193054 98.057769) + (xy 118.2116 97.964532) (xy 118.2116 97.869468) (xy 118.193054 97.776231) (xy 118.156674 97.688403) (xy 118.10386 97.60936) + (xy 118.03664 97.54214) (xy 117.957597 97.489326) (xy 117.955526 97.488468) (xy 118.3894 97.488468) (xy 118.3894 97.583532) + (xy 118.407946 97.676769) (xy 118.444326 97.764597) (xy 118.49714 97.84364) (xy 118.56436 97.91086) (xy 118.643403 97.963674) + (xy 118.731231 98.000054) (xy 118.824468 98.0186) (xy 118.919532 98.0186) (xy 119.012769 98.000054) (xy 119.100597 97.963674) + (xy 119.17964 97.91086) (xy 119.24686 97.84364) (xy 119.299674 97.764597) (xy 119.336054 97.676769) (xy 119.3546 97.583532) + (xy 119.3546 97.488468) (xy 120.9294 97.488468) (xy 120.9294 97.583532) (xy 120.947946 97.676769) (xy 120.984326 97.764597) + (xy 121.03714 97.84364) (xy 121.10436 97.91086) (xy 121.183403 97.963674) (xy 121.271231 98.000054) (xy 121.364468 98.0186) + (xy 121.459532 98.0186) (xy 121.552769 98.000054) (xy 121.640597 97.963674) (xy 121.71964 97.91086) (xy 121.78686 97.84364) + (xy 121.839674 97.764597) (xy 121.876054 97.676769) (xy 121.8946 97.583532) (xy 121.8946 97.488468) (xy 121.9454 97.488468) + (xy 121.9454 97.583532) (xy 121.963946 97.676769) (xy 122.000326 97.764597) (xy 122.05314 97.84364) (xy 122.12036 97.91086) + (xy 122.199403 97.963674) (xy 122.287231 98.000054) (xy 122.380468 98.0186) (xy 122.475532 98.0186) (xy 122.568769 98.000054) + (xy 122.656597 97.963674) (xy 122.73564 97.91086) (xy 122.80286 97.84364) (xy 122.855674 97.764597) (xy 122.892054 97.676769) + (xy 122.904247 97.615468) (xy 131.2164 97.615468) (xy 131.2164 97.710532) (xy 131.234946 97.803769) (xy 131.271326 97.891597) + (xy 131.32414 97.97064) (xy 131.39136 98.03786) (xy 131.470403 98.090674) (xy 131.558231 98.127054) (xy 131.651468 98.1456) + (xy 131.746532 98.1456) (xy 131.839769 98.127054) (xy 131.927597 98.090674) (xy 132.00664 98.03786) (xy 132.07386 97.97064) + (xy 132.126674 97.891597) (xy 132.163054 97.803769) (xy 132.1816 97.710532) (xy 132.1816 97.615468) (xy 133.7564 97.615468) + (xy 133.7564 97.710532) (xy 133.774946 97.803769) (xy 133.811326 97.891597) (xy 133.86414 97.97064) (xy 133.93136 98.03786) + (xy 134.010403 98.090674) (xy 134.098231 98.127054) (xy 134.191468 98.1456) (xy 134.286532 98.1456) (xy 134.379769 98.127054) + (xy 134.467597 98.090674) (xy 134.54664 98.03786) (xy 134.61386 97.97064) (xy 134.666674 97.891597) (xy 134.703054 97.803769) + (xy 134.7216 97.710532) (xy 134.7216 97.615468) (xy 134.703054 97.522231) (xy 134.666674 97.434403) (xy 134.617942 97.361468) + (xy 138.5824 97.361468) (xy 138.5824 97.456532) (xy 138.600946 97.549769) (xy 138.637326 97.637597) (xy 138.69014 97.71664) + (xy 138.75736 97.78386) (xy 138.836403 97.836674) (xy 138.924231 97.873054) (xy 139.017468 97.8916) (xy 139.112532 97.8916) + (xy 139.205769 97.873054) (xy 139.293597 97.836674) (xy 139.37264 97.78386) (xy 139.43986 97.71664) (xy 139.492674 97.637597) + (xy 139.529054 97.549769) (xy 139.5476 97.456532) (xy 139.5476 97.361468) (xy 142.1384 97.361468) (xy 142.1384 97.456532) + (xy 142.156946 97.549769) (xy 142.193326 97.637597) (xy 142.24614 97.71664) (xy 142.31336 97.78386) (xy 142.392403 97.836674) + (xy 142.480231 97.873054) (xy 142.573468 97.8916) (xy 142.668532 97.8916) (xy 142.761769 97.873054) (xy 142.849597 97.836674) + (xy 142.92864 97.78386) (xy 142.99586 97.71664) (xy 143.048674 97.637597) (xy 143.085054 97.549769) (xy 143.1036 97.456532) + (xy 143.1036 97.361468) (xy 143.6624 97.361468) (xy 143.6624 97.456532) (xy 143.680946 97.549769) (xy 143.717326 97.637597) + (xy 143.77014 97.71664) (xy 143.83736 97.78386) (xy 143.916403 97.836674) (xy 144.004231 97.873054) (xy 144.097468 97.8916) + (xy 144.192532 97.8916) (xy 144.285769 97.873054) (xy 144.373597 97.836674) (xy 144.45264 97.78386) (xy 144.51986 97.71664) + (xy 144.572674 97.637597) (xy 144.609054 97.549769) (xy 144.6276 97.456532) (xy 144.6276 97.361468) (xy 147.2184 97.361468) + (xy 147.2184 97.456532) (xy 147.236946 97.549769) (xy 147.273326 97.637597) (xy 147.32614 97.71664) (xy 147.39336 97.78386) + (xy 147.472403 97.836674) (xy 147.560231 97.873054) (xy 147.653468 97.8916) (xy 147.748532 97.8916) (xy 147.841769 97.873054) + (xy 147.929597 97.836674) (xy 148.00864 97.78386) (xy 148.07586 97.71664) (xy 148.128674 97.637597) (xy 148.165054 97.549769) + (xy 148.1836 97.456532) (xy 148.1836 97.361468) (xy 148.165054 97.268231) (xy 148.128674 97.180403) (xy 148.07586 97.10136) + (xy 148.00864 97.03414) (xy 147.929597 96.981326) (xy 147.841769 96.944946) (xy 147.748532 96.9264) (xy 147.653468 96.9264) + (xy 147.560231 96.944946) (xy 147.472403 96.981326) (xy 147.39336 97.03414) (xy 147.32614 97.10136) (xy 147.273326 97.180403) + (xy 147.236946 97.268231) (xy 147.2184 97.361468) (xy 144.6276 97.361468) (xy 144.609054 97.268231) (xy 144.572674 97.180403) + (xy 144.51986 97.10136) (xy 144.45264 97.03414) (xy 144.373597 96.981326) (xy 144.285769 96.944946) (xy 144.192532 96.9264) + (xy 144.097468 96.9264) (xy 144.004231 96.944946) (xy 143.916403 96.981326) (xy 143.83736 97.03414) (xy 143.77014 97.10136) + (xy 143.717326 97.180403) (xy 143.680946 97.268231) (xy 143.6624 97.361468) (xy 143.1036 97.361468) (xy 143.085054 97.268231) + (xy 143.048674 97.180403) (xy 142.99586 97.10136) (xy 142.92864 97.03414) (xy 142.849597 96.981326) (xy 142.761769 96.944946) + (xy 142.668532 96.9264) (xy 142.573468 96.9264) (xy 142.480231 96.944946) (xy 142.392403 96.981326) (xy 142.31336 97.03414) + (xy 142.24614 97.10136) (xy 142.193326 97.180403) (xy 142.156946 97.268231) (xy 142.1384 97.361468) (xy 139.5476 97.361468) + (xy 139.529054 97.268231) (xy 139.492674 97.180403) (xy 139.43986 97.10136) (xy 139.37264 97.03414) (xy 139.293597 96.981326) + (xy 139.205769 96.944946) (xy 139.112532 96.9264) (xy 139.017468 96.9264) (xy 138.924231 96.944946) (xy 138.836403 96.981326) + (xy 138.75736 97.03414) (xy 138.69014 97.10136) (xy 138.637326 97.180403) (xy 138.600946 97.268231) (xy 138.5824 97.361468) + (xy 134.617942 97.361468) (xy 134.61386 97.35536) (xy 134.54664 97.28814) (xy 134.467597 97.235326) (xy 134.379769 97.198946) + (xy 134.286532 97.1804) (xy 134.191468 97.1804) (xy 134.098231 97.198946) (xy 134.010403 97.235326) (xy 133.93136 97.28814) + (xy 133.86414 97.35536) (xy 133.811326 97.434403) (xy 133.774946 97.522231) (xy 133.7564 97.615468) (xy 132.1816 97.615468) + (xy 132.163054 97.522231) (xy 132.126674 97.434403) (xy 132.07386 97.35536) (xy 132.00664 97.28814) (xy 131.927597 97.235326) + (xy 131.839769 97.198946) (xy 131.746532 97.1804) (xy 131.651468 97.1804) (xy 131.558231 97.198946) (xy 131.470403 97.235326) + (xy 131.39136 97.28814) (xy 131.32414 97.35536) (xy 131.271326 97.434403) (xy 131.234946 97.522231) (xy 131.2164 97.615468) + (xy 122.904247 97.615468) (xy 122.9106 97.583532) (xy 122.9106 97.488468) (xy 122.892054 97.395231) (xy 122.855674 97.307403) + (xy 122.80286 97.22836) (xy 122.73564 97.16114) (xy 122.656597 97.108326) (xy 122.568769 97.071946) (xy 122.475532 97.0534) + (xy 122.380468 97.0534) (xy 122.287231 97.071946) (xy 122.199403 97.108326) (xy 122.12036 97.16114) (xy 122.05314 97.22836) + (xy 122.000326 97.307403) (xy 121.963946 97.395231) (xy 121.9454 97.488468) (xy 121.8946 97.488468) (xy 121.876054 97.395231) + (xy 121.839674 97.307403) (xy 121.78686 97.22836) (xy 121.71964 97.16114) (xy 121.640597 97.108326) (xy 121.552769 97.071946) + (xy 121.459532 97.0534) (xy 121.364468 97.0534) (xy 121.271231 97.071946) (xy 121.183403 97.108326) (xy 121.10436 97.16114) + (xy 121.03714 97.22836) (xy 120.984326 97.307403) (xy 120.947946 97.395231) (xy 120.9294 97.488468) (xy 119.3546 97.488468) + (xy 119.336054 97.395231) (xy 119.299674 97.307403) (xy 119.24686 97.22836) (xy 119.17964 97.16114) (xy 119.100597 97.108326) + (xy 119.012769 97.071946) (xy 118.919532 97.0534) (xy 118.824468 97.0534) (xy 118.731231 97.071946) (xy 118.643403 97.108326) + (xy 118.56436 97.16114) (xy 118.49714 97.22836) (xy 118.444326 97.307403) (xy 118.407946 97.395231) (xy 118.3894 97.488468) + (xy 117.955526 97.488468) (xy 117.869769 97.452946) (xy 117.776532 97.4344) (xy 117.681468 97.4344) (xy 117.588231 97.452946) + (xy 117.500403 97.489326) (xy 117.42136 97.54214) (xy 117.35414 97.60936) (xy 117.301326 97.688403) (xy 117.264946 97.776231) + (xy 117.2464 97.869468) (xy 111.601032 97.869468) (xy 111.62686 97.84364) (xy 111.679674 97.764597) (xy 111.716054 97.676769) + (xy 111.7346 97.583532) (xy 111.7346 97.488468) (xy 111.716054 97.395231) (xy 111.679674 97.307403) (xy 111.62686 97.22836) + (xy 111.55964 97.16114) (xy 111.480597 97.108326) (xy 111.392769 97.071946) (xy 111.299532 97.0534) (xy 111.204468 97.0534) + (xy 111.111231 97.071946) (xy 111.023403 97.108326) (xy 110.94436 97.16114) (xy 110.87714 97.22836) (xy 110.824326 97.307403) + (xy 110.787946 97.395231) (xy 110.7694 97.488468) (xy 110.335526 97.488468) (xy 110.249769 97.452946) (xy 110.156532 97.4344) + (xy 110.061468 97.4344) (xy 109.968231 97.452946) (xy 109.880403 97.489326) (xy 109.80136 97.54214) (xy 109.73414 97.60936) + (xy 109.681326 97.688403) (xy 109.644946 97.776231) (xy 109.6264 97.869468) (xy 103.2256 97.869468) (xy 103.207054 97.776231) + (xy 103.170674 97.688403) (xy 103.11786 97.60936) (xy 103.05064 97.54214) (xy 102.971597 97.489326) (xy 102.883769 97.452946) + (xy 102.790532 97.4344) (xy 102.695468 97.4344) (xy 102.602231 97.452946) (xy 102.514403 97.489326) (xy 102.43536 97.54214) + (xy 102.36814 97.60936) (xy 102.315326 97.688403) (xy 102.278946 97.776231) (xy 102.2604 97.869468) (xy 100.4316 97.869468) + (xy 100.413054 97.776231) (xy 100.376674 97.688403) (xy 100.32386 97.60936) (xy 100.25664 97.54214) (xy 100.177597 97.489326) + (xy 100.089769 97.452946) (xy 99.996532 97.4344) (xy 99.901468 97.4344) (xy 99.808231 97.452946) (xy 99.720403 97.489326) + (xy 99.64136 97.54214) (xy 99.57414 97.60936) (xy 99.521326 97.688403) (xy 99.484946 97.776231) (xy 99.4664 97.869468) + (xy 97.8916 97.869468) (xy 97.873054 97.776231) (xy 97.836674 97.688403) (xy 97.78386 97.60936) (xy 97.71664 97.54214) + (xy 97.637597 97.489326) (xy 97.549769 97.452946) (xy 97.456532 97.4344) (xy 97.361468 97.4344) (xy 97.268231 97.452946) + (xy 97.180403 97.489326) (xy 97.10136 97.54214) (xy 97.03414 97.60936) (xy 96.981326 97.688403) (xy 96.944946 97.776231) + (xy 96.9264 97.869468) (xy 81.375247 97.869468) (xy 81.3816 97.837532) (xy 81.3816 97.742468) (xy 81.363054 97.649231) + (xy 81.326674 97.561403) (xy 81.27386 97.48236) (xy 81.20664 97.41514) (xy 81.127597 97.362326) (xy 81.039769 97.325946) + (xy 80.946532 97.3074) (xy 80.851468 97.3074) (xy 80.758231 97.325946) (xy 80.670403 97.362326) (xy 80.59136 97.41514) + (xy 80.52414 97.48236) (xy 80.471326 97.561403) (xy 80.434946 97.649231) (xy 80.4164 97.742468) (xy 78.5606 97.742468) + (xy 78.5606 97.265865) (xy 78.559136 97.251) (xy 78.559208 97.240692) (xy 78.55876 97.236126) (xy 78.53189 96.980468) + (xy 88.9254 96.980468) (xy 88.9254 97.075532) (xy 88.943946 97.168769) (xy 88.980326 97.256597) (xy 89.03314 97.33564) + (xy 89.10036 97.40286) (xy 89.179403 97.455674) (xy 89.267231 97.492054) (xy 89.360468 97.5106) (xy 89.455532 97.5106) + (xy 89.548769 97.492054) (xy 89.636597 97.455674) (xy 89.71564 97.40286) (xy 89.78286 97.33564) (xy 89.835674 97.256597) + (xy 89.872054 97.168769) (xy 89.8906 97.075532) (xy 89.8906 96.980468) (xy 89.872054 96.887231) (xy 89.835674 96.799403) + (xy 89.78286 96.72036) (xy 89.71564 96.65314) (xy 89.636597 96.600326) (xy 89.548769 96.563946) (xy 89.455532 96.5454) + (xy 89.360468 96.5454) (xy 89.267231 96.563946) (xy 89.179403 96.600326) (xy 89.10036 96.65314) (xy 89.03314 96.72036) + (xy 88.980326 96.799403) (xy 88.943946 96.887231) (xy 88.9254 96.980468) (xy 78.53189 96.980468) (xy 78.525079 96.915672) + (xy 78.51908 96.886446) (xy 78.513509 96.857242) (xy 78.512185 96.852858) (xy 78.512184 96.852851) (xy 78.512181 96.852845) + (xy 78.4169 96.545042) (xy 78.405368 96.517608) (xy 78.394203 96.489973) (xy 78.392049 96.485922) (xy 78.247437 96.218468) + (xy 87.6554 96.218468) (xy 87.6554 96.313532) (xy 87.673946 96.406769) (xy 87.710326 96.494597) (xy 87.76314 96.57364) + (xy 87.83036 96.64086) (xy 87.909403 96.693674) (xy 87.997231 96.730054) (xy 88.090468 96.7486) (xy 88.185532 96.7486) + (xy 88.278769 96.730054) (xy 88.366597 96.693674) (xy 88.44564 96.64086) (xy 88.51286 96.57364) (xy 88.565674 96.494597) + (xy 88.57484 96.472468) (xy 92.2274 96.472468) (xy 92.2274 96.567532) (xy 92.245946 96.660769) (xy 92.282326 96.748597) + (xy 92.33514 96.82764) (xy 92.40236 96.89486) (xy 92.481403 96.947674) (xy 92.569231 96.984054) (xy 92.662468 97.0026) + (xy 92.757532 97.0026) (xy 92.850769 96.984054) (xy 92.938597 96.947674) (xy 93.01764 96.89486) (xy 93.08486 96.82764) + (xy 93.137674 96.748597) (xy 93.174054 96.660769) (xy 93.1926 96.567532) (xy 93.1926 96.472468) (xy 93.174054 96.379231) + (xy 93.160069 96.345468) (xy 142.6464 96.345468) (xy 142.6464 96.440532) (xy 142.664946 96.533769) (xy 142.701326 96.621597) + (xy 142.75414 96.70064) (xy 142.82136 96.76786) (xy 142.900403 96.820674) (xy 142.988231 96.857054) (xy 143.081468 96.8756) + (xy 143.176532 96.8756) (xy 143.269769 96.857054) (xy 143.357597 96.820674) (xy 143.43664 96.76786) (xy 143.50386 96.70064) + (xy 143.556674 96.621597) (xy 143.593054 96.533769) (xy 143.6116 96.440532) (xy 143.6116 96.345468) (xy 143.593054 96.252231) + (xy 143.556674 96.164403) (xy 143.50386 96.08536) (xy 143.43664 96.01814) (xy 143.357597 95.965326) (xy 143.269769 95.928946) + (xy 143.176532 95.9104) (xy 143.081468 95.9104) (xy 142.988231 95.928946) (xy 142.900403 95.965326) (xy 142.82136 96.01814) + (xy 142.75414 96.08536) (xy 142.701326 96.164403) (xy 142.664946 96.252231) (xy 142.6464 96.345468) (xy 93.160069 96.345468) + (xy 93.137674 96.291403) (xy 93.08486 96.21236) (xy 93.01764 96.14514) (xy 92.938597 96.092326) (xy 92.850769 96.055946) + (xy 92.757532 96.0374) (xy 92.662468 96.0374) (xy 92.569231 96.055946) (xy 92.481403 96.092326) (xy 92.40236 96.14514) + (xy 92.33514 96.21236) (xy 92.282326 96.291403) (xy 92.245946 96.379231) (xy 92.2274 96.472468) (xy 88.57484 96.472468) + (xy 88.602054 96.406769) (xy 88.6206 96.313532) (xy 88.6206 96.218468) (xy 88.602054 96.125231) (xy 88.565674 96.037403) + (xy 88.51286 95.95836) (xy 88.44564 95.89114) (xy 88.366597 95.838326) (xy 88.278769 95.801946) (xy 88.185532 95.7834) + (xy 88.090468 95.7834) (xy 87.997231 95.801946) (xy 87.909403 95.838326) (xy 87.83036 95.89114) (xy 87.76314 95.95836) + (xy 87.710326 96.037403) (xy 87.673946 96.125231) (xy 87.6554 96.218468) (xy 78.247437 96.218468) (xy 78.238793 96.202483) + (xy 78.222151 96.17781) (xy 78.205832 96.152871) (xy 78.202932 96.149316) (xy 77.997542 95.901042) (xy 77.97641 95.880057) + (xy 77.955571 95.858777) (xy 77.952036 95.855852) (xy 77.702334 95.6522) (xy 77.677513 95.635709) (xy 77.652954 95.618893) + (xy 77.648918 95.616711) (xy 77.364416 95.465438) (xy 77.336876 95.454086) (xy 77.309505 95.442355) (xy 77.30513 95.441001) + (xy 77.305124 95.440999) (xy 77.305118 95.440998) (xy 76.996656 95.347868) (xy 76.967429 95.342081) (xy 76.93831 95.335891) + (xy 76.933747 95.335411) (xy 76.613066 95.303968) (xy 76.613056 95.303968) (xy 76.597135 95.3024) (xy 76.5286 95.3024) + (xy 76.5286 94.694468) (xy 76.6064 94.694468) (xy 76.6064 94.789532) (xy 76.624946 94.882769) (xy 76.661326 94.970597) + (xy 76.71414 95.04964) (xy 76.78136 95.11686) (xy 76.860403 95.169674) (xy 76.948231 95.206054) (xy 77.041468 95.2246) + (xy 77.136532 95.2246) (xy 77.229769 95.206054) (xy 77.317597 95.169674) (xy 77.39664 95.11686) (xy 77.46386 95.04964) + (xy 77.516674 94.970597) (xy 77.553054 94.882769) (xy 77.5716 94.789532) (xy 77.5716 94.694468) (xy 78.5114 94.694468) + (xy 78.5114 94.789532) (xy 78.529946 94.882769) (xy 78.566326 94.970597) (xy 78.61914 95.04964) (xy 78.68636 95.11686) + (xy 78.765403 95.169674) (xy 78.853231 95.206054) (xy 78.946468 95.2246) (xy 79.041532 95.2246) (xy 79.134769 95.206054) + (xy 79.143426 95.202468) (xy 82.4484 95.202468) (xy 82.4484 95.297532) (xy 82.466946 95.390769) (xy 82.503326 95.478597) + (xy 82.55614 95.55764) (xy 82.62336 95.62486) (xy 82.702403 95.677674) (xy 82.790231 95.714054) (xy 82.883468 95.7326) + (xy 82.978532 95.7326) (xy 83.071769 95.714054) (xy 83.159597 95.677674) (xy 83.23864 95.62486) (xy 83.30586 95.55764) + (xy 83.358674 95.478597) (xy 83.395054 95.390769) (xy 83.407247 95.329468) (xy 103.7844 95.329468) (xy 103.7844 95.424532) + (xy 103.802946 95.517769) (xy 103.839326 95.605597) (xy 103.89214 95.68464) (xy 103.95936 95.75186) (xy 104.038403 95.804674) + (xy 104.126231 95.841054) (xy 104.219468 95.8596) (xy 104.314532 95.8596) (xy 104.407769 95.841054) (xy 104.495597 95.804674) + (xy 104.57464 95.75186) (xy 104.64186 95.68464) (xy 104.694674 95.605597) (xy 104.731054 95.517769) (xy 104.7496 95.424532) + (xy 104.7496 95.329468) (xy 108.3564 95.329468) (xy 108.3564 95.424532) (xy 108.374946 95.517769) (xy 108.411326 95.605597) + (xy 108.46414 95.68464) (xy 108.53136 95.75186) (xy 108.610403 95.804674) (xy 108.698231 95.841054) (xy 108.791468 95.8596) + (xy 108.886532 95.8596) (xy 108.979769 95.841054) (xy 109.067597 95.804674) (xy 109.14664 95.75186) (xy 109.21386 95.68464) + (xy 109.266674 95.605597) (xy 109.303054 95.517769) (xy 109.3216 95.424532) (xy 109.3216 95.329468) (xy 109.8804 95.329468) + (xy 109.8804 95.424532) (xy 109.898946 95.517769) (xy 109.935326 95.605597) (xy 109.98814 95.68464) (xy 110.05536 95.75186) + (xy 110.134403 95.804674) (xy 110.222231 95.841054) (xy 110.315468 95.8596) (xy 110.410532 95.8596) (xy 110.503769 95.841054) + (xy 110.591597 95.804674) (xy 110.67064 95.75186) (xy 110.73786 95.68464) (xy 110.790674 95.605597) (xy 110.827054 95.517769) + (xy 110.8456 95.424532) (xy 110.8456 95.329468) (xy 112.2934 95.329468) (xy 112.2934 95.424532) (xy 112.311946 95.517769) + (xy 112.348326 95.605597) (xy 112.40114 95.68464) (xy 112.46836 95.75186) (xy 112.547403 95.804674) (xy 112.635231 95.841054) + (xy 112.728468 95.8596) (xy 112.823532 95.8596) (xy 112.916769 95.841054) (xy 113.004597 95.804674) (xy 113.08364 95.75186) + (xy 113.125032 95.710468) (xy 114.1984 95.710468) (xy 114.1984 95.805532) (xy 114.216946 95.898769) (xy 114.253326 95.986597) + (xy 114.30614 96.06564) (xy 114.37336 96.13286) (xy 114.452403 96.185674) (xy 114.540231 96.222054) (xy 114.633468 96.2406) + (xy 114.728532 96.2406) (xy 114.821769 96.222054) (xy 114.909597 96.185674) (xy 114.98864 96.13286) (xy 115.05586 96.06564) + (xy 115.108674 95.986597) (xy 115.145054 95.898769) (xy 115.157247 95.837468) (xy 145.5674 95.837468) (xy 145.5674 95.932532) + (xy 145.585946 96.025769) (xy 145.622326 96.113597) (xy 145.67514 96.19264) (xy 145.74236 96.25986) (xy 145.821403 96.312674) + (xy 145.909231 96.349054) (xy 146.002468 96.3676) (xy 146.097532 96.3676) (xy 146.190769 96.349054) (xy 146.278597 96.312674) + (xy 146.35764 96.25986) (xy 146.42486 96.19264) (xy 146.477674 96.113597) (xy 146.514054 96.025769) (xy 146.5326 95.932532) + (xy 146.5326 95.837468) (xy 151.6634 95.837468) (xy 151.6634 95.932532) (xy 151.681946 96.025769) (xy 151.718326 96.113597) + (xy 151.77114 96.19264) (xy 151.83836 96.25986) (xy 151.917403 96.312674) (xy 152.005231 96.349054) (xy 152.098468 96.3676) + (xy 152.193532 96.3676) (xy 152.286769 96.349054) (xy 152.374597 96.312674) (xy 152.45364 96.25986) (xy 152.52086 96.19264) + (xy 152.573674 96.113597) (xy 152.58284 96.091468) (xy 158.1404 96.091468) (xy 158.1404 96.186532) (xy 158.158946 96.279769) + (xy 158.195326 96.367597) (xy 158.24814 96.44664) (xy 158.31536 96.51386) (xy 158.394403 96.566674) (xy 158.482231 96.603054) + (xy 158.575468 96.6216) (xy 158.670532 96.6216) (xy 158.763769 96.603054) (xy 158.851597 96.566674) (xy 158.93064 96.51386) + (xy 158.99786 96.44664) (xy 159.050674 96.367597) (xy 159.087054 96.279769) (xy 159.1056 96.186532) (xy 159.1056 96.091468) + (xy 163.7284 96.091468) (xy 163.7284 96.186532) (xy 163.746946 96.279769) (xy 163.783326 96.367597) (xy 163.83614 96.44664) + (xy 163.90336 96.51386) (xy 163.982403 96.566674) (xy 164.070231 96.603054) (xy 164.163468 96.6216) (xy 164.258532 96.6216) + (xy 164.351769 96.603054) (xy 164.439597 96.566674) (xy 164.51864 96.51386) (xy 164.58586 96.44664) (xy 164.638674 96.367597) + (xy 164.675054 96.279769) (xy 164.6936 96.186532) (xy 164.6936 96.091468) (xy 164.675054 95.998231) (xy 164.638674 95.910403) + (xy 164.58586 95.83136) (xy 164.51864 95.76414) (xy 164.439597 95.711326) (xy 164.351769 95.674946) (xy 164.258532 95.6564) + (xy 164.163468 95.6564) (xy 164.070231 95.674946) (xy 163.982403 95.711326) (xy 163.90336 95.76414) (xy 163.83614 95.83136) + (xy 163.783326 95.910403) (xy 163.746946 95.998231) (xy 163.7284 96.091468) (xy 159.1056 96.091468) (xy 159.087054 95.998231) + (xy 159.050674 95.910403) (xy 158.99786 95.83136) (xy 158.93064 95.76414) (xy 158.851597 95.711326) (xy 158.763769 95.674946) + (xy 158.670532 95.6564) (xy 158.575468 95.6564) (xy 158.482231 95.674946) (xy 158.394403 95.711326) (xy 158.31536 95.76414) + (xy 158.24814 95.83136) (xy 158.195326 95.910403) (xy 158.158946 95.998231) (xy 158.1404 96.091468) (xy 152.58284 96.091468) + (xy 152.610054 96.025769) (xy 152.6286 95.932532) (xy 152.6286 95.837468) (xy 152.610054 95.744231) (xy 152.573674 95.656403) + (xy 152.52086 95.57736) (xy 152.45364 95.51014) (xy 152.374597 95.457326) (xy 152.286769 95.420946) (xy 152.193532 95.4024) + (xy 152.098468 95.4024) (xy 152.005231 95.420946) (xy 151.917403 95.457326) (xy 151.83836 95.51014) (xy 151.77114 95.57736) + (xy 151.718326 95.656403) (xy 151.681946 95.744231) (xy 151.6634 95.837468) (xy 146.5326 95.837468) (xy 146.514054 95.744231) + (xy 146.477674 95.656403) (xy 146.42486 95.57736) (xy 146.35764 95.51014) (xy 146.278597 95.457326) (xy 146.190769 95.420946) + (xy 146.097532 95.4024) (xy 146.002468 95.4024) (xy 145.909231 95.420946) (xy 145.821403 95.457326) (xy 145.74236 95.51014) + (xy 145.67514 95.57736) (xy 145.622326 95.656403) (xy 145.585946 95.744231) (xy 145.5674 95.837468) (xy 115.157247 95.837468) + (xy 115.1636 95.805532) (xy 115.1636 95.710468) (xy 115.145054 95.617231) (xy 115.108674 95.529403) (xy 115.05586 95.45036) + (xy 114.98864 95.38314) (xy 114.909597 95.330326) (xy 114.821769 95.293946) (xy 114.728532 95.2754) (xy 114.633468 95.2754) + (xy 114.540231 95.293946) (xy 114.452403 95.330326) (xy 114.37336 95.38314) (xy 114.30614 95.45036) (xy 114.253326 95.529403) + (xy 114.216946 95.617231) (xy 114.1984 95.710468) (xy 113.125032 95.710468) (xy 113.15086 95.68464) (xy 113.203674 95.605597) + (xy 113.240054 95.517769) (xy 113.2586 95.424532) (xy 113.2586 95.329468) (xy 113.240054 95.236231) (xy 113.203674 95.148403) + (xy 113.15086 95.06936) (xy 113.08364 95.00214) (xy 113.004597 94.949326) (xy 112.916769 94.912946) (xy 112.823532 94.8944) + (xy 112.728468 94.8944) (xy 112.635231 94.912946) (xy 112.547403 94.949326) (xy 112.46836 95.00214) (xy 112.40114 95.06936) + (xy 112.348326 95.148403) (xy 112.311946 95.236231) (xy 112.2934 95.329468) (xy 110.8456 95.329468) (xy 110.827054 95.236231) + (xy 110.790674 95.148403) (xy 110.73786 95.06936) (xy 110.67064 95.00214) (xy 110.591597 94.949326) (xy 110.503769 94.912946) + (xy 110.410532 94.8944) (xy 110.315468 94.8944) (xy 110.222231 94.912946) (xy 110.134403 94.949326) (xy 110.05536 95.00214) + (xy 109.98814 95.06936) (xy 109.935326 95.148403) (xy 109.898946 95.236231) (xy 109.8804 95.329468) (xy 109.3216 95.329468) + (xy 109.303054 95.236231) (xy 109.266674 95.148403) (xy 109.21386 95.06936) (xy 109.14664 95.00214) (xy 109.067597 94.949326) + (xy 108.979769 94.912946) (xy 108.886532 94.8944) (xy 108.791468 94.8944) (xy 108.698231 94.912946) (xy 108.610403 94.949326) + (xy 108.53136 95.00214) (xy 108.46414 95.06936) (xy 108.411326 95.148403) (xy 108.374946 95.236231) (xy 108.3564 95.329468) + (xy 104.7496 95.329468) (xy 104.731054 95.236231) (xy 104.694674 95.148403) (xy 104.64186 95.06936) (xy 104.57464 95.00214) + (xy 104.495597 94.949326) (xy 104.407769 94.912946) (xy 104.314532 94.8944) (xy 104.219468 94.8944) (xy 104.126231 94.912946) + (xy 104.038403 94.949326) (xy 103.95936 95.00214) (xy 103.89214 95.06936) (xy 103.839326 95.148403) (xy 103.802946 95.236231) + (xy 103.7844 95.329468) (xy 83.407247 95.329468) (xy 83.4136 95.297532) (xy 83.4136 95.202468) (xy 83.395054 95.109231) + (xy 83.358674 95.021403) (xy 83.30586 94.94236) (xy 83.23864 94.87514) (xy 83.159597 94.822326) (xy 83.071769 94.785946) + (xy 82.978532 94.7674) (xy 82.883468 94.7674) (xy 82.790231 94.785946) (xy 82.702403 94.822326) (xy 82.62336 94.87514) + (xy 82.55614 94.94236) (xy 82.503326 95.021403) (xy 82.466946 95.109231) (xy 82.4484 95.202468) (xy 79.143426 95.202468) + (xy 79.222597 95.169674) (xy 79.30164 95.11686) (xy 79.36886 95.04964) (xy 79.421674 94.970597) (xy 79.458054 94.882769) + (xy 79.4766 94.789532) (xy 79.4766 94.694468) (xy 79.458054 94.601231) (xy 79.421674 94.513403) (xy 79.36886 94.43436) + (xy 79.30164 94.36714) (xy 79.222597 94.314326) (xy 79.134769 94.277946) (xy 79.041532 94.2594) (xy 78.946468 94.2594) + (xy 78.853231 94.277946) (xy 78.765403 94.314326) (xy 78.68636 94.36714) (xy 78.61914 94.43436) (xy 78.566326 94.513403) + (xy 78.529946 94.601231) (xy 78.5114 94.694468) (xy 77.5716 94.694468) (xy 77.553054 94.601231) (xy 77.516674 94.513403) + (xy 77.46386 94.43436) (xy 77.39664 94.36714) (xy 77.317597 94.314326) (xy 77.229769 94.277946) (xy 77.136532 94.2594) + (xy 77.041468 94.2594) (xy 76.948231 94.277946) (xy 76.860403 94.314326) (xy 76.78136 94.36714) (xy 76.71414 94.43436) + (xy 76.661326 94.513403) (xy 76.624946 94.601231) (xy 76.6064 94.694468) (xy 76.5286 94.694468) (xy 76.5286 94.186468) + (xy 111.0869 94.186468) (xy 111.0869 94.281532) (xy 111.105446 94.374769) (xy 111.141826 94.462597) (xy 111.19464 94.54164) + (xy 111.26186 94.60886) (xy 111.340903 94.661674) (xy 111.428731 94.698054) (xy 111.521968 94.7166) (xy 111.617032 94.7166) + (xy 111.710269 94.698054) (xy 111.798097 94.661674) (xy 111.87714 94.60886) (xy 111.94436 94.54164) (xy 111.997174 94.462597) + (xy 112.033554 94.374769) (xy 112.0521 94.281532) (xy 112.0521 94.186468) (xy 112.033554 94.093231) (xy 111.997174 94.005403) + (xy 111.94436 93.92636) (xy 111.87714 93.85914) (xy 111.798097 93.806326) (xy 111.710269 93.769946) (xy 111.617032 93.7514) + (xy 111.521968 93.7514) (xy 111.428731 93.769946) (xy 111.340903 93.806326) (xy 111.26186 93.85914) (xy 111.19464 93.92636) + (xy 111.141826 94.005403) (xy 111.105446 94.093231) (xy 111.0869 94.186468) (xy 76.5286 94.186468) (xy 76.5286 91.392468) + (xy 76.6064 91.392468) (xy 76.6064 91.487532) (xy 76.624946 91.580769) (xy 76.661326 91.668597) (xy 76.71414 91.74764) + (xy 76.78136 91.81486) (xy 76.860403 91.867674) (xy 76.948231 91.904054) (xy 77.041468 91.9226) (xy 77.136532 91.9226) + (xy 77.229769 91.904054) (xy 77.317597 91.867674) (xy 77.39664 91.81486) (xy 77.46386 91.74764) (xy 77.516674 91.668597) + (xy 77.553054 91.580769) (xy 77.5716 91.487532) (xy 77.5716 91.466683) (xy 77.654586 91.466683) (xy 77.696162 91.83734) + (xy 77.80894 92.192862) (xy 77.988626 92.519708) (xy 78.228373 92.805429) (xy 78.519052 93.03914) (xy 78.849589 93.211941) + (xy 79.207396 93.317249) (xy 79.578842 93.351053) (xy 79.94978 93.312066) (xy 79.996938 93.297468) (xy 110.1344 93.297468) + (xy 110.1344 93.392532) (xy 110.152946 93.485769) (xy 110.189326 93.573597) (xy 110.24214 93.65264) (xy 110.30936 93.71986) + (xy 110.388403 93.772674) (xy 110.476231 93.809054) (xy 110.569468 93.8276) (xy 110.664532 93.8276) (xy 110.757769 93.809054) + (xy 110.845597 93.772674) (xy 110.92464 93.71986) (xy 110.99186 93.65264) (xy 111.044674 93.573597) (xy 111.081054 93.485769) + (xy 111.093247 93.424468) (xy 111.9124 93.424468) (xy 111.9124 93.519532) (xy 111.930946 93.612769) (xy 111.967326 93.700597) + (xy 112.02014 93.77964) (xy 112.08736 93.84686) (xy 112.166403 93.899674) (xy 112.254231 93.936054) (xy 112.347468 93.9546) + (xy 112.442532 93.9546) (xy 112.535769 93.936054) (xy 112.623597 93.899674) (xy 112.70264 93.84686) (xy 112.744032 93.805468) + (xy 142.5194 93.805468) (xy 142.5194 93.900532) (xy 142.537946 93.993769) (xy 142.574326 94.081597) (xy 142.62714 94.16064) + (xy 142.69436 94.22786) (xy 142.773403 94.280674) (xy 142.861231 94.317054) (xy 142.954468 94.3356) (xy 143.049532 94.3356) + (xy 143.142769 94.317054) (xy 143.230597 94.280674) (xy 143.30964 94.22786) (xy 143.37686 94.16064) (xy 143.429674 94.081597) + (xy 143.466054 93.993769) (xy 143.4846 93.900532) (xy 143.4846 93.805468) (xy 148.6154 93.805468) (xy 148.6154 93.900532) + (xy 148.633946 93.993769) (xy 148.670326 94.081597) (xy 148.72314 94.16064) (xy 148.79036 94.22786) (xy 148.869403 94.280674) + (xy 148.957231 94.317054) (xy 149.050468 94.3356) (xy 149.145532 94.3356) (xy 149.238769 94.317054) (xy 149.326597 94.280674) + (xy 149.40564 94.22786) (xy 149.47286 94.16064) (xy 149.525674 94.081597) (xy 149.562054 93.993769) (xy 149.5806 93.900532) + (xy 149.5806 93.805468) (xy 160.8074 93.805468) (xy 160.8074 93.900532) (xy 160.825946 93.993769) (xy 160.862326 94.081597) + (xy 160.91514 94.16064) (xy 160.98236 94.22786) (xy 161.061403 94.280674) (xy 161.149231 94.317054) (xy 161.242468 94.3356) + (xy 161.337532 94.3356) (xy 161.430769 94.317054) (xy 161.518597 94.280674) (xy 161.59764 94.22786) (xy 161.66486 94.16064) + (xy 161.717674 94.081597) (xy 161.754054 93.993769) (xy 161.7726 93.900532) (xy 161.7726 93.805468) (xy 161.754054 93.712231) + (xy 161.717674 93.624403) (xy 161.66486 93.54536) (xy 161.59764 93.47814) (xy 161.518597 93.425326) (xy 161.430769 93.388946) + (xy 161.337532 93.3704) (xy 161.242468 93.3704) (xy 161.149231 93.388946) (xy 161.061403 93.425326) (xy 160.98236 93.47814) + (xy 160.91514 93.54536) (xy 160.862326 93.624403) (xy 160.825946 93.712231) (xy 160.8074 93.805468) (xy 149.5806 93.805468) + (xy 149.562054 93.712231) (xy 149.525674 93.624403) (xy 149.47286 93.54536) (xy 149.40564 93.47814) (xy 149.326597 93.425326) + (xy 149.238769 93.388946) (xy 149.145532 93.3704) (xy 149.050468 93.3704) (xy 148.957231 93.388946) (xy 148.869403 93.425326) + (xy 148.79036 93.47814) (xy 148.72314 93.54536) (xy 148.670326 93.624403) (xy 148.633946 93.712231) (xy 148.6154 93.805468) + (xy 143.4846 93.805468) (xy 143.466054 93.712231) (xy 143.429674 93.624403) (xy 143.37686 93.54536) (xy 143.30964 93.47814) + (xy 143.230597 93.425326) (xy 143.142769 93.388946) (xy 143.049532 93.3704) (xy 142.954468 93.3704) (xy 142.861231 93.388946) + (xy 142.773403 93.425326) (xy 142.69436 93.47814) (xy 142.62714 93.54536) (xy 142.574326 93.624403) (xy 142.537946 93.712231) + (xy 142.5194 93.805468) (xy 112.744032 93.805468) (xy 112.76986 93.77964) (xy 112.822674 93.700597) (xy 112.859054 93.612769) + (xy 112.8776 93.519532) (xy 112.8776 93.424468) (xy 112.859054 93.331231) (xy 112.822674 93.243403) (xy 112.76986 93.16436) + (xy 112.70264 93.09714) (xy 112.623597 93.044326) (xy 112.535769 93.007946) (xy 112.442532 92.9894) (xy 112.347468 92.9894) + (xy 112.254231 93.007946) (xy 112.166403 93.044326) (xy 112.08736 93.09714) (xy 112.02014 93.16436) (xy 111.967326 93.243403) + (xy 111.930946 93.331231) (xy 111.9124 93.424468) (xy 111.093247 93.424468) (xy 111.0996 93.392532) (xy 111.0996 93.297468) + (xy 111.081054 93.204231) (xy 111.044674 93.116403) (xy 110.99186 93.03736) (xy 110.92464 92.97014) (xy 110.845597 92.917326) + (xy 110.757769 92.880946) (xy 110.664532 92.8624) (xy 110.569468 92.8624) (xy 110.476231 92.880946) (xy 110.388403 92.917326) + (xy 110.30936 92.97014) (xy 110.24214 93.03736) (xy 110.189326 93.116403) (xy 110.152946 93.204231) (xy 110.1344 93.297468) + (xy 79.996938 93.297468) (xy 80.306081 93.201773) (xy 80.634174 93.024374) (xy 80.921561 92.786626) (xy 81.157296 92.497587) + (xy 81.212327 92.394088) (xy 83.1914 92.394088) (xy 83.1914 92.517912) (xy 83.215556 92.639356) (xy 83.262941 92.753754) + (xy 83.331734 92.856709) (xy 83.419291 92.944266) (xy 83.522246 93.013059) (xy 83.636644 93.060444) (xy 83.758088 93.0846) + (xy 83.881912 93.0846) (xy 84.003356 93.060444) (xy 84.117754 93.013059) (xy 84.220709 92.944266) (xy 84.308266 92.856709) + (xy 84.377059 92.753754) (xy 84.424444 92.639356) (xy 84.4486 92.517912) (xy 84.4486 92.394088) (xy 84.424444 92.272644) + (xy 84.377059 92.158246) (xy 84.308266 92.055291) (xy 84.266063 92.013088) (xy 106.1784 92.013088) (xy 106.1784 92.136912) + (xy 106.202556 92.258356) (xy 106.249941 92.372754) (xy 106.318734 92.475709) (xy 106.406291 92.563266) (xy 106.509246 92.632059) + (xy 106.623644 92.679444) (xy 106.745088 92.7036) (xy 106.868912 92.7036) (xy 106.990356 92.679444) (xy 107.104754 92.632059) + (xy 107.207709 92.563266) (xy 107.235507 92.535468) (xy 111.0234 92.535468) (xy 111.0234 92.630532) (xy 111.041946 92.723769) + (xy 111.078326 92.811597) (xy 111.13114 92.89064) (xy 111.19836 92.95786) (xy 111.277403 93.010674) (xy 111.365231 93.047054) + (xy 111.458468 93.0656) (xy 111.553532 93.0656) (xy 111.646769 93.047054) (xy 111.734597 93.010674) (xy 111.81364 92.95786) + (xy 111.88086 92.89064) (xy 111.933674 92.811597) (xy 111.970054 92.723769) (xy 111.9886 92.630532) (xy 111.9886 92.535468) + (xy 111.970054 92.442231) (xy 111.956069 92.408468) (xy 112.0394 92.408468) (xy 112.0394 92.503532) (xy 112.057946 92.596769) + (xy 112.094326 92.684597) (xy 112.14714 92.76364) (xy 112.21436 92.83086) (xy 112.293403 92.883674) (xy 112.381231 92.920054) + (xy 112.474468 92.9386) (xy 112.569532 92.9386) (xy 112.662769 92.920054) (xy 112.671426 92.916468) (xy 113.6904 92.916468) + (xy 113.6904 93.011532) (xy 113.708946 93.104769) (xy 113.745326 93.192597) (xy 113.79814 93.27164) (xy 113.86536 93.33886) + (xy 113.944403 93.391674) (xy 114.032231 93.428054) (xy 114.125468 93.4466) (xy 114.220532 93.4466) (xy 114.313769 93.428054) + (xy 114.401597 93.391674) (xy 114.48064 93.33886) (xy 114.54786 93.27164) (xy 114.573031 93.233968) (xy 114.7064 93.233968) + (xy 114.7064 93.329032) (xy 114.724946 93.422269) (xy 114.761326 93.510097) (xy 114.81414 93.58914) (xy 114.88136 93.65636) + (xy 114.960403 93.709174) (xy 115.048231 93.745554) (xy 115.141468 93.7641) (xy 115.236532 93.7641) (xy 115.329769 93.745554) + (xy 115.417597 93.709174) (xy 115.49664 93.65636) (xy 115.56386 93.58914) (xy 115.616674 93.510097) (xy 115.653054 93.422269) + (xy 115.6716 93.329032) (xy 115.6716 93.233968) (xy 115.653054 93.140731) (xy 115.616674 93.052903) (xy 115.56386 92.97386) + (xy 115.506468 92.916468) (xy 115.7224 92.916468) (xy 115.7224 93.011532) (xy 115.740946 93.104769) (xy 115.777326 93.192597) + (xy 115.83014 93.27164) (xy 115.89736 93.33886) (xy 115.976403 93.391674) (xy 116.064231 93.428054) (xy 116.157468 93.4466) + (xy 116.252532 93.4466) (xy 116.345769 93.428054) (xy 116.433597 93.391674) (xy 116.51264 93.33886) (xy 116.57986 93.27164) + (xy 116.632674 93.192597) (xy 116.669054 93.104769) (xy 116.6876 93.011532) (xy 116.6876 92.916468) (xy 116.669054 92.823231) + (xy 116.632674 92.735403) (xy 116.57986 92.65636) (xy 116.51264 92.58914) (xy 116.433597 92.536326) (xy 116.345769 92.499946) + (xy 116.252532 92.4814) (xy 116.157468 92.4814) (xy 116.064231 92.499946) (xy 115.976403 92.536326) (xy 115.89736 92.58914) + (xy 115.83014 92.65636) (xy 115.777326 92.735403) (xy 115.740946 92.823231) (xy 115.7224 92.916468) (xy 115.506468 92.916468) + (xy 115.49664 92.90664) (xy 115.417597 92.853826) (xy 115.329769 92.817446) (xy 115.236532 92.7989) (xy 115.141468 92.7989) + (xy 115.048231 92.817446) (xy 114.960403 92.853826) (xy 114.88136 92.90664) (xy 114.81414 92.97386) (xy 114.761326 93.052903) + (xy 114.724946 93.140731) (xy 114.7064 93.233968) (xy 114.573031 93.233968) (xy 114.600674 93.192597) (xy 114.637054 93.104769) + (xy 114.6556 93.011532) (xy 114.6556 92.916468) (xy 114.637054 92.823231) (xy 114.600674 92.735403) (xy 114.54786 92.65636) + (xy 114.48064 92.58914) (xy 114.401597 92.536326) (xy 114.313769 92.499946) (xy 114.220532 92.4814) (xy 114.125468 92.4814) + (xy 114.032231 92.499946) (xy 113.944403 92.536326) (xy 113.86536 92.58914) (xy 113.79814 92.65636) (xy 113.745326 92.735403) + (xy 113.708946 92.823231) (xy 113.6904 92.916468) (xy 112.671426 92.916468) (xy 112.750597 92.883674) (xy 112.82964 92.83086) + (xy 112.89686 92.76364) (xy 112.949674 92.684597) (xy 112.986054 92.596769) (xy 113.0046 92.503532) (xy 113.0046 92.408468) + (xy 112.986054 92.315231) (xy 112.949674 92.227403) (xy 112.89686 92.14836) (xy 112.82964 92.08114) (xy 112.750597 92.028326) + (xy 112.662769 91.991946) (xy 112.569532 91.9734) (xy 112.474468 91.9734) (xy 112.381231 91.991946) (xy 112.293403 92.028326) + (xy 112.21436 92.08114) (xy 112.14714 92.14836) (xy 112.094326 92.227403) (xy 112.057946 92.315231) (xy 112.0394 92.408468) + (xy 111.956069 92.408468) (xy 111.933674 92.354403) (xy 111.88086 92.27536) (xy 111.81364 92.20814) (xy 111.734597 92.155326) + (xy 111.646769 92.118946) (xy 111.553532 92.1004) (xy 111.458468 92.1004) (xy 111.365231 92.118946) (xy 111.277403 92.155326) + (xy 111.19836 92.20814) (xy 111.13114 92.27536) (xy 111.078326 92.354403) (xy 111.041946 92.442231) (xy 111.0234 92.535468) + (xy 107.235507 92.535468) (xy 107.295266 92.475709) (xy 107.364059 92.372754) (xy 107.411444 92.258356) (xy 107.4356 92.136912) + (xy 107.4356 92.013088) (xy 107.4132 91.900468) (xy 112.9284 91.900468) (xy 112.9284 91.995532) (xy 112.946946 92.088769) + (xy 112.983326 92.176597) (xy 113.03614 92.25564) (xy 113.10336 92.32286) (xy 113.182403 92.375674) (xy 113.270231 92.412054) + (xy 113.363468 92.4306) (xy 113.458532 92.4306) (xy 113.551769 92.412054) (xy 113.639597 92.375674) (xy 113.71864 92.32286) + (xy 113.78586 92.25564) (xy 113.838674 92.176597) (xy 113.875054 92.088769) (xy 113.8936 91.995532) (xy 113.8936 91.900468) + (xy 114.4524 91.900468) (xy 114.4524 91.995532) (xy 114.470946 92.088769) (xy 114.507326 92.176597) (xy 114.56014 92.25564) + (xy 114.62736 92.32286) (xy 114.706403 92.375674) (xy 114.794231 92.412054) (xy 114.887468 92.4306) (xy 114.982532 92.4306) + (xy 115.075769 92.412054) (xy 115.163597 92.375674) (xy 115.24264 92.32286) (xy 115.30986 92.25564) (xy 115.362674 92.176597) + (xy 115.399054 92.088769) (xy 115.4176 91.995532) (xy 115.4176 91.900468) (xy 115.399054 91.807231) (xy 115.379113 91.759088) + (xy 117.1004 91.759088) (xy 117.1004 91.882912) (xy 117.124556 92.004356) (xy 117.171941 92.118754) (xy 117.240734 92.221709) + (xy 117.328291 92.309266) (xy 117.431246 92.378059) (xy 117.545644 92.425444) (xy 117.667088 92.4496) (xy 117.790912 92.4496) + (xy 117.912356 92.425444) (xy 117.988056 92.394088) (xy 140.3414 92.394088) (xy 140.3414 92.517912) (xy 140.365556 92.639356) + (xy 140.412941 92.753754) (xy 140.481734 92.856709) (xy 140.569291 92.944266) (xy 140.672246 93.013059) (xy 140.786644 93.060444) + (xy 140.908088 93.0846) (xy 141.031912 93.0846) (xy 141.153356 93.060444) (xy 141.267754 93.013059) (xy 141.370709 92.944266) + (xy 141.458266 92.856709) (xy 141.527059 92.753754) (xy 141.574444 92.639356) (xy 141.5986 92.517912) (xy 141.5986 92.394088) + (xy 155.5814 92.394088) (xy 155.5814 92.517912) (xy 155.605556 92.639356) (xy 155.652941 92.753754) (xy 155.721734 92.856709) + (xy 155.809291 92.944266) (xy 155.912246 93.013059) (xy 156.026644 93.060444) (xy 156.148088 93.0846) (xy 156.271912 93.0846) + (xy 156.393356 93.060444) (xy 156.507754 93.013059) (xy 156.610709 92.944266) (xy 156.698266 92.856709) (xy 156.767059 92.753754) + (xy 156.814444 92.639356) (xy 156.8386 92.517912) (xy 156.8386 92.394088) (xy 158.1214 92.394088) (xy 158.1214 92.517912) + (xy 158.145556 92.639356) (xy 158.192941 92.753754) (xy 158.261734 92.856709) (xy 158.349291 92.944266) (xy 158.452246 93.013059) + (xy 158.566644 93.060444) (xy 158.688088 93.0846) (xy 158.811912 93.0846) (xy 158.933356 93.060444) (xy 159.047754 93.013059) + (xy 159.150709 92.944266) (xy 159.238266 92.856709) (xy 159.307059 92.753754) (xy 159.354444 92.639356) (xy 159.3786 92.517912) + (xy 159.3786 92.394088) (xy 159.354444 92.272644) (xy 159.307059 92.158246) (xy 159.238266 92.055291) (xy 159.150709 91.967734) + (xy 159.047754 91.898941) (xy 158.933356 91.851556) (xy 158.811912 91.8274) (xy 158.688088 91.8274) (xy 158.566644 91.851556) + (xy 158.452246 91.898941) (xy 158.349291 91.967734) (xy 158.261734 92.055291) (xy 158.192941 92.158246) (xy 158.145556 92.272644) + (xy 158.1214 92.394088) (xy 156.8386 92.394088) (xy 156.814444 92.272644) (xy 156.767059 92.158246) (xy 156.698266 92.055291) + (xy 156.610709 91.967734) (xy 156.507754 91.898941) (xy 156.393356 91.851556) (xy 156.271912 91.8274) (xy 156.148088 91.8274) + (xy 156.026644 91.851556) (xy 155.912246 91.898941) (xy 155.809291 91.967734) (xy 155.721734 92.055291) (xy 155.652941 92.158246) + (xy 155.605556 92.272644) (xy 155.5814 92.394088) (xy 141.5986 92.394088) (xy 141.574444 92.272644) (xy 141.527059 92.158246) + (xy 141.458266 92.055291) (xy 141.370709 91.967734) (xy 141.267754 91.898941) (xy 141.153356 91.851556) (xy 141.031912 91.8274) + (xy 140.908088 91.8274) (xy 140.786644 91.851556) (xy 140.672246 91.898941) (xy 140.569291 91.967734) (xy 140.481734 92.055291) + (xy 140.412941 92.158246) (xy 140.365556 92.272644) (xy 140.3414 92.394088) (xy 117.988056 92.394088) (xy 118.026754 92.378059) + (xy 118.129709 92.309266) (xy 118.217266 92.221709) (xy 118.286059 92.118754) (xy 118.333444 92.004356) (xy 118.3576 91.882912) + (xy 118.3576 91.759088) (xy 118.333444 91.637644) (xy 118.286059 91.523246) (xy 118.217266 91.420291) (xy 118.129709 91.332734) + (xy 118.026754 91.263941) (xy 117.995724 91.251088) (xy 118.7514 91.251088) (xy 118.7514 91.374912) (xy 118.775556 91.496356) + (xy 118.822941 91.610754) (xy 118.891734 91.713709) (xy 118.979291 91.801266) (xy 119.082246 91.870059) (xy 119.196644 91.917444) + (xy 119.318088 91.9416) (xy 119.441912 91.9416) (xy 119.563356 91.917444) (xy 119.677754 91.870059) (xy 119.780709 91.801266) + (xy 119.868266 91.713709) (xy 119.937059 91.610754) (xy 119.984444 91.496356) (xy 120.0086 91.374912) (xy 120.0086 91.251088) + (xy 119.984444 91.129644) (xy 119.937059 91.015246) (xy 119.868266 90.912291) (xy 119.840443 90.884468) (xy 125.2474 90.884468) + (xy 125.2474 90.979532) (xy 125.265946 91.072769) (xy 125.302326 91.160597) (xy 125.35514 91.23964) (xy 125.42236 91.30686) + (xy 125.501403 91.359674) (xy 125.589231 91.396054) (xy 125.682468 91.4146) (xy 125.777532 91.4146) (xy 125.870769 91.396054) + (xy 125.958597 91.359674) (xy 126.03764 91.30686) (xy 126.10486 91.23964) (xy 126.157674 91.160597) (xy 126.194054 91.072769) + (xy 126.2126 90.979532) (xy 126.2126 90.884468) (xy 126.5174 90.884468) (xy 126.5174 90.979532) (xy 126.535946 91.072769) + (xy 126.572326 91.160597) (xy 126.62514 91.23964) (xy 126.69236 91.30686) (xy 126.771403 91.359674) (xy 126.859231 91.396054) + (xy 126.952468 91.4146) (xy 127.047532 91.4146) (xy 127.140769 91.396054) (xy 127.228597 91.359674) (xy 127.30764 91.30686) + (xy 127.37486 91.23964) (xy 127.427674 91.160597) (xy 127.464054 91.072769) (xy 127.4826 90.979532) (xy 127.4826 90.884468) + (xy 130.3274 90.884468) (xy 130.3274 90.979532) (xy 130.345946 91.072769) (xy 130.382326 91.160597) (xy 130.43514 91.23964) + (xy 130.50236 91.30686) (xy 130.581403 91.359674) (xy 130.669231 91.396054) (xy 130.762468 91.4146) (xy 130.857532 91.4146) + (xy 130.950769 91.396054) (xy 131.038597 91.359674) (xy 131.11764 91.30686) (xy 131.18486 91.23964) (xy 131.237674 91.160597) + (xy 131.274054 91.072769) (xy 131.2926 90.979532) (xy 131.2926 90.884468) (xy 131.5974 90.884468) (xy 131.5974 90.979532) + (xy 131.615946 91.072769) (xy 131.652326 91.160597) (xy 131.70514 91.23964) (xy 131.77236 91.30686) (xy 131.851403 91.359674) + (xy 131.939231 91.396054) (xy 132.032468 91.4146) (xy 132.127532 91.4146) (xy 132.220769 91.396054) (xy 132.308597 91.359674) + (xy 132.38764 91.30686) (xy 132.45486 91.23964) (xy 132.507674 91.160597) (xy 132.544054 91.072769) (xy 132.5626 90.979532) + (xy 132.5626 90.884468) (xy 132.8674 90.884468) (xy 132.8674 90.979532) (xy 132.885946 91.072769) (xy 132.922326 91.160597) + (xy 132.97514 91.23964) (xy 133.04236 91.30686) (xy 133.121403 91.359674) (xy 133.209231 91.396054) (xy 133.302468 91.4146) + (xy 133.397532 91.4146) (xy 133.490769 91.396054) (xy 133.578597 91.359674) (xy 133.65764 91.30686) (xy 133.72486 91.23964) + (xy 133.777674 91.160597) (xy 133.814054 91.072769) (xy 133.8326 90.979532) (xy 133.8326 90.884468) (xy 134.1374 90.884468) + (xy 134.1374 90.979532) (xy 134.155946 91.072769) (xy 134.192326 91.160597) (xy 134.24514 91.23964) (xy 134.31236 91.30686) + (xy 134.391403 91.359674) (xy 134.479231 91.396054) (xy 134.572468 91.4146) (xy 134.667532 91.4146) (xy 134.760769 91.396054) + (xy 134.848597 91.359674) (xy 134.92764 91.30686) (xy 134.99486 91.23964) (xy 135.047674 91.160597) (xy 135.084054 91.072769) + (xy 135.1026 90.979532) (xy 135.1026 90.884468) (xy 135.4074 90.884468) (xy 135.4074 90.979532) (xy 135.425946 91.072769) + (xy 135.462326 91.160597) (xy 135.51514 91.23964) (xy 135.58236 91.30686) (xy 135.661403 91.359674) (xy 135.749231 91.396054) + (xy 135.842468 91.4146) (xy 135.937532 91.4146) (xy 136.030769 91.396054) (xy 136.118597 91.359674) (xy 136.19764 91.30686) + (xy 136.26486 91.23964) (xy 136.317674 91.160597) (xy 136.332796 91.124088) (xy 139.0714 91.124088) (xy 139.0714 91.247912) + (xy 139.095556 91.369356) (xy 139.142941 91.483754) (xy 139.211734 91.586709) (xy 139.299291 91.674266) (xy 139.402246 91.743059) + (xy 139.516644 91.790444) (xy 139.638088 91.8146) (xy 139.761912 91.8146) (xy 139.883356 91.790444) (xy 139.997754 91.743059) + (xy 140.100709 91.674266) (xy 140.188266 91.586709) (xy 140.257059 91.483754) (xy 140.29487 91.392468) (xy 145.5674 91.392468) + (xy 145.5674 91.487532) (xy 145.585946 91.580769) (xy 145.622326 91.668597) (xy 145.67514 91.74764) (xy 145.74236 91.81486) + (xy 145.821403 91.867674) (xy 145.909231 91.904054) (xy 146.002468 91.9226) (xy 146.097532 91.9226) (xy 146.190769 91.904054) + (xy 146.278597 91.867674) (xy 146.35764 91.81486) (xy 146.42486 91.74764) (xy 146.477674 91.668597) (xy 146.514054 91.580769) + (xy 146.5326 91.487532) (xy 146.5326 91.392468) (xy 151.6634 91.392468) (xy 151.6634 91.487532) (xy 151.681946 91.580769) + (xy 151.718326 91.668597) (xy 151.77114 91.74764) (xy 151.83836 91.81486) (xy 151.917403 91.867674) (xy 152.005231 91.904054) + (xy 152.098468 91.9226) (xy 152.193532 91.9226) (xy 152.286769 91.904054) (xy 152.374597 91.867674) (xy 152.45364 91.81486) + (xy 152.52086 91.74764) (xy 152.573674 91.668597) (xy 152.610054 91.580769) (xy 152.6286 91.487532) (xy 152.6286 91.392468) + (xy 152.610054 91.299231) (xy 152.590113 91.251088) (xy 156.8514 91.251088) (xy 156.8514 91.374912) (xy 156.875556 91.496356) + (xy 156.922941 91.610754) (xy 156.991734 91.713709) (xy 157.079291 91.801266) (xy 157.182246 91.870059) (xy 157.296644 91.917444) + (xy 157.418088 91.9416) (xy 157.541912 91.9416) (xy 157.663356 91.917444) (xy 157.777754 91.870059) (xy 157.880709 91.801266) + (xy 157.968266 91.713709) (xy 158.037059 91.610754) (xy 158.084444 91.496356) (xy 158.090346 91.466683) (xy 159.823586 91.466683) + (xy 159.865162 91.83734) (xy 159.97794 92.192862) (xy 160.157626 92.519708) (xy 160.397373 92.805429) (xy 160.688052 93.03914) + (xy 161.018589 93.211941) (xy 161.376396 93.317249) (xy 161.747842 93.351053) (xy 162.11878 93.312066) (xy 162.475081 93.201773) + (xy 162.803174 93.024374) (xy 163.090561 92.786626) (xy 163.326296 92.497587) (xy 163.5014 92.168264) (xy 163.609203 91.811201) + (xy 163.6456 91.44) (xy 163.644937 91.392468) (xy 163.7284 91.392468) (xy 163.7284 91.487532) (xy 163.746946 91.580769) + (xy 163.783326 91.668597) (xy 163.83614 91.74764) (xy 163.90336 91.81486) (xy 163.982403 91.867674) (xy 164.070231 91.904054) + (xy 164.163468 91.9226) (xy 164.258532 91.9226) (xy 164.351769 91.904054) (xy 164.439597 91.867674) (xy 164.51864 91.81486) + (xy 164.58586 91.74764) (xy 164.638674 91.668597) (xy 164.675054 91.580769) (xy 164.6936 91.487532) (xy 164.6936 91.392468) + (xy 164.675054 91.299231) (xy 164.638674 91.211403) (xy 164.58586 91.13236) (xy 164.51864 91.06514) (xy 164.439597 91.012326) + (xy 164.351769 90.975946) (xy 164.258532 90.9574) (xy 164.163468 90.9574) (xy 164.070231 90.975946) (xy 163.982403 91.012326) + (xy 163.90336 91.06514) (xy 163.83614 91.13236) (xy 163.783326 91.211403) (xy 163.746946 91.299231) (xy 163.7284 91.392468) + (xy 163.644937 91.392468) (xy 163.644855 91.386639) (xy 163.598108 91.016599) (xy 163.480377 90.662686) (xy 163.296146 90.33838) + (xy 163.052432 90.056035) (xy 162.758519 89.826405) (xy 162.425601 89.658236) (xy 162.066359 89.557934) (xy 161.756966 89.534127) + (xy 161.7726 89.455532) (xy 161.7726 89.360468) (xy 161.754054 89.267231) (xy 161.717674 89.179403) (xy 161.66486 89.10036) + (xy 161.59764 89.03314) (xy 161.518597 88.980326) (xy 161.430769 88.943946) (xy 161.337532 88.9254) (xy 161.242468 88.9254) + (xy 161.149231 88.943946) (xy 161.061403 88.980326) (xy 160.98236 89.03314) (xy 160.91514 89.10036) (xy 160.862326 89.179403) + (xy 160.825946 89.267231) (xy 160.8074 89.360468) (xy 160.8074 89.455532) (xy 160.825946 89.548769) (xy 160.862326 89.636597) + (xy 160.91514 89.71564) (xy 160.917334 89.717834) (xy 160.643809 89.870702) (xy 160.35977 90.112438) (xy 160.128093 90.404741) + (xy 159.957604 90.736477) (xy 159.854797 91.09501) (xy 159.823586 91.466683) (xy 158.090346 91.466683) (xy 158.1086 91.374912) + (xy 158.1086 91.251088) (xy 158.084444 91.129644) (xy 158.037059 91.015246) (xy 157.968266 90.912291) (xy 157.880709 90.824734) + (xy 157.777754 90.755941) (xy 157.663356 90.708556) (xy 157.541912 90.6844) (xy 157.418088 90.6844) (xy 157.296644 90.708556) + (xy 157.182246 90.755941) (xy 157.079291 90.824734) (xy 156.991734 90.912291) (xy 156.922941 91.015246) (xy 156.875556 91.129644) + (xy 156.8514 91.251088) (xy 152.590113 91.251088) (xy 152.573674 91.211403) (xy 152.52086 91.13236) (xy 152.45364 91.06514) + (xy 152.374597 91.012326) (xy 152.286769 90.975946) (xy 152.193532 90.9574) (xy 152.098468 90.9574) (xy 152.005231 90.975946) + (xy 151.917403 91.012326) (xy 151.83836 91.06514) (xy 151.77114 91.13236) (xy 151.718326 91.211403) (xy 151.681946 91.299231) + (xy 151.6634 91.392468) (xy 146.5326 91.392468) (xy 146.514054 91.299231) (xy 146.477674 91.211403) (xy 146.42486 91.13236) + (xy 146.35764 91.06514) (xy 146.278597 91.012326) (xy 146.190769 90.975946) (xy 146.097532 90.9574) (xy 146.002468 90.9574) + (xy 145.909231 90.975946) (xy 145.821403 91.012326) (xy 145.74236 91.06514) (xy 145.67514 91.13236) (xy 145.622326 91.211403) + (xy 145.585946 91.299231) (xy 145.5674 91.392468) (xy 140.29487 91.392468) (xy 140.304444 91.369356) (xy 140.3286 91.247912) + (xy 140.3286 91.124088) (xy 140.304444 91.002644) (xy 140.257059 90.888246) (xy 140.188266 90.785291) (xy 140.100709 90.697734) + (xy 139.997754 90.628941) (xy 139.883356 90.581556) (xy 139.761912 90.5574) (xy 139.638088 90.5574) (xy 139.516644 90.581556) + (xy 139.402246 90.628941) (xy 139.299291 90.697734) (xy 139.211734 90.785291) (xy 139.142941 90.888246) (xy 139.095556 91.002644) + (xy 139.0714 91.124088) (xy 136.332796 91.124088) (xy 136.354054 91.072769) (xy 136.3726 90.979532) (xy 136.3726 90.884468) + (xy 136.354054 90.791231) (xy 136.317674 90.703403) (xy 136.26486 90.62436) (xy 136.19764 90.55714) (xy 136.118597 90.504326) + (xy 136.030769 90.467946) (xy 135.937532 90.4494) (xy 135.842468 90.4494) (xy 135.749231 90.467946) (xy 135.661403 90.504326) + (xy 135.58236 90.55714) (xy 135.51514 90.62436) (xy 135.462326 90.703403) (xy 135.425946 90.791231) (xy 135.4074 90.884468) + (xy 135.1026 90.884468) (xy 135.084054 90.791231) (xy 135.047674 90.703403) (xy 134.99486 90.62436) (xy 134.92764 90.55714) + (xy 134.848597 90.504326) (xy 134.760769 90.467946) (xy 134.667532 90.4494) (xy 134.572468 90.4494) (xy 134.479231 90.467946) + (xy 134.391403 90.504326) (xy 134.31236 90.55714) (xy 134.24514 90.62436) (xy 134.192326 90.703403) (xy 134.155946 90.791231) + (xy 134.1374 90.884468) (xy 133.8326 90.884468) (xy 133.814054 90.791231) (xy 133.777674 90.703403) (xy 133.72486 90.62436) + (xy 133.65764 90.55714) (xy 133.578597 90.504326) (xy 133.490769 90.467946) (xy 133.397532 90.4494) (xy 133.302468 90.4494) + (xy 133.209231 90.467946) (xy 133.121403 90.504326) (xy 133.04236 90.55714) (xy 132.97514 90.62436) (xy 132.922326 90.703403) + (xy 132.885946 90.791231) (xy 132.8674 90.884468) (xy 132.5626 90.884468) (xy 132.544054 90.791231) (xy 132.507674 90.703403) + (xy 132.45486 90.62436) (xy 132.38764 90.55714) (xy 132.308597 90.504326) (xy 132.220769 90.467946) (xy 132.127532 90.4494) + (xy 132.032468 90.4494) (xy 131.939231 90.467946) (xy 131.851403 90.504326) (xy 131.77236 90.55714) (xy 131.70514 90.62436) + (xy 131.652326 90.703403) (xy 131.615946 90.791231) (xy 131.5974 90.884468) (xy 131.2926 90.884468) (xy 131.274054 90.791231) + (xy 131.237674 90.703403) (xy 131.18486 90.62436) (xy 131.11764 90.55714) (xy 131.038597 90.504326) (xy 130.950769 90.467946) + (xy 130.857532 90.4494) (xy 130.762468 90.4494) (xy 130.669231 90.467946) (xy 130.581403 90.504326) (xy 130.50236 90.55714) + (xy 130.43514 90.62436) (xy 130.382326 90.703403) (xy 130.345946 90.791231) (xy 130.3274 90.884468) (xy 127.4826 90.884468) + (xy 127.464054 90.791231) (xy 127.427674 90.703403) (xy 127.37486 90.62436) (xy 127.30764 90.55714) (xy 127.228597 90.504326) + (xy 127.140769 90.467946) (xy 127.047532 90.4494) (xy 126.952468 90.4494) (xy 126.859231 90.467946) (xy 126.771403 90.504326) + (xy 126.69236 90.55714) (xy 126.62514 90.62436) (xy 126.572326 90.703403) (xy 126.535946 90.791231) (xy 126.5174 90.884468) + (xy 126.2126 90.884468) (xy 126.194054 90.791231) (xy 126.157674 90.703403) (xy 126.10486 90.62436) (xy 126.03764 90.55714) + (xy 125.958597 90.504326) (xy 125.870769 90.467946) (xy 125.777532 90.4494) (xy 125.682468 90.4494) (xy 125.589231 90.467946) + (xy 125.501403 90.504326) (xy 125.42236 90.55714) (xy 125.35514 90.62436) (xy 125.302326 90.703403) (xy 125.265946 90.791231) + (xy 125.2474 90.884468) (xy 119.840443 90.884468) (xy 119.780709 90.824734) (xy 119.677754 90.755941) (xy 119.563356 90.708556) + (xy 119.441912 90.6844) (xy 119.318088 90.6844) (xy 119.196644 90.708556) (xy 119.082246 90.755941) (xy 118.979291 90.824734) + (xy 118.891734 90.912291) (xy 118.822941 91.015246) (xy 118.775556 91.129644) (xy 118.7514 91.251088) (xy 117.995724 91.251088) + (xy 117.912356 91.216556) (xy 117.790912 91.1924) (xy 117.667088 91.1924) (xy 117.545644 91.216556) (xy 117.431246 91.263941) + (xy 117.328291 91.332734) (xy 117.240734 91.420291) (xy 117.171941 91.523246) (xy 117.124556 91.637644) (xy 117.1004 91.759088) + (xy 115.379113 91.759088) (xy 115.362674 91.719403) (xy 115.30986 91.64036) (xy 115.24264 91.57314) (xy 115.163597 91.520326) + (xy 115.075769 91.483946) (xy 114.982532 91.4654) (xy 114.887468 91.4654) (xy 114.794231 91.483946) (xy 114.706403 91.520326) + (xy 114.62736 91.57314) (xy 114.56014 91.64036) (xy 114.507326 91.719403) (xy 114.470946 91.807231) (xy 114.4524 91.900468) + (xy 113.8936 91.900468) (xy 113.875054 91.807231) (xy 113.838674 91.719403) (xy 113.78586 91.64036) (xy 113.71864 91.57314) + (xy 113.639597 91.520326) (xy 113.551769 91.483946) (xy 113.458532 91.4654) (xy 113.363468 91.4654) (xy 113.270231 91.483946) + (xy 113.182403 91.520326) (xy 113.10336 91.57314) (xy 113.03614 91.64036) (xy 112.983326 91.719403) (xy 112.946946 91.807231) + (xy 112.9284 91.900468) (xy 107.4132 91.900468) (xy 107.411444 91.891644) (xy 107.364059 91.777246) (xy 107.295266 91.674291) + (xy 107.207709 91.586734) (xy 107.104754 91.517941) (xy 106.990356 91.470556) (xy 106.868912 91.4464) (xy 106.745088 91.4464) + (xy 106.623644 91.470556) (xy 106.509246 91.517941) (xy 106.406291 91.586734) (xy 106.318734 91.674291) (xy 106.249941 91.777246) + (xy 106.202556 91.891644) (xy 106.1784 92.013088) (xy 84.266063 92.013088) (xy 84.220709 91.967734) (xy 84.117754 91.898941) + (xy 84.003356 91.851556) (xy 83.881912 91.8274) (xy 83.758088 91.8274) (xy 83.636644 91.851556) (xy 83.522246 91.898941) + (xy 83.419291 91.967734) (xy 83.331734 92.055291) (xy 83.262941 92.158246) (xy 83.215556 92.272644) (xy 83.1914 92.394088) + (xy 81.212327 92.394088) (xy 81.3324 92.168264) (xy 81.440203 91.811201) (xy 81.4766 91.44) (xy 81.475855 91.386639) + (xy 81.458731 91.251088) (xy 84.4614 91.251088) (xy 84.4614 91.374912) (xy 84.485556 91.496356) (xy 84.532941 91.610754) + (xy 84.601734 91.713709) (xy 84.689291 91.801266) (xy 84.792246 91.870059) (xy 84.906644 91.917444) (xy 85.028088 91.9416) + (xy 85.151912 91.9416) (xy 85.273356 91.917444) (xy 85.387754 91.870059) (xy 85.490709 91.801266) (xy 85.578266 91.713709) + (xy 85.647059 91.610754) (xy 85.694444 91.496356) (xy 85.7186 91.374912) (xy 85.7186 91.251088) (xy 85.694444 91.129644) + (xy 85.647059 91.015246) (xy 85.578266 90.912291) (xy 85.550443 90.884468) (xy 96.0374 90.884468) (xy 96.0374 90.979532) + (xy 96.055946 91.072769) (xy 96.092326 91.160597) (xy 96.14514 91.23964) (xy 96.21236 91.30686) (xy 96.291403 91.359674) + (xy 96.379231 91.396054) (xy 96.472468 91.4146) (xy 96.567532 91.4146) (xy 96.660769 91.396054) (xy 96.748597 91.359674) + (xy 96.82764 91.30686) (xy 96.89486 91.23964) (xy 96.947674 91.160597) (xy 96.984054 91.072769) (xy 97.0026 90.979532) + (xy 97.0026 90.884468) (xy 97.3074 90.884468) (xy 97.3074 90.979532) (xy 97.325946 91.072769) (xy 97.362326 91.160597) + (xy 97.41514 91.23964) (xy 97.48236 91.30686) (xy 97.561403 91.359674) (xy 97.649231 91.396054) (xy 97.742468 91.4146) + (xy 97.837532 91.4146) (xy 97.930769 91.396054) (xy 98.018597 91.359674) (xy 98.09764 91.30686) (xy 98.16486 91.23964) + (xy 98.217674 91.160597) (xy 98.254054 91.072769) (xy 98.2726 90.979532) (xy 98.2726 90.884468) (xy 98.5774 90.884468) + (xy 98.5774 90.979532) (xy 98.595946 91.072769) (xy 98.632326 91.160597) (xy 98.68514 91.23964) (xy 98.75236 91.30686) + (xy 98.831403 91.359674) (xy 98.919231 91.396054) (xy 99.012468 91.4146) (xy 99.107532 91.4146) (xy 99.200769 91.396054) + (xy 99.288597 91.359674) (xy 99.36764 91.30686) (xy 99.43486 91.23964) (xy 99.487674 91.160597) (xy 99.524054 91.072769) + (xy 99.5426 90.979532) (xy 99.5426 90.884468) (xy 99.8474 90.884468) (xy 99.8474 90.979532) (xy 99.865946 91.072769) + (xy 99.902326 91.160597) (xy 99.95514 91.23964) (xy 100.02236 91.30686) (xy 100.101403 91.359674) (xy 100.189231 91.396054) + (xy 100.282468 91.4146) (xy 100.377532 91.4146) (xy 100.470769 91.396054) (xy 100.558597 91.359674) (xy 100.63764 91.30686) + (xy 100.70486 91.23964) (xy 100.757674 91.160597) (xy 100.794054 91.072769) (xy 100.8126 90.979532) (xy 100.8126 90.884468) + (xy 101.1174 90.884468) (xy 101.1174 90.979532) (xy 101.135946 91.072769) (xy 101.172326 91.160597) (xy 101.22514 91.23964) + (xy 101.29236 91.30686) (xy 101.371403 91.359674) (xy 101.459231 91.396054) (xy 101.552468 91.4146) (xy 101.647532 91.4146) + (xy 101.740769 91.396054) (xy 101.828597 91.359674) (xy 101.90764 91.30686) (xy 101.963412 91.251088) (xy 104.7814 91.251088) + (xy 104.7814 91.374912) (xy 104.805556 91.496356) (xy 104.852941 91.610754) (xy 104.921734 91.713709) (xy 105.009291 91.801266) + (xy 105.112246 91.870059) (xy 105.226644 91.917444) (xy 105.348088 91.9416) (xy 105.471912 91.9416) (xy 105.593356 91.917444) + (xy 105.707754 91.870059) (xy 105.810709 91.801266) (xy 105.898266 91.713709) (xy 105.967059 91.610754) (xy 106.014444 91.496356) + (xy 106.0386 91.374912) (xy 106.0386 91.251088) (xy 106.014444 91.129644) (xy 105.967059 91.015246) (xy 105.898266 90.912291) + (xy 105.810709 90.824734) (xy 105.707754 90.755941) (xy 105.593356 90.708556) (xy 105.471912 90.6844) (xy 105.348088 90.6844) + (xy 105.226644 90.708556) (xy 105.112246 90.755941) (xy 105.009291 90.824734) (xy 104.921734 90.912291) (xy 104.852941 91.015246) + (xy 104.805556 91.129644) (xy 104.7814 91.251088) (xy 101.963412 91.251088) (xy 101.97486 91.23964) (xy 102.027674 91.160597) + (xy 102.064054 91.072769) (xy 102.0826 90.979532) (xy 102.0826 90.884468) (xy 102.064054 90.791231) (xy 102.027674 90.703403) + (xy 101.97486 90.62436) (xy 101.90764 90.55714) (xy 101.828597 90.504326) (xy 101.740769 90.467946) (xy 101.647532 90.4494) + (xy 101.552468 90.4494) (xy 101.459231 90.467946) (xy 101.371403 90.504326) (xy 101.29236 90.55714) (xy 101.22514 90.62436) + (xy 101.172326 90.703403) (xy 101.135946 90.791231) (xy 101.1174 90.884468) (xy 100.8126 90.884468) (xy 100.794054 90.791231) + (xy 100.757674 90.703403) (xy 100.70486 90.62436) (xy 100.63764 90.55714) (xy 100.558597 90.504326) (xy 100.470769 90.467946) + (xy 100.377532 90.4494) (xy 100.282468 90.4494) (xy 100.189231 90.467946) (xy 100.101403 90.504326) (xy 100.02236 90.55714) + (xy 99.95514 90.62436) (xy 99.902326 90.703403) (xy 99.865946 90.791231) (xy 99.8474 90.884468) (xy 99.5426 90.884468) + (xy 99.524054 90.791231) (xy 99.487674 90.703403) (xy 99.43486 90.62436) (xy 99.36764 90.55714) (xy 99.288597 90.504326) + (xy 99.200769 90.467946) (xy 99.107532 90.4494) (xy 99.012468 90.4494) (xy 98.919231 90.467946) (xy 98.831403 90.504326) + (xy 98.75236 90.55714) (xy 98.68514 90.62436) (xy 98.632326 90.703403) (xy 98.595946 90.791231) (xy 98.5774 90.884468) + (xy 98.2726 90.884468) (xy 98.254054 90.791231) (xy 98.217674 90.703403) (xy 98.16486 90.62436) (xy 98.09764 90.55714) + (xy 98.018597 90.504326) (xy 97.930769 90.467946) (xy 97.837532 90.4494) (xy 97.742468 90.4494) (xy 97.649231 90.467946) + (xy 97.561403 90.504326) (xy 97.48236 90.55714) (xy 97.41514 90.62436) (xy 97.362326 90.703403) (xy 97.325946 90.791231) + (xy 97.3074 90.884468) (xy 97.0026 90.884468) (xy 96.984054 90.791231) (xy 96.947674 90.703403) (xy 96.89486 90.62436) + (xy 96.82764 90.55714) (xy 96.748597 90.504326) (xy 96.660769 90.467946) (xy 96.567532 90.4494) (xy 96.472468 90.4494) + (xy 96.379231 90.467946) (xy 96.291403 90.504326) (xy 96.21236 90.55714) (xy 96.14514 90.62436) (xy 96.092326 90.703403) + (xy 96.055946 90.791231) (xy 96.0374 90.884468) (xy 85.550443 90.884468) (xy 85.490709 90.824734) (xy 85.387754 90.755941) + (xy 85.273356 90.708556) (xy 85.151912 90.6844) (xy 85.028088 90.6844) (xy 84.906644 90.708556) (xy 84.792246 90.755941) + (xy 84.689291 90.824734) (xy 84.601734 90.912291) (xy 84.532941 91.015246) (xy 84.485556 91.129644) (xy 84.4614 91.251088) + (xy 81.458731 91.251088) (xy 81.429108 91.016599) (xy 81.311377 90.662686) (xy 81.127146 90.33838) (xy 80.883432 90.056035) + (xy 80.80591 89.995468) (xy 87.1474 89.995468) (xy 87.1474 90.090532) (xy 87.165946 90.183769) (xy 87.202326 90.271597) + (xy 87.25514 90.35064) (xy 87.32236 90.41786) (xy 87.401403 90.470674) (xy 87.489231 90.507054) (xy 87.582468 90.5256) + (xy 87.677532 90.5256) (xy 87.770769 90.507054) (xy 87.858597 90.470674) (xy 87.93764 90.41786) (xy 88.00486 90.35064) + (xy 88.057674 90.271597) (xy 88.094054 90.183769) (xy 88.1126 90.090532) (xy 88.1126 89.995468) (xy 88.4174 89.995468) + (xy 88.4174 90.090532) (xy 88.435946 90.183769) (xy 88.472326 90.271597) (xy 88.52514 90.35064) (xy 88.59236 90.41786) + (xy 88.671403 90.470674) (xy 88.759231 90.507054) (xy 88.852468 90.5256) (xy 88.947532 90.5256) (xy 89.040769 90.507054) + (xy 89.128597 90.470674) (xy 89.20764 90.41786) (xy 89.27486 90.35064) (xy 89.327674 90.271597) (xy 89.364054 90.183769) + (xy 89.3826 90.090532) (xy 89.3826 89.995468) (xy 89.6874 89.995468) (xy 89.6874 90.090532) (xy 89.705946 90.183769) + (xy 89.742326 90.271597) (xy 89.79514 90.35064) (xy 89.86236 90.41786) (xy 89.941403 90.470674) (xy 90.029231 90.507054) + (xy 90.122468 90.5256) (xy 90.217532 90.5256) (xy 90.310769 90.507054) (xy 90.398597 90.470674) (xy 90.47764 90.41786) + (xy 90.54486 90.35064) (xy 90.597674 90.271597) (xy 90.634054 90.183769) (xy 90.6526 90.090532) (xy 90.6526 89.995468) + (xy 90.634054 89.902231) (xy 90.597674 89.814403) (xy 90.54486 89.73536) (xy 90.47764 89.66814) (xy 90.398597 89.615326) + (xy 90.396526 89.614468) (xy 91.4654 89.614468) (xy 91.4654 89.709532) (xy 91.483946 89.802769) (xy 91.520326 89.890597) + (xy 91.57314 89.96964) (xy 91.64036 90.03686) (xy 91.719403 90.089674) (xy 91.807231 90.126054) (xy 91.900468 90.1446) + (xy 91.995532 90.1446) (xy 92.088769 90.126054) (xy 92.176597 90.089674) (xy 92.25564 90.03686) (xy 92.32286 89.96964) + (xy 92.375674 89.890597) (xy 92.412054 89.802769) (xy 92.4306 89.709532) (xy 92.4306 89.614468) (xy 94.7674 89.614468) + (xy 94.7674 89.709532) (xy 94.785946 89.802769) (xy 94.822326 89.890597) (xy 94.87514 89.96964) (xy 94.94236 90.03686) + (xy 95.021403 90.089674) (xy 95.109231 90.126054) (xy 95.202468 90.1446) (xy 95.297532 90.1446) (xy 95.390769 90.126054) + (xy 95.478597 90.089674) (xy 95.55764 90.03686) (xy 95.62486 89.96964) (xy 95.677674 89.890597) (xy 95.714054 89.802769) + (xy 95.7326 89.709532) (xy 95.7326 89.614468) (xy 95.714054 89.521231) (xy 95.677674 89.433403) (xy 95.628942 89.360468) + (xy 103.5304 89.360468) (xy 103.5304 89.455532) (xy 103.548946 89.548769) (xy 103.585326 89.636597) (xy 103.63814 89.71564) + (xy 103.70536 89.78286) (xy 103.784403 89.835674) (xy 103.872231 89.872054) (xy 103.965468 89.8906) (xy 104.060532 89.8906) + (xy 104.153769 89.872054) (xy 104.162426 89.868468) (xy 110.8964 89.868468) (xy 110.8964 89.963532) (xy 110.914946 90.056769) + (xy 110.951326 90.144597) (xy 111.00414 90.22364) (xy 111.07136 90.29086) (xy 111.150403 90.343674) (xy 111.238231 90.380054) + (xy 111.331468 90.3986) (xy 111.426532 90.3986) (xy 111.519769 90.380054) (xy 111.607597 90.343674) (xy 111.68664 90.29086) + (xy 111.75386 90.22364) (xy 111.806674 90.144597) (xy 111.81584 90.122468) (xy 120.6754 90.122468) (xy 120.6754 90.217532) + (xy 120.693946 90.310769) (xy 120.730326 90.398597) (xy 120.78314 90.47764) (xy 120.85036 90.54486) (xy 120.929403 90.597674) + (xy 121.017231 90.634054) (xy 121.110468 90.6526) (xy 121.205532 90.6526) (xy 121.298769 90.634054) (xy 121.386597 90.597674) + (xy 121.46564 90.54486) (xy 121.53286 90.47764) (xy 121.585674 90.398597) (xy 121.622054 90.310769) (xy 121.6406 90.217532) + (xy 121.6406 90.122468) (xy 121.622054 90.029231) (xy 121.585674 89.941403) (xy 121.53286 89.86236) (xy 121.46564 89.79514) + (xy 121.386597 89.742326) (xy 121.298769 89.705946) (xy 121.205532 89.6874) (xy 121.110468 89.6874) (xy 121.017231 89.705946) + (xy 120.929403 89.742326) (xy 120.85036 89.79514) (xy 120.78314 89.86236) (xy 120.730326 89.941403) (xy 120.693946 90.029231) + (xy 120.6754 90.122468) (xy 111.81584 90.122468) (xy 111.843054 90.056769) (xy 111.8616 89.963532) (xy 111.8616 89.868468) + (xy 111.843054 89.775231) (xy 111.806674 89.687403) (xy 111.75386 89.60836) (xy 111.68664 89.54114) (xy 111.607597 89.488326) + (xy 111.519769 89.451946) (xy 111.426532 89.4334) (xy 111.331468 89.4334) (xy 111.238231 89.451946) (xy 111.150403 89.488326) + (xy 111.07136 89.54114) (xy 111.00414 89.60836) (xy 110.951326 89.687403) (xy 110.914946 89.775231) (xy 110.8964 89.868468) + (xy 104.162426 89.868468) (xy 104.241597 89.835674) (xy 104.32064 89.78286) (xy 104.38786 89.71564) (xy 104.440674 89.636597) + (xy 104.477054 89.548769) (xy 104.4956 89.455532) (xy 104.4956 89.360468) (xy 111.9124 89.360468) (xy 111.9124 89.455532) + (xy 111.930946 89.548769) (xy 111.967326 89.636597) (xy 112.02014 89.71564) (xy 112.08736 89.78286) (xy 112.166403 89.835674) + (xy 112.254231 89.872054) (xy 112.347468 89.8906) (xy 112.442532 89.8906) (xy 112.535769 89.872054) (xy 112.623597 89.835674) + (xy 112.70264 89.78286) (xy 112.76986 89.71564) (xy 112.822674 89.636597) (xy 112.859054 89.548769) (xy 112.8776 89.455532) + (xy 112.8776 89.360468) (xy 119.1514 89.360468) (xy 119.1514 89.455532) (xy 119.169946 89.548769) (xy 119.206326 89.636597) + (xy 119.25914 89.71564) (xy 119.32636 89.78286) (xy 119.405403 89.835674) (xy 119.493231 89.872054) (xy 119.586468 89.8906) + (xy 119.681532 89.8906) (xy 119.774769 89.872054) (xy 119.862597 89.835674) (xy 119.94164 89.78286) (xy 120.00886 89.71564) + (xy 120.061674 89.636597) (xy 120.07084 89.614468) (xy 126.0094 89.614468) (xy 126.0094 89.709532) (xy 126.027946 89.802769) + (xy 126.064326 89.890597) (xy 126.11714 89.96964) (xy 126.18436 90.03686) (xy 126.263403 90.089674) (xy 126.351231 90.126054) + (xy 126.444468 90.1446) (xy 126.539532 90.1446) (xy 126.632769 90.126054) (xy 126.720597 90.089674) (xy 126.79964 90.03686) + (xy 126.86686 89.96964) (xy 126.919674 89.890597) (xy 126.956054 89.802769) (xy 126.9746 89.709532) (xy 126.9746 89.614468) + (xy 129.0574 89.614468) (xy 129.0574 89.709532) (xy 129.075946 89.802769) (xy 129.112326 89.890597) (xy 129.16514 89.96964) + (xy 129.23236 90.03686) (xy 129.311403 90.089674) (xy 129.399231 90.126054) (xy 129.492468 90.1446) (xy 129.587532 90.1446) + (xy 129.680769 90.126054) (xy 129.768597 90.089674) (xy 129.84764 90.03686) (xy 129.91486 89.96964) (xy 129.967674 89.890597) + (xy 130.004054 89.802769) (xy 130.0226 89.709532) (xy 130.0226 89.614468) (xy 130.004054 89.521231) (xy 129.967674 89.433403) + (xy 129.918942 89.360468) (xy 130.3274 89.360468) (xy 130.3274 89.455532) (xy 130.345946 89.548769) (xy 130.382326 89.636597) + (xy 130.43514 89.71564) (xy 130.50236 89.78286) (xy 130.581403 89.835674) (xy 130.669231 89.872054) (xy 130.762468 89.8906) + (xy 130.857532 89.8906) (xy 130.950769 89.872054) (xy 131.038597 89.835674) (xy 131.11764 89.78286) (xy 131.18486 89.71564) + (xy 131.237674 89.636597) (xy 131.274054 89.548769) (xy 131.2926 89.455532) (xy 131.2926 89.360468) (xy 131.5974 89.360468) + (xy 131.5974 89.455532) (xy 131.615946 89.548769) (xy 131.652326 89.636597) (xy 131.70514 89.71564) (xy 131.77236 89.78286) + (xy 131.851403 89.835674) (xy 131.939231 89.872054) (xy 132.032468 89.8906) (xy 132.127532 89.8906) (xy 132.220769 89.872054) + (xy 132.308597 89.835674) (xy 132.38764 89.78286) (xy 132.45486 89.71564) (xy 132.507674 89.636597) (xy 132.544054 89.548769) + (xy 132.5626 89.455532) (xy 132.5626 89.360468) (xy 132.8674 89.360468) (xy 132.8674 89.455532) (xy 132.885946 89.548769) + (xy 132.922326 89.636597) (xy 132.97514 89.71564) (xy 133.04236 89.78286) (xy 133.121403 89.835674) (xy 133.209231 89.872054) + (xy 133.302468 89.8906) (xy 133.397532 89.8906) (xy 133.490769 89.872054) (xy 133.578597 89.835674) (xy 133.65764 89.78286) + (xy 133.72486 89.71564) (xy 133.777674 89.636597) (xy 133.814054 89.548769) (xy 133.8326 89.455532) (xy 133.8326 89.360468) + (xy 134.1374 89.360468) (xy 134.1374 89.455532) (xy 134.155946 89.548769) (xy 134.192326 89.636597) (xy 134.24514 89.71564) + (xy 134.31236 89.78286) (xy 134.391403 89.835674) (xy 134.479231 89.872054) (xy 134.572468 89.8906) (xy 134.667532 89.8906) + (xy 134.760769 89.872054) (xy 134.848597 89.835674) (xy 134.92764 89.78286) (xy 134.99486 89.71564) (xy 135.047674 89.636597) + (xy 135.084054 89.548769) (xy 135.1026 89.455532) (xy 135.1026 89.360468) (xy 135.4074 89.360468) (xy 135.4074 89.455532) + (xy 135.425946 89.548769) (xy 135.462326 89.636597) (xy 135.51514 89.71564) (xy 135.58236 89.78286) (xy 135.661403 89.835674) + (xy 135.749231 89.872054) (xy 135.842468 89.8906) (xy 135.937532 89.8906) (xy 136.030769 89.872054) (xy 136.118597 89.835674) + (xy 136.19764 89.78286) (xy 136.26486 89.71564) (xy 136.317674 89.636597) (xy 136.354054 89.548769) (xy 136.3726 89.455532) + (xy 136.3726 89.360468) (xy 142.5194 89.360468) (xy 142.5194 89.455532) (xy 142.537946 89.548769) (xy 142.574326 89.636597) + (xy 142.62714 89.71564) (xy 142.69436 89.78286) (xy 142.773403 89.835674) (xy 142.861231 89.872054) (xy 142.954468 89.8906) + (xy 143.049532 89.8906) (xy 143.142769 89.872054) (xy 143.230597 89.835674) (xy 143.30964 89.78286) (xy 143.37686 89.71564) + (xy 143.429674 89.636597) (xy 143.466054 89.548769) (xy 143.4846 89.455532) (xy 143.4846 89.360468) (xy 148.6154 89.360468) + (xy 148.6154 89.455532) (xy 148.633946 89.548769) (xy 148.670326 89.636597) (xy 148.72314 89.71564) (xy 148.79036 89.78286) + (xy 148.869403 89.835674) (xy 148.957231 89.872054) (xy 149.050468 89.8906) (xy 149.145532 89.8906) (xy 149.238769 89.872054) + (xy 149.326597 89.835674) (xy 149.40564 89.78286) (xy 149.47286 89.71564) (xy 149.525674 89.636597) (xy 149.562054 89.548769) + (xy 149.5806 89.455532) (xy 149.5806 89.360468) (xy 149.562054 89.267231) (xy 149.525674 89.179403) (xy 149.47286 89.10036) + (xy 149.40564 89.03314) (xy 149.326597 88.980326) (xy 149.238769 88.943946) (xy 149.145532 88.9254) (xy 149.050468 88.9254) + (xy 148.957231 88.943946) (xy 148.869403 88.980326) (xy 148.79036 89.03314) (xy 148.72314 89.10036) (xy 148.670326 89.179403) + (xy 148.633946 89.267231) (xy 148.6154 89.360468) (xy 143.4846 89.360468) (xy 143.466054 89.267231) (xy 143.429674 89.179403) + (xy 143.37686 89.10036) (xy 143.30964 89.03314) (xy 143.230597 88.980326) (xy 143.142769 88.943946) (xy 143.049532 88.9254) + (xy 142.954468 88.9254) (xy 142.861231 88.943946) (xy 142.773403 88.980326) (xy 142.69436 89.03314) (xy 142.62714 89.10036) + (xy 142.574326 89.179403) (xy 142.537946 89.267231) (xy 142.5194 89.360468) (xy 136.3726 89.360468) (xy 136.354054 89.267231) + (xy 136.317674 89.179403) (xy 136.26486 89.10036) (xy 136.19764 89.03314) (xy 136.118597 88.980326) (xy 136.030769 88.943946) + (xy 135.937532 88.9254) (xy 135.842468 88.9254) (xy 135.749231 88.943946) (xy 135.661403 88.980326) (xy 135.58236 89.03314) + (xy 135.51514 89.10036) (xy 135.462326 89.179403) (xy 135.425946 89.267231) (xy 135.4074 89.360468) (xy 135.1026 89.360468) + (xy 135.084054 89.267231) (xy 135.047674 89.179403) (xy 134.99486 89.10036) (xy 134.92764 89.03314) (xy 134.848597 88.980326) + (xy 134.760769 88.943946) (xy 134.667532 88.9254) (xy 134.572468 88.9254) (xy 134.479231 88.943946) (xy 134.391403 88.980326) + (xy 134.31236 89.03314) (xy 134.24514 89.10036) (xy 134.192326 89.179403) (xy 134.155946 89.267231) (xy 134.1374 89.360468) + (xy 133.8326 89.360468) (xy 133.814054 89.267231) (xy 133.777674 89.179403) (xy 133.72486 89.10036) (xy 133.65764 89.03314) + (xy 133.578597 88.980326) (xy 133.490769 88.943946) (xy 133.397532 88.9254) (xy 133.302468 88.9254) (xy 133.209231 88.943946) + (xy 133.121403 88.980326) (xy 133.04236 89.03314) (xy 132.97514 89.10036) (xy 132.922326 89.179403) (xy 132.885946 89.267231) + (xy 132.8674 89.360468) (xy 132.5626 89.360468) (xy 132.544054 89.267231) (xy 132.507674 89.179403) (xy 132.45486 89.10036) + (xy 132.38764 89.03314) (xy 132.308597 88.980326) (xy 132.220769 88.943946) (xy 132.127532 88.9254) (xy 132.032468 88.9254) + (xy 131.939231 88.943946) (xy 131.851403 88.980326) (xy 131.77236 89.03314) (xy 131.70514 89.10036) (xy 131.652326 89.179403) + (xy 131.615946 89.267231) (xy 131.5974 89.360468) (xy 131.2926 89.360468) (xy 131.274054 89.267231) (xy 131.237674 89.179403) + (xy 131.18486 89.10036) (xy 131.11764 89.03314) (xy 131.038597 88.980326) (xy 130.950769 88.943946) (xy 130.857532 88.9254) + (xy 130.762468 88.9254) (xy 130.669231 88.943946) (xy 130.581403 88.980326) (xy 130.50236 89.03314) (xy 130.43514 89.10036) + (xy 130.382326 89.179403) (xy 130.345946 89.267231) (xy 130.3274 89.360468) (xy 129.918942 89.360468) (xy 129.91486 89.35436) + (xy 129.84764 89.28714) (xy 129.768597 89.234326) (xy 129.680769 89.197946) (xy 129.587532 89.1794) (xy 129.492468 89.1794) + (xy 129.399231 89.197946) (xy 129.311403 89.234326) (xy 129.23236 89.28714) (xy 129.16514 89.35436) (xy 129.112326 89.433403) + (xy 129.075946 89.521231) (xy 129.0574 89.614468) (xy 126.9746 89.614468) (xy 126.956054 89.521231) (xy 126.919674 89.433403) + (xy 126.86686 89.35436) (xy 126.79964 89.28714) (xy 126.720597 89.234326) (xy 126.632769 89.197946) (xy 126.539532 89.1794) + (xy 126.444468 89.1794) (xy 126.351231 89.197946) (xy 126.263403 89.234326) (xy 126.18436 89.28714) (xy 126.11714 89.35436) + (xy 126.064326 89.433403) (xy 126.027946 89.521231) (xy 126.0094 89.614468) (xy 120.07084 89.614468) (xy 120.098054 89.548769) + (xy 120.1166 89.455532) (xy 120.1166 89.360468) (xy 120.098054 89.267231) (xy 120.061674 89.179403) (xy 120.00886 89.10036) + (xy 119.94164 89.03314) (xy 119.862597 88.980326) (xy 119.860526 88.979468) (xy 125.2474 88.979468) (xy 125.2474 89.074532) + (xy 125.265946 89.167769) (xy 125.302326 89.255597) (xy 125.35514 89.33464) (xy 125.42236 89.40186) (xy 125.501403 89.454674) + (xy 125.589231 89.491054) (xy 125.682468 89.5096) (xy 125.777532 89.5096) (xy 125.870769 89.491054) (xy 125.958597 89.454674) + (xy 126.03764 89.40186) (xy 126.10486 89.33464) (xy 126.157674 89.255597) (xy 126.194054 89.167769) (xy 126.2126 89.074532) + (xy 126.2126 88.979468) (xy 126.194054 88.886231) (xy 126.157674 88.798403) (xy 126.10486 88.71936) (xy 126.03764 88.65214) + (xy 125.958597 88.599326) (xy 125.870769 88.562946) (xy 125.777532 88.5444) (xy 125.682468 88.5444) (xy 125.589231 88.562946) + (xy 125.501403 88.599326) (xy 125.42236 88.65214) (xy 125.35514 88.71936) (xy 125.302326 88.798403) (xy 125.265946 88.886231) + (xy 125.2474 88.979468) (xy 119.860526 88.979468) (xy 119.774769 88.943946) (xy 119.681532 88.9254) (xy 119.586468 88.9254) + (xy 119.493231 88.943946) (xy 119.405403 88.980326) (xy 119.32636 89.03314) (xy 119.25914 89.10036) (xy 119.206326 89.179403) + (xy 119.169946 89.267231) (xy 119.1514 89.360468) (xy 112.8776 89.360468) (xy 112.859054 89.267231) (xy 112.822674 89.179403) + (xy 112.76986 89.10036) (xy 112.70264 89.03314) (xy 112.623597 88.980326) (xy 112.535769 88.943946) (xy 112.442532 88.9254) + (xy 112.347468 88.9254) (xy 112.254231 88.943946) (xy 112.166403 88.980326) (xy 112.08736 89.03314) (xy 112.02014 89.10036) + (xy 111.967326 89.179403) (xy 111.930946 89.267231) (xy 111.9124 89.360468) (xy 104.4956 89.360468) (xy 104.477054 89.267231) + (xy 104.440674 89.179403) (xy 104.38786 89.10036) (xy 104.32064 89.03314) (xy 104.241597 88.980326) (xy 104.153769 88.943946) + (xy 104.060532 88.9254) (xy 103.965468 88.9254) (xy 103.872231 88.943946) (xy 103.784403 88.980326) (xy 103.70536 89.03314) + (xy 103.63814 89.10036) (xy 103.585326 89.179403) (xy 103.548946 89.267231) (xy 103.5304 89.360468) (xy 95.628942 89.360468) + (xy 95.62486 89.35436) (xy 95.55764 89.28714) (xy 95.478597 89.234326) (xy 95.390769 89.197946) (xy 95.297532 89.1794) + (xy 95.202468 89.1794) (xy 95.109231 89.197946) (xy 95.021403 89.234326) (xy 94.94236 89.28714) (xy 94.87514 89.35436) + (xy 94.822326 89.433403) (xy 94.785946 89.521231) (xy 94.7674 89.614468) (xy 92.4306 89.614468) (xy 92.412054 89.521231) + (xy 92.375674 89.433403) (xy 92.32286 89.35436) (xy 92.25564 89.28714) (xy 92.176597 89.234326) (xy 92.088769 89.197946) + (xy 91.995532 89.1794) (xy 91.900468 89.1794) (xy 91.807231 89.197946) (xy 91.719403 89.234326) (xy 91.64036 89.28714) + (xy 91.57314 89.35436) (xy 91.520326 89.433403) (xy 91.483946 89.521231) (xy 91.4654 89.614468) (xy 90.396526 89.614468) + (xy 90.310769 89.578946) (xy 90.217532 89.5604) (xy 90.122468 89.5604) (xy 90.029231 89.578946) (xy 89.941403 89.615326) + (xy 89.86236 89.66814) (xy 89.79514 89.73536) (xy 89.742326 89.814403) (xy 89.705946 89.902231) (xy 89.6874 89.995468) + (xy 89.3826 89.995468) (xy 89.364054 89.902231) (xy 89.327674 89.814403) (xy 89.27486 89.73536) (xy 89.20764 89.66814) + (xy 89.128597 89.615326) (xy 89.040769 89.578946) (xy 88.947532 89.5604) (xy 88.852468 89.5604) (xy 88.759231 89.578946) + (xy 88.671403 89.615326) (xy 88.59236 89.66814) (xy 88.52514 89.73536) (xy 88.472326 89.814403) (xy 88.435946 89.902231) + (xy 88.4174 89.995468) (xy 88.1126 89.995468) (xy 88.094054 89.902231) (xy 88.057674 89.814403) (xy 88.00486 89.73536) + (xy 87.93764 89.66814) (xy 87.858597 89.615326) (xy 87.770769 89.578946) (xy 87.677532 89.5604) (xy 87.582468 89.5604) + (xy 87.489231 89.578946) (xy 87.401403 89.615326) (xy 87.32236 89.66814) (xy 87.25514 89.73536) (xy 87.202326 89.814403) + (xy 87.165946 89.902231) (xy 87.1474 89.995468) (xy 80.80591 89.995468) (xy 80.589519 89.826405) (xy 80.256601 89.658236) + (xy 80.20318 89.64332) (xy 80.25786 89.58864) (xy 80.310674 89.509597) (xy 80.347054 89.421769) (xy 80.3656 89.328532) + (xy 80.3656 89.233468) (xy 80.347054 89.140231) (xy 80.310674 89.052403) (xy 80.25786 88.97336) (xy 80.19064 88.90614) + (xy 80.111597 88.853326) (xy 80.023769 88.816946) (xy 79.930532 88.7984) (xy 79.835468 88.7984) (xy 79.742231 88.816946) + (xy 79.654403 88.853326) (xy 79.57536 88.90614) (xy 79.50814 88.97336) (xy 79.455326 89.052403) (xy 79.418946 89.140231) + (xy 79.4004 89.233468) (xy 79.4004 89.328532) (xy 79.418946 89.421769) (xy 79.455326 89.509597) (xy 79.472708 89.535611) + (xy 79.155119 89.573482) (xy 78.800393 89.688739) (xy 78.474809 89.870702) (xy 78.19077 90.112438) (xy 77.959093 90.404741) + (xy 77.788604 90.736477) (xy 77.685797 91.09501) (xy 77.654586 91.466683) (xy 77.5716 91.466683) (xy 77.5716 91.392468) + (xy 77.553054 91.299231) (xy 77.516674 91.211403) (xy 77.46386 91.13236) (xy 77.39664 91.06514) (xy 77.317597 91.012326) + (xy 77.229769 90.975946) (xy 77.136532 90.9574) (xy 77.041468 90.9574) (xy 76.948231 90.975946) (xy 76.860403 91.012326) + (xy 76.78136 91.06514) (xy 76.71414 91.13236) (xy 76.661326 91.211403) (xy 76.624946 91.299231) (xy 76.6064 91.392468) + (xy 76.5286 91.392468) (xy 76.5286 88.344468) (xy 90.9574 88.344468) (xy 90.9574 88.439532) (xy 90.975946 88.532769) + (xy 91.012326 88.620597) (xy 91.06514 88.69964) (xy 91.13236 88.76686) (xy 91.211403 88.819674) (xy 91.299231 88.856054) + (xy 91.392468 88.8746) (xy 91.487532 88.8746) (xy 91.580769 88.856054) (xy 91.668597 88.819674) (xy 91.74764 88.76686) + (xy 91.81486 88.69964) (xy 91.867674 88.620597) (xy 91.904054 88.532769) (xy 91.9226 88.439532) (xy 91.9226 88.344468) + (xy 92.2274 88.344468) (xy 92.2274 88.439532) (xy 92.245946 88.532769) (xy 92.282326 88.620597) (xy 92.33514 88.69964) + (xy 92.40236 88.76686) (xy 92.481403 88.819674) (xy 92.569231 88.856054) (xy 92.662468 88.8746) (xy 92.757532 88.8746) + (xy 92.850769 88.856054) (xy 92.938597 88.819674) (xy 93.01764 88.76686) (xy 93.08486 88.69964) (xy 93.137674 88.620597) + (xy 93.174054 88.532769) (xy 93.1926 88.439532) (xy 93.1926 88.344468) (xy 93.4974 88.344468) (xy 93.4974 88.439532) + (xy 93.515946 88.532769) (xy 93.552326 88.620597) (xy 93.60514 88.69964) (xy 93.67236 88.76686) (xy 93.751403 88.819674) + (xy 93.839231 88.856054) (xy 93.932468 88.8746) (xy 94.027532 88.8746) (xy 94.120769 88.856054) (xy 94.208597 88.819674) + (xy 94.28764 88.76686) (xy 94.35486 88.69964) (xy 94.407674 88.620597) (xy 94.444054 88.532769) (xy 94.4626 88.439532) + (xy 94.4626 88.344468) (xy 96.0374 88.344468) (xy 96.0374 88.439532) (xy 96.055946 88.532769) (xy 96.092326 88.620597) + (xy 96.14514 88.69964) (xy 96.21236 88.76686) (xy 96.291403 88.819674) (xy 96.379231 88.856054) (xy 96.472468 88.8746) + (xy 96.567532 88.8746) (xy 96.660769 88.856054) (xy 96.748597 88.819674) (xy 96.82764 88.76686) (xy 96.89486 88.69964) + (xy 96.947674 88.620597) (xy 96.984054 88.532769) (xy 97.0026 88.439532) (xy 97.0026 88.344468) (xy 97.3074 88.344468) + (xy 97.3074 88.439532) (xy 97.325946 88.532769) (xy 97.362326 88.620597) (xy 97.41514 88.69964) (xy 97.48236 88.76686) + (xy 97.561403 88.819674) (xy 97.649231 88.856054) (xy 97.742468 88.8746) (xy 97.837532 88.8746) (xy 97.930769 88.856054) + (xy 98.018597 88.819674) (xy 98.09764 88.76686) (xy 98.16486 88.69964) (xy 98.217674 88.620597) (xy 98.254054 88.532769) + (xy 98.2726 88.439532) (xy 98.2726 88.344468) (xy 98.5774 88.344468) (xy 98.5774 88.439532) (xy 98.595946 88.532769) + (xy 98.632326 88.620597) (xy 98.68514 88.69964) (xy 98.75236 88.76686) (xy 98.831403 88.819674) (xy 98.919231 88.856054) + (xy 99.012468 88.8746) (xy 99.107532 88.8746) (xy 99.200769 88.856054) (xy 99.288597 88.819674) (xy 99.36764 88.76686) + (xy 99.43486 88.69964) (xy 99.487674 88.620597) (xy 99.524054 88.532769) (xy 99.5426 88.439532) (xy 99.5426 88.344468) + (xy 99.8474 88.344468) (xy 99.8474 88.439532) (xy 99.865946 88.532769) (xy 99.902326 88.620597) (xy 99.95514 88.69964) + (xy 100.02236 88.76686) (xy 100.101403 88.819674) (xy 100.189231 88.856054) (xy 100.282468 88.8746) (xy 100.377532 88.8746) + (xy 100.470769 88.856054) (xy 100.558597 88.819674) (xy 100.63764 88.76686) (xy 100.70486 88.69964) (xy 100.757674 88.620597) + (xy 100.794054 88.532769) (xy 100.8126 88.439532) (xy 100.8126 88.344468) (xy 101.1174 88.344468) (xy 101.1174 88.439532) + (xy 101.135946 88.532769) (xy 101.172326 88.620597) (xy 101.22514 88.69964) (xy 101.29236 88.76686) (xy 101.371403 88.819674) + (xy 101.459231 88.856054) (xy 101.552468 88.8746) (xy 101.647532 88.8746) (xy 101.740769 88.856054) (xy 101.828597 88.819674) + (xy 101.90764 88.76686) (xy 101.97486 88.69964) (xy 102.027674 88.620597) (xy 102.064054 88.532769) (xy 102.0826 88.439532) + (xy 102.0826 88.344468) (xy 127.7874 88.344468) (xy 127.7874 88.439532) (xy 127.805946 88.532769) (xy 127.842326 88.620597) + (xy 127.89514 88.69964) (xy 127.96236 88.76686) (xy 128.041403 88.819674) (xy 128.129231 88.856054) (xy 128.222468 88.8746) + (xy 128.317532 88.8746) (xy 128.410769 88.856054) (xy 128.498597 88.819674) (xy 128.57764 88.76686) (xy 128.64486 88.69964) + (xy 128.697674 88.620597) (xy 128.734054 88.532769) (xy 128.7526 88.439532) (xy 128.7526 88.344468) (xy 130.3274 88.344468) + (xy 130.3274 88.439532) (xy 130.345946 88.532769) (xy 130.382326 88.620597) (xy 130.43514 88.69964) (xy 130.50236 88.76686) + (xy 130.581403 88.819674) (xy 130.669231 88.856054) (xy 130.762468 88.8746) (xy 130.857532 88.8746) (xy 130.950769 88.856054) + (xy 131.038597 88.819674) (xy 131.11764 88.76686) (xy 131.18486 88.69964) (xy 131.237674 88.620597) (xy 131.274054 88.532769) + (xy 131.2926 88.439532) (xy 131.2926 88.344468) (xy 131.5974 88.344468) (xy 131.5974 88.439532) (xy 131.615946 88.532769) + (xy 131.652326 88.620597) (xy 131.70514 88.69964) (xy 131.77236 88.76686) (xy 131.851403 88.819674) (xy 131.939231 88.856054) + (xy 132.032468 88.8746) (xy 132.127532 88.8746) (xy 132.220769 88.856054) (xy 132.308597 88.819674) (xy 132.38764 88.76686) + (xy 132.45486 88.69964) (xy 132.507674 88.620597) (xy 132.544054 88.532769) (xy 132.5626 88.439532) (xy 132.5626 88.344468) + (xy 132.8674 88.344468) (xy 132.8674 88.439532) (xy 132.885946 88.532769) (xy 132.922326 88.620597) (xy 132.97514 88.69964) + (xy 133.04236 88.76686) (xy 133.121403 88.819674) (xy 133.209231 88.856054) (xy 133.302468 88.8746) (xy 133.397532 88.8746) + (xy 133.490769 88.856054) (xy 133.578597 88.819674) (xy 133.65764 88.76686) (xy 133.72486 88.69964) (xy 133.777674 88.620597) + (xy 133.814054 88.532769) (xy 133.8326 88.439532) (xy 133.8326 88.344468) (xy 134.1374 88.344468) (xy 134.1374 88.439532) + (xy 134.155946 88.532769) (xy 134.192326 88.620597) (xy 134.24514 88.69964) (xy 134.31236 88.76686) (xy 134.391403 88.819674) + (xy 134.479231 88.856054) (xy 134.572468 88.8746) (xy 134.667532 88.8746) (xy 134.760769 88.856054) (xy 134.848597 88.819674) + (xy 134.92764 88.76686) (xy 134.99486 88.69964) (xy 135.047674 88.620597) (xy 135.084054 88.532769) (xy 135.1026 88.439532) + (xy 135.1026 88.344468) (xy 135.4074 88.344468) (xy 135.4074 88.439532) (xy 135.425946 88.532769) (xy 135.462326 88.620597) + (xy 135.51514 88.69964) (xy 135.58236 88.76686) (xy 135.661403 88.819674) (xy 135.749231 88.856054) (xy 135.842468 88.8746) + (xy 135.937532 88.8746) (xy 136.030769 88.856054) (xy 136.118597 88.819674) (xy 136.19764 88.76686) (xy 136.26486 88.69964) + (xy 136.317674 88.620597) (xy 136.354054 88.532769) (xy 136.3726 88.439532) (xy 136.3726 88.344468) (xy 136.354054 88.251231) + (xy 136.317674 88.163403) (xy 136.26486 88.08436) (xy 136.19764 88.01714) (xy 136.118597 87.964326) (xy 136.030769 87.927946) + (xy 135.937532 87.9094) (xy 135.842468 87.9094) (xy 135.749231 87.927946) (xy 135.661403 87.964326) (xy 135.58236 88.01714) + (xy 135.51514 88.08436) (xy 135.462326 88.163403) (xy 135.425946 88.251231) (xy 135.4074 88.344468) (xy 135.1026 88.344468) + (xy 135.084054 88.251231) (xy 135.047674 88.163403) (xy 134.99486 88.08436) (xy 134.92764 88.01714) (xy 134.848597 87.964326) + (xy 134.760769 87.927946) (xy 134.667532 87.9094) (xy 134.572468 87.9094) (xy 134.479231 87.927946) (xy 134.391403 87.964326) + (xy 134.31236 88.01714) (xy 134.24514 88.08436) (xy 134.192326 88.163403) (xy 134.155946 88.251231) (xy 134.1374 88.344468) + (xy 133.8326 88.344468) (xy 133.814054 88.251231) (xy 133.777674 88.163403) (xy 133.72486 88.08436) (xy 133.65764 88.01714) + (xy 133.578597 87.964326) (xy 133.490769 87.927946) (xy 133.397532 87.9094) (xy 133.302468 87.9094) (xy 133.209231 87.927946) + (xy 133.121403 87.964326) (xy 133.04236 88.01714) (xy 132.97514 88.08436) (xy 132.922326 88.163403) (xy 132.885946 88.251231) + (xy 132.8674 88.344468) (xy 132.5626 88.344468) (xy 132.544054 88.251231) (xy 132.507674 88.163403) (xy 132.45486 88.08436) + (xy 132.38764 88.01714) (xy 132.308597 87.964326) (xy 132.220769 87.927946) (xy 132.127532 87.9094) (xy 132.032468 87.9094) + (xy 131.939231 87.927946) (xy 131.851403 87.964326) (xy 131.77236 88.01714) (xy 131.70514 88.08436) (xy 131.652326 88.163403) + (xy 131.615946 88.251231) (xy 131.5974 88.344468) (xy 131.2926 88.344468) (xy 131.274054 88.251231) (xy 131.237674 88.163403) + (xy 131.18486 88.08436) (xy 131.11764 88.01714) (xy 131.038597 87.964326) (xy 130.950769 87.927946) (xy 130.857532 87.9094) + (xy 130.762468 87.9094) (xy 130.669231 87.927946) (xy 130.581403 87.964326) (xy 130.50236 88.01714) (xy 130.43514 88.08436) + (xy 130.382326 88.163403) (xy 130.345946 88.251231) (xy 130.3274 88.344468) (xy 128.7526 88.344468) (xy 128.734054 88.251231) + (xy 128.697674 88.163403) (xy 128.64486 88.08436) (xy 128.57764 88.01714) (xy 128.498597 87.964326) (xy 128.410769 87.927946) + (xy 128.317532 87.9094) (xy 128.222468 87.9094) (xy 128.129231 87.927946) (xy 128.041403 87.964326) (xy 127.96236 88.01714) + (xy 127.89514 88.08436) (xy 127.842326 88.163403) (xy 127.805946 88.251231) (xy 127.7874 88.344468) (xy 102.0826 88.344468) + (xy 102.064054 88.251231) (xy 102.027674 88.163403) (xy 101.97486 88.08436) (xy 101.90764 88.01714) (xy 101.828597 87.964326) + (xy 101.740769 87.927946) (xy 101.647532 87.9094) (xy 101.552468 87.9094) (xy 101.459231 87.927946) (xy 101.371403 87.964326) + (xy 101.29236 88.01714) (xy 101.22514 88.08436) (xy 101.172326 88.163403) (xy 101.135946 88.251231) (xy 101.1174 88.344468) + (xy 100.8126 88.344468) (xy 100.794054 88.251231) (xy 100.757674 88.163403) (xy 100.70486 88.08436) (xy 100.63764 88.01714) + (xy 100.558597 87.964326) (xy 100.470769 87.927946) (xy 100.377532 87.9094) (xy 100.282468 87.9094) (xy 100.189231 87.927946) + (xy 100.101403 87.964326) (xy 100.02236 88.01714) (xy 99.95514 88.08436) (xy 99.902326 88.163403) (xy 99.865946 88.251231) + (xy 99.8474 88.344468) (xy 99.5426 88.344468) (xy 99.524054 88.251231) (xy 99.487674 88.163403) (xy 99.43486 88.08436) + (xy 99.36764 88.01714) (xy 99.288597 87.964326) (xy 99.200769 87.927946) (xy 99.107532 87.9094) (xy 99.012468 87.9094) + (xy 98.919231 87.927946) (xy 98.831403 87.964326) (xy 98.75236 88.01714) (xy 98.68514 88.08436) (xy 98.632326 88.163403) + (xy 98.595946 88.251231) (xy 98.5774 88.344468) (xy 98.2726 88.344468) (xy 98.254054 88.251231) (xy 98.217674 88.163403) + (xy 98.16486 88.08436) (xy 98.09764 88.01714) (xy 98.018597 87.964326) (xy 97.930769 87.927946) (xy 97.837532 87.9094) + (xy 97.742468 87.9094) (xy 97.649231 87.927946) (xy 97.561403 87.964326) (xy 97.48236 88.01714) (xy 97.41514 88.08436) + (xy 97.362326 88.163403) (xy 97.325946 88.251231) (xy 97.3074 88.344468) (xy 97.0026 88.344468) (xy 96.984054 88.251231) + (xy 96.947674 88.163403) (xy 96.89486 88.08436) (xy 96.82764 88.01714) (xy 96.748597 87.964326) (xy 96.660769 87.927946) + (xy 96.567532 87.9094) (xy 96.472468 87.9094) (xy 96.379231 87.927946) (xy 96.291403 87.964326) (xy 96.21236 88.01714) + (xy 96.14514 88.08436) (xy 96.092326 88.163403) (xy 96.055946 88.251231) (xy 96.0374 88.344468) (xy 94.4626 88.344468) + (xy 94.444054 88.251231) (xy 94.407674 88.163403) (xy 94.35486 88.08436) (xy 94.28764 88.01714) (xy 94.208597 87.964326) + (xy 94.120769 87.927946) (xy 94.027532 87.9094) (xy 93.932468 87.9094) (xy 93.839231 87.927946) (xy 93.751403 87.964326) + (xy 93.67236 88.01714) (xy 93.60514 88.08436) (xy 93.552326 88.163403) (xy 93.515946 88.251231) (xy 93.4974 88.344468) + (xy 93.1926 88.344468) (xy 93.174054 88.251231) (xy 93.137674 88.163403) (xy 93.08486 88.08436) (xy 93.01764 88.01714) + (xy 92.938597 87.964326) (xy 92.850769 87.927946) (xy 92.757532 87.9094) (xy 92.662468 87.9094) (xy 92.569231 87.927946) + (xy 92.481403 87.964326) (xy 92.40236 88.01714) (xy 92.33514 88.08436) (xy 92.282326 88.163403) (xy 92.245946 88.251231) + (xy 92.2274 88.344468) (xy 91.9226 88.344468) (xy 91.904054 88.251231) (xy 91.867674 88.163403) (xy 91.81486 88.08436) + (xy 91.74764 88.01714) (xy 91.668597 87.964326) (xy 91.580769 87.927946) (xy 91.487532 87.9094) (xy 91.392468 87.9094) + (xy 91.299231 87.927946) (xy 91.211403 87.964326) (xy 91.13236 88.01714) (xy 91.06514 88.08436) (xy 91.012326 88.163403) + (xy 90.975946 88.251231) (xy 90.9574 88.344468) (xy 76.5286 88.344468) (xy 76.5286 87.328468) (xy 76.6064 87.328468) + (xy 76.6064 87.423532) (xy 76.624946 87.516769) (xy 76.661326 87.604597) (xy 76.71414 87.68364) (xy 76.78136 87.75086) + (xy 76.860403 87.803674) (xy 76.948231 87.840054) (xy 77.041468 87.8586) (xy 77.136532 87.8586) (xy 77.229769 87.840054) + (xy 77.317597 87.803674) (xy 77.39664 87.75086) (xy 77.46386 87.68364) (xy 77.516674 87.604597) (xy 77.553054 87.516769) + (xy 77.5716 87.423532) (xy 77.5716 87.328468) (xy 82.3214 87.328468) (xy 82.3214 87.423532) (xy 82.339946 87.516769) + (xy 82.376326 87.604597) (xy 82.42914 87.68364) (xy 82.49636 87.75086) (xy 82.575403 87.803674) (xy 82.663231 87.840054) + (xy 82.756468 87.8586) (xy 82.851532 87.8586) (xy 82.944769 87.840054) (xy 83.032597 87.803674) (xy 83.11164 87.75086) + (xy 83.17886 87.68364) (xy 83.231674 87.604597) (xy 83.268054 87.516769) (xy 83.2866 87.423532) (xy 83.2866 87.328468) + (xy 139.4714 87.328468) (xy 139.4714 87.423532) (xy 139.489946 87.516769) (xy 139.526326 87.604597) (xy 139.57914 87.68364) + (xy 139.64636 87.75086) (xy 139.725403 87.803674) (xy 139.813231 87.840054) (xy 139.906468 87.8586) (xy 140.001532 87.8586) + (xy 140.094769 87.840054) (xy 140.182597 87.803674) (xy 140.26164 87.75086) (xy 140.32886 87.68364) (xy 140.381674 87.604597) + (xy 140.418054 87.516769) (xy 140.4366 87.423532) (xy 140.4366 87.328468) (xy 145.5674 87.328468) (xy 145.5674 87.423532) + (xy 145.585946 87.516769) (xy 145.622326 87.604597) (xy 145.67514 87.68364) (xy 145.74236 87.75086) (xy 145.821403 87.803674) + (xy 145.909231 87.840054) (xy 146.002468 87.8586) (xy 146.097532 87.8586) (xy 146.190769 87.840054) (xy 146.278597 87.803674) + (xy 146.35764 87.75086) (xy 146.42486 87.68364) (xy 146.477674 87.604597) (xy 146.514054 87.516769) (xy 146.5326 87.423532) + (xy 146.5326 87.328468) (xy 151.6634 87.328468) (xy 151.6634 87.423532) (xy 151.681946 87.516769) (xy 151.718326 87.604597) + (xy 151.77114 87.68364) (xy 151.83836 87.75086) (xy 151.917403 87.803674) (xy 152.005231 87.840054) (xy 152.098468 87.8586) + (xy 152.193532 87.8586) (xy 152.286769 87.840054) (xy 152.374597 87.803674) (xy 152.45364 87.75086) (xy 152.52086 87.68364) + (xy 152.573674 87.604597) (xy 152.610054 87.516769) (xy 152.6286 87.423532) (xy 152.6286 87.328468) (xy 157.7594 87.328468) + (xy 157.7594 87.423532) (xy 157.777946 87.516769) (xy 157.814326 87.604597) (xy 157.86714 87.68364) (xy 157.93436 87.75086) + (xy 158.013403 87.803674) (xy 158.101231 87.840054) (xy 158.194468 87.8586) (xy 158.289532 87.8586) (xy 158.382769 87.840054) + (xy 158.470597 87.803674) (xy 158.54964 87.75086) (xy 158.61686 87.68364) (xy 158.669674 87.604597) (xy 158.706054 87.516769) + (xy 158.7246 87.423532) (xy 158.7246 87.328468) (xy 163.7284 87.328468) (xy 163.7284 87.423532) (xy 163.746946 87.516769) + (xy 163.783326 87.604597) (xy 163.83614 87.68364) (xy 163.90336 87.75086) (xy 163.982403 87.803674) (xy 164.070231 87.840054) + (xy 164.163468 87.8586) (xy 164.258532 87.8586) (xy 164.351769 87.840054) (xy 164.439597 87.803674) (xy 164.51864 87.75086) + (xy 164.58586 87.68364) (xy 164.638674 87.604597) (xy 164.675054 87.516769) (xy 164.6936 87.423532) (xy 164.6936 87.328468) + (xy 164.675054 87.235231) (xy 164.638674 87.147403) (xy 164.58586 87.06836) (xy 164.51864 87.00114) (xy 164.439597 86.948326) + (xy 164.351769 86.911946) (xy 164.258532 86.8934) (xy 164.163468 86.8934) (xy 164.070231 86.911946) (xy 163.982403 86.948326) + (xy 163.90336 87.00114) (xy 163.83614 87.06836) (xy 163.783326 87.147403) (xy 163.746946 87.235231) (xy 163.7284 87.328468) + (xy 158.7246 87.328468) (xy 158.706054 87.235231) (xy 158.669674 87.147403) (xy 158.61686 87.06836) (xy 158.54964 87.00114) + (xy 158.470597 86.948326) (xy 158.382769 86.911946) (xy 158.289532 86.8934) (xy 158.194468 86.8934) (xy 158.101231 86.911946) + (xy 158.013403 86.948326) (xy 157.93436 87.00114) (xy 157.86714 87.06836) (xy 157.814326 87.147403) (xy 157.777946 87.235231) + (xy 157.7594 87.328468) (xy 152.6286 87.328468) (xy 152.610054 87.235231) (xy 152.573674 87.147403) (xy 152.52086 87.06836) + (xy 152.45364 87.00114) (xy 152.374597 86.948326) (xy 152.286769 86.911946) (xy 152.193532 86.8934) (xy 152.098468 86.8934) + (xy 152.005231 86.911946) (xy 151.917403 86.948326) (xy 151.83836 87.00114) (xy 151.77114 87.06836) (xy 151.718326 87.147403) + (xy 151.681946 87.235231) (xy 151.6634 87.328468) (xy 146.5326 87.328468) (xy 146.514054 87.235231) (xy 146.477674 87.147403) + (xy 146.42486 87.06836) (xy 146.35764 87.00114) (xy 146.278597 86.948326) (xy 146.190769 86.911946) (xy 146.097532 86.8934) + (xy 146.002468 86.8934) (xy 145.909231 86.911946) (xy 145.821403 86.948326) (xy 145.74236 87.00114) (xy 145.67514 87.06836) + (xy 145.622326 87.147403) (xy 145.585946 87.235231) (xy 145.5674 87.328468) (xy 140.4366 87.328468) (xy 140.418054 87.235231) + (xy 140.381674 87.147403) (xy 140.32886 87.06836) (xy 140.26164 87.00114) (xy 140.182597 86.948326) (xy 140.094769 86.911946) + (xy 140.001532 86.8934) (xy 139.906468 86.8934) (xy 139.813231 86.911946) (xy 139.725403 86.948326) (xy 139.64636 87.00114) + (xy 139.57914 87.06836) (xy 139.526326 87.147403) (xy 139.489946 87.235231) (xy 139.4714 87.328468) (xy 83.2866 87.328468) + (xy 83.268054 87.235231) (xy 83.231674 87.147403) (xy 83.17886 87.06836) (xy 83.11164 87.00114) (xy 83.032597 86.948326) + (xy 82.944769 86.911946) (xy 82.851532 86.8934) (xy 82.756468 86.8934) (xy 82.663231 86.911946) (xy 82.575403 86.948326) + (xy 82.49636 87.00114) (xy 82.42914 87.06836) (xy 82.376326 87.147403) (xy 82.339946 87.235231) (xy 82.3214 87.328468) + (xy 77.5716 87.328468) (xy 77.553054 87.235231) (xy 77.516674 87.147403) (xy 77.46386 87.06836) (xy 77.39664 87.00114) + (xy 77.317597 86.948326) (xy 77.229769 86.911946) (xy 77.136532 86.8934) (xy 77.041468 86.8934) (xy 76.948231 86.911946) + (xy 76.860403 86.948326) (xy 76.78136 87.00114) (xy 76.71414 87.06836) (xy 76.661326 87.147403) (xy 76.624946 87.235231) + (xy 76.6064 87.328468) (xy 76.5286 87.328468) (xy 76.5286 85.296468) (xy 79.4004 85.296468) (xy 79.4004 85.391532) + (xy 79.418946 85.484769) (xy 79.455326 85.572597) (xy 79.50814 85.65164) (xy 79.57536 85.71886) (xy 79.654403 85.771674) + (xy 79.742231 85.808054) (xy 79.835468 85.8266) (xy 79.930532 85.8266) (xy 80.023769 85.808054) (xy 80.111597 85.771674) + (xy 80.19064 85.71886) (xy 80.25786 85.65164) (xy 80.310674 85.572597) (xy 80.347054 85.484769) (xy 80.3656 85.391532) + (xy 80.3656 85.296468) (xy 80.347054 85.203231) (xy 80.327113 85.155088) (xy 86.1124 85.155088) (xy 86.1124 85.278912) + (xy 86.136556 85.400356) (xy 86.183941 85.514754) (xy 86.252734 85.617709) (xy 86.340291 85.705266) (xy 86.443246 85.774059) + (xy 86.557644 85.821444) (xy 86.679088 85.8456) (xy 86.802912 85.8456) (xy 86.924356 85.821444) (xy 87.038754 85.774059) + (xy 87.141709 85.705266) (xy 87.229266 85.617709) (xy 87.298059 85.514754) (xy 87.345444 85.400356) (xy 87.3696 85.278912) + (xy 87.3696 85.155088) (xy 87.345444 85.033644) (xy 87.298059 84.919246) (xy 87.229266 84.816291) (xy 87.187063 84.774088) + (xy 103.1304 84.774088) (xy 103.1304 84.897912) (xy 103.154556 85.019356) (xy 103.201941 85.133754) (xy 103.270734 85.236709) + (xy 103.358291 85.324266) (xy 103.461246 85.393059) (xy 103.575644 85.440444) (xy 103.697088 85.4646) (xy 103.820912 85.4646) + (xy 103.942356 85.440444) (xy 104.056754 85.393059) (xy 104.159709 85.324266) (xy 104.247266 85.236709) (xy 104.316059 85.133754) + (xy 104.363444 85.019356) (xy 104.3876 84.897912) (xy 104.3876 84.774088) (xy 104.3652 84.661468) (xy 107.5944 84.661468) + (xy 107.5944 84.756532) (xy 107.612946 84.849769) (xy 107.649326 84.937597) (xy 107.70214 85.01664) (xy 107.76936 85.08386) + (xy 107.848403 85.136674) (xy 107.936231 85.173054) (xy 108.029468 85.1916) (xy 108.124532 85.1916) (xy 108.217769 85.173054) + (xy 108.305597 85.136674) (xy 108.38464 85.08386) (xy 108.45186 85.01664) (xy 108.504674 84.937597) (xy 108.541054 84.849769) + (xy 108.5596 84.756532) (xy 108.5596 84.661468) (xy 111.9124 84.661468) (xy 111.9124 84.756532) (xy 111.930946 84.849769) + (xy 111.967326 84.937597) (xy 112.02014 85.01664) (xy 112.08736 85.08386) (xy 112.166403 85.136674) (xy 112.254231 85.173054) + (xy 112.347468 85.1916) (xy 112.442532 85.1916) (xy 112.535769 85.173054) (xy 112.623597 85.136674) (xy 112.70264 85.08386) + (xy 112.76986 85.01664) (xy 112.822674 84.937597) (xy 112.859054 84.849769) (xy 112.8776 84.756532) (xy 112.8776 84.661468) + (xy 116.2304 84.661468) (xy 116.2304 84.756532) (xy 116.248946 84.849769) (xy 116.285326 84.937597) (xy 116.33814 85.01664) + (xy 116.40536 85.08386) (xy 116.484403 85.136674) (xy 116.572231 85.173054) (xy 116.665468 85.1916) (xy 116.760532 85.1916) + (xy 116.853769 85.173054) (xy 116.941597 85.136674) (xy 117.02064 85.08386) (xy 117.08786 85.01664) (xy 117.140674 84.937597) + (xy 117.177054 84.849769) (xy 117.192107 84.774088) (xy 120.4024 84.774088) (xy 120.4024 84.897912) (xy 120.426556 85.019356) + (xy 120.473941 85.133754) (xy 120.542734 85.236709) (xy 120.630291 85.324266) (xy 120.733246 85.393059) (xy 120.847644 85.440444) + (xy 120.969088 85.4646) (xy 121.092912 85.4646) (xy 121.214356 85.440444) (xy 121.328754 85.393059) (xy 121.431709 85.324266) + (xy 121.519266 85.236709) (xy 121.573803 85.155088) (xy 137.4204 85.155088) (xy 137.4204 85.278912) (xy 137.444556 85.400356) + (xy 137.491941 85.514754) (xy 137.560734 85.617709) (xy 137.648291 85.705266) (xy 137.751246 85.774059) (xy 137.865644 85.821444) + (xy 137.987088 85.8456) (xy 138.110912 85.8456) (xy 138.232356 85.821444) (xy 138.346754 85.774059) (xy 138.449709 85.705266) + (xy 138.537266 85.617709) (xy 138.606059 85.514754) (xy 138.653444 85.400356) (xy 138.674107 85.296468) (xy 142.5194 85.296468) + (xy 142.5194 85.391532) (xy 142.537946 85.484769) (xy 142.574326 85.572597) (xy 142.62714 85.65164) (xy 142.69436 85.71886) + (xy 142.773403 85.771674) (xy 142.861231 85.808054) (xy 142.954468 85.8266) (xy 143.049532 85.8266) (xy 143.142769 85.808054) + (xy 143.230597 85.771674) (xy 143.30964 85.71886) (xy 143.37686 85.65164) (xy 143.429674 85.572597) (xy 143.466054 85.484769) + (xy 143.4846 85.391532) (xy 143.4846 85.296468) (xy 148.6154 85.296468) (xy 148.6154 85.391532) (xy 148.633946 85.484769) + (xy 148.670326 85.572597) (xy 148.72314 85.65164) (xy 148.79036 85.71886) (xy 148.869403 85.771674) (xy 148.957231 85.808054) + (xy 149.050468 85.8266) (xy 149.145532 85.8266) (xy 149.238769 85.808054) (xy 149.326597 85.771674) (xy 149.40564 85.71886) + (xy 149.47286 85.65164) (xy 149.525674 85.572597) (xy 149.562054 85.484769) (xy 149.5806 85.391532) (xy 149.5806 85.296468) + (xy 154.7114 85.296468) (xy 154.7114 85.391532) (xy 154.729946 85.484769) (xy 154.766326 85.572597) (xy 154.81914 85.65164) + (xy 154.88636 85.71886) (xy 154.965403 85.771674) (xy 155.053231 85.808054) (xy 155.146468 85.8266) (xy 155.241532 85.8266) + (xy 155.334769 85.808054) (xy 155.422597 85.771674) (xy 155.50164 85.71886) (xy 155.56886 85.65164) (xy 155.621674 85.572597) + (xy 155.658054 85.484769) (xy 155.6766 85.391532) (xy 155.6766 85.296468) (xy 160.8074 85.296468) (xy 160.8074 85.391532) + (xy 160.825946 85.484769) (xy 160.862326 85.572597) (xy 160.91514 85.65164) (xy 160.98236 85.71886) (xy 161.061403 85.771674) + (xy 161.149231 85.808054) (xy 161.242468 85.8266) (xy 161.337532 85.8266) (xy 161.430769 85.808054) (xy 161.518597 85.771674) + (xy 161.59764 85.71886) (xy 161.66486 85.65164) (xy 161.717674 85.572597) (xy 161.754054 85.484769) (xy 161.7726 85.391532) + (xy 161.7726 85.296468) (xy 161.754054 85.203231) (xy 161.717674 85.115403) (xy 161.66486 85.03636) (xy 161.59764 84.96914) + (xy 161.518597 84.916326) (xy 161.430769 84.879946) (xy 161.337532 84.8614) (xy 161.242468 84.8614) (xy 161.149231 84.879946) + (xy 161.061403 84.916326) (xy 160.98236 84.96914) (xy 160.91514 85.03636) (xy 160.862326 85.115403) (xy 160.825946 85.203231) + (xy 160.8074 85.296468) (xy 155.6766 85.296468) (xy 155.658054 85.203231) (xy 155.621674 85.115403) (xy 155.56886 85.03636) + (xy 155.50164 84.96914) (xy 155.422597 84.916326) (xy 155.334769 84.879946) (xy 155.241532 84.8614) (xy 155.146468 84.8614) + (xy 155.053231 84.879946) (xy 154.965403 84.916326) (xy 154.88636 84.96914) (xy 154.81914 85.03636) (xy 154.766326 85.115403) + (xy 154.729946 85.203231) (xy 154.7114 85.296468) (xy 149.5806 85.296468) (xy 149.562054 85.203231) (xy 149.525674 85.115403) + (xy 149.47286 85.03636) (xy 149.40564 84.96914) (xy 149.326597 84.916326) (xy 149.238769 84.879946) (xy 149.145532 84.8614) + (xy 149.050468 84.8614) (xy 148.957231 84.879946) (xy 148.869403 84.916326) (xy 148.79036 84.96914) (xy 148.72314 85.03636) + (xy 148.670326 85.115403) (xy 148.633946 85.203231) (xy 148.6154 85.296468) (xy 143.4846 85.296468) (xy 143.466054 85.203231) + (xy 143.429674 85.115403) (xy 143.37686 85.03636) (xy 143.30964 84.96914) (xy 143.230597 84.916326) (xy 143.142769 84.879946) + (xy 143.049532 84.8614) (xy 142.954468 84.8614) (xy 142.861231 84.879946) (xy 142.773403 84.916326) (xy 142.69436 84.96914) + (xy 142.62714 85.03636) (xy 142.574326 85.115403) (xy 142.537946 85.203231) (xy 142.5194 85.296468) (xy 138.674107 85.296468) + (xy 138.6776 85.278912) (xy 138.6776 85.155088) (xy 138.653444 85.033644) (xy 138.606059 84.919246) (xy 138.537266 84.816291) + (xy 138.449709 84.728734) (xy 138.346754 84.659941) (xy 138.232356 84.612556) (xy 138.110912 84.5884) (xy 137.987088 84.5884) + (xy 137.865644 84.612556) (xy 137.751246 84.659941) (xy 137.648291 84.728734) (xy 137.560734 84.816291) (xy 137.491941 84.919246) + (xy 137.444556 85.033644) (xy 137.4204 85.155088) (xy 121.573803 85.155088) (xy 121.588059 85.133754) (xy 121.635444 85.019356) + (xy 121.6596 84.897912) (xy 121.6596 84.774088) (xy 121.635444 84.652644) (xy 121.588059 84.538246) (xy 121.519266 84.435291) + (xy 121.431709 84.347734) (xy 121.328754 84.278941) (xy 121.214356 84.231556) (xy 121.092912 84.2074) (xy 120.969088 84.2074) + (xy 120.847644 84.231556) (xy 120.733246 84.278941) (xy 120.630291 84.347734) (xy 120.542734 84.435291) (xy 120.473941 84.538246) + (xy 120.426556 84.652644) (xy 120.4024 84.774088) (xy 117.192107 84.774088) (xy 117.1956 84.756532) (xy 117.1956 84.661468) + (xy 117.177054 84.568231) (xy 117.140674 84.480403) (xy 117.08786 84.40136) (xy 117.02064 84.33414) (xy 116.941597 84.281326) + (xy 116.853769 84.244946) (xy 116.760532 84.2264) (xy 116.665468 84.2264) (xy 116.572231 84.244946) (xy 116.484403 84.281326) + (xy 116.40536 84.33414) (xy 116.33814 84.40136) (xy 116.285326 84.480403) (xy 116.248946 84.568231) (xy 116.2304 84.661468) + (xy 112.8776 84.661468) (xy 112.859054 84.568231) (xy 112.822674 84.480403) (xy 112.76986 84.40136) (xy 112.70264 84.33414) + (xy 112.623597 84.281326) (xy 112.535769 84.244946) (xy 112.442532 84.2264) (xy 112.347468 84.2264) (xy 112.254231 84.244946) + (xy 112.166403 84.281326) (xy 112.08736 84.33414) (xy 112.02014 84.40136) (xy 111.967326 84.480403) (xy 111.930946 84.568231) + (xy 111.9124 84.661468) (xy 108.5596 84.661468) (xy 108.541054 84.568231) (xy 108.504674 84.480403) (xy 108.45186 84.40136) + (xy 108.38464 84.33414) (xy 108.305597 84.281326) (xy 108.217769 84.244946) (xy 108.124532 84.2264) (xy 108.029468 84.2264) + (xy 107.936231 84.244946) (xy 107.848403 84.281326) (xy 107.76936 84.33414) (xy 107.70214 84.40136) (xy 107.649326 84.480403) + (xy 107.612946 84.568231) (xy 107.5944 84.661468) (xy 104.3652 84.661468) (xy 104.363444 84.652644) (xy 104.316059 84.538246) + (xy 104.247266 84.435291) (xy 104.159709 84.347734) (xy 104.056754 84.278941) (xy 103.942356 84.231556) (xy 103.820912 84.2074) + (xy 103.697088 84.2074) (xy 103.575644 84.231556) (xy 103.461246 84.278941) (xy 103.358291 84.347734) (xy 103.270734 84.435291) + (xy 103.201941 84.538246) (xy 103.154556 84.652644) (xy 103.1304 84.774088) (xy 87.187063 84.774088) (xy 87.141709 84.728734) + (xy 87.038754 84.659941) (xy 86.924356 84.612556) (xy 86.802912 84.5884) (xy 86.679088 84.5884) (xy 86.557644 84.612556) + (xy 86.443246 84.659941) (xy 86.340291 84.728734) (xy 86.252734 84.816291) (xy 86.183941 84.919246) (xy 86.136556 85.033644) + (xy 86.1124 85.155088) (xy 80.327113 85.155088) (xy 80.310674 85.115403) (xy 80.25786 85.03636) (xy 80.19064 84.96914) + (xy 80.111597 84.916326) (xy 80.023769 84.879946) (xy 79.930532 84.8614) (xy 79.835468 84.8614) (xy 79.742231 84.879946) + (xy 79.654403 84.916326) (xy 79.57536 84.96914) (xy 79.50814 85.03636) (xy 79.455326 85.115403) (xy 79.418946 85.203231) + (xy 79.4004 85.296468) (xy 76.5286 85.296468) (xy 76.5286 83.391468) (xy 76.6064 83.391468) (xy 76.6064 83.486532) + (xy 76.624946 83.579769) (xy 76.661326 83.667597) (xy 76.71414 83.74664) (xy 76.78136 83.81386) (xy 76.860403 83.866674) + (xy 76.948231 83.903054) (xy 77.041468 83.9216) (xy 77.136532 83.9216) (xy 77.229769 83.903054) (xy 77.317597 83.866674) + (xy 77.39664 83.81386) (xy 77.46386 83.74664) (xy 77.516674 83.667597) (xy 77.553054 83.579769) (xy 77.5716 83.486532) + (xy 77.5716 83.391468) (xy 82.3214 83.391468) (xy 82.3214 83.486532) (xy 82.339946 83.579769) (xy 82.376326 83.667597) + (xy 82.42914 83.74664) (xy 82.49636 83.81386) (xy 82.575403 83.866674) (xy 82.663231 83.903054) (xy 82.756468 83.9216) + (xy 82.851532 83.9216) (xy 82.944769 83.903054) (xy 83.032597 83.866674) (xy 83.11164 83.81386) (xy 83.17886 83.74664) + (xy 83.231674 83.667597) (xy 83.268054 83.579769) (xy 83.2866 83.486532) (xy 83.2866 83.391468) (xy 88.2904 83.391468) + (xy 88.2904 83.486532) (xy 88.308946 83.579769) (xy 88.345326 83.667597) (xy 88.39814 83.74664) (xy 88.46536 83.81386) + (xy 88.544403 83.866674) (xy 88.632231 83.903054) (xy 88.725468 83.9216) (xy 88.820532 83.9216) (xy 88.913769 83.903054) + (xy 89.001597 83.866674) (xy 89.08064 83.81386) (xy 89.14786 83.74664) (xy 89.200674 83.667597) (xy 89.237054 83.579769) + (xy 89.2556 83.486532) (xy 89.2556 83.391468) (xy 92.3544 83.391468) (xy 92.3544 83.486532) (xy 92.372946 83.579769) + (xy 92.409326 83.667597) (xy 92.46214 83.74664) (xy 92.52936 83.81386) (xy 92.608403 83.866674) (xy 92.696231 83.903054) + (xy 92.789468 83.9216) (xy 92.884532 83.9216) (xy 92.977769 83.903054) (xy 93.065597 83.866674) (xy 93.14464 83.81386) + (xy 93.21186 83.74664) (xy 93.264674 83.667597) (xy 93.301054 83.579769) (xy 93.3196 83.486532) (xy 93.3196 83.391468) + (xy 96.7994 83.391468) (xy 96.7994 83.486532) (xy 96.817946 83.579769) (xy 96.854326 83.667597) (xy 96.90714 83.74664) + (xy 96.97436 83.81386) (xy 97.053403 83.866674) (xy 97.141231 83.903054) (xy 97.234468 83.9216) (xy 97.329532 83.9216) + (xy 97.422769 83.903054) (xy 97.510597 83.866674) (xy 97.58964 83.81386) (xy 97.65686 83.74664) (xy 97.709674 83.667597) + (xy 97.746054 83.579769) (xy 97.7646 83.486532) (xy 97.7646 83.391468) (xy 101.2444 83.391468) (xy 101.2444 83.486532) + (xy 101.262946 83.579769) (xy 101.299326 83.667597) (xy 101.35214 83.74664) (xy 101.41936 83.81386) (xy 101.498403 83.866674) + (xy 101.586231 83.903054) (xy 101.679468 83.9216) (xy 101.774532 83.9216) (xy 101.867769 83.903054) (xy 101.955597 83.866674) + (xy 102.03464 83.81386) (xy 102.10186 83.74664) (xy 102.154674 83.667597) (xy 102.191054 83.579769) (xy 102.2096 83.486532) + (xy 102.2096 83.391468) (xy 105.3084 83.391468) (xy 105.3084 83.486532) (xy 105.326946 83.579769) (xy 105.363326 83.667597) + (xy 105.41614 83.74664) (xy 105.48336 83.81386) (xy 105.562403 83.866674) (xy 105.650231 83.903054) (xy 105.743468 83.9216) + (xy 105.838532 83.9216) (xy 105.931769 83.903054) (xy 106.019597 83.866674) (xy 106.09864 83.81386) (xy 106.16586 83.74664) + (xy 106.218674 83.667597) (xy 106.255054 83.579769) (xy 106.2736 83.486532) (xy 106.2736 83.391468) (xy 109.6264 83.391468) + (xy 109.6264 83.486532) (xy 109.644946 83.579769) (xy 109.681326 83.667597) (xy 109.73414 83.74664) (xy 109.80136 83.81386) + (xy 109.880403 83.866674) (xy 109.968231 83.903054) (xy 110.061468 83.9216) (xy 110.156532 83.9216) (xy 110.249769 83.903054) + (xy 110.337597 83.866674) (xy 110.41664 83.81386) (xy 110.48386 83.74664) (xy 110.536674 83.667597) (xy 110.573054 83.579769) + (xy 110.5916 83.486532) (xy 110.5916 83.391468) (xy 113.9444 83.391468) (xy 113.9444 83.486532) (xy 113.962946 83.579769) + (xy 113.999326 83.667597) (xy 114.05214 83.74664) (xy 114.11936 83.81386) (xy 114.198403 83.866674) (xy 114.286231 83.903054) + (xy 114.379468 83.9216) (xy 114.474532 83.9216) (xy 114.567769 83.903054) (xy 114.655597 83.866674) (xy 114.73464 83.81386) + (xy 114.80186 83.74664) (xy 114.854674 83.667597) (xy 114.891054 83.579769) (xy 114.9096 83.486532) (xy 114.9096 83.391468) + (xy 118.2624 83.391468) (xy 118.2624 83.486532) (xy 118.280946 83.579769) (xy 118.317326 83.667597) (xy 118.37014 83.74664) + (xy 118.43736 83.81386) (xy 118.516403 83.866674) (xy 118.604231 83.903054) (xy 118.697468 83.9216) (xy 118.792532 83.9216) + (xy 118.885769 83.903054) (xy 118.973597 83.866674) (xy 119.05264 83.81386) (xy 119.11986 83.74664) (xy 119.172674 83.667597) + (xy 119.209054 83.579769) (xy 119.2276 83.486532) (xy 119.2276 83.391468) (xy 122.5804 83.391468) (xy 122.5804 83.486532) + (xy 122.598946 83.579769) (xy 122.635326 83.667597) (xy 122.68814 83.74664) (xy 122.75536 83.81386) (xy 122.834403 83.866674) + (xy 122.922231 83.903054) (xy 123.015468 83.9216) (xy 123.110532 83.9216) (xy 123.203769 83.903054) (xy 123.291597 83.866674) + (xy 123.37064 83.81386) (xy 123.43786 83.74664) (xy 123.490674 83.667597) (xy 123.527054 83.579769) (xy 123.5456 83.486532) + (xy 123.5456 83.391468) (xy 126.6444 83.391468) (xy 126.6444 83.486532) (xy 126.662946 83.579769) (xy 126.699326 83.667597) + (xy 126.75214 83.74664) (xy 126.81936 83.81386) (xy 126.898403 83.866674) (xy 126.986231 83.903054) (xy 127.079468 83.9216) + (xy 127.174532 83.9216) (xy 127.267769 83.903054) (xy 127.355597 83.866674) (xy 127.43464 83.81386) (xy 127.50186 83.74664) + (xy 127.554674 83.667597) (xy 127.591054 83.579769) (xy 127.6096 83.486532) (xy 127.6096 83.391468) (xy 131.0894 83.391468) + (xy 131.0894 83.486532) (xy 131.107946 83.579769) (xy 131.144326 83.667597) (xy 131.19714 83.74664) (xy 131.26436 83.81386) + (xy 131.343403 83.866674) (xy 131.431231 83.903054) (xy 131.524468 83.9216) (xy 131.619532 83.9216) (xy 131.712769 83.903054) + (xy 131.800597 83.866674) (xy 131.87964 83.81386) (xy 131.94686 83.74664) (xy 131.999674 83.667597) (xy 132.036054 83.579769) + (xy 132.0546 83.486532) (xy 132.0546 83.391468) (xy 135.5344 83.391468) (xy 135.5344 83.486532) (xy 135.552946 83.579769) + (xy 135.589326 83.667597) (xy 135.64214 83.74664) (xy 135.70936 83.81386) (xy 135.788403 83.866674) (xy 135.876231 83.903054) + (xy 135.969468 83.9216) (xy 136.064532 83.9216) (xy 136.157769 83.903054) (xy 136.245597 83.866674) (xy 136.32464 83.81386) + (xy 136.39186 83.74664) (xy 136.444674 83.667597) (xy 136.481054 83.579769) (xy 136.4996 83.486532) (xy 136.4996 83.391468) + (xy 139.5984 83.391468) (xy 139.5984 83.486532) (xy 139.616946 83.579769) (xy 139.653326 83.667597) (xy 139.70614 83.74664) + (xy 139.77336 83.81386) (xy 139.852403 83.866674) (xy 139.940231 83.903054) (xy 140.033468 83.9216) (xy 140.128532 83.9216) + (xy 140.221769 83.903054) (xy 140.309597 83.866674) (xy 140.38864 83.81386) (xy 140.45586 83.74664) (xy 140.508674 83.667597) + (xy 140.545054 83.579769) (xy 140.5636 83.486532) (xy 140.5636 83.391468) (xy 145.5674 83.391468) (xy 145.5674 83.486532) + (xy 145.585946 83.579769) (xy 145.622326 83.667597) (xy 145.67514 83.74664) (xy 145.74236 83.81386) (xy 145.821403 83.866674) + (xy 145.909231 83.903054) (xy 146.002468 83.9216) (xy 146.097532 83.9216) (xy 146.190769 83.903054) (xy 146.278597 83.866674) + (xy 146.35764 83.81386) (xy 146.42486 83.74664) (xy 146.477674 83.667597) (xy 146.514054 83.579769) (xy 146.5326 83.486532) + (xy 146.5326 83.391468) (xy 151.6634 83.391468) (xy 151.6634 83.486532) (xy 151.681946 83.579769) (xy 151.718326 83.667597) + (xy 151.77114 83.74664) (xy 151.83836 83.81386) (xy 151.917403 83.866674) (xy 152.005231 83.903054) (xy 152.098468 83.9216) + (xy 152.193532 83.9216) (xy 152.286769 83.903054) (xy 152.374597 83.866674) (xy 152.45364 83.81386) (xy 152.52086 83.74664) + (xy 152.573674 83.667597) (xy 152.610054 83.579769) (xy 152.6286 83.486532) (xy 152.6286 83.391468) (xy 157.7594 83.391468) + (xy 157.7594 83.486532) (xy 157.777946 83.579769) (xy 157.814326 83.667597) (xy 157.86714 83.74664) (xy 157.93436 83.81386) + (xy 158.013403 83.866674) (xy 158.101231 83.903054) (xy 158.194468 83.9216) (xy 158.289532 83.9216) (xy 158.382769 83.903054) + (xy 158.470597 83.866674) (xy 158.54964 83.81386) (xy 158.61686 83.74664) (xy 158.669674 83.667597) (xy 158.706054 83.579769) + (xy 158.7246 83.486532) (xy 158.7246 83.391468) (xy 163.7284 83.391468) (xy 163.7284 83.486532) (xy 163.746946 83.579769) + (xy 163.783326 83.667597) (xy 163.83614 83.74664) (xy 163.90336 83.81386) (xy 163.982403 83.866674) (xy 164.070231 83.903054) + (xy 164.163468 83.9216) (xy 164.258532 83.9216) (xy 164.351769 83.903054) (xy 164.439597 83.866674) (xy 164.51864 83.81386) + (xy 164.58586 83.74664) (xy 164.638674 83.667597) (xy 164.675054 83.579769) (xy 164.6936 83.486532) (xy 164.6936 83.391468) + (xy 164.675054 83.298231) (xy 164.638674 83.210403) (xy 164.58586 83.13136) (xy 164.51864 83.06414) (xy 164.439597 83.011326) + (xy 164.351769 82.974946) (xy 164.258532 82.9564) (xy 164.163468 82.9564) (xy 164.070231 82.974946) (xy 163.982403 83.011326) + (xy 163.90336 83.06414) (xy 163.83614 83.13136) (xy 163.783326 83.210403) (xy 163.746946 83.298231) (xy 163.7284 83.391468) + (xy 158.7246 83.391468) (xy 158.706054 83.298231) (xy 158.669674 83.210403) (xy 158.61686 83.13136) (xy 158.54964 83.06414) + (xy 158.470597 83.011326) (xy 158.382769 82.974946) (xy 158.289532 82.9564) (xy 158.194468 82.9564) (xy 158.101231 82.974946) + (xy 158.013403 83.011326) (xy 157.93436 83.06414) (xy 157.86714 83.13136) (xy 157.814326 83.210403) (xy 157.777946 83.298231) + (xy 157.7594 83.391468) (xy 152.6286 83.391468) (xy 152.610054 83.298231) (xy 152.573674 83.210403) (xy 152.52086 83.13136) + (xy 152.45364 83.06414) (xy 152.374597 83.011326) (xy 152.286769 82.974946) (xy 152.193532 82.9564) (xy 152.098468 82.9564) + (xy 152.005231 82.974946) (xy 151.917403 83.011326) (xy 151.83836 83.06414) (xy 151.77114 83.13136) (xy 151.718326 83.210403) + (xy 151.681946 83.298231) (xy 151.6634 83.391468) (xy 146.5326 83.391468) (xy 146.514054 83.298231) (xy 146.477674 83.210403) + (xy 146.42486 83.13136) (xy 146.35764 83.06414) (xy 146.278597 83.011326) (xy 146.190769 82.974946) (xy 146.097532 82.9564) + (xy 146.002468 82.9564) (xy 145.909231 82.974946) (xy 145.821403 83.011326) (xy 145.74236 83.06414) (xy 145.67514 83.13136) + (xy 145.622326 83.210403) (xy 145.585946 83.298231) (xy 145.5674 83.391468) (xy 140.5636 83.391468) (xy 140.545054 83.298231) + (xy 140.508674 83.210403) (xy 140.45586 83.13136) (xy 140.38864 83.06414) (xy 140.309597 83.011326) (xy 140.221769 82.974946) + (xy 140.128532 82.9564) (xy 140.033468 82.9564) (xy 139.940231 82.974946) (xy 139.852403 83.011326) (xy 139.77336 83.06414) + (xy 139.70614 83.13136) (xy 139.653326 83.210403) (xy 139.616946 83.298231) (xy 139.5984 83.391468) (xy 136.4996 83.391468) + (xy 136.481054 83.298231) (xy 136.444674 83.210403) (xy 136.39186 83.13136) (xy 136.32464 83.06414) (xy 136.245597 83.011326) + (xy 136.157769 82.974946) (xy 136.064532 82.9564) (xy 135.969468 82.9564) (xy 135.876231 82.974946) (xy 135.788403 83.011326) + (xy 135.70936 83.06414) (xy 135.64214 83.13136) (xy 135.589326 83.210403) (xy 135.552946 83.298231) (xy 135.5344 83.391468) + (xy 132.0546 83.391468) (xy 132.036054 83.298231) (xy 131.999674 83.210403) (xy 131.94686 83.13136) (xy 131.87964 83.06414) + (xy 131.800597 83.011326) (xy 131.712769 82.974946) (xy 131.619532 82.9564) (xy 131.524468 82.9564) (xy 131.431231 82.974946) + (xy 131.343403 83.011326) (xy 131.26436 83.06414) (xy 131.19714 83.13136) (xy 131.144326 83.210403) (xy 131.107946 83.298231) + (xy 131.0894 83.391468) (xy 127.6096 83.391468) (xy 127.591054 83.298231) (xy 127.554674 83.210403) (xy 127.50186 83.13136) + (xy 127.43464 83.06414) (xy 127.355597 83.011326) (xy 127.267769 82.974946) (xy 127.174532 82.9564) (xy 127.079468 82.9564) + (xy 126.986231 82.974946) (xy 126.898403 83.011326) (xy 126.81936 83.06414) (xy 126.75214 83.13136) (xy 126.699326 83.210403) + (xy 126.662946 83.298231) (xy 126.6444 83.391468) (xy 123.5456 83.391468) (xy 123.527054 83.298231) (xy 123.490674 83.210403) + (xy 123.43786 83.13136) (xy 123.37064 83.06414) (xy 123.291597 83.011326) (xy 123.203769 82.974946) (xy 123.110532 82.9564) + (xy 123.015468 82.9564) (xy 122.922231 82.974946) (xy 122.834403 83.011326) (xy 122.75536 83.06414) (xy 122.68814 83.13136) + (xy 122.635326 83.210403) (xy 122.598946 83.298231) (xy 122.5804 83.391468) (xy 119.2276 83.391468) (xy 119.209054 83.298231) + (xy 119.172674 83.210403) (xy 119.11986 83.13136) (xy 119.05264 83.06414) (xy 118.973597 83.011326) (xy 118.885769 82.974946) + (xy 118.792532 82.9564) (xy 118.697468 82.9564) (xy 118.604231 82.974946) (xy 118.516403 83.011326) (xy 118.43736 83.06414) + (xy 118.37014 83.13136) (xy 118.317326 83.210403) (xy 118.280946 83.298231) (xy 118.2624 83.391468) (xy 114.9096 83.391468) + (xy 114.891054 83.298231) (xy 114.854674 83.210403) (xy 114.80186 83.13136) (xy 114.73464 83.06414) (xy 114.655597 83.011326) + (xy 114.567769 82.974946) (xy 114.474532 82.9564) (xy 114.379468 82.9564) (xy 114.286231 82.974946) (xy 114.198403 83.011326) + (xy 114.11936 83.06414) (xy 114.05214 83.13136) (xy 113.999326 83.210403) (xy 113.962946 83.298231) (xy 113.9444 83.391468) + (xy 110.5916 83.391468) (xy 110.573054 83.298231) (xy 110.536674 83.210403) (xy 110.48386 83.13136) (xy 110.41664 83.06414) + (xy 110.337597 83.011326) (xy 110.249769 82.974946) (xy 110.156532 82.9564) (xy 110.061468 82.9564) (xy 109.968231 82.974946) + (xy 109.880403 83.011326) (xy 109.80136 83.06414) (xy 109.73414 83.13136) (xy 109.681326 83.210403) (xy 109.644946 83.298231) + (xy 109.6264 83.391468) (xy 106.2736 83.391468) (xy 106.255054 83.298231) (xy 106.218674 83.210403) (xy 106.16586 83.13136) + (xy 106.09864 83.06414) (xy 106.019597 83.011326) (xy 105.931769 82.974946) (xy 105.838532 82.9564) (xy 105.743468 82.9564) + (xy 105.650231 82.974946) (xy 105.562403 83.011326) (xy 105.48336 83.06414) (xy 105.41614 83.13136) (xy 105.363326 83.210403) + (xy 105.326946 83.298231) (xy 105.3084 83.391468) (xy 102.2096 83.391468) (xy 102.191054 83.298231) (xy 102.154674 83.210403) + (xy 102.10186 83.13136) (xy 102.03464 83.06414) (xy 101.955597 83.011326) (xy 101.867769 82.974946) (xy 101.774532 82.9564) + (xy 101.679468 82.9564) (xy 101.586231 82.974946) (xy 101.498403 83.011326) (xy 101.41936 83.06414) (xy 101.35214 83.13136) + (xy 101.299326 83.210403) (xy 101.262946 83.298231) (xy 101.2444 83.391468) (xy 97.7646 83.391468) (xy 97.746054 83.298231) + (xy 97.709674 83.210403) (xy 97.65686 83.13136) (xy 97.58964 83.06414) (xy 97.510597 83.011326) (xy 97.422769 82.974946) + (xy 97.329532 82.9564) (xy 97.234468 82.9564) (xy 97.141231 82.974946) (xy 97.053403 83.011326) (xy 96.97436 83.06414) + (xy 96.90714 83.13136) (xy 96.854326 83.210403) (xy 96.817946 83.298231) (xy 96.7994 83.391468) (xy 93.3196 83.391468) + (xy 93.301054 83.298231) (xy 93.264674 83.210403) (xy 93.21186 83.13136) (xy 93.14464 83.06414) (xy 93.065597 83.011326) + (xy 92.977769 82.974946) (xy 92.884532 82.9564) (xy 92.789468 82.9564) (xy 92.696231 82.974946) (xy 92.608403 83.011326) + (xy 92.52936 83.06414) (xy 92.46214 83.13136) (xy 92.409326 83.210403) (xy 92.372946 83.298231) (xy 92.3544 83.391468) + (xy 89.2556 83.391468) (xy 89.237054 83.298231) (xy 89.200674 83.210403) (xy 89.14786 83.13136) (xy 89.08064 83.06414) + (xy 89.001597 83.011326) (xy 88.913769 82.974946) (xy 88.820532 82.9564) (xy 88.725468 82.9564) (xy 88.632231 82.974946) + (xy 88.544403 83.011326) (xy 88.46536 83.06414) (xy 88.39814 83.13136) (xy 88.345326 83.210403) (xy 88.308946 83.298231) + (xy 88.2904 83.391468) (xy 83.2866 83.391468) (xy 83.268054 83.298231) (xy 83.231674 83.210403) (xy 83.17886 83.13136) + (xy 83.11164 83.06414) (xy 83.032597 83.011326) (xy 82.944769 82.974946) (xy 82.851532 82.9564) (xy 82.756468 82.9564) + (xy 82.663231 82.974946) (xy 82.575403 83.011326) (xy 82.49636 83.06414) (xy 82.42914 83.13136) (xy 82.376326 83.210403) + (xy 82.339946 83.298231) (xy 82.3214 83.391468) (xy 77.5716 83.391468) (xy 77.553054 83.298231) (xy 77.516674 83.210403) + (xy 77.46386 83.13136) (xy 77.39664 83.06414) (xy 77.317597 83.011326) (xy 77.229769 82.974946) (xy 77.136532 82.9564) + (xy 77.041468 82.9564) (xy 76.948231 82.974946) (xy 76.860403 83.011326) (xy 76.78136 83.06414) (xy 76.71414 83.13136) + (xy 76.661326 83.210403) (xy 76.624946 83.298231) (xy 76.6064 83.391468) (xy 76.5286 83.391468) (xy 76.5286 82.8786) + (xy 164.7714 82.8786) ) ) ) - (zone (net 9) (net_name GND) (layer B.Cu) (tstamp 5D130659) (hatch edge 0.508) + (zone (net 9) (net_name GND) (layer In1.Cu) (tstamp 5D35ADCC) (hatch edge 0.508) (connect_pads (clearance 0.1524)) (min_thickness 0.1524) - (fill (arc_segments 16) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (fill yes (arc_segments 16) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) (polygon (pts - (xy 100.838 66.548) (xy 178.562 66.548) (xy 178.562 85.598) (xy 100.838 85.598) + (xy 76.2 82.55) (xy 165.1 82.55) (xy 165.1 101.6) (xy 76.2 101.6) + ) + ) + (filled_polygon + (pts + (xy 164.771401 101.2714) (xy 78.5606 101.2714) (xy 78.5606 99.568) (xy 85.7758 99.568) (xy 85.7758 100.457) + (xy 85.777264 100.471866) (xy 85.7816 100.48616) (xy 85.788642 100.499334) (xy 85.798118 100.510882) (xy 85.809666 100.520358) + (xy 85.82284 100.5274) (xy 85.837134 100.531736) (xy 85.852 100.5332) (xy 86.868 100.5332) (xy 86.882866 100.531736) + (xy 86.89716 100.5274) (xy 86.910334 100.520358) (xy 86.921882 100.510882) (xy 86.931358 100.499334) (xy 86.9384 100.48616) + (xy 86.942736 100.471866) (xy 86.9442 100.457) (xy 86.9442 99.568) (xy 88.3158 99.568) (xy 88.3158 100.457) + (xy 88.317264 100.471866) (xy 88.3216 100.48616) (xy 88.328642 100.499334) (xy 88.338118 100.510882) (xy 88.349666 100.520358) + (xy 88.36284 100.5274) (xy 88.377134 100.531736) (xy 88.392 100.5332) (xy 89.408 100.5332) (xy 89.422866 100.531736) + (xy 89.43716 100.5274) (xy 89.450334 100.520358) (xy 89.461882 100.510882) (xy 89.471358 100.499334) (xy 89.4784 100.48616) + (xy 89.482736 100.471866) (xy 89.4842 100.457) (xy 89.4842 99.568) (xy 90.8558 99.568) (xy 90.8558 100.457) + (xy 90.857264 100.471866) (xy 90.8616 100.48616) (xy 90.868642 100.499334) (xy 90.878118 100.510882) (xy 90.889666 100.520358) + (xy 90.90284 100.5274) (xy 90.917134 100.531736) (xy 90.932 100.5332) (xy 91.948 100.5332) (xy 91.962866 100.531736) + (xy 91.97716 100.5274) (xy 91.990334 100.520358) (xy 92.001882 100.510882) (xy 92.011358 100.499334) (xy 92.0184 100.48616) + (xy 92.022736 100.471866) (xy 92.0242 100.457) (xy 92.0242 99.568) (xy 93.3958 99.568) (xy 93.3958 100.457) + (xy 93.397264 100.471866) (xy 93.4016 100.48616) (xy 93.408642 100.499334) (xy 93.418118 100.510882) (xy 93.429666 100.520358) + (xy 93.44284 100.5274) (xy 93.457134 100.531736) (xy 93.472 100.5332) (xy 94.488 100.5332) (xy 94.502866 100.531736) + (xy 94.51716 100.5274) (xy 94.530334 100.520358) (xy 94.541882 100.510882) (xy 94.551358 100.499334) (xy 94.5584 100.48616) + (xy 94.562736 100.471866) (xy 94.5642 100.457) (xy 94.5642 99.568) (xy 95.9358 99.568) (xy 95.9358 100.457) + (xy 95.937264 100.471866) (xy 95.9416 100.48616) (xy 95.948642 100.499334) (xy 95.958118 100.510882) (xy 95.969666 100.520358) + (xy 95.98284 100.5274) (xy 95.997134 100.531736) (xy 96.012 100.5332) (xy 97.028 100.5332) (xy 97.042866 100.531736) + (xy 97.05716 100.5274) (xy 97.070334 100.520358) (xy 97.081882 100.510882) (xy 97.091358 100.499334) (xy 97.0984 100.48616) + (xy 97.102736 100.471866) (xy 97.1042 100.457) (xy 97.1042 99.568) (xy 98.4758 99.568) (xy 98.4758 100.457) + (xy 98.477264 100.471866) (xy 98.4816 100.48616) (xy 98.488642 100.499334) (xy 98.498118 100.510882) (xy 98.509666 100.520358) + (xy 98.52284 100.5274) (xy 98.537134 100.531736) (xy 98.552 100.5332) (xy 99.568 100.5332) (xy 99.582866 100.531736) + (xy 99.59716 100.5274) (xy 99.610334 100.520358) (xy 99.621882 100.510882) (xy 99.631358 100.499334) (xy 99.6384 100.48616) + (xy 99.642736 100.471866) (xy 99.6442 100.457) (xy 99.6442 99.568) (xy 101.0158 99.568) (xy 101.0158 100.457) + (xy 101.017264 100.471866) (xy 101.0216 100.48616) (xy 101.028642 100.499334) (xy 101.038118 100.510882) (xy 101.049666 100.520358) + (xy 101.06284 100.5274) (xy 101.077134 100.531736) (xy 101.092 100.5332) (xy 102.108 100.5332) (xy 102.122866 100.531736) + (xy 102.13716 100.5274) (xy 102.150334 100.520358) (xy 102.161882 100.510882) (xy 102.171358 100.499334) (xy 102.1784 100.48616) + (xy 102.182736 100.471866) (xy 102.1842 100.457) (xy 102.1842 99.568) (xy 106.0958 99.568) (xy 106.0958 100.457) + (xy 106.097264 100.471866) (xy 106.1016 100.48616) (xy 106.108642 100.499334) (xy 106.118118 100.510882) (xy 106.129666 100.520358) + (xy 106.14284 100.5274) (xy 106.157134 100.531736) (xy 106.172 100.5332) (xy 107.188 100.5332) (xy 107.202866 100.531736) + (xy 107.21716 100.5274) (xy 107.230334 100.520358) (xy 107.241882 100.510882) (xy 107.251358 100.499334) (xy 107.2584 100.48616) + (xy 107.262736 100.471866) (xy 107.2642 100.457) (xy 107.2642 99.568) (xy 108.6358 99.568) (xy 108.6358 100.457) + (xy 108.637264 100.471866) (xy 108.6416 100.48616) (xy 108.648642 100.499334) (xy 108.658118 100.510882) (xy 108.669666 100.520358) + (xy 108.68284 100.5274) (xy 108.697134 100.531736) (xy 108.712 100.5332) (xy 109.728 100.5332) (xy 109.742866 100.531736) + (xy 109.75716 100.5274) (xy 109.770334 100.520358) (xy 109.781882 100.510882) (xy 109.791358 100.499334) (xy 109.7984 100.48616) + (xy 109.802736 100.471866) (xy 109.8042 100.457) (xy 109.8042 99.568) (xy 111.1758 99.568) (xy 111.1758 100.457) + (xy 111.177264 100.471866) (xy 111.1816 100.48616) (xy 111.188642 100.499334) (xy 111.198118 100.510882) (xy 111.209666 100.520358) + (xy 111.22284 100.5274) (xy 111.237134 100.531736) (xy 111.252 100.5332) (xy 112.268 100.5332) (xy 112.282866 100.531736) + (xy 112.29716 100.5274) (xy 112.310334 100.520358) (xy 112.321882 100.510882) (xy 112.331358 100.499334) (xy 112.3384 100.48616) + (xy 112.342736 100.471866) (xy 112.3442 100.457) (xy 112.3442 99.568) (xy 113.7158 99.568) (xy 113.7158 100.457) + (xy 113.717264 100.471866) (xy 113.7216 100.48616) (xy 113.728642 100.499334) (xy 113.738118 100.510882) (xy 113.749666 100.520358) + (xy 113.76284 100.5274) (xy 113.777134 100.531736) (xy 113.792 100.5332) (xy 114.808 100.5332) (xy 114.822866 100.531736) + (xy 114.83716 100.5274) (xy 114.850334 100.520358) (xy 114.861882 100.510882) (xy 114.871358 100.499334) (xy 114.8784 100.48616) + (xy 114.882736 100.471866) (xy 114.8842 100.457) (xy 114.8842 99.568) (xy 116.2558 99.568) (xy 116.2558 100.457) + (xy 116.257264 100.471866) (xy 116.2616 100.48616) (xy 116.268642 100.499334) (xy 116.278118 100.510882) (xy 116.289666 100.520358) + (xy 116.30284 100.5274) (xy 116.317134 100.531736) (xy 116.332 100.5332) (xy 117.348 100.5332) (xy 117.362866 100.531736) + (xy 117.37716 100.5274) (xy 117.390334 100.520358) (xy 117.401882 100.510882) (xy 117.411358 100.499334) (xy 117.4184 100.48616) + (xy 117.422736 100.471866) (xy 117.4242 100.457) (xy 117.4242 99.568) (xy 118.7958 99.568) (xy 118.7958 100.457) + (xy 118.797264 100.471866) (xy 118.8016 100.48616) (xy 118.808642 100.499334) (xy 118.818118 100.510882) (xy 118.829666 100.520358) + (xy 118.84284 100.5274) (xy 118.857134 100.531736) (xy 118.872 100.5332) (xy 119.888 100.5332) (xy 119.902866 100.531736) + (xy 119.91716 100.5274) (xy 119.930334 100.520358) (xy 119.941882 100.510882) (xy 119.951358 100.499334) (xy 119.9584 100.48616) + (xy 119.962736 100.471866) (xy 119.9642 100.457) (xy 119.9642 99.568) (xy 121.3358 99.568) (xy 121.3358 100.457) + (xy 121.337264 100.471866) (xy 121.3416 100.48616) (xy 121.348642 100.499334) (xy 121.358118 100.510882) (xy 121.369666 100.520358) + (xy 121.38284 100.5274) (xy 121.397134 100.531736) (xy 121.412 100.5332) (xy 122.428 100.5332) (xy 122.442866 100.531736) + (xy 122.45716 100.5274) (xy 122.470334 100.520358) (xy 122.481882 100.510882) (xy 122.491358 100.499334) (xy 122.4984 100.48616) + (xy 122.502736 100.471866) (xy 122.5042 100.457) (xy 122.5042 99.568) (xy 123.8758 99.568) (xy 123.8758 100.457) + (xy 123.877264 100.471866) (xy 123.8816 100.48616) (xy 123.888642 100.499334) (xy 123.898118 100.510882) (xy 123.909666 100.520358) + (xy 123.92284 100.5274) (xy 123.937134 100.531736) (xy 123.952 100.5332) (xy 124.968 100.5332) (xy 124.982866 100.531736) + (xy 124.99716 100.5274) (xy 125.010334 100.520358) (xy 125.021882 100.510882) (xy 125.031358 100.499334) (xy 125.0384 100.48616) + (xy 125.042736 100.471866) (xy 125.0442 100.457) (xy 125.0442 99.568) (xy 126.4158 99.568) (xy 126.4158 100.457) + (xy 126.417264 100.471866) (xy 126.4216 100.48616) (xy 126.428642 100.499334) (xy 126.438118 100.510882) (xy 126.449666 100.520358) + (xy 126.46284 100.5274) (xy 126.477134 100.531736) (xy 126.492 100.5332) (xy 127.508 100.5332) (xy 127.522866 100.531736) + (xy 127.53716 100.5274) (xy 127.550334 100.520358) (xy 127.561882 100.510882) (xy 127.571358 100.499334) (xy 127.5784 100.48616) + (xy 127.582736 100.471866) (xy 127.5842 100.457) (xy 127.5842 99.568) (xy 128.9558 99.568) (xy 128.9558 100.457) + (xy 128.957264 100.471866) (xy 128.9616 100.48616) (xy 128.968642 100.499334) (xy 128.978118 100.510882) (xy 128.989666 100.520358) + (xy 129.00284 100.5274) (xy 129.017134 100.531736) (xy 129.032 100.5332) (xy 130.048 100.5332) (xy 130.062866 100.531736) + (xy 130.07716 100.5274) (xy 130.090334 100.520358) (xy 130.101882 100.510882) (xy 130.111358 100.499334) (xy 130.1184 100.48616) + (xy 130.122736 100.471866) (xy 130.1242 100.457) (xy 130.1242 99.568) (xy 131.4958 99.568) (xy 131.4958 100.457) + (xy 131.497264 100.471866) (xy 131.5016 100.48616) (xy 131.508642 100.499334) (xy 131.518118 100.510882) (xy 131.529666 100.520358) + (xy 131.54284 100.5274) (xy 131.557134 100.531736) (xy 131.572 100.5332) (xy 132.588 100.5332) (xy 132.602866 100.531736) + (xy 132.61716 100.5274) (xy 132.630334 100.520358) (xy 132.641882 100.510882) (xy 132.651358 100.499334) (xy 132.6584 100.48616) + (xy 132.662736 100.471866) (xy 132.6642 100.457) (xy 132.6642 99.568) (xy 134.0358 99.568) (xy 134.0358 100.457) + (xy 134.037264 100.471866) (xy 134.0416 100.48616) (xy 134.048642 100.499334) (xy 134.058118 100.510882) (xy 134.069666 100.520358) + (xy 134.08284 100.5274) (xy 134.097134 100.531736) (xy 134.112 100.5332) (xy 135.128 100.5332) (xy 135.142866 100.531736) + (xy 135.15716 100.5274) (xy 135.170334 100.520358) (xy 135.181882 100.510882) (xy 135.191358 100.499334) (xy 135.1984 100.48616) + (xy 135.202736 100.471866) (xy 135.2042 100.457) (xy 135.2042 99.568) (xy 139.1158 99.568) (xy 139.1158 100.457) + (xy 139.117264 100.471866) (xy 139.1216 100.48616) (xy 139.128642 100.499334) (xy 139.138118 100.510882) (xy 139.149666 100.520358) + (xy 139.16284 100.5274) (xy 139.177134 100.531736) (xy 139.192 100.5332) (xy 140.208 100.5332) (xy 140.222866 100.531736) + (xy 140.23716 100.5274) (xy 140.250334 100.520358) (xy 140.261882 100.510882) (xy 140.271358 100.499334) (xy 140.2784 100.48616) + (xy 140.282736 100.471866) (xy 140.2842 100.457) (xy 140.2842 99.568) (xy 141.6558 99.568) (xy 141.6558 100.457) + (xy 141.657264 100.471866) (xy 141.6616 100.48616) (xy 141.668642 100.499334) (xy 141.678118 100.510882) (xy 141.689666 100.520358) + (xy 141.70284 100.5274) (xy 141.717134 100.531736) (xy 141.732 100.5332) (xy 142.748 100.5332) (xy 142.762866 100.531736) + (xy 142.77716 100.5274) (xy 142.790334 100.520358) (xy 142.801882 100.510882) (xy 142.811358 100.499334) (xy 142.8184 100.48616) + (xy 142.822736 100.471866) (xy 142.8242 100.457) (xy 142.8242 99.568) (xy 144.1958 99.568) (xy 144.1958 100.457) + (xy 144.197264 100.471866) (xy 144.2016 100.48616) (xy 144.208642 100.499334) (xy 144.218118 100.510882) (xy 144.229666 100.520358) + (xy 144.24284 100.5274) (xy 144.257134 100.531736) (xy 144.272 100.5332) (xy 145.288 100.5332) (xy 145.302866 100.531736) + (xy 145.31716 100.5274) (xy 145.330334 100.520358) (xy 145.341882 100.510882) (xy 145.351358 100.499334) (xy 145.3584 100.48616) + (xy 145.362736 100.471866) (xy 145.3642 100.457) (xy 145.3642 99.568) (xy 146.7358 99.568) (xy 146.7358 100.457) + (xy 146.737264 100.471866) (xy 146.7416 100.48616) (xy 146.748642 100.499334) (xy 146.758118 100.510882) (xy 146.769666 100.520358) + (xy 146.78284 100.5274) (xy 146.797134 100.531736) (xy 146.812 100.5332) (xy 147.828 100.5332) (xy 147.842866 100.531736) + (xy 147.85716 100.5274) (xy 147.870334 100.520358) (xy 147.881882 100.510882) (xy 147.891358 100.499334) (xy 147.8984 100.48616) + (xy 147.902736 100.471866) (xy 147.9042 100.457) (xy 147.9042 99.568) (xy 149.2758 99.568) (xy 149.2758 100.457) + (xy 149.277264 100.471866) (xy 149.2816 100.48616) (xy 149.288642 100.499334) (xy 149.298118 100.510882) (xy 149.309666 100.520358) + (xy 149.32284 100.5274) (xy 149.337134 100.531736) (xy 149.352 100.5332) (xy 150.368 100.5332) (xy 150.382866 100.531736) + (xy 150.39716 100.5274) (xy 150.410334 100.520358) (xy 150.421882 100.510882) (xy 150.431358 100.499334) (xy 150.4384 100.48616) + (xy 150.442736 100.471866) (xy 150.4442 100.457) (xy 150.4442 99.568) (xy 151.8158 99.568) (xy 151.8158 100.457) + (xy 151.817264 100.471866) (xy 151.8216 100.48616) (xy 151.828642 100.499334) (xy 151.838118 100.510882) (xy 151.849666 100.520358) + (xy 151.86284 100.5274) (xy 151.877134 100.531736) (xy 151.892 100.5332) (xy 152.908 100.5332) (xy 152.922866 100.531736) + (xy 152.93716 100.5274) (xy 152.950334 100.520358) (xy 152.961882 100.510882) (xy 152.971358 100.499334) (xy 152.9784 100.48616) + (xy 152.982736 100.471866) (xy 152.9842 100.457) (xy 152.9842 99.568) (xy 154.3558 99.568) (xy 154.3558 100.457) + (xy 154.357264 100.471866) (xy 154.3616 100.48616) (xy 154.368642 100.499334) (xy 154.378118 100.510882) (xy 154.389666 100.520358) + (xy 154.40284 100.5274) (xy 154.417134 100.531736) (xy 154.432 100.5332) (xy 155.448 100.5332) (xy 155.462866 100.531736) + (xy 155.47716 100.5274) (xy 155.490334 100.520358) (xy 155.501882 100.510882) (xy 155.511358 100.499334) (xy 155.5184 100.48616) + (xy 155.522736 100.471866) (xy 155.5242 100.457) (xy 155.5242 99.568) (xy 155.522736 99.553134) (xy 155.5184 99.53884) + (xy 155.511358 99.525666) (xy 155.501882 99.514118) (xy 155.490334 99.504642) (xy 155.47716 99.4976) (xy 155.462866 99.493264) + (xy 155.448 99.4918) (xy 154.432 99.4918) (xy 154.417134 99.493264) (xy 154.40284 99.4976) (xy 154.389666 99.504642) + (xy 154.378118 99.514118) (xy 154.368642 99.525666) (xy 154.3616 99.53884) (xy 154.357264 99.553134) (xy 154.3558 99.568) + (xy 152.9842 99.568) (xy 152.982736 99.553134) (xy 152.9784 99.53884) (xy 152.971358 99.525666) (xy 152.961882 99.514118) + (xy 152.950334 99.504642) (xy 152.93716 99.4976) (xy 152.922866 99.493264) (xy 152.908 99.4918) (xy 151.892 99.4918) + (xy 151.877134 99.493264) (xy 151.86284 99.4976) (xy 151.849666 99.504642) (xy 151.838118 99.514118) (xy 151.828642 99.525666) + (xy 151.8216 99.53884) (xy 151.817264 99.553134) (xy 151.8158 99.568) (xy 150.4442 99.568) (xy 150.442736 99.553134) + (xy 150.4384 99.53884) (xy 150.431358 99.525666) (xy 150.421882 99.514118) (xy 150.410334 99.504642) (xy 150.39716 99.4976) + (xy 150.382866 99.493264) (xy 150.368 99.4918) (xy 149.352 99.4918) (xy 149.337134 99.493264) (xy 149.32284 99.4976) + (xy 149.309666 99.504642) (xy 149.298118 99.514118) (xy 149.288642 99.525666) (xy 149.2816 99.53884) (xy 149.277264 99.553134) + (xy 149.2758 99.568) (xy 147.9042 99.568) (xy 147.902736 99.553134) (xy 147.8984 99.53884) (xy 147.891358 99.525666) + (xy 147.881882 99.514118) (xy 147.870334 99.504642) (xy 147.85716 99.4976) (xy 147.842866 99.493264) (xy 147.828 99.4918) + (xy 146.812 99.4918) (xy 146.797134 99.493264) (xy 146.78284 99.4976) (xy 146.769666 99.504642) (xy 146.758118 99.514118) + (xy 146.748642 99.525666) (xy 146.7416 99.53884) (xy 146.737264 99.553134) (xy 146.7358 99.568) (xy 145.3642 99.568) + (xy 145.362736 99.553134) (xy 145.3584 99.53884) (xy 145.351358 99.525666) (xy 145.341882 99.514118) (xy 145.330334 99.504642) + (xy 145.31716 99.4976) (xy 145.302866 99.493264) (xy 145.288 99.4918) (xy 144.272 99.4918) (xy 144.257134 99.493264) + (xy 144.24284 99.4976) (xy 144.229666 99.504642) (xy 144.218118 99.514118) (xy 144.208642 99.525666) (xy 144.2016 99.53884) + (xy 144.197264 99.553134) (xy 144.1958 99.568) (xy 142.8242 99.568) (xy 142.822736 99.553134) (xy 142.8184 99.53884) + (xy 142.811358 99.525666) (xy 142.801882 99.514118) (xy 142.790334 99.504642) (xy 142.77716 99.4976) (xy 142.762866 99.493264) + (xy 142.748 99.4918) (xy 141.732 99.4918) (xy 141.717134 99.493264) (xy 141.70284 99.4976) (xy 141.689666 99.504642) + (xy 141.678118 99.514118) (xy 141.668642 99.525666) (xy 141.6616 99.53884) (xy 141.657264 99.553134) (xy 141.6558 99.568) + (xy 140.2842 99.568) (xy 140.282736 99.553134) (xy 140.2784 99.53884) (xy 140.271358 99.525666) (xy 140.261882 99.514118) + (xy 140.250334 99.504642) (xy 140.23716 99.4976) (xy 140.222866 99.493264) (xy 140.208 99.4918) (xy 139.192 99.4918) + (xy 139.177134 99.493264) (xy 139.16284 99.4976) (xy 139.149666 99.504642) (xy 139.138118 99.514118) (xy 139.128642 99.525666) + (xy 139.1216 99.53884) (xy 139.117264 99.553134) (xy 139.1158 99.568) (xy 135.2042 99.568) (xy 135.202736 99.553134) + (xy 135.1984 99.53884) (xy 135.191358 99.525666) (xy 135.181882 99.514118) (xy 135.170334 99.504642) (xy 135.15716 99.4976) + (xy 135.142866 99.493264) (xy 135.128 99.4918) (xy 134.112 99.4918) (xy 134.097134 99.493264) (xy 134.08284 99.4976) + (xy 134.069666 99.504642) (xy 134.058118 99.514118) (xy 134.048642 99.525666) (xy 134.0416 99.53884) (xy 134.037264 99.553134) + (xy 134.0358 99.568) (xy 132.6642 99.568) (xy 132.662736 99.553134) (xy 132.6584 99.53884) (xy 132.651358 99.525666) + (xy 132.641882 99.514118) (xy 132.630334 99.504642) (xy 132.61716 99.4976) (xy 132.602866 99.493264) (xy 132.588 99.4918) + (xy 131.572 99.4918) (xy 131.557134 99.493264) (xy 131.54284 99.4976) (xy 131.529666 99.504642) (xy 131.518118 99.514118) + (xy 131.508642 99.525666) (xy 131.5016 99.53884) (xy 131.497264 99.553134) (xy 131.4958 99.568) (xy 130.1242 99.568) + (xy 130.122736 99.553134) (xy 130.1184 99.53884) (xy 130.111358 99.525666) (xy 130.101882 99.514118) (xy 130.090334 99.504642) + (xy 130.07716 99.4976) (xy 130.062866 99.493264) (xy 130.048 99.4918) (xy 129.032 99.4918) (xy 129.017134 99.493264) + (xy 129.00284 99.4976) (xy 128.989666 99.504642) (xy 128.978118 99.514118) (xy 128.968642 99.525666) (xy 128.9616 99.53884) + (xy 128.957264 99.553134) (xy 128.9558 99.568) (xy 127.5842 99.568) (xy 127.582736 99.553134) (xy 127.5784 99.53884) + (xy 127.571358 99.525666) (xy 127.561882 99.514118) (xy 127.550334 99.504642) (xy 127.53716 99.4976) (xy 127.522866 99.493264) + (xy 127.508 99.4918) (xy 126.492 99.4918) (xy 126.477134 99.493264) (xy 126.46284 99.4976) (xy 126.449666 99.504642) + (xy 126.438118 99.514118) (xy 126.428642 99.525666) (xy 126.4216 99.53884) (xy 126.417264 99.553134) (xy 126.4158 99.568) + (xy 125.0442 99.568) (xy 125.042736 99.553134) (xy 125.0384 99.53884) (xy 125.031358 99.525666) (xy 125.021882 99.514118) + (xy 125.010334 99.504642) (xy 124.99716 99.4976) (xy 124.982866 99.493264) (xy 124.968 99.4918) (xy 123.952 99.4918) + (xy 123.937134 99.493264) (xy 123.92284 99.4976) (xy 123.909666 99.504642) (xy 123.898118 99.514118) (xy 123.888642 99.525666) + (xy 123.8816 99.53884) (xy 123.877264 99.553134) (xy 123.8758 99.568) (xy 122.5042 99.568) (xy 122.502736 99.553134) + (xy 122.4984 99.53884) (xy 122.491358 99.525666) (xy 122.481882 99.514118) (xy 122.470334 99.504642) (xy 122.45716 99.4976) + (xy 122.442866 99.493264) (xy 122.428 99.4918) (xy 121.412 99.4918) (xy 121.397134 99.493264) (xy 121.38284 99.4976) + (xy 121.369666 99.504642) (xy 121.358118 99.514118) (xy 121.348642 99.525666) (xy 121.3416 99.53884) (xy 121.337264 99.553134) + (xy 121.3358 99.568) (xy 119.9642 99.568) (xy 119.962736 99.553134) (xy 119.9584 99.53884) (xy 119.951358 99.525666) + (xy 119.941882 99.514118) (xy 119.930334 99.504642) (xy 119.91716 99.4976) (xy 119.902866 99.493264) (xy 119.888 99.4918) + (xy 118.872 99.4918) (xy 118.857134 99.493264) (xy 118.84284 99.4976) (xy 118.829666 99.504642) (xy 118.818118 99.514118) + (xy 118.808642 99.525666) (xy 118.8016 99.53884) (xy 118.797264 99.553134) (xy 118.7958 99.568) (xy 117.4242 99.568) + (xy 117.422736 99.553134) (xy 117.4184 99.53884) (xy 117.411358 99.525666) (xy 117.401882 99.514118) (xy 117.390334 99.504642) + (xy 117.37716 99.4976) (xy 117.362866 99.493264) (xy 117.348 99.4918) (xy 116.332 99.4918) (xy 116.317134 99.493264) + (xy 116.30284 99.4976) (xy 116.289666 99.504642) (xy 116.278118 99.514118) (xy 116.268642 99.525666) (xy 116.2616 99.53884) + (xy 116.257264 99.553134) (xy 116.2558 99.568) (xy 114.8842 99.568) (xy 114.882736 99.553134) (xy 114.8784 99.53884) + (xy 114.871358 99.525666) (xy 114.861882 99.514118) (xy 114.850334 99.504642) (xy 114.83716 99.4976) (xy 114.822866 99.493264) + (xy 114.808 99.4918) (xy 113.792 99.4918) (xy 113.777134 99.493264) (xy 113.76284 99.4976) (xy 113.749666 99.504642) + (xy 113.738118 99.514118) (xy 113.728642 99.525666) (xy 113.7216 99.53884) (xy 113.717264 99.553134) (xy 113.7158 99.568) + (xy 112.3442 99.568) (xy 112.342736 99.553134) (xy 112.3384 99.53884) (xy 112.331358 99.525666) (xy 112.321882 99.514118) + (xy 112.310334 99.504642) (xy 112.29716 99.4976) (xy 112.282866 99.493264) (xy 112.268 99.4918) (xy 111.252 99.4918) + (xy 111.237134 99.493264) (xy 111.22284 99.4976) (xy 111.209666 99.504642) (xy 111.198118 99.514118) (xy 111.188642 99.525666) + (xy 111.1816 99.53884) (xy 111.177264 99.553134) (xy 111.1758 99.568) (xy 109.8042 99.568) (xy 109.802736 99.553134) + (xy 109.7984 99.53884) (xy 109.791358 99.525666) (xy 109.781882 99.514118) (xy 109.770334 99.504642) (xy 109.75716 99.4976) + (xy 109.742866 99.493264) (xy 109.728 99.4918) (xy 108.712 99.4918) (xy 108.697134 99.493264) (xy 108.68284 99.4976) + (xy 108.669666 99.504642) (xy 108.658118 99.514118) (xy 108.648642 99.525666) (xy 108.6416 99.53884) (xy 108.637264 99.553134) + (xy 108.6358 99.568) (xy 107.2642 99.568) (xy 107.262736 99.553134) (xy 107.2584 99.53884) (xy 107.251358 99.525666) + (xy 107.241882 99.514118) (xy 107.230334 99.504642) (xy 107.21716 99.4976) (xy 107.202866 99.493264) (xy 107.188 99.4918) + (xy 106.172 99.4918) (xy 106.157134 99.493264) (xy 106.14284 99.4976) (xy 106.129666 99.504642) (xy 106.118118 99.514118) + (xy 106.108642 99.525666) (xy 106.1016 99.53884) (xy 106.097264 99.553134) (xy 106.0958 99.568) (xy 102.1842 99.568) + (xy 102.182736 99.553134) (xy 102.1784 99.53884) (xy 102.171358 99.525666) (xy 102.161882 99.514118) (xy 102.150334 99.504642) + (xy 102.13716 99.4976) (xy 102.122866 99.493264) (xy 102.108 99.4918) (xy 101.092 99.4918) (xy 101.077134 99.493264) + (xy 101.06284 99.4976) (xy 101.049666 99.504642) (xy 101.038118 99.514118) (xy 101.028642 99.525666) (xy 101.0216 99.53884) + (xy 101.017264 99.553134) (xy 101.0158 99.568) (xy 99.6442 99.568) (xy 99.642736 99.553134) (xy 99.6384 99.53884) + (xy 99.631358 99.525666) (xy 99.621882 99.514118) (xy 99.610334 99.504642) (xy 99.59716 99.4976) (xy 99.582866 99.493264) + (xy 99.568 99.4918) (xy 98.552 99.4918) (xy 98.537134 99.493264) (xy 98.52284 99.4976) (xy 98.509666 99.504642) + (xy 98.498118 99.514118) (xy 98.488642 99.525666) (xy 98.4816 99.53884) (xy 98.477264 99.553134) (xy 98.4758 99.568) + (xy 97.1042 99.568) (xy 97.102736 99.553134) (xy 97.0984 99.53884) (xy 97.091358 99.525666) (xy 97.081882 99.514118) + (xy 97.070334 99.504642) (xy 97.05716 99.4976) (xy 97.042866 99.493264) (xy 97.028 99.4918) (xy 96.012 99.4918) + (xy 95.997134 99.493264) (xy 95.98284 99.4976) (xy 95.969666 99.504642) (xy 95.958118 99.514118) (xy 95.948642 99.525666) + (xy 95.9416 99.53884) (xy 95.937264 99.553134) (xy 95.9358 99.568) (xy 94.5642 99.568) (xy 94.562736 99.553134) + (xy 94.5584 99.53884) (xy 94.551358 99.525666) (xy 94.541882 99.514118) (xy 94.530334 99.504642) (xy 94.51716 99.4976) + (xy 94.502866 99.493264) (xy 94.488 99.4918) (xy 93.472 99.4918) (xy 93.457134 99.493264) (xy 93.44284 99.4976) + (xy 93.429666 99.504642) (xy 93.418118 99.514118) (xy 93.408642 99.525666) (xy 93.4016 99.53884) (xy 93.397264 99.553134) + (xy 93.3958 99.568) (xy 92.0242 99.568) (xy 92.022736 99.553134) (xy 92.0184 99.53884) (xy 92.011358 99.525666) + (xy 92.001882 99.514118) (xy 91.990334 99.504642) (xy 91.97716 99.4976) (xy 91.962866 99.493264) (xy 91.948 99.4918) + (xy 90.932 99.4918) (xy 90.917134 99.493264) (xy 90.90284 99.4976) (xy 90.889666 99.504642) (xy 90.878118 99.514118) + (xy 90.868642 99.525666) (xy 90.8616 99.53884) (xy 90.857264 99.553134) (xy 90.8558 99.568) (xy 89.4842 99.568) + (xy 89.482736 99.553134) (xy 89.4784 99.53884) (xy 89.471358 99.525666) (xy 89.461882 99.514118) (xy 89.450334 99.504642) + (xy 89.43716 99.4976) (xy 89.422866 99.493264) (xy 89.408 99.4918) (xy 88.392 99.4918) (xy 88.377134 99.493264) + (xy 88.36284 99.4976) (xy 88.349666 99.504642) (xy 88.338118 99.514118) (xy 88.328642 99.525666) (xy 88.3216 99.53884) + (xy 88.317264 99.553134) (xy 88.3158 99.568) (xy 86.9442 99.568) (xy 86.942736 99.553134) (xy 86.9384 99.53884) + (xy 86.931358 99.525666) (xy 86.921882 99.514118) (xy 86.910334 99.504642) (xy 86.89716 99.4976) (xy 86.882866 99.493264) + (xy 86.868 99.4918) (xy 85.852 99.4918) (xy 85.837134 99.493264) (xy 85.82284 99.4976) (xy 85.809666 99.504642) + (xy 85.798118 99.514118) (xy 85.788642 99.525666) (xy 85.7816 99.53884) (xy 85.777264 99.553134) (xy 85.7758 99.568) + (xy 78.5606 99.568) (xy 78.5606 98.744088) (xy 83.1914 98.744088) (xy 83.1914 98.867912) (xy 83.215556 98.989356) + (xy 83.262941 99.103754) (xy 83.331734 99.206709) (xy 83.419291 99.294266) (xy 83.522246 99.363059) (xy 83.636644 99.410444) + (xy 83.758088 99.4346) (xy 83.881912 99.4346) (xy 84.003356 99.410444) (xy 84.117754 99.363059) (xy 84.220709 99.294266) + (xy 84.308266 99.206709) (xy 84.377059 99.103754) (xy 84.424444 98.989356) (xy 84.4486 98.867912) (xy 84.4486 98.744088) + (xy 85.7314 98.744088) (xy 85.7314 98.867912) (xy 85.755556 98.989356) (xy 85.802941 99.103754) (xy 85.871734 99.206709) + (xy 85.959291 99.294266) (xy 86.062246 99.363059) (xy 86.176644 99.410444) (xy 86.298088 99.4346) (xy 86.421912 99.4346) + (xy 86.543356 99.410444) (xy 86.657754 99.363059) (xy 86.760709 99.294266) (xy 86.848266 99.206709) (xy 86.917059 99.103754) + (xy 86.964444 98.989356) (xy 86.9886 98.867912) (xy 86.9886 98.744088) (xy 88.2714 98.744088) (xy 88.2714 98.867912) + (xy 88.295556 98.989356) (xy 88.342941 99.103754) (xy 88.411734 99.206709) (xy 88.499291 99.294266) (xy 88.602246 99.363059) + (xy 88.716644 99.410444) (xy 88.838088 99.4346) (xy 88.961912 99.4346) (xy 89.083356 99.410444) (xy 89.197754 99.363059) + (xy 89.300709 99.294266) (xy 89.388266 99.206709) (xy 89.457059 99.103754) (xy 89.504444 98.989356) (xy 89.5286 98.867912) + (xy 89.5286 98.744088) (xy 90.8114 98.744088) (xy 90.8114 98.867912) (xy 90.835556 98.989356) (xy 90.882941 99.103754) + (xy 90.951734 99.206709) (xy 91.039291 99.294266) (xy 91.142246 99.363059) (xy 91.256644 99.410444) (xy 91.378088 99.4346) + (xy 91.501912 99.4346) (xy 91.623356 99.410444) (xy 91.737754 99.363059) (xy 91.840709 99.294266) (xy 91.928266 99.206709) + (xy 91.997059 99.103754) (xy 92.044444 98.989356) (xy 92.0686 98.867912) (xy 92.0686 98.744088) (xy 93.3514 98.744088) + (xy 93.3514 98.867912) (xy 93.375556 98.989356) (xy 93.422941 99.103754) (xy 93.491734 99.206709) (xy 93.579291 99.294266) + (xy 93.682246 99.363059) (xy 93.796644 99.410444) (xy 93.918088 99.4346) (xy 94.041912 99.4346) (xy 94.163356 99.410444) + (xy 94.277754 99.363059) (xy 94.380709 99.294266) (xy 94.468266 99.206709) (xy 94.537059 99.103754) (xy 94.584444 98.989356) + (xy 94.6086 98.867912) (xy 94.6086 98.744088) (xy 95.8914 98.744088) (xy 95.8914 98.867912) (xy 95.915556 98.989356) + (xy 95.962941 99.103754) (xy 96.031734 99.206709) (xy 96.119291 99.294266) (xy 96.222246 99.363059) (xy 96.336644 99.410444) + (xy 96.458088 99.4346) (xy 96.581912 99.4346) (xy 96.703356 99.410444) (xy 96.817754 99.363059) (xy 96.920709 99.294266) + (xy 97.008266 99.206709) (xy 97.077059 99.103754) (xy 97.124444 98.989356) (xy 97.1486 98.867912) (xy 97.1486 98.744088) + (xy 98.4314 98.744088) (xy 98.4314 98.867912) (xy 98.455556 98.989356) (xy 98.502941 99.103754) (xy 98.571734 99.206709) + (xy 98.659291 99.294266) (xy 98.762246 99.363059) (xy 98.876644 99.410444) (xy 98.998088 99.4346) (xy 99.121912 99.4346) + (xy 99.243356 99.410444) (xy 99.357754 99.363059) (xy 99.460709 99.294266) (xy 99.548266 99.206709) (xy 99.617059 99.103754) + (xy 99.664444 98.989356) (xy 99.6886 98.867912) (xy 99.6886 98.744088) (xy 100.9714 98.744088) (xy 100.9714 98.867912) + (xy 100.995556 98.989356) (xy 101.042941 99.103754) (xy 101.111734 99.206709) (xy 101.199291 99.294266) (xy 101.302246 99.363059) + (xy 101.416644 99.410444) (xy 101.538088 99.4346) (xy 101.661912 99.4346) (xy 101.783356 99.410444) (xy 101.897754 99.363059) + (xy 102.000709 99.294266) (xy 102.033929 99.261046) (xy 103.789323 99.261046) (xy 103.830978 99.356888) (xy 103.944389 99.40659) + (xy 104.065317 99.433211) (xy 104.189115 99.435729) (xy 104.311025 99.414047) (xy 104.426363 99.368998) (xy 104.449022 99.356888) + (xy 104.490677 99.261046) (xy 104.14 98.910369) (xy 103.789323 99.261046) (xy 102.033929 99.261046) (xy 102.088266 99.206709) + (xy 102.157059 99.103754) (xy 102.204444 98.989356) (xy 102.2286 98.867912) (xy 102.2286 98.855115) (xy 103.510271 98.855115) + (xy 103.531953 98.977025) (xy 103.577002 99.092363) (xy 103.589112 99.115022) (xy 103.684954 99.156677) (xy 104.035631 98.806) + (xy 104.244369 98.806) (xy 104.595046 99.156677) (xy 104.690888 99.115022) (xy 104.74059 99.001611) (xy 104.767211 98.880683) + (xy 104.769729 98.756885) (xy 104.767454 98.744088) (xy 106.0514 98.744088) (xy 106.0514 98.867912) (xy 106.075556 98.989356) + (xy 106.122941 99.103754) (xy 106.191734 99.206709) (xy 106.279291 99.294266) (xy 106.382246 99.363059) (xy 106.496644 99.410444) + (xy 106.618088 99.4346) (xy 106.741912 99.4346) (xy 106.863356 99.410444) (xy 106.977754 99.363059) (xy 107.080709 99.294266) + (xy 107.168266 99.206709) (xy 107.237059 99.103754) (xy 107.284444 98.989356) (xy 107.3086 98.867912) (xy 107.3086 98.744088) + (xy 108.5914 98.744088) (xy 108.5914 98.867912) (xy 108.615556 98.989356) (xy 108.662941 99.103754) (xy 108.731734 99.206709) + (xy 108.819291 99.294266) (xy 108.922246 99.363059) (xy 109.036644 99.410444) (xy 109.158088 99.4346) (xy 109.281912 99.4346) + (xy 109.403356 99.410444) (xy 109.517754 99.363059) (xy 109.620709 99.294266) (xy 109.708266 99.206709) (xy 109.777059 99.103754) + (xy 109.824444 98.989356) (xy 109.8486 98.867912) (xy 109.8486 98.744088) (xy 111.1314 98.744088) (xy 111.1314 98.867912) + (xy 111.155556 98.989356) (xy 111.202941 99.103754) (xy 111.271734 99.206709) (xy 111.359291 99.294266) (xy 111.462246 99.363059) + (xy 111.576644 99.410444) (xy 111.698088 99.4346) (xy 111.821912 99.4346) (xy 111.943356 99.410444) (xy 112.057754 99.363059) + (xy 112.160709 99.294266) (xy 112.248266 99.206709) (xy 112.317059 99.103754) (xy 112.364444 98.989356) (xy 112.3886 98.867912) + (xy 112.3886 98.744088) (xy 113.6714 98.744088) (xy 113.6714 98.867912) (xy 113.695556 98.989356) (xy 113.742941 99.103754) + (xy 113.811734 99.206709) (xy 113.899291 99.294266) (xy 114.002246 99.363059) (xy 114.116644 99.410444) (xy 114.238088 99.4346) + (xy 114.361912 99.4346) (xy 114.483356 99.410444) (xy 114.597754 99.363059) (xy 114.700709 99.294266) (xy 114.788266 99.206709) + (xy 114.857059 99.103754) (xy 114.904444 98.989356) (xy 114.9286 98.867912) (xy 114.9286 98.744088) (xy 116.2114 98.744088) + (xy 116.2114 98.867912) (xy 116.235556 98.989356) (xy 116.282941 99.103754) (xy 116.351734 99.206709) (xy 116.439291 99.294266) + (xy 116.542246 99.363059) (xy 116.656644 99.410444) (xy 116.778088 99.4346) (xy 116.901912 99.4346) (xy 117.023356 99.410444) + (xy 117.137754 99.363059) (xy 117.240709 99.294266) (xy 117.328266 99.206709) (xy 117.397059 99.103754) (xy 117.444444 98.989356) + (xy 117.4686 98.867912) (xy 117.4686 98.744088) (xy 118.7514 98.744088) (xy 118.7514 98.867912) (xy 118.775556 98.989356) + (xy 118.822941 99.103754) (xy 118.891734 99.206709) (xy 118.979291 99.294266) (xy 119.082246 99.363059) (xy 119.196644 99.410444) + (xy 119.318088 99.4346) (xy 119.441912 99.4346) (xy 119.563356 99.410444) (xy 119.677754 99.363059) (xy 119.780709 99.294266) + (xy 119.868266 99.206709) (xy 119.937059 99.103754) (xy 119.984444 98.989356) (xy 120.0086 98.867912) (xy 120.0086 98.744088) + (xy 121.2914 98.744088) (xy 121.2914 98.867912) (xy 121.315556 98.989356) (xy 121.362941 99.103754) (xy 121.431734 99.206709) + (xy 121.519291 99.294266) (xy 121.622246 99.363059) (xy 121.736644 99.410444) (xy 121.858088 99.4346) (xy 121.981912 99.4346) + (xy 122.103356 99.410444) (xy 122.217754 99.363059) (xy 122.320709 99.294266) (xy 122.408266 99.206709) (xy 122.477059 99.103754) + (xy 122.524444 98.989356) (xy 122.5486 98.867912) (xy 122.5486 98.744088) (xy 123.8314 98.744088) (xy 123.8314 98.867912) + (xy 123.855556 98.989356) (xy 123.902941 99.103754) (xy 123.971734 99.206709) (xy 124.059291 99.294266) (xy 124.162246 99.363059) + (xy 124.276644 99.410444) (xy 124.398088 99.4346) (xy 124.521912 99.4346) (xy 124.643356 99.410444) (xy 124.757754 99.363059) + (xy 124.860709 99.294266) (xy 124.948266 99.206709) (xy 125.017059 99.103754) (xy 125.064444 98.989356) (xy 125.0886 98.867912) + (xy 125.0886 98.744088) (xy 126.3714 98.744088) (xy 126.3714 98.867912) (xy 126.395556 98.989356) (xy 126.442941 99.103754) + (xy 126.511734 99.206709) (xy 126.599291 99.294266) (xy 126.702246 99.363059) (xy 126.816644 99.410444) (xy 126.938088 99.4346) + (xy 127.061912 99.4346) (xy 127.183356 99.410444) (xy 127.297754 99.363059) (xy 127.400709 99.294266) (xy 127.488266 99.206709) + (xy 127.557059 99.103754) (xy 127.604444 98.989356) (xy 127.6286 98.867912) (xy 127.6286 98.744088) (xy 128.9114 98.744088) + (xy 128.9114 98.867912) (xy 128.935556 98.989356) (xy 128.982941 99.103754) (xy 129.051734 99.206709) (xy 129.139291 99.294266) + (xy 129.242246 99.363059) (xy 129.356644 99.410444) (xy 129.478088 99.4346) (xy 129.601912 99.4346) (xy 129.723356 99.410444) + (xy 129.837754 99.363059) (xy 129.940709 99.294266) (xy 130.028266 99.206709) (xy 130.097059 99.103754) (xy 130.144444 98.989356) + (xy 130.1686 98.867912) (xy 130.1686 98.744088) (xy 131.4514 98.744088) (xy 131.4514 98.867912) (xy 131.475556 98.989356) + (xy 131.522941 99.103754) (xy 131.591734 99.206709) (xy 131.679291 99.294266) (xy 131.782246 99.363059) (xy 131.896644 99.410444) + (xy 132.018088 99.4346) (xy 132.141912 99.4346) (xy 132.263356 99.410444) (xy 132.377754 99.363059) (xy 132.480709 99.294266) + (xy 132.568266 99.206709) (xy 132.637059 99.103754) (xy 132.684444 98.989356) (xy 132.7086 98.867912) (xy 132.7086 98.744088) + (xy 133.9914 98.744088) (xy 133.9914 98.867912) (xy 134.015556 98.989356) (xy 134.062941 99.103754) (xy 134.131734 99.206709) + (xy 134.219291 99.294266) (xy 134.322246 99.363059) (xy 134.436644 99.410444) (xy 134.558088 99.4346) (xy 134.681912 99.4346) + (xy 134.803356 99.410444) (xy 134.917754 99.363059) (xy 135.020709 99.294266) (xy 135.053929 99.261046) (xy 136.809323 99.261046) + (xy 136.850978 99.356888) (xy 136.964389 99.40659) (xy 137.085317 99.433211) (xy 137.209115 99.435729) (xy 137.331025 99.414047) + (xy 137.446363 99.368998) (xy 137.469022 99.356888) (xy 137.510677 99.261046) (xy 137.16 98.910369) (xy 136.809323 99.261046) + (xy 135.053929 99.261046) (xy 135.108266 99.206709) (xy 135.177059 99.103754) (xy 135.224444 98.989356) (xy 135.2486 98.867912) + (xy 135.2486 98.855115) (xy 136.530271 98.855115) (xy 136.551953 98.977025) (xy 136.597002 99.092363) (xy 136.609112 99.115022) + (xy 136.704954 99.156677) (xy 137.055631 98.806) (xy 137.264369 98.806) (xy 137.615046 99.156677) (xy 137.710888 99.115022) + (xy 137.76059 99.001611) (xy 137.787211 98.880683) (xy 137.789729 98.756885) (xy 137.787454 98.744088) (xy 139.0714 98.744088) + (xy 139.0714 98.867912) (xy 139.095556 98.989356) (xy 139.142941 99.103754) (xy 139.211734 99.206709) (xy 139.299291 99.294266) + (xy 139.402246 99.363059) (xy 139.516644 99.410444) (xy 139.638088 99.4346) (xy 139.761912 99.4346) (xy 139.883356 99.410444) + (xy 139.997754 99.363059) (xy 140.100709 99.294266) (xy 140.188266 99.206709) (xy 140.257059 99.103754) (xy 140.304444 98.989356) + (xy 140.3286 98.867912) (xy 140.3286 98.744088) (xy 141.6114 98.744088) (xy 141.6114 98.867912) (xy 141.635556 98.989356) + (xy 141.682941 99.103754) (xy 141.751734 99.206709) (xy 141.839291 99.294266) (xy 141.942246 99.363059) (xy 142.056644 99.410444) + (xy 142.178088 99.4346) (xy 142.301912 99.4346) (xy 142.423356 99.410444) (xy 142.537754 99.363059) (xy 142.640709 99.294266) + (xy 142.728266 99.206709) (xy 142.797059 99.103754) (xy 142.844444 98.989356) (xy 142.8686 98.867912) (xy 142.8686 98.744088) + (xy 144.1514 98.744088) (xy 144.1514 98.867912) (xy 144.175556 98.989356) (xy 144.222941 99.103754) (xy 144.291734 99.206709) + (xy 144.379291 99.294266) (xy 144.482246 99.363059) (xy 144.596644 99.410444) (xy 144.718088 99.4346) (xy 144.841912 99.4346) + (xy 144.963356 99.410444) (xy 145.077754 99.363059) (xy 145.180709 99.294266) (xy 145.268266 99.206709) (xy 145.337059 99.103754) + (xy 145.384444 98.989356) (xy 145.4086 98.867912) (xy 145.4086 98.744088) (xy 146.6914 98.744088) (xy 146.6914 98.867912) + (xy 146.715556 98.989356) (xy 146.762941 99.103754) (xy 146.831734 99.206709) (xy 146.919291 99.294266) (xy 147.022246 99.363059) + (xy 147.136644 99.410444) (xy 147.258088 99.4346) (xy 147.381912 99.4346) (xy 147.503356 99.410444) (xy 147.617754 99.363059) + (xy 147.720709 99.294266) (xy 147.808266 99.206709) (xy 147.877059 99.103754) (xy 147.924444 98.989356) (xy 147.9486 98.867912) + (xy 147.9486 98.744088) (xy 149.2314 98.744088) (xy 149.2314 98.867912) (xy 149.255556 98.989356) (xy 149.302941 99.103754) + (xy 149.371734 99.206709) (xy 149.459291 99.294266) (xy 149.562246 99.363059) (xy 149.676644 99.410444) (xy 149.798088 99.4346) + (xy 149.921912 99.4346) (xy 150.043356 99.410444) (xy 150.157754 99.363059) (xy 150.260709 99.294266) (xy 150.348266 99.206709) + (xy 150.417059 99.103754) (xy 150.464444 98.989356) (xy 150.4886 98.867912) (xy 150.4886 98.744088) (xy 151.7714 98.744088) + (xy 151.7714 98.867912) (xy 151.795556 98.989356) (xy 151.842941 99.103754) (xy 151.911734 99.206709) (xy 151.999291 99.294266) + (xy 152.102246 99.363059) (xy 152.216644 99.410444) (xy 152.338088 99.4346) (xy 152.461912 99.4346) (xy 152.583356 99.410444) + (xy 152.697754 99.363059) (xy 152.800709 99.294266) (xy 152.888266 99.206709) (xy 152.957059 99.103754) (xy 153.004444 98.989356) + (xy 153.0286 98.867912) (xy 153.0286 98.744088) (xy 154.3114 98.744088) (xy 154.3114 98.867912) (xy 154.335556 98.989356) + (xy 154.382941 99.103754) (xy 154.451734 99.206709) (xy 154.539291 99.294266) (xy 154.642246 99.363059) (xy 154.756644 99.410444) + (xy 154.878088 99.4346) (xy 155.001912 99.4346) (xy 155.123356 99.410444) (xy 155.237754 99.363059) (xy 155.340709 99.294266) + (xy 155.428266 99.206709) (xy 155.497059 99.103754) (xy 155.544444 98.989356) (xy 155.5686 98.867912) (xy 155.5686 98.744088) + (xy 156.8514 98.744088) (xy 156.8514 98.867912) (xy 156.875556 98.989356) (xy 156.922941 99.103754) (xy 156.991734 99.206709) + (xy 157.079291 99.294266) (xy 157.182246 99.363059) (xy 157.296644 99.410444) (xy 157.418088 99.4346) (xy 157.541912 99.4346) + (xy 157.663356 99.410444) (xy 157.777754 99.363059) (xy 157.880709 99.294266) (xy 157.968266 99.206709) (xy 158.037059 99.103754) + (xy 158.084444 98.989356) (xy 158.1086 98.867912) (xy 158.1086 98.744088) (xy 158.084444 98.622644) (xy 158.037059 98.508246) + (xy 157.968266 98.405291) (xy 157.880709 98.317734) (xy 157.777754 98.248941) (xy 157.663356 98.201556) (xy 157.541912 98.1774) + (xy 157.418088 98.1774) (xy 157.296644 98.201556) (xy 157.182246 98.248941) (xy 157.079291 98.317734) (xy 156.991734 98.405291) + (xy 156.922941 98.508246) (xy 156.875556 98.622644) (xy 156.8514 98.744088) (xy 155.5686 98.744088) (xy 155.544444 98.622644) + (xy 155.497059 98.508246) (xy 155.428266 98.405291) (xy 155.340709 98.317734) (xy 155.237754 98.248941) (xy 155.123356 98.201556) + (xy 155.001912 98.1774) (xy 154.878088 98.1774) (xy 154.756644 98.201556) (xy 154.642246 98.248941) (xy 154.539291 98.317734) + (xy 154.451734 98.405291) (xy 154.382941 98.508246) (xy 154.335556 98.622644) (xy 154.3114 98.744088) (xy 153.0286 98.744088) + (xy 153.004444 98.622644) (xy 152.957059 98.508246) (xy 152.888266 98.405291) (xy 152.800709 98.317734) (xy 152.697754 98.248941) + (xy 152.583356 98.201556) (xy 152.461912 98.1774) (xy 152.338088 98.1774) (xy 152.216644 98.201556) (xy 152.102246 98.248941) + (xy 151.999291 98.317734) (xy 151.911734 98.405291) (xy 151.842941 98.508246) (xy 151.795556 98.622644) (xy 151.7714 98.744088) + (xy 150.4886 98.744088) (xy 150.464444 98.622644) (xy 150.417059 98.508246) (xy 150.348266 98.405291) (xy 150.260709 98.317734) + (xy 150.157754 98.248941) (xy 150.043356 98.201556) (xy 149.921912 98.1774) (xy 149.798088 98.1774) (xy 149.676644 98.201556) + (xy 149.562246 98.248941) (xy 149.459291 98.317734) (xy 149.371734 98.405291) (xy 149.302941 98.508246) (xy 149.255556 98.622644) + (xy 149.2314 98.744088) (xy 147.9486 98.744088) (xy 147.924444 98.622644) (xy 147.877059 98.508246) (xy 147.808266 98.405291) + (xy 147.720709 98.317734) (xy 147.617754 98.248941) (xy 147.503356 98.201556) (xy 147.381912 98.1774) (xy 147.258088 98.1774) + (xy 147.136644 98.201556) (xy 147.022246 98.248941) (xy 146.919291 98.317734) (xy 146.831734 98.405291) (xy 146.762941 98.508246) + (xy 146.715556 98.622644) (xy 146.6914 98.744088) (xy 145.4086 98.744088) (xy 145.384444 98.622644) (xy 145.337059 98.508246) + (xy 145.268266 98.405291) (xy 145.180709 98.317734) (xy 145.077754 98.248941) (xy 144.963356 98.201556) (xy 144.841912 98.1774) + (xy 144.718088 98.1774) (xy 144.596644 98.201556) (xy 144.482246 98.248941) (xy 144.379291 98.317734) (xy 144.291734 98.405291) + (xy 144.222941 98.508246) (xy 144.175556 98.622644) (xy 144.1514 98.744088) (xy 142.8686 98.744088) (xy 142.844444 98.622644) + (xy 142.797059 98.508246) (xy 142.728266 98.405291) (xy 142.640709 98.317734) (xy 142.537754 98.248941) (xy 142.423356 98.201556) + (xy 142.301912 98.1774) (xy 142.178088 98.1774) (xy 142.056644 98.201556) (xy 141.942246 98.248941) (xy 141.839291 98.317734) + (xy 141.751734 98.405291) (xy 141.682941 98.508246) (xy 141.635556 98.622644) (xy 141.6114 98.744088) (xy 140.3286 98.744088) + (xy 140.304444 98.622644) (xy 140.257059 98.508246) (xy 140.188266 98.405291) (xy 140.100709 98.317734) (xy 139.997754 98.248941) + (xy 139.883356 98.201556) (xy 139.761912 98.1774) (xy 139.638088 98.1774) (xy 139.516644 98.201556) (xy 139.402246 98.248941) + (xy 139.299291 98.317734) (xy 139.211734 98.405291) (xy 139.142941 98.508246) (xy 139.095556 98.622644) (xy 139.0714 98.744088) + (xy 137.787454 98.744088) (xy 137.768047 98.634975) (xy 137.722998 98.519637) (xy 137.710888 98.496978) (xy 137.615046 98.455323) + (xy 137.264369 98.806) (xy 137.055631 98.806) (xy 136.704954 98.455323) (xy 136.609112 98.496978) (xy 136.55941 98.610389) + (xy 136.532789 98.731317) (xy 136.530271 98.855115) (xy 135.2486 98.855115) (xy 135.2486 98.744088) (xy 135.224444 98.622644) + (xy 135.177059 98.508246) (xy 135.108266 98.405291) (xy 135.053929 98.350954) (xy 136.809323 98.350954) (xy 137.16 98.701631) + (xy 137.510677 98.350954) (xy 137.469022 98.255112) (xy 137.355611 98.20541) (xy 137.234683 98.178789) (xy 137.110885 98.176271) + (xy 136.988975 98.197953) (xy 136.873637 98.243002) (xy 136.850978 98.255112) (xy 136.809323 98.350954) (xy 135.053929 98.350954) + (xy 135.020709 98.317734) (xy 134.917754 98.248941) (xy 134.803356 98.201556) (xy 134.681912 98.1774) (xy 134.558088 98.1774) + (xy 134.436644 98.201556) (xy 134.322246 98.248941) (xy 134.219291 98.317734) (xy 134.131734 98.405291) (xy 134.062941 98.508246) + (xy 134.015556 98.622644) (xy 133.9914 98.744088) (xy 132.7086 98.744088) (xy 132.684444 98.622644) (xy 132.637059 98.508246) + (xy 132.568266 98.405291) (xy 132.480709 98.317734) (xy 132.377754 98.248941) (xy 132.263356 98.201556) (xy 132.141912 98.1774) + (xy 132.018088 98.1774) (xy 131.896644 98.201556) (xy 131.782246 98.248941) (xy 131.679291 98.317734) (xy 131.591734 98.405291) + (xy 131.522941 98.508246) (xy 131.475556 98.622644) (xy 131.4514 98.744088) (xy 130.1686 98.744088) (xy 130.144444 98.622644) + (xy 130.097059 98.508246) (xy 130.028266 98.405291) (xy 129.940709 98.317734) (xy 129.837754 98.248941) (xy 129.723356 98.201556) + (xy 129.601912 98.1774) (xy 129.478088 98.1774) (xy 129.356644 98.201556) (xy 129.242246 98.248941) (xy 129.139291 98.317734) + (xy 129.051734 98.405291) (xy 128.982941 98.508246) (xy 128.935556 98.622644) (xy 128.9114 98.744088) (xy 127.6286 98.744088) + (xy 127.604444 98.622644) (xy 127.557059 98.508246) (xy 127.488266 98.405291) (xy 127.400709 98.317734) (xy 127.297754 98.248941) + (xy 127.183356 98.201556) (xy 127.061912 98.1774) (xy 126.938088 98.1774) (xy 126.816644 98.201556) (xy 126.702246 98.248941) + (xy 126.599291 98.317734) (xy 126.511734 98.405291) (xy 126.442941 98.508246) (xy 126.395556 98.622644) (xy 126.3714 98.744088) + (xy 125.0886 98.744088) (xy 125.064444 98.622644) (xy 125.017059 98.508246) (xy 124.948266 98.405291) (xy 124.860709 98.317734) + (xy 124.757754 98.248941) (xy 124.643356 98.201556) (xy 124.521912 98.1774) (xy 124.398088 98.1774) (xy 124.276644 98.201556) + (xy 124.162246 98.248941) (xy 124.059291 98.317734) (xy 123.971734 98.405291) (xy 123.902941 98.508246) (xy 123.855556 98.622644) + (xy 123.8314 98.744088) (xy 122.5486 98.744088) (xy 122.524444 98.622644) (xy 122.477059 98.508246) (xy 122.408266 98.405291) + (xy 122.320709 98.317734) (xy 122.217754 98.248941) (xy 122.103356 98.201556) (xy 121.981912 98.1774) (xy 121.858088 98.1774) + (xy 121.736644 98.201556) (xy 121.622246 98.248941) (xy 121.519291 98.317734) (xy 121.431734 98.405291) (xy 121.362941 98.508246) + (xy 121.315556 98.622644) (xy 121.2914 98.744088) (xy 120.0086 98.744088) (xy 119.984444 98.622644) (xy 119.937059 98.508246) + (xy 119.868266 98.405291) (xy 119.780709 98.317734) (xy 119.677754 98.248941) (xy 119.563356 98.201556) (xy 119.441912 98.1774) + (xy 119.318088 98.1774) (xy 119.196644 98.201556) (xy 119.082246 98.248941) (xy 118.979291 98.317734) (xy 118.891734 98.405291) + (xy 118.822941 98.508246) (xy 118.775556 98.622644) (xy 118.7514 98.744088) (xy 117.4686 98.744088) (xy 117.444444 98.622644) + (xy 117.397059 98.508246) (xy 117.328266 98.405291) (xy 117.240709 98.317734) (xy 117.137754 98.248941) (xy 117.023356 98.201556) + (xy 116.901912 98.1774) (xy 116.778088 98.1774) (xy 116.656644 98.201556) (xy 116.542246 98.248941) (xy 116.439291 98.317734) + (xy 116.351734 98.405291) (xy 116.282941 98.508246) (xy 116.235556 98.622644) (xy 116.2114 98.744088) (xy 114.9286 98.744088) + (xy 114.904444 98.622644) (xy 114.857059 98.508246) (xy 114.788266 98.405291) (xy 114.700709 98.317734) (xy 114.597754 98.248941) + (xy 114.483356 98.201556) (xy 114.361912 98.1774) (xy 114.238088 98.1774) (xy 114.116644 98.201556) (xy 114.002246 98.248941) + (xy 113.899291 98.317734) (xy 113.811734 98.405291) (xy 113.742941 98.508246) (xy 113.695556 98.622644) (xy 113.6714 98.744088) + (xy 112.3886 98.744088) (xy 112.364444 98.622644) (xy 112.317059 98.508246) (xy 112.248266 98.405291) (xy 112.160709 98.317734) + (xy 112.057754 98.248941) (xy 111.943356 98.201556) (xy 111.821912 98.1774) (xy 111.698088 98.1774) (xy 111.576644 98.201556) + (xy 111.462246 98.248941) (xy 111.359291 98.317734) (xy 111.271734 98.405291) (xy 111.202941 98.508246) (xy 111.155556 98.622644) + (xy 111.1314 98.744088) (xy 109.8486 98.744088) (xy 109.824444 98.622644) (xy 109.777059 98.508246) (xy 109.708266 98.405291) + (xy 109.620709 98.317734) (xy 109.517754 98.248941) (xy 109.403356 98.201556) (xy 109.281912 98.1774) (xy 109.158088 98.1774) + (xy 109.036644 98.201556) (xy 108.922246 98.248941) (xy 108.819291 98.317734) (xy 108.731734 98.405291) (xy 108.662941 98.508246) + (xy 108.615556 98.622644) (xy 108.5914 98.744088) (xy 107.3086 98.744088) (xy 107.284444 98.622644) (xy 107.237059 98.508246) + (xy 107.168266 98.405291) (xy 107.080709 98.317734) (xy 106.977754 98.248941) (xy 106.863356 98.201556) (xy 106.741912 98.1774) + (xy 106.618088 98.1774) (xy 106.496644 98.201556) (xy 106.382246 98.248941) (xy 106.279291 98.317734) (xy 106.191734 98.405291) + (xy 106.122941 98.508246) (xy 106.075556 98.622644) (xy 106.0514 98.744088) (xy 104.767454 98.744088) (xy 104.748047 98.634975) + (xy 104.702998 98.519637) (xy 104.690888 98.496978) (xy 104.595046 98.455323) (xy 104.244369 98.806) (xy 104.035631 98.806) + (xy 103.684954 98.455323) (xy 103.589112 98.496978) (xy 103.53941 98.610389) (xy 103.512789 98.731317) (xy 103.510271 98.855115) + (xy 102.2286 98.855115) (xy 102.2286 98.744088) (xy 102.204444 98.622644) (xy 102.157059 98.508246) (xy 102.088266 98.405291) + (xy 102.033929 98.350954) (xy 103.789323 98.350954) (xy 104.14 98.701631) (xy 104.490677 98.350954) (xy 104.449022 98.255112) + (xy 104.335611 98.20541) (xy 104.214683 98.178789) (xy 104.090885 98.176271) (xy 103.968975 98.197953) (xy 103.853637 98.243002) + (xy 103.830978 98.255112) (xy 103.789323 98.350954) (xy 102.033929 98.350954) (xy 102.000709 98.317734) (xy 101.897754 98.248941) + (xy 101.783356 98.201556) (xy 101.661912 98.1774) (xy 101.538088 98.1774) (xy 101.416644 98.201556) (xy 101.302246 98.248941) + (xy 101.199291 98.317734) (xy 101.111734 98.405291) (xy 101.042941 98.508246) (xy 100.995556 98.622644) (xy 100.9714 98.744088) + (xy 99.6886 98.744088) (xy 99.664444 98.622644) (xy 99.617059 98.508246) (xy 99.548266 98.405291) (xy 99.460709 98.317734) + (xy 99.357754 98.248941) (xy 99.243356 98.201556) (xy 99.121912 98.1774) (xy 98.998088 98.1774) (xy 98.876644 98.201556) + (xy 98.762246 98.248941) (xy 98.659291 98.317734) (xy 98.571734 98.405291) (xy 98.502941 98.508246) (xy 98.455556 98.622644) + (xy 98.4314 98.744088) (xy 97.1486 98.744088) (xy 97.124444 98.622644) (xy 97.077059 98.508246) (xy 97.008266 98.405291) + (xy 96.920709 98.317734) (xy 96.817754 98.248941) (xy 96.703356 98.201556) (xy 96.581912 98.1774) (xy 96.458088 98.1774) + (xy 96.336644 98.201556) (xy 96.222246 98.248941) (xy 96.119291 98.317734) (xy 96.031734 98.405291) (xy 95.962941 98.508246) + (xy 95.915556 98.622644) (xy 95.8914 98.744088) (xy 94.6086 98.744088) (xy 94.584444 98.622644) (xy 94.537059 98.508246) + (xy 94.468266 98.405291) (xy 94.380709 98.317734) (xy 94.277754 98.248941) (xy 94.163356 98.201556) (xy 94.041912 98.1774) + (xy 93.918088 98.1774) (xy 93.796644 98.201556) (xy 93.682246 98.248941) (xy 93.579291 98.317734) (xy 93.491734 98.405291) + (xy 93.422941 98.508246) (xy 93.375556 98.622644) (xy 93.3514 98.744088) (xy 92.0686 98.744088) (xy 92.044444 98.622644) + (xy 91.997059 98.508246) (xy 91.928266 98.405291) (xy 91.840709 98.317734) (xy 91.737754 98.248941) (xy 91.623356 98.201556) + (xy 91.501912 98.1774) (xy 91.378088 98.1774) (xy 91.256644 98.201556) (xy 91.142246 98.248941) (xy 91.039291 98.317734) + (xy 90.951734 98.405291) (xy 90.882941 98.508246) (xy 90.835556 98.622644) (xy 90.8114 98.744088) (xy 89.5286 98.744088) + (xy 89.504444 98.622644) (xy 89.457059 98.508246) (xy 89.388266 98.405291) (xy 89.300709 98.317734) (xy 89.197754 98.248941) + (xy 89.083356 98.201556) (xy 88.961912 98.1774) (xy 88.838088 98.1774) (xy 88.716644 98.201556) (xy 88.602246 98.248941) + (xy 88.499291 98.317734) (xy 88.411734 98.405291) (xy 88.342941 98.508246) (xy 88.295556 98.622644) (xy 88.2714 98.744088) + (xy 86.9886 98.744088) (xy 86.964444 98.622644) (xy 86.917059 98.508246) (xy 86.848266 98.405291) (xy 86.760709 98.317734) + (xy 86.657754 98.248941) (xy 86.543356 98.201556) (xy 86.421912 98.1774) (xy 86.298088 98.1774) (xy 86.176644 98.201556) + (xy 86.062246 98.248941) (xy 85.959291 98.317734) (xy 85.871734 98.405291) (xy 85.802941 98.508246) (xy 85.755556 98.622644) + (xy 85.7314 98.744088) (xy 84.4486 98.744088) (xy 84.424444 98.622644) (xy 84.377059 98.508246) (xy 84.308266 98.405291) + (xy 84.220709 98.317734) (xy 84.117754 98.248941) (xy 84.003356 98.201556) (xy 83.881912 98.1774) (xy 83.758088 98.1774) + (xy 83.636644 98.201556) (xy 83.522246 98.248941) (xy 83.419291 98.317734) (xy 83.331734 98.405291) (xy 83.262941 98.508246) + (xy 83.215556 98.622644) (xy 83.1914 98.744088) (xy 78.5606 98.744088) (xy 78.5606 97.265865) (xy 78.559136 97.251) + (xy 78.559208 97.240692) (xy 78.55876 97.236126) (xy 78.525079 96.915672) (xy 78.51908 96.886446) (xy 78.513509 96.857242) + (xy 78.512185 96.852858) (xy 78.512184 96.852851) (xy 78.512181 96.852845) (xy 78.4169 96.545042) (xy 78.405368 96.517608) + (xy 78.394203 96.489973) (xy 78.392049 96.485922) (xy 78.238793 96.202483) (xy 78.222151 96.17781) (xy 78.205832 96.152871) + (xy 78.202932 96.149316) (xy 77.997542 95.901042) (xy 77.97641 95.880057) (xy 77.955571 95.858777) (xy 77.952036 95.855852) + (xy 77.702334 95.6522) (xy 77.677513 95.635709) (xy 77.652954 95.618893) (xy 77.648918 95.616711) (xy 77.364416 95.465438) + (xy 77.336876 95.454086) (xy 77.309505 95.442355) (xy 77.30513 95.441001) (xy 77.305124 95.440999) (xy 77.305118 95.440998) + (xy 76.996656 95.347868) (xy 76.967429 95.342081) (xy 76.93831 95.335891) (xy 76.933747 95.335411) (xy 76.613066 95.303968) + (xy 76.613056 95.303968) (xy 76.597135 95.3024) (xy 76.5286 95.3024) (xy 76.5286 95.188088) (xy 84.4614 95.188088) + (xy 84.4614 95.311912) (xy 84.485556 95.433356) (xy 84.532941 95.547754) (xy 84.601734 95.650709) (xy 84.689291 95.738266) + (xy 84.792246 95.807059) (xy 84.906644 95.854444) (xy 85.028088 95.8786) (xy 85.151912 95.8786) (xy 85.273356 95.854444) + (xy 85.387754 95.807059) (xy 85.490709 95.738266) (xy 85.578266 95.650709) (xy 85.647059 95.547754) (xy 85.694444 95.433356) + (xy 85.7186 95.311912) (xy 85.7186 95.188088) (xy 85.694444 95.066644) (xy 85.647059 94.952246) (xy 85.644535 94.948468) + (xy 104.9274 94.948468) (xy 104.9274 95.043532) (xy 104.945946 95.136769) (xy 104.982326 95.224597) (xy 105.03514 95.30364) + (xy 105.10236 95.37086) (xy 105.181403 95.423674) (xy 105.269231 95.460054) (xy 105.362468 95.4786) (xy 105.457532 95.4786) + (xy 105.550769 95.460054) (xy 105.638597 95.423674) (xy 105.71764 95.37086) (xy 105.78486 95.30364) (xy 105.837674 95.224597) + (xy 105.852796 95.188088) (xy 118.7514 95.188088) (xy 118.7514 95.311912) (xy 118.775556 95.433356) (xy 118.822941 95.547754) + (xy 118.891734 95.650709) (xy 118.979291 95.738266) (xy 119.082246 95.807059) (xy 119.196644 95.854444) (xy 119.318088 95.8786) + (xy 119.441912 95.8786) (xy 119.563356 95.854444) (xy 119.677754 95.807059) (xy 119.780709 95.738266) (xy 119.868266 95.650709) + (xy 119.937059 95.547754) (xy 119.984444 95.433356) (xy 120.0086 95.311912) (xy 120.0086 95.188088) (xy 139.0714 95.188088) + (xy 139.0714 95.311912) (xy 139.095556 95.433356) (xy 139.142941 95.547754) (xy 139.211734 95.650709) (xy 139.299291 95.738266) + (xy 139.402246 95.807059) (xy 139.516644 95.854444) (xy 139.638088 95.8786) (xy 139.761912 95.8786) (xy 139.883356 95.854444) + (xy 139.997754 95.807059) (xy 140.100709 95.738266) (xy 140.188266 95.650709) (xy 140.257059 95.547754) (xy 140.304444 95.433356) + (xy 140.3286 95.311912) (xy 140.3286 95.305239) (xy 156.7514 95.305239) (xy 156.7514 95.448761) (xy 156.7794 95.589525) + (xy 156.834323 95.722121) (xy 156.91406 95.841455) (xy 157.015545 95.94294) (xy 157.134879 96.022677) (xy 157.267475 96.0776) + (xy 157.408239 96.1056) (xy 157.551761 96.1056) (xy 157.692525 96.0776) (xy 157.825121 96.022677) (xy 157.944455 95.94294) + (xy 158.04594 95.841455) (xy 158.125677 95.722121) (xy 158.1806 95.589525) (xy 158.2086 95.448761) (xy 158.2086 95.305239) + (xy 158.1806 95.164475) (xy 158.125677 95.031879) (xy 158.04594 94.912545) (xy 157.944455 94.81106) (xy 157.825121 94.731323) + (xy 157.692525 94.6764) (xy 157.551761 94.6484) (xy 157.408239 94.6484) (xy 157.267475 94.6764) (xy 157.134879 94.731323) + (xy 157.015545 94.81106) (xy 156.91406 94.912545) (xy 156.834323 95.031879) (xy 156.7794 95.164475) (xy 156.7514 95.305239) + (xy 140.3286 95.305239) (xy 140.3286 95.188088) (xy 140.304444 95.066644) (xy 140.257059 94.952246) (xy 140.188266 94.849291) + (xy 140.100709 94.761734) (xy 139.997754 94.692941) (xy 139.883356 94.645556) (xy 139.761912 94.6214) (xy 139.638088 94.6214) + (xy 139.516644 94.645556) (xy 139.402246 94.692941) (xy 139.299291 94.761734) (xy 139.211734 94.849291) (xy 139.142941 94.952246) + (xy 139.095556 95.066644) (xy 139.0714 95.188088) (xy 120.0086 95.188088) (xy 119.984444 95.066644) (xy 119.937059 94.952246) + (xy 119.868266 94.849291) (xy 119.780709 94.761734) (xy 119.677754 94.692941) (xy 119.563356 94.645556) (xy 119.441912 94.6214) + (xy 119.318088 94.6214) (xy 119.196644 94.645556) (xy 119.082246 94.692941) (xy 118.979291 94.761734) (xy 118.891734 94.849291) + (xy 118.822941 94.952246) (xy 118.775556 95.066644) (xy 118.7514 95.188088) (xy 105.852796 95.188088) (xy 105.874054 95.136769) + (xy 105.8926 95.043532) (xy 105.8926 94.948468) (xy 105.874054 94.855231) (xy 105.837674 94.767403) (xy 105.78486 94.68836) + (xy 105.71764 94.62114) (xy 105.638597 94.568326) (xy 105.550769 94.531946) (xy 105.457532 94.5134) (xy 105.362468 94.5134) + (xy 105.269231 94.531946) (xy 105.181403 94.568326) (xy 105.10236 94.62114) (xy 105.03514 94.68836) (xy 104.982326 94.767403) + (xy 104.945946 94.855231) (xy 104.9274 94.948468) (xy 85.644535 94.948468) (xy 85.578266 94.849291) (xy 85.490709 94.761734) + (xy 85.387754 94.692941) (xy 85.273356 94.645556) (xy 85.151912 94.6214) (xy 85.028088 94.6214) (xy 84.906644 94.645556) + (xy 84.792246 94.692941) (xy 84.689291 94.761734) (xy 84.601734 94.849291) (xy 84.532941 94.952246) (xy 84.485556 95.066644) + (xy 84.4614 95.188088) (xy 76.5286 95.188088) (xy 76.5286 94.045088) (xy 83.1914 94.045088) (xy 83.1914 94.168912) + (xy 83.215556 94.290356) (xy 83.262941 94.404754) (xy 83.331734 94.507709) (xy 83.419291 94.595266) (xy 83.522246 94.664059) + (xy 83.636644 94.711444) (xy 83.758088 94.7356) (xy 83.881912 94.7356) (xy 84.003356 94.711444) (xy 84.117754 94.664059) + (xy 84.220709 94.595266) (xy 84.308266 94.507709) (xy 84.377059 94.404754) (xy 84.424444 94.290356) (xy 84.4486 94.168912) + (xy 84.4486 94.045088) (xy 86.1124 94.045088) (xy 86.1124 94.168912) (xy 86.136556 94.290356) (xy 86.183941 94.404754) + (xy 86.252734 94.507709) (xy 86.340291 94.595266) (xy 86.443246 94.664059) (xy 86.557644 94.711444) (xy 86.679088 94.7356) + (xy 86.802912 94.7356) (xy 86.924356 94.711444) (xy 87.038754 94.664059) (xy 87.141709 94.595266) (xy 87.229266 94.507709) + (xy 87.298059 94.404754) (xy 87.345444 94.290356) (xy 87.3696 94.168912) (xy 87.3696 94.045088) (xy 103.1304 94.045088) + (xy 103.1304 94.168912) (xy 103.154556 94.290356) (xy 103.201941 94.404754) (xy 103.270734 94.507709) (xy 103.358291 94.595266) + (xy 103.461246 94.664059) (xy 103.575644 94.711444) (xy 103.697088 94.7356) (xy 103.820912 94.7356) (xy 103.942356 94.711444) + (xy 104.056754 94.664059) (xy 104.159709 94.595266) (xy 104.247266 94.507709) (xy 104.316059 94.404754) (xy 104.363444 94.290356) + (xy 104.3876 94.168912) (xy 104.3876 94.045088) (xy 106.0514 94.045088) (xy 106.0514 94.168912) (xy 106.075556 94.290356) + (xy 106.122941 94.404754) (xy 106.191734 94.507709) (xy 106.279291 94.595266) (xy 106.382246 94.664059) (xy 106.496644 94.711444) + (xy 106.618088 94.7356) (xy 106.741912 94.7356) (xy 106.863356 94.711444) (xy 106.977754 94.664059) (xy 107.080709 94.595266) + (xy 107.168266 94.507709) (xy 107.237059 94.404754) (xy 107.284444 94.290356) (xy 107.3086 94.168912) (xy 107.3086 94.045088) + (xy 117.4814 94.045088) (xy 117.4814 94.168912) (xy 117.505556 94.290356) (xy 117.552941 94.404754) (xy 117.621734 94.507709) + (xy 117.709291 94.595266) (xy 117.812246 94.664059) (xy 117.926644 94.711444) (xy 118.048088 94.7356) (xy 118.171912 94.7356) + (xy 118.293356 94.711444) (xy 118.407754 94.664059) (xy 118.510709 94.595266) (xy 118.598266 94.507709) (xy 118.667059 94.404754) + (xy 118.714444 94.290356) (xy 118.7386 94.168912) (xy 118.7386 94.045088) (xy 120.4024 94.045088) (xy 120.4024 94.168912) + (xy 120.426556 94.290356) (xy 120.473941 94.404754) (xy 120.542734 94.507709) (xy 120.630291 94.595266) (xy 120.733246 94.664059) + (xy 120.847644 94.711444) (xy 120.969088 94.7356) (xy 121.092912 94.7356) (xy 121.214356 94.711444) (xy 121.328754 94.664059) + (xy 121.431709 94.595266) (xy 121.519266 94.507709) (xy 121.588059 94.404754) (xy 121.635444 94.290356) (xy 121.6596 94.168912) + (xy 121.6596 94.045088) (xy 137.4204 94.045088) (xy 137.4204 94.168912) (xy 137.444556 94.290356) (xy 137.491941 94.404754) + (xy 137.560734 94.507709) (xy 137.648291 94.595266) (xy 137.751246 94.664059) (xy 137.865644 94.711444) (xy 137.987088 94.7356) + (xy 138.110912 94.7356) (xy 138.232356 94.711444) (xy 138.346754 94.664059) (xy 138.449709 94.595266) (xy 138.537266 94.507709) + (xy 138.606059 94.404754) (xy 138.653444 94.290356) (xy 138.6776 94.168912) (xy 138.6776 94.045088) (xy 140.3414 94.045088) + (xy 140.3414 94.168912) (xy 140.365556 94.290356) (xy 140.412941 94.404754) (xy 140.481734 94.507709) (xy 140.569291 94.595266) + (xy 140.672246 94.664059) (xy 140.786644 94.711444) (xy 140.908088 94.7356) (xy 141.031912 94.7356) (xy 141.153356 94.711444) + (xy 141.267754 94.664059) (xy 141.370709 94.595266) (xy 141.458266 94.507709) (xy 141.527059 94.404754) (xy 141.574444 94.290356) + (xy 141.5986 94.168912) (xy 141.5986 94.045088) (xy 155.5814 94.045088) (xy 155.5814 94.168912) (xy 155.605556 94.290356) + (xy 155.652941 94.404754) (xy 155.721734 94.507709) (xy 155.809291 94.595266) (xy 155.912246 94.664059) (xy 156.026644 94.711444) + (xy 156.148088 94.7356) (xy 156.271912 94.7356) (xy 156.393356 94.711444) (xy 156.507754 94.664059) (xy 156.610709 94.595266) + (xy 156.698266 94.507709) (xy 156.767059 94.404754) (xy 156.814444 94.290356) (xy 156.8386 94.168912) (xy 156.8386 94.045088) + (xy 158.1214 94.045088) (xy 158.1214 94.168912) (xy 158.145556 94.290356) (xy 158.192941 94.404754) (xy 158.261734 94.507709) + (xy 158.349291 94.595266) (xy 158.452246 94.664059) (xy 158.566644 94.711444) (xy 158.688088 94.7356) (xy 158.811912 94.7356) + (xy 158.933356 94.711444) (xy 159.047754 94.664059) (xy 159.150709 94.595266) (xy 159.238266 94.507709) (xy 159.307059 94.404754) + (xy 159.354444 94.290356) (xy 159.3786 94.168912) (xy 159.3786 94.045088) (xy 159.354444 93.923644) (xy 159.307059 93.809246) + (xy 159.238266 93.706291) (xy 159.150709 93.618734) (xy 159.047754 93.549941) (xy 158.933356 93.502556) (xy 158.811912 93.4784) + (xy 158.688088 93.4784) (xy 158.566644 93.502556) (xy 158.452246 93.549941) (xy 158.349291 93.618734) (xy 158.261734 93.706291) + (xy 158.192941 93.809246) (xy 158.145556 93.923644) (xy 158.1214 94.045088) (xy 156.8386 94.045088) (xy 156.814444 93.923644) + (xy 156.767059 93.809246) (xy 156.698266 93.706291) (xy 156.610709 93.618734) (xy 156.507754 93.549941) (xy 156.393356 93.502556) + (xy 156.271912 93.4784) (xy 156.148088 93.4784) (xy 156.026644 93.502556) (xy 155.912246 93.549941) (xy 155.809291 93.618734) + (xy 155.721734 93.706291) (xy 155.652941 93.809246) (xy 155.605556 93.923644) (xy 155.5814 94.045088) (xy 141.5986 94.045088) + (xy 141.574444 93.923644) (xy 141.527059 93.809246) (xy 141.458266 93.706291) (xy 141.370709 93.618734) (xy 141.267754 93.549941) + (xy 141.153356 93.502556) (xy 141.031912 93.4784) (xy 140.908088 93.4784) (xy 140.786644 93.502556) (xy 140.672246 93.549941) + (xy 140.569291 93.618734) (xy 140.481734 93.706291) (xy 140.412941 93.809246) (xy 140.365556 93.923644) (xy 140.3414 94.045088) + (xy 138.6776 94.045088) (xy 138.653444 93.923644) (xy 138.606059 93.809246) (xy 138.537266 93.706291) (xy 138.449709 93.618734) + (xy 138.346754 93.549941) (xy 138.232356 93.502556) (xy 138.110912 93.4784) (xy 137.987088 93.4784) (xy 137.865644 93.502556) + (xy 137.751246 93.549941) (xy 137.648291 93.618734) (xy 137.560734 93.706291) (xy 137.491941 93.809246) (xy 137.444556 93.923644) + (xy 137.4204 94.045088) (xy 121.6596 94.045088) (xy 121.635444 93.923644) (xy 121.588059 93.809246) (xy 121.519266 93.706291) + (xy 121.431709 93.618734) (xy 121.328754 93.549941) (xy 121.214356 93.502556) (xy 121.092912 93.4784) (xy 120.969088 93.4784) + (xy 120.847644 93.502556) (xy 120.733246 93.549941) (xy 120.630291 93.618734) (xy 120.542734 93.706291) (xy 120.473941 93.809246) + (xy 120.426556 93.923644) (xy 120.4024 94.045088) (xy 118.7386 94.045088) (xy 118.714444 93.923644) (xy 118.667059 93.809246) + (xy 118.598266 93.706291) (xy 118.510709 93.618734) (xy 118.407754 93.549941) (xy 118.293356 93.502556) (xy 118.171912 93.4784) + (xy 118.048088 93.4784) (xy 117.926644 93.502556) (xy 117.812246 93.549941) (xy 117.709291 93.618734) (xy 117.621734 93.706291) + (xy 117.552941 93.809246) (xy 117.505556 93.923644) (xy 117.4814 94.045088) (xy 107.3086 94.045088) (xy 107.284444 93.923644) + (xy 107.237059 93.809246) (xy 107.168266 93.706291) (xy 107.080709 93.618734) (xy 106.977754 93.549941) (xy 106.863356 93.502556) + (xy 106.741912 93.4784) (xy 106.618088 93.4784) (xy 106.496644 93.502556) (xy 106.382246 93.549941) (xy 106.279291 93.618734) + (xy 106.191734 93.706291) (xy 106.122941 93.809246) (xy 106.075556 93.923644) (xy 106.0514 94.045088) (xy 104.3876 94.045088) + (xy 104.363444 93.923644) (xy 104.316059 93.809246) (xy 104.247266 93.706291) (xy 104.159709 93.618734) (xy 104.056754 93.549941) + (xy 103.942356 93.502556) (xy 103.820912 93.4784) (xy 103.697088 93.4784) (xy 103.575644 93.502556) (xy 103.461246 93.549941) + (xy 103.358291 93.618734) (xy 103.270734 93.706291) (xy 103.201941 93.809246) (xy 103.154556 93.923644) (xy 103.1304 94.045088) + (xy 87.3696 94.045088) (xy 87.345444 93.923644) (xy 87.298059 93.809246) (xy 87.229266 93.706291) (xy 87.141709 93.618734) + (xy 87.038754 93.549941) (xy 86.924356 93.502556) (xy 86.802912 93.4784) (xy 86.679088 93.4784) (xy 86.557644 93.502556) + (xy 86.443246 93.549941) (xy 86.340291 93.618734) (xy 86.252734 93.706291) (xy 86.183941 93.809246) (xy 86.136556 93.923644) + (xy 86.1124 94.045088) (xy 84.4486 94.045088) (xy 84.424444 93.923644) (xy 84.377059 93.809246) (xy 84.308266 93.706291) + (xy 84.220709 93.618734) (xy 84.117754 93.549941) (xy 84.003356 93.502556) (xy 83.881912 93.4784) (xy 83.758088 93.4784) + (xy 83.636644 93.502556) (xy 83.522246 93.549941) (xy 83.419291 93.618734) (xy 83.331734 93.706291) (xy 83.262941 93.809246) + (xy 83.215556 93.923644) (xy 83.1914 94.045088) (xy 76.5286 94.045088) (xy 76.5286 91.466683) (xy 77.654586 91.466683) + (xy 77.696162 91.83734) (xy 77.80894 92.192862) (xy 77.988626 92.519708) (xy 78.228373 92.805429) (xy 78.519052 93.03914) + (xy 78.849589 93.211941) (xy 79.207396 93.317249) (xy 79.578842 93.351053) (xy 79.94978 93.312066) (xy 80.202075 93.233968) + (xy 114.7064 93.233968) (xy 114.7064 93.329032) (xy 114.724946 93.422269) (xy 114.761326 93.510097) (xy 114.81414 93.58914) + (xy 114.88136 93.65636) (xy 114.960403 93.709174) (xy 115.048231 93.745554) (xy 115.141468 93.7641) (xy 115.236532 93.7641) + (xy 115.329769 93.745554) (xy 115.417597 93.709174) (xy 115.49664 93.65636) (xy 115.56386 93.58914) (xy 115.616674 93.510097) + (xy 115.653054 93.422269) (xy 115.6716 93.329032) (xy 115.6716 93.233968) (xy 115.653054 93.140731) (xy 115.616674 93.052903) + (xy 115.56386 92.97386) (xy 115.49664 92.90664) (xy 115.417597 92.853826) (xy 115.329769 92.817446) (xy 115.236532 92.7989) + (xy 115.141468 92.7989) (xy 115.048231 92.817446) (xy 114.960403 92.853826) (xy 114.88136 92.90664) (xy 114.81414 92.97386) + (xy 114.761326 93.052903) (xy 114.724946 93.140731) (xy 114.7064 93.233968) (xy 80.202075 93.233968) (xy 80.306081 93.201773) + (xy 80.634174 93.024374) (xy 80.921561 92.786626) (xy 81.157296 92.497587) (xy 81.204681 92.408468) (xy 112.0394 92.408468) + (xy 112.0394 92.503532) (xy 112.057946 92.596769) (xy 112.094326 92.684597) (xy 112.14714 92.76364) (xy 112.21436 92.83086) + (xy 112.293403 92.883674) (xy 112.381231 92.920054) (xy 112.474468 92.9386) (xy 112.569532 92.9386) (xy 112.662769 92.920054) + (xy 112.750597 92.883674) (xy 112.82964 92.83086) (xy 112.89686 92.76364) (xy 112.949674 92.684597) (xy 112.986054 92.596769) + (xy 113.0046 92.503532) (xy 113.0046 92.408468) (xy 112.986054 92.315231) (xy 112.949674 92.227403) (xy 112.89686 92.14836) + (xy 112.82964 92.08114) (xy 112.750597 92.028326) (xy 112.662769 91.991946) (xy 112.569532 91.9734) (xy 112.474468 91.9734) + (xy 112.381231 91.991946) (xy 112.293403 92.028326) (xy 112.21436 92.08114) (xy 112.14714 92.14836) (xy 112.094326 92.227403) + (xy 112.057946 92.315231) (xy 112.0394 92.408468) (xy 81.204681 92.408468) (xy 81.3324 92.168264) (xy 81.440203 91.811201) + (xy 81.473983 91.466683) (xy 159.823586 91.466683) (xy 159.865162 91.83734) (xy 159.97794 92.192862) (xy 160.157626 92.519708) + (xy 160.397373 92.805429) (xy 160.688052 93.03914) (xy 161.018589 93.211941) (xy 161.376396 93.317249) (xy 161.747842 93.351053) + (xy 162.11878 93.312066) (xy 162.475081 93.201773) (xy 162.803174 93.024374) (xy 163.090561 92.786626) (xy 163.326296 92.497587) + (xy 163.5014 92.168264) (xy 163.609203 91.811201) (xy 163.6456 91.44) (xy 163.644855 91.386639) (xy 163.598108 91.016599) + (xy 163.480377 90.662686) (xy 163.296146 90.33838) (xy 163.052432 90.056035) (xy 162.758519 89.826405) (xy 162.425601 89.658236) + (xy 162.066359 89.557934) (xy 161.694477 89.529319) (xy 161.324119 89.573482) (xy 160.969393 89.688739) (xy 160.643809 89.870702) + (xy 160.35977 90.112438) (xy 160.128093 90.404741) (xy 159.957604 90.736477) (xy 159.854797 91.09501) (xy 159.823586 91.466683) + (xy 81.473983 91.466683) (xy 81.4766 91.44) (xy 81.475855 91.386639) (xy 81.429108 91.016599) (xy 81.385154 90.884468) + (xy 96.0374 90.884468) (xy 96.0374 90.979532) (xy 96.055946 91.072769) (xy 96.092326 91.160597) (xy 96.14514 91.23964) + (xy 96.21236 91.30686) (xy 96.291403 91.359674) (xy 96.379231 91.396054) (xy 96.472468 91.4146) (xy 96.567532 91.4146) + (xy 96.660769 91.396054) (xy 96.748597 91.359674) (xy 96.82764 91.30686) (xy 96.89486 91.23964) (xy 96.947674 91.160597) + (xy 96.984054 91.072769) (xy 97.0026 90.979532) (xy 97.0026 90.884468) (xy 97.3074 90.884468) (xy 97.3074 90.979532) + (xy 97.325946 91.072769) (xy 97.362326 91.160597) (xy 97.41514 91.23964) (xy 97.48236 91.30686) (xy 97.561403 91.359674) + (xy 97.649231 91.396054) (xy 97.742468 91.4146) (xy 97.837532 91.4146) (xy 97.930769 91.396054) (xy 98.018597 91.359674) + (xy 98.09764 91.30686) (xy 98.16486 91.23964) (xy 98.217674 91.160597) (xy 98.254054 91.072769) (xy 98.2726 90.979532) + (xy 98.2726 90.884468) (xy 98.5774 90.884468) (xy 98.5774 90.979532) (xy 98.595946 91.072769) (xy 98.632326 91.160597) + (xy 98.68514 91.23964) (xy 98.75236 91.30686) (xy 98.831403 91.359674) (xy 98.919231 91.396054) (xy 99.012468 91.4146) + (xy 99.107532 91.4146) (xy 99.200769 91.396054) (xy 99.288597 91.359674) (xy 99.36764 91.30686) (xy 99.43486 91.23964) + (xy 99.487674 91.160597) (xy 99.524054 91.072769) (xy 99.5426 90.979532) (xy 99.5426 90.884468) (xy 99.8474 90.884468) + (xy 99.8474 90.979532) (xy 99.865946 91.072769) (xy 99.902326 91.160597) (xy 99.95514 91.23964) (xy 100.02236 91.30686) + (xy 100.101403 91.359674) (xy 100.189231 91.396054) (xy 100.282468 91.4146) (xy 100.377532 91.4146) (xy 100.470769 91.396054) + (xy 100.558597 91.359674) (xy 100.63764 91.30686) (xy 100.70486 91.23964) (xy 100.757674 91.160597) (xy 100.794054 91.072769) + (xy 100.8126 90.979532) (xy 100.8126 90.884468) (xy 101.1174 90.884468) (xy 101.1174 90.979532) (xy 101.135946 91.072769) + (xy 101.172326 91.160597) (xy 101.22514 91.23964) (xy 101.29236 91.30686) (xy 101.371403 91.359674) (xy 101.459231 91.396054) + (xy 101.552468 91.4146) (xy 101.647532 91.4146) (xy 101.740769 91.396054) (xy 101.828597 91.359674) (xy 101.90764 91.30686) + (xy 101.97486 91.23964) (xy 102.027674 91.160597) (xy 102.064054 91.072769) (xy 102.0826 90.979532) (xy 102.0826 90.884468) + (xy 125.2474 90.884468) (xy 125.2474 90.979532) (xy 125.265946 91.072769) (xy 125.302326 91.160597) (xy 125.35514 91.23964) + (xy 125.42236 91.30686) (xy 125.501403 91.359674) (xy 125.589231 91.396054) (xy 125.682468 91.4146) (xy 125.777532 91.4146) + (xy 125.870769 91.396054) (xy 125.958597 91.359674) (xy 126.03764 91.30686) (xy 126.10486 91.23964) (xy 126.157674 91.160597) + (xy 126.194054 91.072769) (xy 126.2126 90.979532) (xy 126.2126 90.884468) (xy 126.5174 90.884468) (xy 126.5174 90.979532) + (xy 126.535946 91.072769) (xy 126.572326 91.160597) (xy 126.62514 91.23964) (xy 126.69236 91.30686) (xy 126.771403 91.359674) + (xy 126.859231 91.396054) (xy 126.952468 91.4146) (xy 127.047532 91.4146) (xy 127.140769 91.396054) (xy 127.228597 91.359674) + (xy 127.30764 91.30686) (xy 127.37486 91.23964) (xy 127.427674 91.160597) (xy 127.464054 91.072769) (xy 127.4826 90.979532) + (xy 127.4826 90.884468) (xy 130.3274 90.884468) (xy 130.3274 90.979532) (xy 130.345946 91.072769) (xy 130.382326 91.160597) + (xy 130.43514 91.23964) (xy 130.50236 91.30686) (xy 130.581403 91.359674) (xy 130.669231 91.396054) (xy 130.762468 91.4146) + (xy 130.857532 91.4146) (xy 130.950769 91.396054) (xy 131.038597 91.359674) (xy 131.11764 91.30686) (xy 131.18486 91.23964) + (xy 131.237674 91.160597) (xy 131.274054 91.072769) (xy 131.2926 90.979532) (xy 131.2926 90.884468) (xy 131.5974 90.884468) + (xy 131.5974 90.979532) (xy 131.615946 91.072769) (xy 131.652326 91.160597) (xy 131.70514 91.23964) (xy 131.77236 91.30686) + (xy 131.851403 91.359674) (xy 131.939231 91.396054) (xy 132.032468 91.4146) (xy 132.127532 91.4146) (xy 132.220769 91.396054) + (xy 132.308597 91.359674) (xy 132.38764 91.30686) (xy 132.45486 91.23964) (xy 132.507674 91.160597) (xy 132.544054 91.072769) + (xy 132.5626 90.979532) (xy 132.5626 90.884468) (xy 132.8674 90.884468) (xy 132.8674 90.979532) (xy 132.885946 91.072769) + (xy 132.922326 91.160597) (xy 132.97514 91.23964) (xy 133.04236 91.30686) (xy 133.121403 91.359674) (xy 133.209231 91.396054) + (xy 133.302468 91.4146) (xy 133.397532 91.4146) (xy 133.490769 91.396054) (xy 133.578597 91.359674) (xy 133.65764 91.30686) + (xy 133.72486 91.23964) (xy 133.777674 91.160597) (xy 133.814054 91.072769) (xy 133.8326 90.979532) (xy 133.8326 90.884468) + (xy 134.1374 90.884468) (xy 134.1374 90.979532) (xy 134.155946 91.072769) (xy 134.192326 91.160597) (xy 134.24514 91.23964) + (xy 134.31236 91.30686) (xy 134.391403 91.359674) (xy 134.479231 91.396054) (xy 134.572468 91.4146) (xy 134.667532 91.4146) + (xy 134.760769 91.396054) (xy 134.848597 91.359674) (xy 134.92764 91.30686) (xy 134.99486 91.23964) (xy 135.047674 91.160597) + (xy 135.084054 91.072769) (xy 135.1026 90.979532) (xy 135.1026 90.884468) (xy 135.4074 90.884468) (xy 135.4074 90.979532) + (xy 135.425946 91.072769) (xy 135.462326 91.160597) (xy 135.51514 91.23964) (xy 135.58236 91.30686) (xy 135.661403 91.359674) + (xy 135.749231 91.396054) (xy 135.842468 91.4146) (xy 135.937532 91.4146) (xy 136.030769 91.396054) (xy 136.118597 91.359674) + (xy 136.19764 91.30686) (xy 136.26486 91.23964) (xy 136.317674 91.160597) (xy 136.354054 91.072769) (xy 136.3726 90.979532) + (xy 136.3726 90.884468) (xy 136.354054 90.791231) (xy 136.317674 90.703403) (xy 136.26486 90.62436) (xy 136.19764 90.55714) + (xy 136.118597 90.504326) (xy 136.030769 90.467946) (xy 135.937532 90.4494) (xy 135.842468 90.4494) (xy 135.749231 90.467946) + (xy 135.661403 90.504326) (xy 135.58236 90.55714) (xy 135.51514 90.62436) (xy 135.462326 90.703403) (xy 135.425946 90.791231) + (xy 135.4074 90.884468) (xy 135.1026 90.884468) (xy 135.084054 90.791231) (xy 135.047674 90.703403) (xy 134.99486 90.62436) + (xy 134.92764 90.55714) (xy 134.848597 90.504326) (xy 134.760769 90.467946) (xy 134.667532 90.4494) (xy 134.572468 90.4494) + (xy 134.479231 90.467946) (xy 134.391403 90.504326) (xy 134.31236 90.55714) (xy 134.24514 90.62436) (xy 134.192326 90.703403) + (xy 134.155946 90.791231) (xy 134.1374 90.884468) (xy 133.8326 90.884468) (xy 133.814054 90.791231) (xy 133.777674 90.703403) + (xy 133.72486 90.62436) (xy 133.65764 90.55714) (xy 133.578597 90.504326) (xy 133.490769 90.467946) (xy 133.397532 90.4494) + (xy 133.302468 90.4494) (xy 133.209231 90.467946) (xy 133.121403 90.504326) (xy 133.04236 90.55714) (xy 132.97514 90.62436) + (xy 132.922326 90.703403) (xy 132.885946 90.791231) (xy 132.8674 90.884468) (xy 132.5626 90.884468) (xy 132.544054 90.791231) + (xy 132.507674 90.703403) (xy 132.45486 90.62436) (xy 132.38764 90.55714) (xy 132.308597 90.504326) (xy 132.220769 90.467946) + (xy 132.127532 90.4494) (xy 132.032468 90.4494) (xy 131.939231 90.467946) (xy 131.851403 90.504326) (xy 131.77236 90.55714) + (xy 131.70514 90.62436) (xy 131.652326 90.703403) (xy 131.615946 90.791231) (xy 131.5974 90.884468) (xy 131.2926 90.884468) + (xy 131.274054 90.791231) (xy 131.237674 90.703403) (xy 131.18486 90.62436) (xy 131.11764 90.55714) (xy 131.038597 90.504326) + (xy 130.950769 90.467946) (xy 130.857532 90.4494) (xy 130.762468 90.4494) (xy 130.669231 90.467946) (xy 130.581403 90.504326) + (xy 130.50236 90.55714) (xy 130.43514 90.62436) (xy 130.382326 90.703403) (xy 130.345946 90.791231) (xy 130.3274 90.884468) + (xy 127.4826 90.884468) (xy 127.464054 90.791231) (xy 127.427674 90.703403) (xy 127.37486 90.62436) (xy 127.30764 90.55714) + (xy 127.228597 90.504326) (xy 127.140769 90.467946) (xy 127.047532 90.4494) (xy 126.952468 90.4494) (xy 126.859231 90.467946) + (xy 126.771403 90.504326) (xy 126.69236 90.55714) (xy 126.62514 90.62436) (xy 126.572326 90.703403) (xy 126.535946 90.791231) + (xy 126.5174 90.884468) (xy 126.2126 90.884468) (xy 126.194054 90.791231) (xy 126.157674 90.703403) (xy 126.10486 90.62436) + (xy 126.03764 90.55714) (xy 125.958597 90.504326) (xy 125.870769 90.467946) (xy 125.777532 90.4494) (xy 125.682468 90.4494) + (xy 125.589231 90.467946) (xy 125.501403 90.504326) (xy 125.42236 90.55714) (xy 125.35514 90.62436) (xy 125.302326 90.703403) + (xy 125.265946 90.791231) (xy 125.2474 90.884468) (xy 102.0826 90.884468) (xy 102.064054 90.791231) (xy 102.027674 90.703403) + (xy 101.97486 90.62436) (xy 101.90764 90.55714) (xy 101.828597 90.504326) (xy 101.740769 90.467946) (xy 101.647532 90.4494) + (xy 101.552468 90.4494) (xy 101.459231 90.467946) (xy 101.371403 90.504326) (xy 101.29236 90.55714) (xy 101.22514 90.62436) + (xy 101.172326 90.703403) (xy 101.135946 90.791231) (xy 101.1174 90.884468) (xy 100.8126 90.884468) (xy 100.794054 90.791231) + (xy 100.757674 90.703403) (xy 100.70486 90.62436) (xy 100.63764 90.55714) (xy 100.558597 90.504326) (xy 100.470769 90.467946) + (xy 100.377532 90.4494) (xy 100.282468 90.4494) (xy 100.189231 90.467946) (xy 100.101403 90.504326) (xy 100.02236 90.55714) + (xy 99.95514 90.62436) (xy 99.902326 90.703403) (xy 99.865946 90.791231) (xy 99.8474 90.884468) (xy 99.5426 90.884468) + (xy 99.524054 90.791231) (xy 99.487674 90.703403) (xy 99.43486 90.62436) (xy 99.36764 90.55714) (xy 99.288597 90.504326) + (xy 99.200769 90.467946) (xy 99.107532 90.4494) (xy 99.012468 90.4494) (xy 98.919231 90.467946) (xy 98.831403 90.504326) + (xy 98.75236 90.55714) (xy 98.68514 90.62436) (xy 98.632326 90.703403) (xy 98.595946 90.791231) (xy 98.5774 90.884468) + (xy 98.2726 90.884468) (xy 98.254054 90.791231) (xy 98.217674 90.703403) (xy 98.16486 90.62436) (xy 98.09764 90.55714) + (xy 98.018597 90.504326) (xy 97.930769 90.467946) (xy 97.837532 90.4494) (xy 97.742468 90.4494) (xy 97.649231 90.467946) + (xy 97.561403 90.504326) (xy 97.48236 90.55714) (xy 97.41514 90.62436) (xy 97.362326 90.703403) (xy 97.325946 90.791231) + (xy 97.3074 90.884468) (xy 97.0026 90.884468) (xy 96.984054 90.791231) (xy 96.947674 90.703403) (xy 96.89486 90.62436) + (xy 96.82764 90.55714) (xy 96.748597 90.504326) (xy 96.660769 90.467946) (xy 96.567532 90.4494) (xy 96.472468 90.4494) + (xy 96.379231 90.467946) (xy 96.291403 90.504326) (xy 96.21236 90.55714) (xy 96.14514 90.62436) (xy 96.092326 90.703403) + (xy 96.055946 90.791231) (xy 96.0374 90.884468) (xy 81.385154 90.884468) (xy 81.311377 90.662686) (xy 81.127146 90.33838) + (xy 80.940776 90.122468) (xy 120.6754 90.122468) (xy 120.6754 90.217532) (xy 120.693946 90.310769) (xy 120.730326 90.398597) + (xy 120.78314 90.47764) (xy 120.85036 90.54486) (xy 120.929403 90.597674) (xy 121.017231 90.634054) (xy 121.110468 90.6526) + (xy 121.205532 90.6526) (xy 121.298769 90.634054) (xy 121.386597 90.597674) (xy 121.46564 90.54486) (xy 121.53286 90.47764) + (xy 121.585674 90.398597) (xy 121.622054 90.310769) (xy 121.6406 90.217532) (xy 121.6406 90.122468) (xy 121.622054 90.029231) + (xy 121.585674 89.941403) (xy 121.53286 89.86236) (xy 121.46564 89.79514) (xy 121.386597 89.742326) (xy 121.298769 89.705946) + (xy 121.205532 89.6874) (xy 121.110468 89.6874) (xy 121.017231 89.705946) (xy 120.929403 89.742326) (xy 120.85036 89.79514) + (xy 120.78314 89.86236) (xy 120.730326 89.941403) (xy 120.693946 90.029231) (xy 120.6754 90.122468) (xy 80.940776 90.122468) + (xy 80.883432 90.056035) (xy 80.589519 89.826405) (xy 80.256601 89.658236) (xy 79.897359 89.557934) (xy 79.525477 89.529319) + (xy 79.155119 89.573482) (xy 78.800393 89.688739) (xy 78.474809 89.870702) (xy 78.19077 90.112438) (xy 77.959093 90.404741) + (xy 77.788604 90.736477) (xy 77.685797 91.09501) (xy 77.654586 91.466683) (xy 76.5286 91.466683) (xy 76.5286 88.979468) + (xy 125.2474 88.979468) (xy 125.2474 89.074532) (xy 125.265946 89.167769) (xy 125.302326 89.255597) (xy 125.35514 89.33464) + (xy 125.42236 89.40186) (xy 125.501403 89.454674) (xy 125.589231 89.491054) (xy 125.682468 89.5096) (xy 125.777532 89.5096) + (xy 125.870769 89.491054) (xy 125.958597 89.454674) (xy 126.03764 89.40186) (xy 126.10486 89.33464) (xy 126.157674 89.255597) + (xy 126.194054 89.167769) (xy 126.2126 89.074532) (xy 126.2126 88.979468) (xy 126.194054 88.886231) (xy 126.157674 88.798403) + (xy 126.10486 88.71936) (xy 126.03764 88.65214) (xy 125.958597 88.599326) (xy 125.870769 88.562946) (xy 125.777532 88.5444) + (xy 125.682468 88.5444) (xy 125.589231 88.562946) (xy 125.501403 88.599326) (xy 125.42236 88.65214) (xy 125.35514 88.71936) + (xy 125.302326 88.798403) (xy 125.265946 88.886231) (xy 125.2474 88.979468) (xy 76.5286 88.979468) (xy 76.5286 88.344468) + (xy 90.9574 88.344468) (xy 90.9574 88.439532) (xy 90.975946 88.532769) (xy 91.012326 88.620597) (xy 91.06514 88.69964) + (xy 91.13236 88.76686) (xy 91.211403 88.819674) (xy 91.299231 88.856054) (xy 91.392468 88.8746) (xy 91.487532 88.8746) + (xy 91.580769 88.856054) (xy 91.668597 88.819674) (xy 91.74764 88.76686) (xy 91.81486 88.69964) (xy 91.867674 88.620597) + (xy 91.904054 88.532769) (xy 91.9226 88.439532) (xy 91.9226 88.344468) (xy 93.4974 88.344468) (xy 93.4974 88.439532) + (xy 93.515946 88.532769) (xy 93.552326 88.620597) (xy 93.60514 88.69964) (xy 93.67236 88.76686) (xy 93.751403 88.819674) + (xy 93.839231 88.856054) (xy 93.932468 88.8746) (xy 94.027532 88.8746) (xy 94.120769 88.856054) (xy 94.208597 88.819674) + (xy 94.28764 88.76686) (xy 94.35486 88.69964) (xy 94.407674 88.620597) (xy 94.444054 88.532769) (xy 94.4626 88.439532) + (xy 94.4626 88.344468) (xy 96.0374 88.344468) (xy 96.0374 88.439532) (xy 96.055946 88.532769) (xy 96.092326 88.620597) + (xy 96.14514 88.69964) (xy 96.21236 88.76686) (xy 96.291403 88.819674) (xy 96.379231 88.856054) (xy 96.472468 88.8746) + (xy 96.567532 88.8746) (xy 96.660769 88.856054) (xy 96.748597 88.819674) (xy 96.82764 88.76686) (xy 96.89486 88.69964) + (xy 96.947674 88.620597) (xy 96.984054 88.532769) (xy 97.0026 88.439532) (xy 97.0026 88.344468) (xy 97.3074 88.344468) + (xy 97.3074 88.439532) (xy 97.325946 88.532769) (xy 97.362326 88.620597) (xy 97.41514 88.69964) (xy 97.48236 88.76686) + (xy 97.561403 88.819674) (xy 97.649231 88.856054) (xy 97.742468 88.8746) (xy 97.837532 88.8746) (xy 97.930769 88.856054) + (xy 98.018597 88.819674) (xy 98.09764 88.76686) (xy 98.16486 88.69964) (xy 98.217674 88.620597) (xy 98.254054 88.532769) + (xy 98.2726 88.439532) (xy 98.2726 88.344468) (xy 98.5774 88.344468) (xy 98.5774 88.439532) (xy 98.595946 88.532769) + (xy 98.632326 88.620597) (xy 98.68514 88.69964) (xy 98.75236 88.76686) (xy 98.831403 88.819674) (xy 98.919231 88.856054) + (xy 99.012468 88.8746) (xy 99.107532 88.8746) (xy 99.200769 88.856054) (xy 99.288597 88.819674) (xy 99.36764 88.76686) + (xy 99.43486 88.69964) (xy 99.487674 88.620597) (xy 99.524054 88.532769) (xy 99.5426 88.439532) (xy 99.5426 88.344468) + (xy 99.8474 88.344468) (xy 99.8474 88.439532) (xy 99.865946 88.532769) (xy 99.902326 88.620597) (xy 99.95514 88.69964) + (xy 100.02236 88.76686) (xy 100.101403 88.819674) (xy 100.189231 88.856054) (xy 100.282468 88.8746) (xy 100.377532 88.8746) + (xy 100.470769 88.856054) (xy 100.558597 88.819674) (xy 100.63764 88.76686) (xy 100.70486 88.69964) (xy 100.757674 88.620597) + (xy 100.794054 88.532769) (xy 100.8126 88.439532) (xy 100.8126 88.344468) (xy 101.1174 88.344468) (xy 101.1174 88.439532) + (xy 101.135946 88.532769) (xy 101.172326 88.620597) (xy 101.22514 88.69964) (xy 101.29236 88.76686) (xy 101.371403 88.819674) + (xy 101.459231 88.856054) (xy 101.552468 88.8746) (xy 101.647532 88.8746) (xy 101.740769 88.856054) (xy 101.828597 88.819674) + (xy 101.90764 88.76686) (xy 101.97486 88.69964) (xy 102.027674 88.620597) (xy 102.064054 88.532769) (xy 102.0826 88.439532) + (xy 102.0826 88.344468) (xy 127.7874 88.344468) (xy 127.7874 88.439532) (xy 127.805946 88.532769) (xy 127.842326 88.620597) + (xy 127.89514 88.69964) (xy 127.96236 88.76686) (xy 128.041403 88.819674) (xy 128.129231 88.856054) (xy 128.222468 88.8746) + (xy 128.317532 88.8746) (xy 128.410769 88.856054) (xy 128.498597 88.819674) (xy 128.57764 88.76686) (xy 128.64486 88.69964) + (xy 128.697674 88.620597) (xy 128.734054 88.532769) (xy 128.7526 88.439532) (xy 128.7526 88.344468) (xy 130.3274 88.344468) + (xy 130.3274 88.439532) (xy 130.345946 88.532769) (xy 130.382326 88.620597) (xy 130.43514 88.69964) (xy 130.50236 88.76686) + (xy 130.581403 88.819674) (xy 130.669231 88.856054) (xy 130.762468 88.8746) (xy 130.857532 88.8746) (xy 130.950769 88.856054) + (xy 131.038597 88.819674) (xy 131.11764 88.76686) (xy 131.18486 88.69964) (xy 131.237674 88.620597) (xy 131.274054 88.532769) + (xy 131.2926 88.439532) (xy 131.2926 88.344468) (xy 131.5974 88.344468) (xy 131.5974 88.439532) (xy 131.615946 88.532769) + (xy 131.652326 88.620597) (xy 131.70514 88.69964) (xy 131.77236 88.76686) (xy 131.851403 88.819674) (xy 131.939231 88.856054) + (xy 132.032468 88.8746) (xy 132.127532 88.8746) (xy 132.220769 88.856054) (xy 132.308597 88.819674) (xy 132.38764 88.76686) + (xy 132.45486 88.69964) (xy 132.507674 88.620597) (xy 132.544054 88.532769) (xy 132.5626 88.439532) (xy 132.5626 88.344468) + (xy 132.8674 88.344468) (xy 132.8674 88.439532) (xy 132.885946 88.532769) (xy 132.922326 88.620597) (xy 132.97514 88.69964) + (xy 133.04236 88.76686) (xy 133.121403 88.819674) (xy 133.209231 88.856054) (xy 133.302468 88.8746) (xy 133.397532 88.8746) + (xy 133.490769 88.856054) (xy 133.578597 88.819674) (xy 133.65764 88.76686) (xy 133.72486 88.69964) (xy 133.777674 88.620597) + (xy 133.814054 88.532769) (xy 133.8326 88.439532) (xy 133.8326 88.344468) (xy 134.1374 88.344468) (xy 134.1374 88.439532) + (xy 134.155946 88.532769) (xy 134.192326 88.620597) (xy 134.24514 88.69964) (xy 134.31236 88.76686) (xy 134.391403 88.819674) + (xy 134.479231 88.856054) (xy 134.572468 88.8746) (xy 134.667532 88.8746) (xy 134.760769 88.856054) (xy 134.848597 88.819674) + (xy 134.92764 88.76686) (xy 134.99486 88.69964) (xy 135.047674 88.620597) (xy 135.084054 88.532769) (xy 135.1026 88.439532) + (xy 135.1026 88.344468) (xy 135.4074 88.344468) (xy 135.4074 88.439532) (xy 135.425946 88.532769) (xy 135.462326 88.620597) + (xy 135.51514 88.69964) (xy 135.58236 88.76686) (xy 135.661403 88.819674) (xy 135.749231 88.856054) (xy 135.842468 88.8746) + (xy 135.937532 88.8746) (xy 136.030769 88.856054) (xy 136.118597 88.819674) (xy 136.19764 88.76686) (xy 136.26486 88.69964) + (xy 136.317674 88.620597) (xy 136.354054 88.532769) (xy 136.3726 88.439532) (xy 136.3726 88.344468) (xy 136.354054 88.251231) + (xy 136.317674 88.163403) (xy 136.26486 88.08436) (xy 136.19764 88.01714) (xy 136.118597 87.964326) (xy 136.030769 87.927946) + (xy 135.937532 87.9094) (xy 135.842468 87.9094) (xy 135.749231 87.927946) (xy 135.661403 87.964326) (xy 135.58236 88.01714) + (xy 135.51514 88.08436) (xy 135.462326 88.163403) (xy 135.425946 88.251231) (xy 135.4074 88.344468) (xy 135.1026 88.344468) + (xy 135.084054 88.251231) (xy 135.047674 88.163403) (xy 134.99486 88.08436) (xy 134.92764 88.01714) (xy 134.848597 87.964326) + (xy 134.760769 87.927946) (xy 134.667532 87.9094) (xy 134.572468 87.9094) (xy 134.479231 87.927946) (xy 134.391403 87.964326) + (xy 134.31236 88.01714) (xy 134.24514 88.08436) (xy 134.192326 88.163403) (xy 134.155946 88.251231) (xy 134.1374 88.344468) + (xy 133.8326 88.344468) (xy 133.814054 88.251231) (xy 133.777674 88.163403) (xy 133.72486 88.08436) (xy 133.65764 88.01714) + (xy 133.578597 87.964326) (xy 133.490769 87.927946) (xy 133.397532 87.9094) (xy 133.302468 87.9094) (xy 133.209231 87.927946) + (xy 133.121403 87.964326) (xy 133.04236 88.01714) (xy 132.97514 88.08436) (xy 132.922326 88.163403) (xy 132.885946 88.251231) + (xy 132.8674 88.344468) (xy 132.5626 88.344468) (xy 132.544054 88.251231) (xy 132.507674 88.163403) (xy 132.45486 88.08436) + (xy 132.38764 88.01714) (xy 132.308597 87.964326) (xy 132.220769 87.927946) (xy 132.127532 87.9094) (xy 132.032468 87.9094) + (xy 131.939231 87.927946) (xy 131.851403 87.964326) (xy 131.77236 88.01714) (xy 131.70514 88.08436) (xy 131.652326 88.163403) + (xy 131.615946 88.251231) (xy 131.5974 88.344468) (xy 131.2926 88.344468) (xy 131.274054 88.251231) (xy 131.237674 88.163403) + (xy 131.18486 88.08436) (xy 131.11764 88.01714) (xy 131.038597 87.964326) (xy 130.950769 87.927946) (xy 130.857532 87.9094) + (xy 130.762468 87.9094) (xy 130.669231 87.927946) (xy 130.581403 87.964326) (xy 130.50236 88.01714) (xy 130.43514 88.08436) + (xy 130.382326 88.163403) (xy 130.345946 88.251231) (xy 130.3274 88.344468) (xy 128.7526 88.344468) (xy 128.734054 88.251231) + (xy 128.697674 88.163403) (xy 128.64486 88.08436) (xy 128.57764 88.01714) (xy 128.498597 87.964326) (xy 128.410769 87.927946) + (xy 128.317532 87.9094) (xy 128.222468 87.9094) (xy 128.129231 87.927946) (xy 128.041403 87.964326) (xy 127.96236 88.01714) + (xy 127.89514 88.08436) (xy 127.842326 88.163403) (xy 127.805946 88.251231) (xy 127.7874 88.344468) (xy 102.0826 88.344468) + (xy 102.064054 88.251231) (xy 102.027674 88.163403) (xy 101.97486 88.08436) (xy 101.90764 88.01714) (xy 101.828597 87.964326) + (xy 101.740769 87.927946) (xy 101.647532 87.9094) (xy 101.552468 87.9094) (xy 101.459231 87.927946) (xy 101.371403 87.964326) + (xy 101.29236 88.01714) (xy 101.22514 88.08436) (xy 101.172326 88.163403) (xy 101.135946 88.251231) (xy 101.1174 88.344468) + (xy 100.8126 88.344468) (xy 100.794054 88.251231) (xy 100.757674 88.163403) (xy 100.70486 88.08436) (xy 100.63764 88.01714) + (xy 100.558597 87.964326) (xy 100.470769 87.927946) (xy 100.377532 87.9094) (xy 100.282468 87.9094) (xy 100.189231 87.927946) + (xy 100.101403 87.964326) (xy 100.02236 88.01714) (xy 99.95514 88.08436) (xy 99.902326 88.163403) (xy 99.865946 88.251231) + (xy 99.8474 88.344468) (xy 99.5426 88.344468) (xy 99.524054 88.251231) (xy 99.487674 88.163403) (xy 99.43486 88.08436) + (xy 99.36764 88.01714) (xy 99.288597 87.964326) (xy 99.200769 87.927946) (xy 99.107532 87.9094) (xy 99.012468 87.9094) + (xy 98.919231 87.927946) (xy 98.831403 87.964326) (xy 98.75236 88.01714) (xy 98.68514 88.08436) (xy 98.632326 88.163403) + (xy 98.595946 88.251231) (xy 98.5774 88.344468) (xy 98.2726 88.344468) (xy 98.254054 88.251231) (xy 98.217674 88.163403) + (xy 98.16486 88.08436) (xy 98.09764 88.01714) (xy 98.018597 87.964326) (xy 97.930769 87.927946) (xy 97.837532 87.9094) + (xy 97.742468 87.9094) (xy 97.649231 87.927946) (xy 97.561403 87.964326) (xy 97.48236 88.01714) (xy 97.41514 88.08436) + (xy 97.362326 88.163403) (xy 97.325946 88.251231) (xy 97.3074 88.344468) (xy 97.0026 88.344468) (xy 96.984054 88.251231) + (xy 96.947674 88.163403) (xy 96.89486 88.08436) (xy 96.82764 88.01714) (xy 96.748597 87.964326) (xy 96.660769 87.927946) + (xy 96.567532 87.9094) (xy 96.472468 87.9094) (xy 96.379231 87.927946) (xy 96.291403 87.964326) (xy 96.21236 88.01714) + (xy 96.14514 88.08436) (xy 96.092326 88.163403) (xy 96.055946 88.251231) (xy 96.0374 88.344468) (xy 94.4626 88.344468) + (xy 94.444054 88.251231) (xy 94.407674 88.163403) (xy 94.35486 88.08436) (xy 94.28764 88.01714) (xy 94.208597 87.964326) + (xy 94.120769 87.927946) (xy 94.027532 87.9094) (xy 93.932468 87.9094) (xy 93.839231 87.927946) (xy 93.751403 87.964326) + (xy 93.67236 88.01714) (xy 93.60514 88.08436) (xy 93.552326 88.163403) (xy 93.515946 88.251231) (xy 93.4974 88.344468) + (xy 91.9226 88.344468) (xy 91.904054 88.251231) (xy 91.867674 88.163403) (xy 91.81486 88.08436) (xy 91.74764 88.01714) + (xy 91.668597 87.964326) (xy 91.580769 87.927946) (xy 91.487532 87.9094) (xy 91.392468 87.9094) (xy 91.299231 87.927946) + (xy 91.211403 87.964326) (xy 91.13236 88.01714) (xy 91.06514 88.08436) (xy 91.012326 88.163403) (xy 90.975946 88.251231) + (xy 90.9574 88.344468) (xy 76.5286 88.344468) (xy 76.5286 82.8786) (xy 164.7714 82.8786) ) ) ) - (zone (net 1) (net_name +5V) (layer In2.Cu) (tstamp 5D143AE6) (hatch edge 0.508) + (zone (net 9) (net_name GND) (layer In2.Cu) (tstamp 5D35ADC9) (hatch edge 0.508) (connect_pads (clearance 0.1524)) (min_thickness 0.1524) - (fill (arc_segments 16) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (fill yes (arc_segments 16) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) (polygon (pts - (xy 100.838 66.548) (xy 178.562 66.548) (xy 178.562 85.598) (xy 100.838 85.598) + (xy 76.2 82.55) (xy 165.1 82.55) (xy 165.1 101.6) (xy 76.2 101.6) + ) + ) + (filled_polygon + (pts + (xy 164.771401 101.2714) (xy 78.5606 101.2714) (xy 78.5606 99.568) (xy 85.7758 99.568) (xy 85.7758 100.457) + (xy 85.777264 100.471866) (xy 85.7816 100.48616) (xy 85.788642 100.499334) (xy 85.798118 100.510882) (xy 85.809666 100.520358) + (xy 85.82284 100.5274) (xy 85.837134 100.531736) (xy 85.852 100.5332) (xy 86.868 100.5332) (xy 86.882866 100.531736) + (xy 86.89716 100.5274) (xy 86.910334 100.520358) (xy 86.921882 100.510882) (xy 86.931358 100.499334) (xy 86.9384 100.48616) + (xy 86.942736 100.471866) (xy 86.9442 100.457) (xy 86.9442 99.568) (xy 88.3158 99.568) (xy 88.3158 100.457) + (xy 88.317264 100.471866) (xy 88.3216 100.48616) (xy 88.328642 100.499334) (xy 88.338118 100.510882) (xy 88.349666 100.520358) + (xy 88.36284 100.5274) (xy 88.377134 100.531736) (xy 88.392 100.5332) (xy 89.408 100.5332) (xy 89.422866 100.531736) + (xy 89.43716 100.5274) (xy 89.450334 100.520358) (xy 89.461882 100.510882) (xy 89.471358 100.499334) (xy 89.4784 100.48616) + (xy 89.482736 100.471866) (xy 89.4842 100.457) (xy 89.4842 99.568) (xy 90.8558 99.568) (xy 90.8558 100.457) + (xy 90.857264 100.471866) (xy 90.8616 100.48616) (xy 90.868642 100.499334) (xy 90.878118 100.510882) (xy 90.889666 100.520358) + (xy 90.90284 100.5274) (xy 90.917134 100.531736) (xy 90.932 100.5332) (xy 91.948 100.5332) (xy 91.962866 100.531736) + (xy 91.97716 100.5274) (xy 91.990334 100.520358) (xy 92.001882 100.510882) (xy 92.011358 100.499334) (xy 92.0184 100.48616) + (xy 92.022736 100.471866) (xy 92.0242 100.457) (xy 92.0242 99.568) (xy 93.3958 99.568) (xy 93.3958 100.457) + (xy 93.397264 100.471866) (xy 93.4016 100.48616) (xy 93.408642 100.499334) (xy 93.418118 100.510882) (xy 93.429666 100.520358) + (xy 93.44284 100.5274) (xy 93.457134 100.531736) (xy 93.472 100.5332) (xy 94.488 100.5332) (xy 94.502866 100.531736) + (xy 94.51716 100.5274) (xy 94.530334 100.520358) (xy 94.541882 100.510882) (xy 94.551358 100.499334) (xy 94.5584 100.48616) + (xy 94.562736 100.471866) (xy 94.5642 100.457) (xy 94.5642 99.568) (xy 95.9358 99.568) (xy 95.9358 100.457) + (xy 95.937264 100.471866) (xy 95.9416 100.48616) (xy 95.948642 100.499334) (xy 95.958118 100.510882) (xy 95.969666 100.520358) + (xy 95.98284 100.5274) (xy 95.997134 100.531736) (xy 96.012 100.5332) (xy 97.028 100.5332) (xy 97.042866 100.531736) + (xy 97.05716 100.5274) (xy 97.070334 100.520358) (xy 97.081882 100.510882) (xy 97.091358 100.499334) (xy 97.0984 100.48616) + (xy 97.102736 100.471866) (xy 97.1042 100.457) (xy 97.1042 99.568) (xy 98.4758 99.568) (xy 98.4758 100.457) + (xy 98.477264 100.471866) (xy 98.4816 100.48616) (xy 98.488642 100.499334) (xy 98.498118 100.510882) (xy 98.509666 100.520358) + (xy 98.52284 100.5274) (xy 98.537134 100.531736) (xy 98.552 100.5332) (xy 99.568 100.5332) (xy 99.582866 100.531736) + (xy 99.59716 100.5274) (xy 99.610334 100.520358) (xy 99.621882 100.510882) (xy 99.631358 100.499334) (xy 99.6384 100.48616) + (xy 99.642736 100.471866) (xy 99.6442 100.457) (xy 99.6442 99.568) (xy 101.0158 99.568) (xy 101.0158 100.457) + (xy 101.017264 100.471866) (xy 101.0216 100.48616) (xy 101.028642 100.499334) (xy 101.038118 100.510882) (xy 101.049666 100.520358) + (xy 101.06284 100.5274) (xy 101.077134 100.531736) (xy 101.092 100.5332) (xy 102.108 100.5332) (xy 102.122866 100.531736) + (xy 102.13716 100.5274) (xy 102.150334 100.520358) (xy 102.161882 100.510882) (xy 102.171358 100.499334) (xy 102.1784 100.48616) + (xy 102.182736 100.471866) (xy 102.1842 100.457) (xy 102.1842 99.568) (xy 106.0958 99.568) (xy 106.0958 100.457) + (xy 106.097264 100.471866) (xy 106.1016 100.48616) (xy 106.108642 100.499334) (xy 106.118118 100.510882) (xy 106.129666 100.520358) + (xy 106.14284 100.5274) (xy 106.157134 100.531736) (xy 106.172 100.5332) (xy 107.188 100.5332) (xy 107.202866 100.531736) + (xy 107.21716 100.5274) (xy 107.230334 100.520358) (xy 107.241882 100.510882) (xy 107.251358 100.499334) (xy 107.2584 100.48616) + (xy 107.262736 100.471866) (xy 107.2642 100.457) (xy 107.2642 99.568) (xy 108.6358 99.568) (xy 108.6358 100.457) + (xy 108.637264 100.471866) (xy 108.6416 100.48616) (xy 108.648642 100.499334) (xy 108.658118 100.510882) (xy 108.669666 100.520358) + (xy 108.68284 100.5274) (xy 108.697134 100.531736) (xy 108.712 100.5332) (xy 109.728 100.5332) (xy 109.742866 100.531736) + (xy 109.75716 100.5274) (xy 109.770334 100.520358) (xy 109.781882 100.510882) (xy 109.791358 100.499334) (xy 109.7984 100.48616) + (xy 109.802736 100.471866) (xy 109.8042 100.457) (xy 109.8042 99.568) (xy 111.1758 99.568) (xy 111.1758 100.457) + (xy 111.177264 100.471866) (xy 111.1816 100.48616) (xy 111.188642 100.499334) (xy 111.198118 100.510882) (xy 111.209666 100.520358) + (xy 111.22284 100.5274) (xy 111.237134 100.531736) (xy 111.252 100.5332) (xy 112.268 100.5332) (xy 112.282866 100.531736) + (xy 112.29716 100.5274) (xy 112.310334 100.520358) (xy 112.321882 100.510882) (xy 112.331358 100.499334) (xy 112.3384 100.48616) + (xy 112.342736 100.471866) (xy 112.3442 100.457) (xy 112.3442 99.568) (xy 113.7158 99.568) (xy 113.7158 100.457) + (xy 113.717264 100.471866) (xy 113.7216 100.48616) (xy 113.728642 100.499334) (xy 113.738118 100.510882) (xy 113.749666 100.520358) + (xy 113.76284 100.5274) (xy 113.777134 100.531736) (xy 113.792 100.5332) (xy 114.808 100.5332) (xy 114.822866 100.531736) + (xy 114.83716 100.5274) (xy 114.850334 100.520358) (xy 114.861882 100.510882) (xy 114.871358 100.499334) (xy 114.8784 100.48616) + (xy 114.882736 100.471866) (xy 114.8842 100.457) (xy 114.8842 99.568) (xy 116.2558 99.568) (xy 116.2558 100.457) + (xy 116.257264 100.471866) (xy 116.2616 100.48616) (xy 116.268642 100.499334) (xy 116.278118 100.510882) (xy 116.289666 100.520358) + (xy 116.30284 100.5274) (xy 116.317134 100.531736) (xy 116.332 100.5332) (xy 117.348 100.5332) (xy 117.362866 100.531736) + (xy 117.37716 100.5274) (xy 117.390334 100.520358) (xy 117.401882 100.510882) (xy 117.411358 100.499334) (xy 117.4184 100.48616) + (xy 117.422736 100.471866) (xy 117.4242 100.457) (xy 117.4242 99.568) (xy 118.7958 99.568) (xy 118.7958 100.457) + (xy 118.797264 100.471866) (xy 118.8016 100.48616) (xy 118.808642 100.499334) (xy 118.818118 100.510882) (xy 118.829666 100.520358) + (xy 118.84284 100.5274) (xy 118.857134 100.531736) (xy 118.872 100.5332) (xy 119.888 100.5332) (xy 119.902866 100.531736) + (xy 119.91716 100.5274) (xy 119.930334 100.520358) (xy 119.941882 100.510882) (xy 119.951358 100.499334) (xy 119.9584 100.48616) + (xy 119.962736 100.471866) (xy 119.9642 100.457) (xy 119.9642 99.568) (xy 121.3358 99.568) (xy 121.3358 100.457) + (xy 121.337264 100.471866) (xy 121.3416 100.48616) (xy 121.348642 100.499334) (xy 121.358118 100.510882) (xy 121.369666 100.520358) + (xy 121.38284 100.5274) (xy 121.397134 100.531736) (xy 121.412 100.5332) (xy 122.428 100.5332) (xy 122.442866 100.531736) + (xy 122.45716 100.5274) (xy 122.470334 100.520358) (xy 122.481882 100.510882) (xy 122.491358 100.499334) (xy 122.4984 100.48616) + (xy 122.502736 100.471866) (xy 122.5042 100.457) (xy 122.5042 99.568) (xy 123.8758 99.568) (xy 123.8758 100.457) + (xy 123.877264 100.471866) (xy 123.8816 100.48616) (xy 123.888642 100.499334) (xy 123.898118 100.510882) (xy 123.909666 100.520358) + (xy 123.92284 100.5274) (xy 123.937134 100.531736) (xy 123.952 100.5332) (xy 124.968 100.5332) (xy 124.982866 100.531736) + (xy 124.99716 100.5274) (xy 125.010334 100.520358) (xy 125.021882 100.510882) (xy 125.031358 100.499334) (xy 125.0384 100.48616) + (xy 125.042736 100.471866) (xy 125.0442 100.457) (xy 125.0442 99.568) (xy 126.4158 99.568) (xy 126.4158 100.457) + (xy 126.417264 100.471866) (xy 126.4216 100.48616) (xy 126.428642 100.499334) (xy 126.438118 100.510882) (xy 126.449666 100.520358) + (xy 126.46284 100.5274) (xy 126.477134 100.531736) (xy 126.492 100.5332) (xy 127.508 100.5332) (xy 127.522866 100.531736) + (xy 127.53716 100.5274) (xy 127.550334 100.520358) (xy 127.561882 100.510882) (xy 127.571358 100.499334) (xy 127.5784 100.48616) + (xy 127.582736 100.471866) (xy 127.5842 100.457) (xy 127.5842 99.568) (xy 128.9558 99.568) (xy 128.9558 100.457) + (xy 128.957264 100.471866) (xy 128.9616 100.48616) (xy 128.968642 100.499334) (xy 128.978118 100.510882) (xy 128.989666 100.520358) + (xy 129.00284 100.5274) (xy 129.017134 100.531736) (xy 129.032 100.5332) (xy 130.048 100.5332) (xy 130.062866 100.531736) + (xy 130.07716 100.5274) (xy 130.090334 100.520358) (xy 130.101882 100.510882) (xy 130.111358 100.499334) (xy 130.1184 100.48616) + (xy 130.122736 100.471866) (xy 130.1242 100.457) (xy 130.1242 99.568) (xy 131.4958 99.568) (xy 131.4958 100.457) + (xy 131.497264 100.471866) (xy 131.5016 100.48616) (xy 131.508642 100.499334) (xy 131.518118 100.510882) (xy 131.529666 100.520358) + (xy 131.54284 100.5274) (xy 131.557134 100.531736) (xy 131.572 100.5332) (xy 132.588 100.5332) (xy 132.602866 100.531736) + (xy 132.61716 100.5274) (xy 132.630334 100.520358) (xy 132.641882 100.510882) (xy 132.651358 100.499334) (xy 132.6584 100.48616) + (xy 132.662736 100.471866) (xy 132.6642 100.457) (xy 132.6642 99.568) (xy 134.0358 99.568) (xy 134.0358 100.457) + (xy 134.037264 100.471866) (xy 134.0416 100.48616) (xy 134.048642 100.499334) (xy 134.058118 100.510882) (xy 134.069666 100.520358) + (xy 134.08284 100.5274) (xy 134.097134 100.531736) (xy 134.112 100.5332) (xy 135.128 100.5332) (xy 135.142866 100.531736) + (xy 135.15716 100.5274) (xy 135.170334 100.520358) (xy 135.181882 100.510882) (xy 135.191358 100.499334) (xy 135.1984 100.48616) + (xy 135.202736 100.471866) (xy 135.2042 100.457) (xy 135.2042 99.568) (xy 139.1158 99.568) (xy 139.1158 100.457) + (xy 139.117264 100.471866) (xy 139.1216 100.48616) (xy 139.128642 100.499334) (xy 139.138118 100.510882) (xy 139.149666 100.520358) + (xy 139.16284 100.5274) (xy 139.177134 100.531736) (xy 139.192 100.5332) (xy 140.208 100.5332) (xy 140.222866 100.531736) + (xy 140.23716 100.5274) (xy 140.250334 100.520358) (xy 140.261882 100.510882) (xy 140.271358 100.499334) (xy 140.2784 100.48616) + (xy 140.282736 100.471866) (xy 140.2842 100.457) (xy 140.2842 99.568) (xy 141.6558 99.568) (xy 141.6558 100.457) + (xy 141.657264 100.471866) (xy 141.6616 100.48616) (xy 141.668642 100.499334) (xy 141.678118 100.510882) (xy 141.689666 100.520358) + (xy 141.70284 100.5274) (xy 141.717134 100.531736) (xy 141.732 100.5332) (xy 142.748 100.5332) (xy 142.762866 100.531736) + (xy 142.77716 100.5274) (xy 142.790334 100.520358) (xy 142.801882 100.510882) (xy 142.811358 100.499334) (xy 142.8184 100.48616) + (xy 142.822736 100.471866) (xy 142.8242 100.457) (xy 142.8242 99.568) (xy 144.1958 99.568) (xy 144.1958 100.457) + (xy 144.197264 100.471866) (xy 144.2016 100.48616) (xy 144.208642 100.499334) (xy 144.218118 100.510882) (xy 144.229666 100.520358) + (xy 144.24284 100.5274) (xy 144.257134 100.531736) (xy 144.272 100.5332) (xy 145.288 100.5332) (xy 145.302866 100.531736) + (xy 145.31716 100.5274) (xy 145.330334 100.520358) (xy 145.341882 100.510882) (xy 145.351358 100.499334) (xy 145.3584 100.48616) + (xy 145.362736 100.471866) (xy 145.3642 100.457) (xy 145.3642 99.568) (xy 146.7358 99.568) (xy 146.7358 100.457) + (xy 146.737264 100.471866) (xy 146.7416 100.48616) (xy 146.748642 100.499334) (xy 146.758118 100.510882) (xy 146.769666 100.520358) + (xy 146.78284 100.5274) (xy 146.797134 100.531736) (xy 146.812 100.5332) (xy 147.828 100.5332) (xy 147.842866 100.531736) + (xy 147.85716 100.5274) (xy 147.870334 100.520358) (xy 147.881882 100.510882) (xy 147.891358 100.499334) (xy 147.8984 100.48616) + (xy 147.902736 100.471866) (xy 147.9042 100.457) (xy 147.9042 99.568) (xy 149.2758 99.568) (xy 149.2758 100.457) + (xy 149.277264 100.471866) (xy 149.2816 100.48616) (xy 149.288642 100.499334) (xy 149.298118 100.510882) (xy 149.309666 100.520358) + (xy 149.32284 100.5274) (xy 149.337134 100.531736) (xy 149.352 100.5332) (xy 150.368 100.5332) (xy 150.382866 100.531736) + (xy 150.39716 100.5274) (xy 150.410334 100.520358) (xy 150.421882 100.510882) (xy 150.431358 100.499334) (xy 150.4384 100.48616) + (xy 150.442736 100.471866) (xy 150.4442 100.457) (xy 150.4442 99.568) (xy 151.8158 99.568) (xy 151.8158 100.457) + (xy 151.817264 100.471866) (xy 151.8216 100.48616) (xy 151.828642 100.499334) (xy 151.838118 100.510882) (xy 151.849666 100.520358) + (xy 151.86284 100.5274) (xy 151.877134 100.531736) (xy 151.892 100.5332) (xy 152.908 100.5332) (xy 152.922866 100.531736) + (xy 152.93716 100.5274) (xy 152.950334 100.520358) (xy 152.961882 100.510882) (xy 152.971358 100.499334) (xy 152.9784 100.48616) + (xy 152.982736 100.471866) (xy 152.9842 100.457) (xy 152.9842 99.568) (xy 154.3558 99.568) (xy 154.3558 100.457) + (xy 154.357264 100.471866) (xy 154.3616 100.48616) (xy 154.368642 100.499334) (xy 154.378118 100.510882) (xy 154.389666 100.520358) + (xy 154.40284 100.5274) (xy 154.417134 100.531736) (xy 154.432 100.5332) (xy 155.448 100.5332) (xy 155.462866 100.531736) + (xy 155.47716 100.5274) (xy 155.490334 100.520358) (xy 155.501882 100.510882) (xy 155.511358 100.499334) (xy 155.5184 100.48616) + (xy 155.522736 100.471866) (xy 155.5242 100.457) (xy 155.5242 99.568) (xy 155.522736 99.553134) (xy 155.5184 99.53884) + (xy 155.511358 99.525666) (xy 155.501882 99.514118) (xy 155.490334 99.504642) (xy 155.47716 99.4976) (xy 155.462866 99.493264) + (xy 155.448 99.4918) (xy 154.432 99.4918) (xy 154.417134 99.493264) (xy 154.40284 99.4976) (xy 154.389666 99.504642) + (xy 154.378118 99.514118) (xy 154.368642 99.525666) (xy 154.3616 99.53884) (xy 154.357264 99.553134) (xy 154.3558 99.568) + (xy 152.9842 99.568) (xy 152.982736 99.553134) (xy 152.9784 99.53884) (xy 152.971358 99.525666) (xy 152.961882 99.514118) + (xy 152.950334 99.504642) (xy 152.93716 99.4976) (xy 152.922866 99.493264) (xy 152.908 99.4918) (xy 151.892 99.4918) + (xy 151.877134 99.493264) (xy 151.86284 99.4976) (xy 151.849666 99.504642) (xy 151.838118 99.514118) (xy 151.828642 99.525666) + (xy 151.8216 99.53884) (xy 151.817264 99.553134) (xy 151.8158 99.568) (xy 150.4442 99.568) (xy 150.442736 99.553134) + (xy 150.4384 99.53884) (xy 150.431358 99.525666) (xy 150.421882 99.514118) (xy 150.410334 99.504642) (xy 150.39716 99.4976) + (xy 150.382866 99.493264) (xy 150.368 99.4918) (xy 149.352 99.4918) (xy 149.337134 99.493264) (xy 149.32284 99.4976) + (xy 149.309666 99.504642) (xy 149.298118 99.514118) (xy 149.288642 99.525666) (xy 149.2816 99.53884) (xy 149.277264 99.553134) + (xy 149.2758 99.568) (xy 147.9042 99.568) (xy 147.902736 99.553134) (xy 147.8984 99.53884) (xy 147.891358 99.525666) + (xy 147.881882 99.514118) (xy 147.870334 99.504642) (xy 147.85716 99.4976) (xy 147.842866 99.493264) (xy 147.828 99.4918) + (xy 146.812 99.4918) (xy 146.797134 99.493264) (xy 146.78284 99.4976) (xy 146.769666 99.504642) (xy 146.758118 99.514118) + (xy 146.748642 99.525666) (xy 146.7416 99.53884) (xy 146.737264 99.553134) (xy 146.7358 99.568) (xy 145.3642 99.568) + (xy 145.362736 99.553134) (xy 145.3584 99.53884) (xy 145.351358 99.525666) (xy 145.341882 99.514118) (xy 145.330334 99.504642) + (xy 145.31716 99.4976) (xy 145.302866 99.493264) (xy 145.288 99.4918) (xy 144.272 99.4918) (xy 144.257134 99.493264) + (xy 144.24284 99.4976) (xy 144.229666 99.504642) (xy 144.218118 99.514118) (xy 144.208642 99.525666) (xy 144.2016 99.53884) + (xy 144.197264 99.553134) (xy 144.1958 99.568) (xy 142.8242 99.568) (xy 142.822736 99.553134) (xy 142.8184 99.53884) + (xy 142.811358 99.525666) (xy 142.801882 99.514118) (xy 142.790334 99.504642) (xy 142.77716 99.4976) (xy 142.762866 99.493264) + (xy 142.748 99.4918) (xy 141.732 99.4918) (xy 141.717134 99.493264) (xy 141.70284 99.4976) (xy 141.689666 99.504642) + (xy 141.678118 99.514118) (xy 141.668642 99.525666) (xy 141.6616 99.53884) (xy 141.657264 99.553134) (xy 141.6558 99.568) + (xy 140.2842 99.568) (xy 140.282736 99.553134) (xy 140.2784 99.53884) (xy 140.271358 99.525666) (xy 140.261882 99.514118) + (xy 140.250334 99.504642) (xy 140.23716 99.4976) (xy 140.222866 99.493264) (xy 140.208 99.4918) (xy 139.192 99.4918) + (xy 139.177134 99.493264) (xy 139.16284 99.4976) (xy 139.149666 99.504642) (xy 139.138118 99.514118) (xy 139.128642 99.525666) + (xy 139.1216 99.53884) (xy 139.117264 99.553134) (xy 139.1158 99.568) (xy 135.2042 99.568) (xy 135.202736 99.553134) + (xy 135.1984 99.53884) (xy 135.191358 99.525666) (xy 135.181882 99.514118) (xy 135.170334 99.504642) (xy 135.15716 99.4976) + (xy 135.142866 99.493264) (xy 135.128 99.4918) (xy 134.112 99.4918) (xy 134.097134 99.493264) (xy 134.08284 99.4976) + (xy 134.069666 99.504642) (xy 134.058118 99.514118) (xy 134.048642 99.525666) (xy 134.0416 99.53884) (xy 134.037264 99.553134) + (xy 134.0358 99.568) (xy 132.6642 99.568) (xy 132.662736 99.553134) (xy 132.6584 99.53884) (xy 132.651358 99.525666) + (xy 132.641882 99.514118) (xy 132.630334 99.504642) (xy 132.61716 99.4976) (xy 132.602866 99.493264) (xy 132.588 99.4918) + (xy 131.572 99.4918) (xy 131.557134 99.493264) (xy 131.54284 99.4976) (xy 131.529666 99.504642) (xy 131.518118 99.514118) + (xy 131.508642 99.525666) (xy 131.5016 99.53884) (xy 131.497264 99.553134) (xy 131.4958 99.568) (xy 130.1242 99.568) + (xy 130.122736 99.553134) (xy 130.1184 99.53884) (xy 130.111358 99.525666) (xy 130.101882 99.514118) (xy 130.090334 99.504642) + (xy 130.07716 99.4976) (xy 130.062866 99.493264) (xy 130.048 99.4918) (xy 129.032 99.4918) (xy 129.017134 99.493264) + (xy 129.00284 99.4976) (xy 128.989666 99.504642) (xy 128.978118 99.514118) (xy 128.968642 99.525666) (xy 128.9616 99.53884) + (xy 128.957264 99.553134) (xy 128.9558 99.568) (xy 127.5842 99.568) (xy 127.582736 99.553134) (xy 127.5784 99.53884) + (xy 127.571358 99.525666) (xy 127.561882 99.514118) (xy 127.550334 99.504642) (xy 127.53716 99.4976) (xy 127.522866 99.493264) + (xy 127.508 99.4918) (xy 126.492 99.4918) (xy 126.477134 99.493264) (xy 126.46284 99.4976) (xy 126.449666 99.504642) + (xy 126.438118 99.514118) (xy 126.428642 99.525666) (xy 126.4216 99.53884) (xy 126.417264 99.553134) (xy 126.4158 99.568) + (xy 125.0442 99.568) (xy 125.042736 99.553134) (xy 125.0384 99.53884) (xy 125.031358 99.525666) (xy 125.021882 99.514118) + (xy 125.010334 99.504642) (xy 124.99716 99.4976) (xy 124.982866 99.493264) (xy 124.968 99.4918) (xy 123.952 99.4918) + (xy 123.937134 99.493264) (xy 123.92284 99.4976) (xy 123.909666 99.504642) (xy 123.898118 99.514118) (xy 123.888642 99.525666) + (xy 123.8816 99.53884) (xy 123.877264 99.553134) (xy 123.8758 99.568) (xy 122.5042 99.568) (xy 122.502736 99.553134) + (xy 122.4984 99.53884) (xy 122.491358 99.525666) (xy 122.481882 99.514118) (xy 122.470334 99.504642) (xy 122.45716 99.4976) + (xy 122.442866 99.493264) (xy 122.428 99.4918) (xy 121.412 99.4918) (xy 121.397134 99.493264) (xy 121.38284 99.4976) + (xy 121.369666 99.504642) (xy 121.358118 99.514118) (xy 121.348642 99.525666) (xy 121.3416 99.53884) (xy 121.337264 99.553134) + (xy 121.3358 99.568) (xy 119.9642 99.568) (xy 119.962736 99.553134) (xy 119.9584 99.53884) (xy 119.951358 99.525666) + (xy 119.941882 99.514118) (xy 119.930334 99.504642) (xy 119.91716 99.4976) (xy 119.902866 99.493264) (xy 119.888 99.4918) + (xy 118.872 99.4918) (xy 118.857134 99.493264) (xy 118.84284 99.4976) (xy 118.829666 99.504642) (xy 118.818118 99.514118) + (xy 118.808642 99.525666) (xy 118.8016 99.53884) (xy 118.797264 99.553134) (xy 118.7958 99.568) (xy 117.4242 99.568) + (xy 117.422736 99.553134) (xy 117.4184 99.53884) (xy 117.411358 99.525666) (xy 117.401882 99.514118) (xy 117.390334 99.504642) + (xy 117.37716 99.4976) (xy 117.362866 99.493264) (xy 117.348 99.4918) (xy 116.332 99.4918) (xy 116.317134 99.493264) + (xy 116.30284 99.4976) (xy 116.289666 99.504642) (xy 116.278118 99.514118) (xy 116.268642 99.525666) (xy 116.2616 99.53884) + (xy 116.257264 99.553134) (xy 116.2558 99.568) (xy 114.8842 99.568) (xy 114.882736 99.553134) (xy 114.8784 99.53884) + (xy 114.871358 99.525666) (xy 114.861882 99.514118) (xy 114.850334 99.504642) (xy 114.83716 99.4976) (xy 114.822866 99.493264) + (xy 114.808 99.4918) (xy 113.792 99.4918) (xy 113.777134 99.493264) (xy 113.76284 99.4976) (xy 113.749666 99.504642) + (xy 113.738118 99.514118) (xy 113.728642 99.525666) (xy 113.7216 99.53884) (xy 113.717264 99.553134) (xy 113.7158 99.568) + (xy 112.3442 99.568) (xy 112.342736 99.553134) (xy 112.3384 99.53884) (xy 112.331358 99.525666) (xy 112.321882 99.514118) + (xy 112.310334 99.504642) (xy 112.29716 99.4976) (xy 112.282866 99.493264) (xy 112.268 99.4918) (xy 111.252 99.4918) + (xy 111.237134 99.493264) (xy 111.22284 99.4976) (xy 111.209666 99.504642) (xy 111.198118 99.514118) (xy 111.188642 99.525666) + (xy 111.1816 99.53884) (xy 111.177264 99.553134) (xy 111.1758 99.568) (xy 109.8042 99.568) (xy 109.802736 99.553134) + (xy 109.7984 99.53884) (xy 109.791358 99.525666) (xy 109.781882 99.514118) (xy 109.770334 99.504642) (xy 109.75716 99.4976) + (xy 109.742866 99.493264) (xy 109.728 99.4918) (xy 108.712 99.4918) (xy 108.697134 99.493264) (xy 108.68284 99.4976) + (xy 108.669666 99.504642) (xy 108.658118 99.514118) (xy 108.648642 99.525666) (xy 108.6416 99.53884) (xy 108.637264 99.553134) + (xy 108.6358 99.568) (xy 107.2642 99.568) (xy 107.262736 99.553134) (xy 107.2584 99.53884) (xy 107.251358 99.525666) + (xy 107.241882 99.514118) (xy 107.230334 99.504642) (xy 107.21716 99.4976) (xy 107.202866 99.493264) (xy 107.188 99.4918) + (xy 106.172 99.4918) (xy 106.157134 99.493264) (xy 106.14284 99.4976) (xy 106.129666 99.504642) (xy 106.118118 99.514118) + (xy 106.108642 99.525666) (xy 106.1016 99.53884) (xy 106.097264 99.553134) (xy 106.0958 99.568) (xy 102.1842 99.568) + (xy 102.182736 99.553134) (xy 102.1784 99.53884) (xy 102.171358 99.525666) (xy 102.161882 99.514118) (xy 102.150334 99.504642) + (xy 102.13716 99.4976) (xy 102.122866 99.493264) (xy 102.108 99.4918) (xy 101.092 99.4918) (xy 101.077134 99.493264) + (xy 101.06284 99.4976) (xy 101.049666 99.504642) (xy 101.038118 99.514118) (xy 101.028642 99.525666) (xy 101.0216 99.53884) + (xy 101.017264 99.553134) (xy 101.0158 99.568) (xy 99.6442 99.568) (xy 99.642736 99.553134) (xy 99.6384 99.53884) + (xy 99.631358 99.525666) (xy 99.621882 99.514118) (xy 99.610334 99.504642) (xy 99.59716 99.4976) (xy 99.582866 99.493264) + (xy 99.568 99.4918) (xy 98.552 99.4918) (xy 98.537134 99.493264) (xy 98.52284 99.4976) (xy 98.509666 99.504642) + (xy 98.498118 99.514118) (xy 98.488642 99.525666) (xy 98.4816 99.53884) (xy 98.477264 99.553134) (xy 98.4758 99.568) + (xy 97.1042 99.568) (xy 97.102736 99.553134) (xy 97.0984 99.53884) (xy 97.091358 99.525666) (xy 97.081882 99.514118) + (xy 97.070334 99.504642) (xy 97.05716 99.4976) (xy 97.042866 99.493264) (xy 97.028 99.4918) (xy 96.012 99.4918) + (xy 95.997134 99.493264) (xy 95.98284 99.4976) (xy 95.969666 99.504642) (xy 95.958118 99.514118) (xy 95.948642 99.525666) + (xy 95.9416 99.53884) (xy 95.937264 99.553134) (xy 95.9358 99.568) (xy 94.5642 99.568) (xy 94.562736 99.553134) + (xy 94.5584 99.53884) (xy 94.551358 99.525666) (xy 94.541882 99.514118) (xy 94.530334 99.504642) (xy 94.51716 99.4976) + (xy 94.502866 99.493264) (xy 94.488 99.4918) (xy 93.472 99.4918) (xy 93.457134 99.493264) (xy 93.44284 99.4976) + (xy 93.429666 99.504642) (xy 93.418118 99.514118) (xy 93.408642 99.525666) (xy 93.4016 99.53884) (xy 93.397264 99.553134) + (xy 93.3958 99.568) (xy 92.0242 99.568) (xy 92.022736 99.553134) (xy 92.0184 99.53884) (xy 92.011358 99.525666) + (xy 92.001882 99.514118) (xy 91.990334 99.504642) (xy 91.97716 99.4976) (xy 91.962866 99.493264) (xy 91.948 99.4918) + (xy 90.932 99.4918) (xy 90.917134 99.493264) (xy 90.90284 99.4976) (xy 90.889666 99.504642) (xy 90.878118 99.514118) + (xy 90.868642 99.525666) (xy 90.8616 99.53884) (xy 90.857264 99.553134) (xy 90.8558 99.568) (xy 89.4842 99.568) + (xy 89.482736 99.553134) (xy 89.4784 99.53884) (xy 89.471358 99.525666) (xy 89.461882 99.514118) (xy 89.450334 99.504642) + (xy 89.43716 99.4976) (xy 89.422866 99.493264) (xy 89.408 99.4918) (xy 88.392 99.4918) (xy 88.377134 99.493264) + (xy 88.36284 99.4976) (xy 88.349666 99.504642) (xy 88.338118 99.514118) (xy 88.328642 99.525666) (xy 88.3216 99.53884) + (xy 88.317264 99.553134) (xy 88.3158 99.568) (xy 86.9442 99.568) (xy 86.942736 99.553134) (xy 86.9384 99.53884) + (xy 86.931358 99.525666) (xy 86.921882 99.514118) (xy 86.910334 99.504642) (xy 86.89716 99.4976) (xy 86.882866 99.493264) + (xy 86.868 99.4918) (xy 85.852 99.4918) (xy 85.837134 99.493264) (xy 85.82284 99.4976) (xy 85.809666 99.504642) + (xy 85.798118 99.514118) (xy 85.788642 99.525666) (xy 85.7816 99.53884) (xy 85.777264 99.553134) (xy 85.7758 99.568) + (xy 78.5606 99.568) (xy 78.5606 98.744088) (xy 83.1914 98.744088) (xy 83.1914 98.867912) (xy 83.215556 98.989356) + (xy 83.262941 99.103754) (xy 83.331734 99.206709) (xy 83.419291 99.294266) (xy 83.522246 99.363059) (xy 83.636644 99.410444) + (xy 83.758088 99.4346) (xy 83.881912 99.4346) (xy 84.003356 99.410444) (xy 84.117754 99.363059) (xy 84.220709 99.294266) + (xy 84.308266 99.206709) (xy 84.377059 99.103754) (xy 84.424444 98.989356) (xy 84.4486 98.867912) (xy 84.4486 98.744088) + (xy 85.7314 98.744088) (xy 85.7314 98.867912) (xy 85.755556 98.989356) (xy 85.802941 99.103754) (xy 85.871734 99.206709) + (xy 85.959291 99.294266) (xy 86.062246 99.363059) (xy 86.176644 99.410444) (xy 86.298088 99.4346) (xy 86.421912 99.4346) + (xy 86.543356 99.410444) (xy 86.657754 99.363059) (xy 86.760709 99.294266) (xy 86.848266 99.206709) (xy 86.917059 99.103754) + (xy 86.964444 98.989356) (xy 86.9886 98.867912) (xy 86.9886 98.744088) (xy 88.2714 98.744088) (xy 88.2714 98.867912) + (xy 88.295556 98.989356) (xy 88.342941 99.103754) (xy 88.411734 99.206709) (xy 88.499291 99.294266) (xy 88.602246 99.363059) + (xy 88.716644 99.410444) (xy 88.838088 99.4346) (xy 88.961912 99.4346) (xy 89.083356 99.410444) (xy 89.197754 99.363059) + (xy 89.300709 99.294266) (xy 89.388266 99.206709) (xy 89.457059 99.103754) (xy 89.504444 98.989356) (xy 89.5286 98.867912) + (xy 89.5286 98.744088) (xy 90.8114 98.744088) (xy 90.8114 98.867912) (xy 90.835556 98.989356) (xy 90.882941 99.103754) + (xy 90.951734 99.206709) (xy 91.039291 99.294266) (xy 91.142246 99.363059) (xy 91.256644 99.410444) (xy 91.378088 99.4346) + (xy 91.501912 99.4346) (xy 91.623356 99.410444) (xy 91.737754 99.363059) (xy 91.840709 99.294266) (xy 91.928266 99.206709) + (xy 91.997059 99.103754) (xy 92.044444 98.989356) (xy 92.0686 98.867912) (xy 92.0686 98.744088) (xy 92.046097 98.630954) + (xy 94.184944 96.492108) (xy 94.196568 96.482568) (xy 94.234658 96.436157) (xy 94.26296 96.383206) (xy 94.280389 96.325751) + (xy 94.2848 96.280966) (xy 94.2848 96.280959) (xy 94.286273 96.266001) (xy 94.2848 96.251043) (xy 94.2848 88.768758) + (xy 94.28764 88.76686) (xy 94.35486 88.69964) (xy 94.407674 88.620597) (xy 94.444054 88.532769) (xy 94.4626 88.439532) + (xy 94.4626 88.344468) (xy 94.461934 88.341118) (xy 98.424252 84.3788) (xy 131.572749 84.3788) (xy 131.598149 84.4042) + (xy 122.569958 84.4042) (xy 122.555 84.402727) (xy 122.540042 84.4042) (xy 122.540034 84.4042) (xy 122.500403 84.408103) + (xy 122.495248 84.408611) (xy 122.437794 84.42604) (xy 122.384843 84.454342) (xy 122.338432 84.492432) (xy 122.328892 84.504056) + (xy 121.666749 85.1662) (xy 103.631252 85.1662) (xy 103.096112 84.631061) (xy 103.086568 84.619432) (xy 103.040157 84.581342) + (xy 102.987206 84.55304) (xy 102.929751 84.535611) (xy 102.884966 84.5312) (xy 102.884958 84.5312) (xy 102.87 84.529727) + (xy 102.855042 84.5312) (xy 99.392458 84.5312) (xy 99.3775 84.529727) (xy 99.362542 84.5312) (xy 99.362534 84.5312) + (xy 99.322903 84.535103) (xy 99.317748 84.535611) (xy 99.307091 84.538844) (xy 99.260294 84.55304) (xy 99.207343 84.581342) + (xy 99.160932 84.619432) (xy 99.151392 84.631056) (xy 95.680057 88.102392) (xy 95.668433 88.111932) (xy 95.630343 88.158343) + (xy 95.621209 88.175432) (xy 95.60204 88.211295) (xy 95.584611 88.268749) (xy 95.578727 88.3285) (xy 95.580201 88.343468) + (xy 95.5802 89.266042) (xy 95.578727 89.281) (xy 95.5802 89.295958) (xy 95.5802 89.295965) (xy 95.584611 89.34075) + (xy 95.60204 89.398205) (xy 95.630342 89.451156) (xy 95.668432 89.497568) (xy 95.680061 89.507112) (xy 96.215201 90.042253) + (xy 96.2152 90.555242) (xy 96.21236 90.55714) (xy 96.14514 90.62436) (xy 96.092326 90.703403) (xy 96.055946 90.791231) + (xy 96.0374 90.884468) (xy 96.0374 90.979532) (xy 96.055946 91.072769) (xy 96.092326 91.160597) (xy 96.14514 91.23964) + (xy 96.21236 91.30686) (xy 96.215201 91.308758) (xy 96.2152 96.139748) (xy 94.155046 98.199903) (xy 94.041912 98.1774) + (xy 93.918088 98.1774) (xy 93.796644 98.201556) (xy 93.682246 98.248941) (xy 93.579291 98.317734) (xy 93.491734 98.405291) + (xy 93.422941 98.508246) (xy 93.375556 98.622644) (xy 93.3514 98.744088) (xy 93.3514 98.867912) (xy 93.375556 98.989356) + (xy 93.422941 99.103754) (xy 93.491734 99.206709) (xy 93.579291 99.294266) (xy 93.682246 99.363059) (xy 93.796644 99.410444) + (xy 93.918088 99.4346) (xy 94.041912 99.4346) (xy 94.163356 99.410444) (xy 94.277754 99.363059) (xy 94.380709 99.294266) + (xy 94.468266 99.206709) (xy 94.537059 99.103754) (xy 94.584444 98.989356) (xy 94.6086 98.867912) (xy 94.6086 98.744088) + (xy 95.8914 98.744088) (xy 95.8914 98.867912) (xy 95.915556 98.989356) (xy 95.962941 99.103754) (xy 96.031734 99.206709) + (xy 96.119291 99.294266) (xy 96.222246 99.363059) (xy 96.336644 99.410444) (xy 96.458088 99.4346) (xy 96.581912 99.4346) + (xy 96.703356 99.410444) (xy 96.817754 99.363059) (xy 96.920709 99.294266) (xy 97.008266 99.206709) (xy 97.077059 99.103754) + (xy 97.124444 98.989356) (xy 97.1486 98.867912) (xy 97.1486 98.744088) (xy 97.124444 98.622644) (xy 97.077059 98.508246) + (xy 97.008266 98.405291) (xy 96.920709 98.317734) (xy 96.817754 98.248941) (xy 96.703356 98.201556) (xy 96.581912 98.1774) + (xy 96.458088 98.1774) (xy 96.336644 98.201556) (xy 96.222246 98.248941) (xy 96.119291 98.317734) (xy 96.031734 98.405291) + (xy 95.962941 98.508246) (xy 95.915556 98.622644) (xy 95.8914 98.744088) (xy 94.6086 98.744088) (xy 94.586097 98.630954) + (xy 96.724945 96.492107) (xy 96.736568 96.482568) (xy 96.774658 96.436157) (xy 96.80296 96.383206) (xy 96.820389 96.325751) + (xy 96.8248 96.280966) (xy 96.8248 96.280959) (xy 96.826273 96.266001) (xy 96.8248 96.251043) (xy 96.8248 91.308758) + (xy 96.82764 91.30686) (xy 96.850201 91.284299) (xy 96.8502 96.886042) (xy 96.848727 96.901) (xy 96.8502 96.915958) + (xy 96.8502 96.915965) (xy 96.853731 96.951811) (xy 96.854611 96.960751) (xy 96.865346 96.996139) (xy 96.87204 97.018205) + (xy 96.900342 97.071156) (xy 96.938432 97.117568) (xy 96.950061 97.127112) (xy 98.453903 98.630955) (xy 98.4314 98.744088) + (xy 98.4314 98.867912) (xy 98.455556 98.989356) (xy 98.502941 99.103754) (xy 98.571734 99.206709) (xy 98.659291 99.294266) + (xy 98.762246 99.363059) (xy 98.876644 99.410444) (xy 98.998088 99.4346) (xy 99.121912 99.4346) (xy 99.243356 99.410444) + (xy 99.357754 99.363059) (xy 99.460709 99.294266) (xy 99.548266 99.206709) (xy 99.617059 99.103754) (xy 99.664444 98.989356) + (xy 99.6886 98.867912) (xy 99.6886 98.744088) (xy 99.664444 98.622644) (xy 99.617059 98.508246) (xy 99.548266 98.405291) + (xy 99.460709 98.317734) (xy 99.357754 98.248941) (xy 99.243356 98.201556) (xy 99.121912 98.1774) (xy 98.998088 98.1774) + (xy 98.884955 98.199903) (xy 97.4598 96.774749) (xy 97.4598 91.2843) (xy 97.48236 91.30686) (xy 97.485201 91.308758) + (xy 97.4852 94.981042) (xy 97.483727 94.996) (xy 97.4852 95.010958) (xy 97.4852 95.010965) (xy 97.48726 95.031879) + (xy 97.489611 95.055751) (xy 97.50704 95.113205) (xy 97.535342 95.166156) (xy 97.573432 95.212568) (xy 97.585061 95.222112) + (xy 100.993903 98.630955) (xy 100.9714 98.744088) (xy 100.9714 98.867912) (xy 100.995556 98.989356) (xy 101.042941 99.103754) + (xy 101.111734 99.206709) (xy 101.199291 99.294266) (xy 101.302246 99.363059) (xy 101.416644 99.410444) (xy 101.538088 99.4346) + (xy 101.661912 99.4346) (xy 101.783356 99.410444) (xy 101.897754 99.363059) (xy 102.000709 99.294266) (xy 102.033929 99.261046) + (xy 103.789323 99.261046) (xy 103.830978 99.356888) (xy 103.944389 99.40659) (xy 104.065317 99.433211) (xy 104.189115 99.435729) + (xy 104.311025 99.414047) (xy 104.426363 99.368998) (xy 104.449022 99.356888) (xy 104.490677 99.261046) (xy 104.14 98.910369) + (xy 103.789323 99.261046) (xy 102.033929 99.261046) (xy 102.088266 99.206709) (xy 102.157059 99.103754) (xy 102.204444 98.989356) + (xy 102.2286 98.867912) (xy 102.2286 98.855115) (xy 103.510271 98.855115) (xy 103.531953 98.977025) (xy 103.577002 99.092363) + (xy 103.589112 99.115022) (xy 103.684954 99.156677) (xy 104.035631 98.806) (xy 104.244369 98.806) (xy 104.595046 99.156677) + (xy 104.690888 99.115022) (xy 104.74059 99.001611) (xy 104.767211 98.880683) (xy 104.769729 98.756885) (xy 104.767454 98.744088) + (xy 106.0514 98.744088) (xy 106.0514 98.867912) (xy 106.075556 98.989356) (xy 106.122941 99.103754) (xy 106.191734 99.206709) + (xy 106.279291 99.294266) (xy 106.382246 99.363059) (xy 106.496644 99.410444) (xy 106.618088 99.4346) (xy 106.741912 99.4346) + (xy 106.863356 99.410444) (xy 106.977754 99.363059) (xy 107.080709 99.294266) (xy 107.168266 99.206709) (xy 107.237059 99.103754) + (xy 107.284444 98.989356) (xy 107.3086 98.867912) (xy 107.3086 98.744088) (xy 107.284444 98.622644) (xy 107.237059 98.508246) + (xy 107.168266 98.405291) (xy 107.080709 98.317734) (xy 106.977754 98.248941) (xy 106.863356 98.201556) (xy 106.741912 98.1774) + (xy 106.618088 98.1774) (xy 106.496644 98.201556) (xy 106.382246 98.248941) (xy 106.279291 98.317734) (xy 106.191734 98.405291) + (xy 106.122941 98.508246) (xy 106.075556 98.622644) (xy 106.0514 98.744088) (xy 104.767454 98.744088) (xy 104.748047 98.634975) + (xy 104.702998 98.519637) (xy 104.690888 98.496978) (xy 104.595046 98.455323) (xy 104.244369 98.806) (xy 104.035631 98.806) + (xy 103.684954 98.455323) (xy 103.589112 98.496978) (xy 103.53941 98.610389) (xy 103.512789 98.731317) (xy 103.510271 98.855115) + (xy 102.2286 98.855115) (xy 102.2286 98.744088) (xy 102.204444 98.622644) (xy 102.157059 98.508246) (xy 102.088266 98.405291) + (xy 102.033929 98.350954) (xy 103.789323 98.350954) (xy 104.14 98.701631) (xy 104.490677 98.350954) (xy 104.449022 98.255112) + (xy 104.335611 98.20541) (xy 104.214683 98.178789) (xy 104.090885 98.176271) (xy 103.968975 98.197953) (xy 103.853637 98.243002) + (xy 103.830978 98.255112) (xy 103.789323 98.350954) (xy 102.033929 98.350954) (xy 102.000709 98.317734) (xy 101.897754 98.248941) + (xy 101.783356 98.201556) (xy 101.661912 98.1774) (xy 101.538088 98.1774) (xy 101.424955 98.199903) (xy 98.0948 94.869749) + (xy 98.0948 91.308758) (xy 98.09764 91.30686) (xy 98.120201 91.284299) (xy 98.1202 92.822042) (xy 98.118727 92.837) + (xy 98.1202 92.851958) (xy 98.1202 92.851965) (xy 98.122413 92.874432) (xy 98.124611 92.896751) (xy 98.13192 92.920844) + (xy 98.14204 92.954205) (xy 98.170342 93.007156) (xy 98.208432 93.053568) (xy 98.220061 93.063112) (xy 103.151891 97.994943) + (xy 103.161432 98.006568) (xy 103.207843 98.044658) (xy 103.260794 98.07296) (xy 103.318248 98.090389) (xy 103.323403 98.090897) + (xy 103.363034 98.0948) (xy 103.363042 98.0948) (xy 103.378 98.096273) (xy 103.392958 98.0948) (xy 108.077749 98.0948) + (xy 108.613903 98.630955) (xy 108.5914 98.744088) (xy 108.5914 98.867912) (xy 108.615556 98.989356) (xy 108.662941 99.103754) + (xy 108.731734 99.206709) (xy 108.819291 99.294266) (xy 108.922246 99.363059) (xy 109.036644 99.410444) (xy 109.158088 99.4346) + (xy 109.281912 99.4346) (xy 109.403356 99.410444) (xy 109.517754 99.363059) (xy 109.620709 99.294266) (xy 109.708266 99.206709) + (xy 109.777059 99.103754) (xy 109.824444 98.989356) (xy 109.8486 98.867912) (xy 109.8486 98.744088) (xy 109.824444 98.622644) + (xy 109.777059 98.508246) (xy 109.708266 98.405291) (xy 109.620709 98.317734) (xy 109.517754 98.248941) (xy 109.403356 98.201556) + (xy 109.281912 98.1774) (xy 109.158088 98.1774) (xy 109.044955 98.199903) (xy 108.558851 97.7138) (xy 110.236749 97.7138) + (xy 111.153903 98.630955) (xy 111.1314 98.744088) (xy 111.1314 98.867912) (xy 111.155556 98.989356) (xy 111.202941 99.103754) + (xy 111.271734 99.206709) (xy 111.359291 99.294266) (xy 111.462246 99.363059) (xy 111.576644 99.410444) (xy 111.698088 99.4346) + (xy 111.821912 99.4346) (xy 111.943356 99.410444) (xy 112.057754 99.363059) (xy 112.160709 99.294266) (xy 112.248266 99.206709) + (xy 112.317059 99.103754) (xy 112.364444 98.989356) (xy 112.3886 98.867912) (xy 112.3886 98.744088) (xy 113.6714 98.744088) + (xy 113.6714 98.867912) (xy 113.695556 98.989356) (xy 113.742941 99.103754) (xy 113.811734 99.206709) (xy 113.899291 99.294266) + (xy 114.002246 99.363059) (xy 114.116644 99.410444) (xy 114.238088 99.4346) (xy 114.361912 99.4346) (xy 114.483356 99.410444) + (xy 114.597754 99.363059) (xy 114.700709 99.294266) (xy 114.788266 99.206709) (xy 114.857059 99.103754) (xy 114.904444 98.989356) + (xy 114.9286 98.867912) (xy 114.9286 98.744088) (xy 114.904444 98.622644) (xy 114.857059 98.508246) (xy 114.788266 98.405291) + (xy 114.700709 98.317734) (xy 114.597754 98.248941) (xy 114.483356 98.201556) (xy 114.361912 98.1774) (xy 114.238088 98.1774) + (xy 114.116644 98.201556) (xy 114.002246 98.248941) (xy 113.899291 98.317734) (xy 113.811734 98.405291) (xy 113.742941 98.508246) + (xy 113.695556 98.622644) (xy 113.6714 98.744088) (xy 112.3886 98.744088) (xy 112.364444 98.622644) (xy 112.317059 98.508246) + (xy 112.248266 98.405291) (xy 112.160709 98.317734) (xy 112.057754 98.248941) (xy 111.943356 98.201556) (xy 111.821912 98.1774) + (xy 111.698088 98.1774) (xy 111.584955 98.199903) (xy 110.717851 97.3328) (xy 113.030749 97.3328) (xy 113.692891 97.994943) + (xy 113.702432 98.006568) (xy 113.748843 98.044658) (xy 113.801794 98.07296) (xy 113.859248 98.090389) (xy 113.864403 98.090897) + (xy 113.904034 98.0948) (xy 113.904042 98.0948) (xy 113.919 98.096273) (xy 113.933958 98.0948) (xy 115.697749 98.0948) + (xy 116.233903 98.630955) (xy 116.2114 98.744088) (xy 116.2114 98.867912) (xy 116.235556 98.989356) (xy 116.282941 99.103754) + (xy 116.351734 99.206709) (xy 116.439291 99.294266) (xy 116.542246 99.363059) (xy 116.656644 99.410444) (xy 116.778088 99.4346) + (xy 116.901912 99.4346) (xy 117.023356 99.410444) (xy 117.137754 99.363059) (xy 117.240709 99.294266) (xy 117.328266 99.206709) + (xy 117.397059 99.103754) (xy 117.444444 98.989356) (xy 117.4686 98.867912) (xy 117.4686 98.744088) (xy 117.444444 98.622644) + (xy 117.397059 98.508246) (xy 117.328266 98.405291) (xy 117.240709 98.317734) (xy 117.137754 98.248941) (xy 117.023356 98.201556) + (xy 116.901912 98.1774) (xy 116.778088 98.1774) (xy 116.664955 98.199903) (xy 116.178851 97.7138) (xy 117.856749 97.7138) + (xy 118.773903 98.630955) (xy 118.7514 98.744088) (xy 118.7514 98.867912) (xy 118.775556 98.989356) (xy 118.822941 99.103754) + (xy 118.891734 99.206709) (xy 118.979291 99.294266) (xy 119.082246 99.363059) (xy 119.196644 99.410444) (xy 119.318088 99.4346) + (xy 119.441912 99.4346) (xy 119.563356 99.410444) (xy 119.677754 99.363059) (xy 119.780709 99.294266) (xy 119.868266 99.206709) + (xy 119.937059 99.103754) (xy 119.984444 98.989356) (xy 120.0086 98.867912) (xy 120.0086 98.744088) (xy 121.2914 98.744088) + (xy 121.2914 98.867912) (xy 121.315556 98.989356) (xy 121.362941 99.103754) (xy 121.431734 99.206709) (xy 121.519291 99.294266) + (xy 121.622246 99.363059) (xy 121.736644 99.410444) (xy 121.858088 99.4346) (xy 121.981912 99.4346) (xy 122.103356 99.410444) + (xy 122.217754 99.363059) (xy 122.320709 99.294266) (xy 122.408266 99.206709) (xy 122.477059 99.103754) (xy 122.524444 98.989356) + (xy 122.5486 98.867912) (xy 122.5486 98.744088) (xy 122.524444 98.622644) (xy 122.477059 98.508246) (xy 122.408266 98.405291) + (xy 122.320709 98.317734) (xy 122.217754 98.248941) (xy 122.103356 98.201556) (xy 121.981912 98.1774) (xy 121.858088 98.1774) + (xy 121.736644 98.201556) (xy 121.622246 98.248941) (xy 121.519291 98.317734) (xy 121.431734 98.405291) (xy 121.362941 98.508246) + (xy 121.315556 98.622644) (xy 121.2914 98.744088) (xy 120.0086 98.744088) (xy 119.984444 98.622644) (xy 119.937059 98.508246) + (xy 119.868266 98.405291) (xy 119.780709 98.317734) (xy 119.677754 98.248941) (xy 119.563356 98.201556) (xy 119.441912 98.1774) + (xy 119.318088 98.1774) (xy 119.204955 98.199903) (xy 118.337851 97.3328) (xy 122.555749 97.3328) (xy 123.853903 98.630955) + (xy 123.8314 98.744088) (xy 123.8314 98.867912) (xy 123.855556 98.989356) (xy 123.902941 99.103754) (xy 123.971734 99.206709) + (xy 124.059291 99.294266) (xy 124.162246 99.363059) (xy 124.276644 99.410444) (xy 124.398088 99.4346) (xy 124.521912 99.4346) + (xy 124.643356 99.410444) (xy 124.757754 99.363059) (xy 124.860709 99.294266) (xy 124.948266 99.206709) (xy 125.017059 99.103754) + (xy 125.064444 98.989356) (xy 125.0886 98.867912) (xy 125.0886 98.744088) (xy 125.064444 98.622644) (xy 125.017059 98.508246) + (xy 124.948266 98.405291) (xy 124.860709 98.317734) (xy 124.757754 98.248941) (xy 124.643356 98.201556) (xy 124.521912 98.1774) + (xy 124.398088 98.1774) (xy 124.284955 98.199903) (xy 123.036851 96.9518) (xy 124.714749 96.9518) (xy 126.393903 98.630955) + (xy 126.3714 98.744088) (xy 126.3714 98.867912) (xy 126.395556 98.989356) (xy 126.442941 99.103754) (xy 126.511734 99.206709) + (xy 126.599291 99.294266) (xy 126.702246 99.363059) (xy 126.816644 99.410444) (xy 126.938088 99.4346) (xy 127.061912 99.4346) + (xy 127.183356 99.410444) (xy 127.297754 99.363059) (xy 127.400709 99.294266) (xy 127.488266 99.206709) (xy 127.557059 99.103754) + (xy 127.604444 98.989356) (xy 127.6286 98.867912) (xy 127.6286 98.744088) (xy 127.604444 98.622644) (xy 127.557059 98.508246) + (xy 127.488266 98.405291) (xy 127.400709 98.317734) (xy 127.297754 98.248941) (xy 127.183356 98.201556) (xy 127.061912 98.1774) + (xy 126.938088 98.1774) (xy 126.824955 98.199903) (xy 125.195851 96.5708) (xy 126.873749 96.5708) (xy 128.065056 97.762108) + (xy 128.933903 98.630955) (xy 128.9114 98.744088) (xy 128.9114 98.867912) (xy 128.935556 98.989356) (xy 128.982941 99.103754) + (xy 129.051734 99.206709) (xy 129.139291 99.294266) (xy 129.242246 99.363059) (xy 129.356644 99.410444) (xy 129.478088 99.4346) + (xy 129.601912 99.4346) (xy 129.723356 99.410444) (xy 129.837754 99.363059) (xy 129.940709 99.294266) (xy 130.028266 99.206709) + (xy 130.097059 99.103754) (xy 130.144444 98.989356) (xy 130.1686 98.867912) (xy 130.1686 98.744088) (xy 131.4514 98.744088) + (xy 131.4514 98.867912) (xy 131.475556 98.989356) (xy 131.522941 99.103754) (xy 131.591734 99.206709) (xy 131.679291 99.294266) + (xy 131.782246 99.363059) (xy 131.896644 99.410444) (xy 132.018088 99.4346) (xy 132.141912 99.4346) (xy 132.263356 99.410444) + (xy 132.377754 99.363059) (xy 132.480709 99.294266) (xy 132.568266 99.206709) (xy 132.637059 99.103754) (xy 132.684444 98.989356) + (xy 132.7086 98.867912) (xy 132.7086 98.744088) (xy 132.684444 98.622644) (xy 132.637059 98.508246) (xy 132.568266 98.405291) + (xy 132.480709 98.317734) (xy 132.377754 98.248941) (xy 132.263356 98.201556) (xy 132.141912 98.1774) (xy 132.018088 98.1774) + (xy 131.896644 98.201556) (xy 131.782246 98.248941) (xy 131.679291 98.317734) (xy 131.591734 98.405291) (xy 131.522941 98.508246) + (xy 131.475556 98.622644) (xy 131.4514 98.744088) (xy 130.1686 98.744088) (xy 130.144444 98.622644) (xy 130.097059 98.508246) + (xy 130.028266 98.405291) (xy 129.940709 98.317734) (xy 129.837754 98.248941) (xy 129.723356 98.201556) (xy 129.601912 98.1774) + (xy 129.478088 98.1774) (xy 129.364955 98.199903) (xy 128.496108 97.331056) (xy 127.226112 96.061061) (xy 127.216568 96.049432) + (xy 127.170157 96.011342) (xy 127.117206 95.98304) (xy 127.059751 95.965611) (xy 127.014966 95.9612) (xy 127.014958 95.9612) + (xy 127 95.959727) (xy 126.985042 95.9612) (xy 114.553252 95.9612) (xy 113.78014 95.188088) (xy 118.7514 95.188088) + (xy 118.7514 95.311912) (xy 118.775556 95.433356) (xy 118.822941 95.547754) (xy 118.891734 95.650709) (xy 118.979291 95.738266) + (xy 119.082246 95.807059) (xy 119.196644 95.854444) (xy 119.318088 95.8786) (xy 119.441912 95.8786) (xy 119.563356 95.854444) + (xy 119.677754 95.807059) (xy 119.780709 95.738266) (xy 119.868266 95.650709) (xy 119.937059 95.547754) (xy 119.984444 95.433356) + (xy 120.0086 95.311912) (xy 120.0086 95.188088) (xy 119.984444 95.066644) (xy 119.937059 94.952246) (xy 119.868266 94.849291) + (xy 119.780709 94.761734) (xy 119.677754 94.692941) (xy 119.563356 94.645556) (xy 119.441912 94.6214) (xy 119.318088 94.6214) + (xy 119.196644 94.645556) (xy 119.082246 94.692941) (xy 118.979291 94.761734) (xy 118.891734 94.849291) (xy 118.822941 94.952246) + (xy 118.775556 95.066644) (xy 118.7514 95.188088) (xy 113.78014 95.188088) (xy 112.63714 94.045088) (xy 117.4814 94.045088) + (xy 117.4814 94.168912) (xy 117.505556 94.290356) (xy 117.552941 94.404754) (xy 117.621734 94.507709) (xy 117.709291 94.595266) + (xy 117.812246 94.664059) (xy 117.926644 94.711444) (xy 118.048088 94.7356) (xy 118.171912 94.7356) (xy 118.293356 94.711444) + (xy 118.407754 94.664059) (xy 118.510709 94.595266) (xy 118.598266 94.507709) (xy 118.667059 94.404754) (xy 118.714444 94.290356) + (xy 118.7386 94.168912) (xy 118.7386 94.045088) (xy 120.4024 94.045088) (xy 120.4024 94.168912) (xy 120.426556 94.290356) + (xy 120.473941 94.404754) (xy 120.542734 94.507709) (xy 120.630291 94.595266) (xy 120.733246 94.664059) (xy 120.847644 94.711444) + (xy 120.969088 94.7356) (xy 121.092912 94.7356) (xy 121.214356 94.711444) (xy 121.328754 94.664059) (xy 121.431709 94.595266) + (xy 121.519266 94.507709) (xy 121.588059 94.404754) (xy 121.635444 94.290356) (xy 121.6596 94.168912) (xy 121.6596 94.045088) + (xy 121.635444 93.923644) (xy 121.588059 93.809246) (xy 121.519266 93.706291) (xy 121.431709 93.618734) (xy 121.328754 93.549941) + (xy 121.214356 93.502556) (xy 121.092912 93.4784) (xy 120.969088 93.4784) (xy 120.847644 93.502556) (xy 120.733246 93.549941) + (xy 120.630291 93.618734) (xy 120.542734 93.706291) (xy 120.473941 93.809246) (xy 120.426556 93.923644) (xy 120.4024 94.045088) + (xy 118.7386 94.045088) (xy 118.714444 93.923644) (xy 118.667059 93.809246) (xy 118.598266 93.706291) (xy 118.510709 93.618734) + (xy 118.407754 93.549941) (xy 118.293356 93.502556) (xy 118.171912 93.4784) (xy 118.048088 93.4784) (xy 117.926644 93.502556) + (xy 117.812246 93.549941) (xy 117.709291 93.618734) (xy 117.621734 93.706291) (xy 117.552941 93.809246) (xy 117.505556 93.923644) + (xy 117.4814 94.045088) (xy 112.63714 94.045088) (xy 111.82602 93.233968) (xy 114.7064 93.233968) (xy 114.7064 93.329032) + (xy 114.724946 93.422269) (xy 114.761326 93.510097) (xy 114.81414 93.58914) (xy 114.88136 93.65636) (xy 114.960403 93.709174) + (xy 115.048231 93.745554) (xy 115.141468 93.7641) (xy 115.236532 93.7641) (xy 115.239882 93.763434) (xy 115.280392 93.803944) + (xy 115.289932 93.815568) (xy 115.336343 93.853658) (xy 115.389294 93.88196) (xy 115.446748 93.899389) (xy 115.451903 93.899897) + (xy 115.491534 93.9038) (xy 115.491542 93.9038) (xy 115.5065 93.905273) (xy 115.521458 93.9038) (xy 116.253542 93.9038) + (xy 116.2685 93.905273) (xy 116.283458 93.9038) (xy 116.283466 93.9038) (xy 116.328251 93.899389) (xy 116.385706 93.88196) + (xy 116.438657 93.853658) (xy 116.485068 93.815568) (xy 116.494612 93.803939) (xy 116.902752 93.3958) (xy 123.429042 93.3958) + (xy 123.444 93.397273) (xy 123.458958 93.3958) (xy 123.458966 93.3958) (xy 123.503751 93.391389) (xy 123.561206 93.37396) + (xy 123.614157 93.345658) (xy 123.660568 93.307568) (xy 123.670112 93.295939) (xy 125.473978 91.492074) (xy 125.476 91.492273) + (xy 125.490958 91.4908) (xy 125.857749 91.4908) (xy 132.234891 97.867943) (xy 132.244432 97.879568) (xy 132.290843 97.917658) + (xy 132.343794 97.94596) (xy 132.387876 97.959332) (xy 132.401248 97.963389) (xy 132.406889 97.963945) (xy 132.446034 97.9678) + (xy 132.446041 97.9678) (xy 132.460999 97.969273) (xy 132.475957 97.9678) (xy 133.350749 97.9678) (xy 134.013903 98.630955) + (xy 133.9914 98.744088) (xy 133.9914 98.867912) (xy 134.015556 98.989356) (xy 134.062941 99.103754) (xy 134.131734 99.206709) + (xy 134.219291 99.294266) (xy 134.322246 99.363059) (xy 134.436644 99.410444) (xy 134.558088 99.4346) (xy 134.681912 99.4346) + (xy 134.803356 99.410444) (xy 134.917754 99.363059) (xy 135.020709 99.294266) (xy 135.053929 99.261046) (xy 136.809323 99.261046) + (xy 136.850978 99.356888) (xy 136.964389 99.40659) (xy 137.085317 99.433211) (xy 137.209115 99.435729) (xy 137.331025 99.414047) + (xy 137.446363 99.368998) (xy 137.469022 99.356888) (xy 137.510677 99.261046) (xy 137.16 98.910369) (xy 136.809323 99.261046) + (xy 135.053929 99.261046) (xy 135.108266 99.206709) (xy 135.177059 99.103754) (xy 135.224444 98.989356) (xy 135.2486 98.867912) + (xy 135.2486 98.855115) (xy 136.530271 98.855115) (xy 136.551953 98.977025) (xy 136.597002 99.092363) (xy 136.609112 99.115022) + (xy 136.704954 99.156677) (xy 137.055631 98.806) (xy 137.264369 98.806) (xy 137.615046 99.156677) (xy 137.710888 99.115022) + (xy 137.76059 99.001611) (xy 137.787211 98.880683) (xy 137.789729 98.756885) (xy 137.787454 98.744088) (xy 139.0714 98.744088) + (xy 139.0714 98.867912) (xy 139.095556 98.989356) (xy 139.142941 99.103754) (xy 139.211734 99.206709) (xy 139.299291 99.294266) + (xy 139.402246 99.363059) (xy 139.516644 99.410444) (xy 139.638088 99.4346) (xy 139.761912 99.4346) (xy 139.883356 99.410444) + (xy 139.997754 99.363059) (xy 140.100709 99.294266) (xy 140.188266 99.206709) (xy 140.257059 99.103754) (xy 140.304444 98.989356) + (xy 140.3286 98.867912) (xy 140.3286 98.744088) (xy 141.6114 98.744088) (xy 141.6114 98.867912) (xy 141.635556 98.989356) + (xy 141.682941 99.103754) (xy 141.751734 99.206709) (xy 141.839291 99.294266) (xy 141.942246 99.363059) (xy 142.056644 99.410444) + (xy 142.178088 99.4346) (xy 142.301912 99.4346) (xy 142.423356 99.410444) (xy 142.537754 99.363059) (xy 142.640709 99.294266) + (xy 142.728266 99.206709) (xy 142.797059 99.103754) (xy 142.844444 98.989356) (xy 142.8686 98.867912) (xy 142.8686 98.744088) + (xy 144.1514 98.744088) (xy 144.1514 98.867912) (xy 144.175556 98.989356) (xy 144.222941 99.103754) (xy 144.291734 99.206709) + (xy 144.379291 99.294266) (xy 144.482246 99.363059) (xy 144.596644 99.410444) (xy 144.718088 99.4346) (xy 144.841912 99.4346) + (xy 144.963356 99.410444) (xy 145.077754 99.363059) (xy 145.180709 99.294266) (xy 145.268266 99.206709) (xy 145.337059 99.103754) + (xy 145.384444 98.989356) (xy 145.4086 98.867912) (xy 145.4086 98.744088) (xy 146.6914 98.744088) (xy 146.6914 98.867912) + (xy 146.715556 98.989356) (xy 146.762941 99.103754) (xy 146.831734 99.206709) (xy 146.919291 99.294266) (xy 147.022246 99.363059) + (xy 147.136644 99.410444) (xy 147.258088 99.4346) (xy 147.381912 99.4346) (xy 147.503356 99.410444) (xy 147.617754 99.363059) + (xy 147.720709 99.294266) (xy 147.808266 99.206709) (xy 147.877059 99.103754) (xy 147.924444 98.989356) (xy 147.9486 98.867912) + (xy 147.9486 98.744088) (xy 147.924444 98.622644) (xy 147.877059 98.508246) (xy 147.808266 98.405291) (xy 147.720709 98.317734) + (xy 147.617754 98.248941) (xy 147.503356 98.201556) (xy 147.381912 98.1774) (xy 147.258088 98.1774) (xy 147.136644 98.201556) + (xy 147.022246 98.248941) (xy 146.919291 98.317734) (xy 146.831734 98.405291) (xy 146.762941 98.508246) (xy 146.715556 98.622644) + (xy 146.6914 98.744088) (xy 145.4086 98.744088) (xy 145.384444 98.622644) (xy 145.337059 98.508246) (xy 145.268266 98.405291) + (xy 145.180709 98.317734) (xy 145.077754 98.248941) (xy 144.963356 98.201556) (xy 144.841912 98.1774) (xy 144.718088 98.1774) + (xy 144.596644 98.201556) (xy 144.482246 98.248941) (xy 144.379291 98.317734) (xy 144.291734 98.405291) (xy 144.222941 98.508246) + (xy 144.175556 98.622644) (xy 144.1514 98.744088) (xy 142.8686 98.744088) (xy 142.844444 98.622644) (xy 142.797059 98.508246) + (xy 142.728266 98.405291) (xy 142.640709 98.317734) (xy 142.537754 98.248941) (xy 142.423356 98.201556) (xy 142.301912 98.1774) + (xy 142.178088 98.1774) (xy 142.056644 98.201556) (xy 141.942246 98.248941) (xy 141.839291 98.317734) (xy 141.751734 98.405291) + (xy 141.682941 98.508246) (xy 141.635556 98.622644) (xy 141.6114 98.744088) (xy 140.3286 98.744088) (xy 140.304444 98.622644) + (xy 140.257059 98.508246) (xy 140.188266 98.405291) (xy 140.100709 98.317734) (xy 139.997754 98.248941) (xy 139.883356 98.201556) + (xy 139.761912 98.1774) (xy 139.638088 98.1774) (xy 139.516644 98.201556) (xy 139.402246 98.248941) (xy 139.299291 98.317734) + (xy 139.211734 98.405291) (xy 139.142941 98.508246) (xy 139.095556 98.622644) (xy 139.0714 98.744088) (xy 137.787454 98.744088) + (xy 137.768047 98.634975) (xy 137.722998 98.519637) (xy 137.710888 98.496978) (xy 137.615046 98.455323) (xy 137.264369 98.806) + (xy 137.055631 98.806) (xy 136.704954 98.455323) (xy 136.609112 98.496978) (xy 136.55941 98.610389) (xy 136.532789 98.731317) + (xy 136.530271 98.855115) (xy 135.2486 98.855115) (xy 135.2486 98.744088) (xy 135.224444 98.622644) (xy 135.177059 98.508246) + (xy 135.108266 98.405291) (xy 135.053929 98.350954) (xy 136.809323 98.350954) (xy 137.16 98.701631) (xy 137.510677 98.350954) + (xy 137.469022 98.255112) (xy 137.355611 98.20541) (xy 137.234683 98.178789) (xy 137.110885 98.176271) (xy 136.988975 98.197953) + (xy 136.873637 98.243002) (xy 136.850978 98.255112) (xy 136.809323 98.350954) (xy 135.053929 98.350954) (xy 135.020709 98.317734) + (xy 134.917754 98.248941) (xy 134.803356 98.201556) (xy 134.681912 98.1774) (xy 134.558088 98.1774) (xy 134.444955 98.199903) + (xy 133.703112 97.458061) (xy 133.693568 97.446432) (xy 133.647157 97.408342) (xy 133.594206 97.38004) (xy 133.536751 97.362611) + (xy 133.491966 97.3582) (xy 133.491958 97.3582) (xy 133.477 97.356727) (xy 133.462042 97.3582) (xy 132.587252 97.3582) + (xy 126.211934 90.982883) (xy 126.2126 90.979532) (xy 126.2126 90.884468) (xy 126.194054 90.791231) (xy 126.157674 90.703403) + (xy 126.10486 90.62436) (xy 126.03764 90.55714) (xy 125.958597 90.504326) (xy 125.870769 90.467946) (xy 125.777532 90.4494) + (xy 125.682468 90.4494) (xy 125.589231 90.467946) (xy 125.501403 90.504326) (xy 125.42236 90.55714) (xy 125.35514 90.62436) + (xy 125.302326 90.703403) (xy 125.265946 90.791231) (xy 125.254332 90.849616) (xy 125.144061 90.959888) (xy 125.132432 90.969432) + (xy 125.122892 90.981056) (xy 123.317749 92.7862) (xy 116.791457 92.7862) (xy 116.776499 92.784727) (xy 116.761541 92.7862) + (xy 116.761534 92.7862) (xy 116.722389 92.790055) (xy 116.716748 92.790611) (xy 116.706091 92.793844) (xy 116.659294 92.80804) + (xy 116.606343 92.836342) (xy 116.559932 92.874432) (xy 116.550392 92.886056) (xy 116.142249 93.2942) (xy 115.6716 93.2942) + (xy 115.6716 93.233968) (xy 115.653054 93.140731) (xy 115.616674 93.052903) (xy 115.56386 92.97386) (xy 115.49664 92.90664) + (xy 115.417597 92.853826) (xy 115.329769 92.817446) (xy 115.236532 92.7989) (xy 115.141468 92.7989) (xy 115.048231 92.817446) + (xy 114.960403 92.853826) (xy 114.88136 92.90664) (xy 114.81414 92.97386) (xy 114.761326 93.052903) (xy 114.724946 93.140731) + (xy 114.7064 93.233968) (xy 111.82602 93.233968) (xy 111.097112 92.505061) (xy 111.087568 92.493432) (xy 111.041157 92.455342) + (xy 110.988206 92.42704) (xy 110.930751 92.409611) (xy 110.919147 92.408468) (xy 112.0394 92.408468) (xy 112.0394 92.503532) + (xy 112.057946 92.596769) (xy 112.094326 92.684597) (xy 112.14714 92.76364) (xy 112.21436 92.83086) (xy 112.293403 92.883674) + (xy 112.381231 92.920054) (xy 112.474468 92.9386) (xy 112.569532 92.9386) (xy 112.662769 92.920054) (xy 112.750597 92.883674) + (xy 112.82964 92.83086) (xy 112.89686 92.76364) (xy 112.898758 92.7608) (xy 123.048042 92.7608) (xy 123.063 92.762273) + (xy 123.077958 92.7608) (xy 123.077966 92.7608) (xy 123.122751 92.756389) (xy 123.180206 92.73896) (xy 123.233157 92.710658) + (xy 123.279568 92.672568) (xy 123.289112 92.660939) (xy 125.602252 90.3478) (xy 125.984749 90.3478) (xy 126.518066 90.881118) + (xy 126.5174 90.884468) (xy 126.5174 90.979532) (xy 126.535946 91.072769) (xy 126.572326 91.160597) (xy 126.62514 91.23964) + (xy 126.69236 91.30686) (xy 126.771403 91.359674) (xy 126.859231 91.396054) (xy 126.952468 91.4146) (xy 127.047532 91.4146) + (xy 127.050882 91.413934) (xy 132.742891 97.105943) (xy 132.752432 97.117568) (xy 132.798843 97.155658) (xy 132.849796 97.182892) + (xy 132.851794 97.18396) (xy 132.909248 97.201389) (xy 132.914403 97.201897) (xy 132.954034 97.2058) (xy 132.954042 97.2058) + (xy 132.969 97.207273) (xy 132.983958 97.2058) (xy 147.828749 97.2058) (xy 149.253903 98.630955) (xy 149.2314 98.744088) + (xy 149.2314 98.867912) (xy 149.255556 98.989356) (xy 149.302941 99.103754) (xy 149.371734 99.206709) (xy 149.459291 99.294266) + (xy 149.562246 99.363059) (xy 149.676644 99.410444) (xy 149.798088 99.4346) (xy 149.921912 99.4346) (xy 150.043356 99.410444) + (xy 150.157754 99.363059) (xy 150.260709 99.294266) (xy 150.348266 99.206709) (xy 150.417059 99.103754) (xy 150.464444 98.989356) + (xy 150.4886 98.867912) (xy 150.4886 98.744088) (xy 151.7714 98.744088) (xy 151.7714 98.867912) (xy 151.795556 98.989356) + (xy 151.842941 99.103754) (xy 151.911734 99.206709) (xy 151.999291 99.294266) (xy 152.102246 99.363059) (xy 152.216644 99.410444) + (xy 152.338088 99.4346) (xy 152.461912 99.4346) (xy 152.583356 99.410444) (xy 152.697754 99.363059) (xy 152.800709 99.294266) + (xy 152.888266 99.206709) (xy 152.957059 99.103754) (xy 153.004444 98.989356) (xy 153.0286 98.867912) (xy 153.0286 98.744088) + (xy 154.3114 98.744088) (xy 154.3114 98.867912) (xy 154.335556 98.989356) (xy 154.382941 99.103754) (xy 154.451734 99.206709) + (xy 154.539291 99.294266) (xy 154.642246 99.363059) (xy 154.756644 99.410444) (xy 154.878088 99.4346) (xy 155.001912 99.4346) + (xy 155.123356 99.410444) (xy 155.237754 99.363059) (xy 155.340709 99.294266) (xy 155.428266 99.206709) (xy 155.497059 99.103754) + (xy 155.544444 98.989356) (xy 155.5686 98.867912) (xy 155.5686 98.744088) (xy 156.8514 98.744088) (xy 156.8514 98.867912) + (xy 156.875556 98.989356) (xy 156.922941 99.103754) (xy 156.991734 99.206709) (xy 157.079291 99.294266) (xy 157.182246 99.363059) + (xy 157.296644 99.410444) (xy 157.418088 99.4346) (xy 157.541912 99.4346) (xy 157.663356 99.410444) (xy 157.777754 99.363059) + (xy 157.880709 99.294266) (xy 157.968266 99.206709) (xy 158.037059 99.103754) (xy 158.084444 98.989356) (xy 158.1086 98.867912) + (xy 158.1086 98.744088) (xy 158.084444 98.622644) (xy 158.037059 98.508246) (xy 157.968266 98.405291) (xy 157.880709 98.317734) + (xy 157.777754 98.248941) (xy 157.663356 98.201556) (xy 157.541912 98.1774) (xy 157.418088 98.1774) (xy 157.296644 98.201556) + (xy 157.182246 98.248941) (xy 157.079291 98.317734) (xy 156.991734 98.405291) (xy 156.922941 98.508246) (xy 156.875556 98.622644) + (xy 156.8514 98.744088) (xy 155.5686 98.744088) (xy 155.544444 98.622644) (xy 155.497059 98.508246) (xy 155.428266 98.405291) + (xy 155.340709 98.317734) (xy 155.237754 98.248941) (xy 155.123356 98.201556) (xy 155.001912 98.1774) (xy 154.878088 98.1774) + (xy 154.756644 98.201556) (xy 154.642246 98.248941) (xy 154.539291 98.317734) (xy 154.451734 98.405291) (xy 154.382941 98.508246) + (xy 154.335556 98.622644) (xy 154.3114 98.744088) (xy 153.0286 98.744088) (xy 153.004444 98.622644) (xy 152.957059 98.508246) + (xy 152.888266 98.405291) (xy 152.800709 98.317734) (xy 152.697754 98.248941) (xy 152.583356 98.201556) (xy 152.461912 98.1774) + (xy 152.338088 98.1774) (xy 152.216644 98.201556) (xy 152.102246 98.248941) (xy 151.999291 98.317734) (xy 151.911734 98.405291) + (xy 151.842941 98.508246) (xy 151.795556 98.622644) (xy 151.7714 98.744088) (xy 150.4886 98.744088) (xy 150.464444 98.622644) + (xy 150.417059 98.508246) (xy 150.348266 98.405291) (xy 150.260709 98.317734) (xy 150.157754 98.248941) (xy 150.043356 98.201556) + (xy 149.921912 98.1774) (xy 149.798088 98.1774) (xy 149.684955 98.199903) (xy 148.181112 96.696061) (xy 148.171568 96.684432) + (xy 148.125157 96.646342) (xy 148.072206 96.61804) (xy 148.014751 96.600611) (xy 147.969966 96.5962) (xy 147.969958 96.5962) + (xy 147.955 96.594727) (xy 147.940042 96.5962) (xy 133.095252 96.5962) (xy 131.68714 95.188088) (xy 139.0714 95.188088) + (xy 139.0714 95.311912) (xy 139.095556 95.433356) (xy 139.142941 95.547754) (xy 139.211734 95.650709) (xy 139.299291 95.738266) + (xy 139.402246 95.807059) (xy 139.516644 95.854444) (xy 139.638088 95.8786) (xy 139.761912 95.8786) (xy 139.883356 95.854444) + (xy 139.997754 95.807059) (xy 140.100709 95.738266) (xy 140.188266 95.650709) (xy 140.257059 95.547754) (xy 140.304444 95.433356) + (xy 140.3286 95.311912) (xy 140.3286 95.305239) (xy 156.7514 95.305239) (xy 156.7514 95.448761) (xy 156.7794 95.589525) + (xy 156.834323 95.722121) (xy 156.91406 95.841455) (xy 157.015545 95.94294) (xy 157.134879 96.022677) (xy 157.267475 96.0776) + (xy 157.408239 96.1056) (xy 157.551761 96.1056) (xy 157.692525 96.0776) (xy 157.825121 96.022677) (xy 157.944455 95.94294) + (xy 158.04594 95.841455) (xy 158.125677 95.722121) (xy 158.1806 95.589525) (xy 158.2086 95.448761) (xy 158.2086 95.305239) + (xy 158.1806 95.164475) (xy 158.125677 95.031879) (xy 158.04594 94.912545) (xy 157.944455 94.81106) (xy 157.825121 94.731323) + (xy 157.692525 94.6764) (xy 157.551761 94.6484) (xy 157.408239 94.6484) (xy 157.267475 94.6764) (xy 157.134879 94.731323) + (xy 157.015545 94.81106) (xy 156.91406 94.912545) (xy 156.834323 95.031879) (xy 156.7794 95.164475) (xy 156.7514 95.305239) + (xy 140.3286 95.305239) (xy 140.3286 95.188088) (xy 140.304444 95.066644) (xy 140.257059 94.952246) (xy 140.188266 94.849291) + (xy 140.100709 94.761734) (xy 139.997754 94.692941) (xy 139.883356 94.645556) (xy 139.761912 94.6214) (xy 139.638088 94.6214) + (xy 139.516644 94.645556) (xy 139.402246 94.692941) (xy 139.299291 94.761734) (xy 139.211734 94.849291) (xy 139.142941 94.952246) + (xy 139.095556 95.066644) (xy 139.0714 95.188088) (xy 131.68714 95.188088) (xy 130.54414 94.045088) (xy 137.4204 94.045088) + (xy 137.4204 94.168912) (xy 137.444556 94.290356) (xy 137.491941 94.404754) (xy 137.560734 94.507709) (xy 137.648291 94.595266) + (xy 137.751246 94.664059) (xy 137.865644 94.711444) (xy 137.987088 94.7356) (xy 138.110912 94.7356) (xy 138.232356 94.711444) + (xy 138.346754 94.664059) (xy 138.449709 94.595266) (xy 138.537266 94.507709) (xy 138.606059 94.404754) (xy 138.653444 94.290356) + (xy 138.6776 94.168912) (xy 138.6776 94.045088) (xy 140.3414 94.045088) (xy 140.3414 94.168912) (xy 140.365556 94.290356) + (xy 140.412941 94.404754) (xy 140.481734 94.507709) (xy 140.569291 94.595266) (xy 140.672246 94.664059) (xy 140.786644 94.711444) + (xy 140.908088 94.7356) (xy 141.031912 94.7356) (xy 141.153356 94.711444) (xy 141.267754 94.664059) (xy 141.370709 94.595266) + (xy 141.458266 94.507709) (xy 141.527059 94.404754) (xy 141.574444 94.290356) (xy 141.5986 94.168912) (xy 141.5986 94.045088) + (xy 155.5814 94.045088) (xy 155.5814 94.168912) (xy 155.605556 94.290356) (xy 155.652941 94.404754) (xy 155.721734 94.507709) + (xy 155.809291 94.595266) (xy 155.912246 94.664059) (xy 156.026644 94.711444) (xy 156.148088 94.7356) (xy 156.271912 94.7356) + (xy 156.393356 94.711444) (xy 156.507754 94.664059) (xy 156.610709 94.595266) (xy 156.698266 94.507709) (xy 156.767059 94.404754) + (xy 156.814444 94.290356) (xy 156.8386 94.168912) (xy 156.8386 94.045088) (xy 158.1214 94.045088) (xy 158.1214 94.168912) + (xy 158.145556 94.290356) (xy 158.192941 94.404754) (xy 158.261734 94.507709) (xy 158.349291 94.595266) (xy 158.452246 94.664059) + (xy 158.566644 94.711444) (xy 158.688088 94.7356) (xy 158.811912 94.7356) (xy 158.933356 94.711444) (xy 159.047754 94.664059) + (xy 159.150709 94.595266) (xy 159.238266 94.507709) (xy 159.307059 94.404754) (xy 159.354444 94.290356) (xy 159.3786 94.168912) + (xy 159.3786 94.045088) (xy 159.354444 93.923644) (xy 159.307059 93.809246) (xy 159.238266 93.706291) (xy 159.150709 93.618734) + (xy 159.047754 93.549941) (xy 158.933356 93.502556) (xy 158.811912 93.4784) (xy 158.688088 93.4784) (xy 158.566644 93.502556) + (xy 158.452246 93.549941) (xy 158.349291 93.618734) (xy 158.261734 93.706291) (xy 158.192941 93.809246) (xy 158.145556 93.923644) + (xy 158.1214 94.045088) (xy 156.8386 94.045088) (xy 156.814444 93.923644) (xy 156.767059 93.809246) (xy 156.698266 93.706291) + (xy 156.610709 93.618734) (xy 156.507754 93.549941) (xy 156.393356 93.502556) (xy 156.271912 93.4784) (xy 156.148088 93.4784) + (xy 156.026644 93.502556) (xy 155.912246 93.549941) (xy 155.809291 93.618734) (xy 155.721734 93.706291) (xy 155.652941 93.809246) + (xy 155.605556 93.923644) (xy 155.5814 94.045088) (xy 141.5986 94.045088) (xy 141.574444 93.923644) (xy 141.527059 93.809246) + (xy 141.458266 93.706291) (xy 141.370709 93.618734) (xy 141.267754 93.549941) (xy 141.153356 93.502556) (xy 141.031912 93.4784) + (xy 140.908088 93.4784) (xy 140.786644 93.502556) (xy 140.672246 93.549941) (xy 140.569291 93.618734) (xy 140.481734 93.706291) + (xy 140.412941 93.809246) (xy 140.365556 93.923644) (xy 140.3414 94.045088) (xy 138.6776 94.045088) (xy 138.653444 93.923644) + (xy 138.606059 93.809246) (xy 138.537266 93.706291) (xy 138.449709 93.618734) (xy 138.346754 93.549941) (xy 138.232356 93.502556) + (xy 138.110912 93.4784) (xy 137.987088 93.4784) (xy 137.865644 93.502556) (xy 137.751246 93.549941) (xy 137.648291 93.618734) + (xy 137.560734 93.706291) (xy 137.491941 93.809246) (xy 137.444556 93.923644) (xy 137.4204 94.045088) (xy 130.54414 94.045088) + (xy 127.965735 91.466683) (xy 159.823586 91.466683) (xy 159.865162 91.83734) (xy 159.97794 92.192862) (xy 160.157626 92.519708) + (xy 160.397373 92.805429) (xy 160.688052 93.03914) (xy 161.018589 93.211941) (xy 161.376396 93.317249) (xy 161.747842 93.351053) + (xy 162.11878 93.312066) (xy 162.475081 93.201773) (xy 162.803174 93.024374) (xy 163.090561 92.786626) (xy 163.326296 92.497587) + (xy 163.5014 92.168264) (xy 163.609203 91.811201) (xy 163.6456 91.44) (xy 163.644855 91.386639) (xy 163.598108 91.016599) + (xy 163.480377 90.662686) (xy 163.296146 90.33838) (xy 163.052432 90.056035) (xy 162.758519 89.826405) (xy 162.425601 89.658236) + (xy 162.066359 89.557934) (xy 161.694477 89.529319) (xy 161.324119 89.573482) (xy 160.969393 89.688739) (xy 160.643809 89.870702) + (xy 160.35977 90.112438) (xy 160.128093 90.404741) (xy 159.957604 90.736477) (xy 159.854797 91.09501) (xy 159.823586 91.466683) + (xy 127.965735 91.466683) (xy 127.481934 90.982882) (xy 127.4826 90.979532) (xy 127.4826 90.884468) (xy 127.464054 90.791231) + (xy 127.427674 90.703403) (xy 127.37486 90.62436) (xy 127.30764 90.55714) (xy 127.228597 90.504326) (xy 127.140769 90.467946) + (xy 127.047532 90.4494) (xy 126.952468 90.4494) (xy 126.949118 90.450066) (xy 126.337112 89.838061) (xy 126.327568 89.826432) + (xy 126.281157 89.788342) (xy 126.228206 89.76004) (xy 126.170751 89.742611) (xy 126.125966 89.7382) (xy 126.125958 89.7382) + (xy 126.111 89.736727) (xy 126.096042 89.7382) (xy 125.490957 89.7382) (xy 125.475999 89.736727) (xy 125.461041 89.7382) + (xy 125.461034 89.7382) (xy 125.421889 89.742055) (xy 125.416248 89.742611) (xy 125.405591 89.745844) (xy 125.358794 89.76004) + (xy 125.305843 89.788342) (xy 125.259432 89.826432) (xy 125.249892 89.838056) (xy 122.936749 92.1512) (xy 112.898758 92.1512) + (xy 112.89686 92.14836) (xy 112.82964 92.08114) (xy 112.750597 92.028326) (xy 112.662769 91.991946) (xy 112.569532 91.9734) + (xy 112.474468 91.9734) (xy 112.381231 91.991946) (xy 112.293403 92.028326) (xy 112.21436 92.08114) (xy 112.14714 92.14836) + (xy 112.094326 92.227403) (xy 112.057946 92.315231) (xy 112.0394 92.408468) (xy 110.919147 92.408468) (xy 110.885966 92.4052) + (xy 110.885958 92.4052) (xy 110.871 92.403727) (xy 110.856042 92.4052) (xy 104.393252 92.4052) (xy 102.7938 90.805749) + (xy 102.7938 90.122468) (xy 120.6754 90.122468) (xy 120.6754 90.217532) (xy 120.693946 90.310769) (xy 120.730326 90.398597) + (xy 120.78314 90.47764) (xy 120.85036 90.54486) (xy 120.929403 90.597674) (xy 121.017231 90.634054) (xy 121.110468 90.6526) + (xy 121.205532 90.6526) (xy 121.298769 90.634054) (xy 121.386597 90.597674) (xy 121.46564 90.54486) (xy 121.53286 90.47764) + (xy 121.534758 90.4748) (xy 123.556042 90.4748) (xy 123.571 90.476273) (xy 123.585958 90.4748) (xy 123.585966 90.4748) + (xy 123.630751 90.470389) (xy 123.688206 90.45296) (xy 123.741157 90.424658) (xy 123.787568 90.386568) (xy 123.797112 90.374939) + (xy 124.840252 89.3318) (xy 125.353242 89.3318) (xy 125.35514 89.33464) (xy 125.42236 89.40186) (xy 125.501403 89.454674) + (xy 125.589231 89.491054) (xy 125.682468 89.5096) (xy 125.777532 89.5096) (xy 125.870769 89.491054) (xy 125.958597 89.454674) + (xy 126.03764 89.40186) (xy 126.10486 89.33464) (xy 126.157674 89.255597) (xy 126.194054 89.167769) (xy 126.2126 89.074532) + (xy 126.2126 88.979468) (xy 126.194054 88.886231) (xy 126.157674 88.798403) (xy 126.10486 88.71936) (xy 126.03764 88.65214) + (xy 125.958597 88.599326) (xy 125.870769 88.562946) (xy 125.777532 88.5444) (xy 125.682468 88.5444) (xy 125.589231 88.562946) + (xy 125.501403 88.599326) (xy 125.42236 88.65214) (xy 125.35514 88.71936) (xy 125.353242 88.7222) (xy 124.728957 88.7222) + (xy 124.713999 88.720727) (xy 124.699041 88.7222) (xy 124.699034 88.7222) (xy 124.659889 88.726055) (xy 124.654248 88.726611) + (xy 124.643591 88.729844) (xy 124.596794 88.74404) (xy 124.543843 88.772342) (xy 124.497432 88.810432) (xy 124.487892 88.822056) + (xy 123.444749 89.8652) (xy 121.534758 89.8652) (xy 121.53286 89.86236) (xy 121.46564 89.79514) (xy 121.386597 89.742326) + (xy 121.298769 89.705946) (xy 121.205532 89.6874) (xy 121.110468 89.6874) (xy 121.017231 89.705946) (xy 120.929403 89.742326) + (xy 120.85036 89.79514) (xy 120.78314 89.86236) (xy 120.730326 89.941403) (xy 120.693946 90.029231) (xy 120.6754 90.122468) + (xy 102.7938 90.122468) (xy 102.7938 89.295957) (xy 102.795273 89.280999) (xy 102.7938 89.266041) (xy 102.7938 89.2048) + (xy 122.921042 89.2048) (xy 122.936 89.206273) (xy 122.950958 89.2048) (xy 122.950966 89.2048) (xy 122.995751 89.200389) + (xy 123.053206 89.18296) (xy 123.106157 89.154658) (xy 123.152568 89.116568) (xy 123.162112 89.104939) (xy 123.824252 88.4428) + (xy 127.78805 88.4428) (xy 127.805946 88.532769) (xy 127.842326 88.620597) (xy 127.89514 88.69964) (xy 127.96236 88.76686) + (xy 128.041403 88.819674) (xy 128.129231 88.856054) (xy 128.222468 88.8746) (xy 128.317532 88.8746) (xy 128.410769 88.856054) + (xy 128.498597 88.819674) (xy 128.57764 88.76686) (xy 128.64486 88.69964) (xy 128.697674 88.620597) (xy 128.734054 88.532769) + (xy 128.7526 88.439532) (xy 128.7526 88.344468) (xy 128.734054 88.251231) (xy 128.697674 88.163403) (xy 128.64486 88.08436) + (xy 128.6223 88.0618) (xy 129.540749 88.0618) (xy 129.8702 88.391252) (xy 129.870201 90.282032) (xy 129.868727 90.297) + (xy 129.874611 90.356751) (xy 129.89204 90.414205) (xy 129.905215 90.438854) (xy 129.920343 90.467157) (xy 129.958433 90.513568) + (xy 129.970056 90.523108) (xy 130.328066 90.881118) (xy 130.3274 90.884468) (xy 130.3274 90.979532) (xy 130.345946 91.072769) + (xy 130.382326 91.160597) (xy 130.43514 91.23964) (xy 130.50236 91.30686) (xy 130.581403 91.359674) (xy 130.669231 91.396054) + (xy 130.762468 91.4146) (xy 130.857532 91.4146) (xy 130.950769 91.396054) (xy 131.038597 91.359674) (xy 131.11764 91.30686) + (xy 131.18486 91.23964) (xy 131.237674 91.160597) (xy 131.274054 91.072769) (xy 131.2926 90.979532) (xy 131.2926 90.884468) + (xy 131.274054 90.791231) (xy 131.237674 90.703403) (xy 131.18486 90.62436) (xy 131.11764 90.55714) (xy 131.038597 90.504326) + (xy 130.950769 90.467946) (xy 130.857532 90.4494) (xy 130.762468 90.4494) (xy 130.759118 90.450066) (xy 130.4798 90.170749) + (xy 130.4798 88.7443) (xy 130.50236 88.76686) (xy 130.581403 88.819674) (xy 130.669231 88.856054) (xy 130.762468 88.8746) + (xy 130.857532 88.8746) (xy 130.950769 88.856054) (xy 131.038597 88.819674) (xy 131.11764 88.76686) (xy 131.140201 88.744299) + (xy 131.1402 90.282042) (xy 131.138727 90.297) (xy 131.1402 90.311958) (xy 131.1402 90.311965) (xy 131.144611 90.35675) + (xy 131.16204 90.414205) (xy 131.190342 90.467156) (xy 131.228432 90.513568) (xy 131.240061 90.523112) (xy 131.598066 90.881118) + (xy 131.5974 90.884468) (xy 131.5974 90.979532) (xy 131.615946 91.072769) (xy 131.652326 91.160597) (xy 131.70514 91.23964) + (xy 131.77236 91.30686) (xy 131.851403 91.359674) (xy 131.939231 91.396054) (xy 132.032468 91.4146) (xy 132.127532 91.4146) + (xy 132.220769 91.396054) (xy 132.308597 91.359674) (xy 132.38764 91.30686) (xy 132.45486 91.23964) (xy 132.507674 91.160597) + (xy 132.544054 91.072769) (xy 132.5626 90.979532) (xy 132.5626 90.884468) (xy 132.544054 90.791231) (xy 132.507674 90.703403) + (xy 132.45486 90.62436) (xy 132.38764 90.55714) (xy 132.308597 90.504326) (xy 132.220769 90.467946) (xy 132.127532 90.4494) + (xy 132.032468 90.4494) (xy 132.029118 90.450066) (xy 131.7498 90.170749) (xy 131.7498 88.7443) (xy 131.77236 88.76686) + (xy 131.851403 88.819674) (xy 131.939231 88.856054) (xy 132.032468 88.8746) (xy 132.127532 88.8746) (xy 132.220769 88.856054) + (xy 132.308597 88.819674) (xy 132.38764 88.76686) (xy 132.410201 88.744299) (xy 132.4102 90.282042) (xy 132.408727 90.297) + (xy 132.4102 90.311958) (xy 132.4102 90.311965) (xy 132.414611 90.35675) (xy 132.43204 90.414205) (xy 132.460342 90.467156) + (xy 132.498432 90.513568) (xy 132.510061 90.523112) (xy 132.868066 90.881118) (xy 132.8674 90.884468) (xy 132.8674 90.979532) + (xy 132.885946 91.072769) (xy 132.922326 91.160597) (xy 132.97514 91.23964) (xy 133.04236 91.30686) (xy 133.121403 91.359674) + (xy 133.209231 91.396054) (xy 133.302468 91.4146) (xy 133.397532 91.4146) (xy 133.490769 91.396054) (xy 133.578597 91.359674) + (xy 133.65764 91.30686) (xy 133.72486 91.23964) (xy 133.777674 91.160597) (xy 133.814054 91.072769) (xy 133.8326 90.979532) + (xy 133.8326 90.884468) (xy 133.814054 90.791231) (xy 133.777674 90.703403) (xy 133.72486 90.62436) (xy 133.65764 90.55714) + (xy 133.578597 90.504326) (xy 133.490769 90.467946) (xy 133.397532 90.4494) (xy 133.302468 90.4494) (xy 133.299118 90.450066) + (xy 133.0198 90.170749) (xy 133.0198 88.7443) (xy 133.04236 88.76686) (xy 133.121403 88.819674) (xy 133.209231 88.856054) + (xy 133.302468 88.8746) (xy 133.397532 88.8746) (xy 133.490769 88.856054) (xy 133.578597 88.819674) (xy 133.65764 88.76686) + (xy 133.680201 88.744299) (xy 133.6802 90.282042) (xy 133.678727 90.297) (xy 133.6802 90.311958) (xy 133.6802 90.311965) + (xy 133.684611 90.35675) (xy 133.70204 90.414205) (xy 133.730342 90.467156) (xy 133.768432 90.513568) (xy 133.780061 90.523112) + (xy 134.138066 90.881118) (xy 134.1374 90.884468) (xy 134.1374 90.979532) (xy 134.155946 91.072769) (xy 134.192326 91.160597) + (xy 134.24514 91.23964) (xy 134.31236 91.30686) (xy 134.391403 91.359674) (xy 134.479231 91.396054) (xy 134.572468 91.4146) + (xy 134.667532 91.4146) (xy 134.760769 91.396054) (xy 134.848597 91.359674) (xy 134.92764 91.30686) (xy 134.99486 91.23964) + (xy 135.047674 91.160597) (xy 135.084054 91.072769) (xy 135.1026 90.979532) (xy 135.1026 90.884468) (xy 135.084054 90.791231) + (xy 135.047674 90.703403) (xy 134.99486 90.62436) (xy 134.92764 90.55714) (xy 134.848597 90.504326) (xy 134.760769 90.467946) + (xy 134.667532 90.4494) (xy 134.572468 90.4494) (xy 134.569118 90.450066) (xy 134.2898 90.170749) (xy 134.2898 88.7443) + (xy 134.31236 88.76686) (xy 134.391403 88.819674) (xy 134.479231 88.856054) (xy 134.572468 88.8746) (xy 134.667532 88.8746) + (xy 134.760769 88.856054) (xy 134.848597 88.819674) (xy 134.92764 88.76686) (xy 134.9502 88.7443) (xy 134.950201 90.282032) + (xy 134.948727 90.297) (xy 134.954611 90.356751) (xy 134.97204 90.414205) (xy 134.985215 90.438854) (xy 135.000343 90.467157) + (xy 135.038433 90.513568) (xy 135.050056 90.523108) (xy 135.408066 90.881118) (xy 135.4074 90.884468) (xy 135.4074 90.979532) + (xy 135.425946 91.072769) (xy 135.462326 91.160597) (xy 135.51514 91.23964) (xy 135.58236 91.30686) (xy 135.661403 91.359674) + (xy 135.749231 91.396054) (xy 135.842468 91.4146) (xy 135.937532 91.4146) (xy 136.030769 91.396054) (xy 136.118597 91.359674) + (xy 136.19764 91.30686) (xy 136.26486 91.23964) (xy 136.317674 91.160597) (xy 136.354054 91.072769) (xy 136.3726 90.979532) + (xy 136.3726 90.884468) (xy 136.354054 90.791231) (xy 136.317674 90.703403) (xy 136.26486 90.62436) (xy 136.19764 90.55714) + (xy 136.118597 90.504326) (xy 136.030769 90.467946) (xy 135.937532 90.4494) (xy 135.842468 90.4494) (xy 135.839118 90.450066) + (xy 135.5598 90.170749) (xy 135.5598 88.7443) (xy 135.58236 88.76686) (xy 135.661403 88.819674) (xy 135.749231 88.856054) + (xy 135.842468 88.8746) (xy 135.937532 88.8746) (xy 136.030769 88.856054) (xy 136.118597 88.819674) (xy 136.19764 88.76686) + (xy 136.26486 88.69964) (xy 136.317674 88.620597) (xy 136.354054 88.532769) (xy 136.3726 88.439532) (xy 136.3726 88.344468) + (xy 136.354054 88.251231) (xy 136.317674 88.163403) (xy 136.26486 88.08436) (xy 136.19764 88.01714) (xy 136.118597 87.964326) + (xy 136.030769 87.927946) (xy 135.972385 87.916333) (xy 131.925112 83.869061) (xy 131.915568 83.857432) (xy 131.869157 83.819342) + (xy 131.816206 83.79104) (xy 131.758751 83.773611) (xy 131.713966 83.7692) (xy 131.713958 83.7692) (xy 131.699 83.767727) + (xy 131.684042 83.7692) (xy 98.312957 83.7692) (xy 98.297999 83.767727) (xy 98.283041 83.7692) (xy 98.283034 83.7692) + (xy 98.243889 83.773055) (xy 98.238248 83.773611) (xy 98.224876 83.777668) (xy 98.180794 83.79104) (xy 98.127843 83.819342) + (xy 98.081432 83.857432) (xy 98.071892 83.869056) (xy 94.030882 87.910066) (xy 94.027532 87.9094) (xy 93.932468 87.9094) + (xy 93.839231 87.927946) (xy 93.751403 87.964326) (xy 93.67236 88.01714) (xy 93.60514 88.08436) (xy 93.552326 88.163403) + (xy 93.515946 88.251231) (xy 93.4974 88.344468) (xy 93.4974 88.439532) (xy 93.515946 88.532769) (xy 93.552326 88.620597) + (xy 93.60514 88.69964) (xy 93.67236 88.76686) (xy 93.6752 88.768758) (xy 93.675201 96.139747) (xy 91.615046 98.199903) + (xy 91.501912 98.1774) (xy 91.378088 98.1774) (xy 91.256644 98.201556) (xy 91.142246 98.248941) (xy 91.039291 98.317734) + (xy 90.951734 98.405291) (xy 90.882941 98.508246) (xy 90.835556 98.622644) (xy 90.8114 98.744088) (xy 89.5286 98.744088) + (xy 89.504444 98.622644) (xy 89.457059 98.508246) (xy 89.388266 98.405291) (xy 89.300709 98.317734) (xy 89.197754 98.248941) + (xy 89.083356 98.201556) (xy 88.961912 98.1774) (xy 88.838088 98.1774) (xy 88.716644 98.201556) (xy 88.602246 98.248941) + (xy 88.499291 98.317734) (xy 88.411734 98.405291) (xy 88.342941 98.508246) (xy 88.295556 98.622644) (xy 88.2714 98.744088) + (xy 86.9886 98.744088) (xy 86.966097 98.630954) (xy 91.644945 93.952107) (xy 91.656568 93.942568) (xy 91.694658 93.896157) + (xy 91.72296 93.843206) (xy 91.740389 93.785751) (xy 91.7448 93.740966) (xy 91.7448 93.740958) (xy 91.746273 93.726) + (xy 91.7448 93.711042) (xy 91.7448 88.768758) (xy 91.74764 88.76686) (xy 91.81486 88.69964) (xy 91.867674 88.620597) + (xy 91.904054 88.532769) (xy 91.9226 88.439532) (xy 91.9226 88.344468) (xy 91.904054 88.251231) (xy 91.867674 88.163403) + (xy 91.81486 88.08436) (xy 91.74764 88.01714) (xy 91.668597 87.964326) (xy 91.580769 87.927946) (xy 91.487532 87.9094) + (xy 91.392468 87.9094) (xy 91.299231 87.927946) (xy 91.211403 87.964326) (xy 91.13236 88.01714) (xy 91.06514 88.08436) + (xy 91.012326 88.163403) (xy 90.975946 88.251231) (xy 90.9574 88.344468) (xy 90.9574 88.439532) (xy 90.975946 88.532769) + (xy 91.012326 88.620597) (xy 91.06514 88.69964) (xy 91.13236 88.76686) (xy 91.135201 88.768758) (xy 91.1352 93.599748) + (xy 86.535046 98.199903) (xy 86.421912 98.1774) (xy 86.298088 98.1774) (xy 86.176644 98.201556) (xy 86.062246 98.248941) + (xy 85.959291 98.317734) (xy 85.871734 98.405291) (xy 85.802941 98.508246) (xy 85.755556 98.622644) (xy 85.7314 98.744088) + (xy 84.4486 98.744088) (xy 84.424444 98.622644) (xy 84.377059 98.508246) (xy 84.308266 98.405291) (xy 84.220709 98.317734) + (xy 84.117754 98.248941) (xy 84.003356 98.201556) (xy 83.881912 98.1774) (xy 83.758088 98.1774) (xy 83.636644 98.201556) + (xy 83.522246 98.248941) (xy 83.419291 98.317734) (xy 83.331734 98.405291) (xy 83.262941 98.508246) (xy 83.215556 98.622644) + (xy 83.1914 98.744088) (xy 78.5606 98.744088) (xy 78.5606 97.265865) (xy 78.559136 97.251) (xy 78.559208 97.240692) + (xy 78.55876 97.236126) (xy 78.525079 96.915672) (xy 78.51908 96.886446) (xy 78.513509 96.857242) (xy 78.512185 96.852858) + (xy 78.512184 96.852851) (xy 78.512181 96.852845) (xy 78.4169 96.545042) (xy 78.405368 96.517608) (xy 78.394203 96.489973) + (xy 78.392049 96.485922) (xy 78.238793 96.202483) (xy 78.222151 96.17781) (xy 78.205832 96.152871) (xy 78.202932 96.149316) + (xy 77.997542 95.901042) (xy 77.97641 95.880057) (xy 77.955571 95.858777) (xy 77.952036 95.855852) (xy 77.702334 95.6522) + (xy 77.677513 95.635709) (xy 77.652954 95.618893) (xy 77.648918 95.616711) (xy 77.364416 95.465438) (xy 77.336876 95.454086) + (xy 77.309505 95.442355) (xy 77.30513 95.441001) (xy 77.305124 95.440999) (xy 77.305118 95.440998) (xy 76.996656 95.347868) + (xy 76.967429 95.342081) (xy 76.93831 95.335891) (xy 76.933747 95.335411) (xy 76.613066 95.303968) (xy 76.613056 95.303968) + (xy 76.597135 95.3024) (xy 76.5286 95.3024) (xy 76.5286 95.188088) (xy 84.4614 95.188088) (xy 84.4614 95.311912) + (xy 84.485556 95.433356) (xy 84.532941 95.547754) (xy 84.601734 95.650709) (xy 84.689291 95.738266) (xy 84.792246 95.807059) + (xy 84.906644 95.854444) (xy 85.028088 95.8786) (xy 85.151912 95.8786) (xy 85.273356 95.854444) (xy 85.387754 95.807059) + (xy 85.490709 95.738266) (xy 85.578266 95.650709) (xy 85.647059 95.547754) (xy 85.694444 95.433356) (xy 85.7186 95.311912) + (xy 85.7186 95.188088) (xy 85.694444 95.066644) (xy 85.647059 94.952246) (xy 85.578266 94.849291) (xy 85.490709 94.761734) + (xy 85.387754 94.692941) (xy 85.273356 94.645556) (xy 85.151912 94.6214) (xy 85.028088 94.6214) (xy 84.906644 94.645556) + (xy 84.792246 94.692941) (xy 84.689291 94.761734) (xy 84.601734 94.849291) (xy 84.532941 94.952246) (xy 84.485556 95.066644) + (xy 84.4614 95.188088) (xy 76.5286 95.188088) (xy 76.5286 94.045088) (xy 83.1914 94.045088) (xy 83.1914 94.168912) + (xy 83.215556 94.290356) (xy 83.262941 94.404754) (xy 83.331734 94.507709) (xy 83.419291 94.595266) (xy 83.522246 94.664059) + (xy 83.636644 94.711444) (xy 83.758088 94.7356) (xy 83.881912 94.7356) (xy 84.003356 94.711444) (xy 84.117754 94.664059) + (xy 84.220709 94.595266) (xy 84.308266 94.507709) (xy 84.377059 94.404754) (xy 84.424444 94.290356) (xy 84.4486 94.168912) + (xy 84.4486 94.045088) (xy 86.1124 94.045088) (xy 86.1124 94.168912) (xy 86.136556 94.290356) (xy 86.183941 94.404754) + (xy 86.252734 94.507709) (xy 86.340291 94.595266) (xy 86.443246 94.664059) (xy 86.557644 94.711444) (xy 86.679088 94.7356) + (xy 86.802912 94.7356) (xy 86.924356 94.711444) (xy 87.038754 94.664059) (xy 87.141709 94.595266) (xy 87.229266 94.507709) + (xy 87.298059 94.404754) (xy 87.345444 94.290356) (xy 87.3696 94.168912) (xy 87.3696 94.045088) (xy 87.345444 93.923644) + (xy 87.298059 93.809246) (xy 87.229266 93.706291) (xy 87.141709 93.618734) (xy 87.038754 93.549941) (xy 86.924356 93.502556) + (xy 86.802912 93.4784) (xy 86.679088 93.4784) (xy 86.557644 93.502556) (xy 86.443246 93.549941) (xy 86.340291 93.618734) + (xy 86.252734 93.706291) (xy 86.183941 93.809246) (xy 86.136556 93.923644) (xy 86.1124 94.045088) (xy 84.4486 94.045088) + (xy 84.424444 93.923644) (xy 84.377059 93.809246) (xy 84.308266 93.706291) (xy 84.220709 93.618734) (xy 84.117754 93.549941) + (xy 84.003356 93.502556) (xy 83.881912 93.4784) (xy 83.758088 93.4784) (xy 83.636644 93.502556) (xy 83.522246 93.549941) + (xy 83.419291 93.618734) (xy 83.331734 93.706291) (xy 83.262941 93.809246) (xy 83.215556 93.923644) (xy 83.1914 94.045088) + (xy 76.5286 94.045088) (xy 76.5286 91.466683) (xy 77.654586 91.466683) (xy 77.696162 91.83734) (xy 77.80894 92.192862) + (xy 77.988626 92.519708) (xy 78.228373 92.805429) (xy 78.519052 93.03914) (xy 78.849589 93.211941) (xy 79.207396 93.317249) + (xy 79.578842 93.351053) (xy 79.94978 93.312066) (xy 80.306081 93.201773) (xy 80.634174 93.024374) (xy 80.921561 92.786626) + (xy 81.157296 92.497587) (xy 81.3324 92.168264) (xy 81.440203 91.811201) (xy 81.4766 91.44) (xy 81.475855 91.386639) + (xy 81.429108 91.016599) (xy 81.311377 90.662686) (xy 81.127146 90.33838) (xy 80.883432 90.056035) (xy 80.589519 89.826405) + (xy 80.256601 89.658236) (xy 79.897359 89.557934) (xy 79.525477 89.529319) (xy 79.155119 89.573482) (xy 78.800393 89.688739) + (xy 78.474809 89.870702) (xy 78.19077 90.112438) (xy 77.959093 90.404741) (xy 77.788604 90.736477) (xy 77.685797 91.09501) + (xy 77.654586 91.466683) (xy 76.5286 91.466683) (xy 76.5286 82.8786) (xy 164.7714 82.8786) + ) + ) + (filled_polygon + (pts + (xy 101.29236 88.76686) (xy 101.371403 88.819674) (xy 101.459231 88.856054) (xy 101.552468 88.8746) (xy 101.647532 88.8746) + (xy 101.650882 88.873934) (xy 101.881891 89.104943) (xy 101.891432 89.116568) (xy 101.903061 89.126112) (xy 102.1842 89.407252) + (xy 102.184201 90.917032) (xy 102.182727 90.932) (xy 102.188611 90.991751) (xy 102.20604 91.049205) (xy 102.206041 91.049206) + (xy 102.234343 91.102157) (xy 102.272433 91.148568) (xy 102.284057 91.158108) (xy 104.040891 92.914943) (xy 104.050432 92.926568) + (xy 104.096843 92.964658) (xy 104.147796 92.991892) (xy 104.149794 92.99296) (xy 104.207248 93.010389) (xy 104.212403 93.010897) + (xy 104.252034 93.0148) (xy 104.252042 93.0148) (xy 104.267 93.016273) (xy 104.281958 93.0148) (xy 110.744749 93.0148) + (xy 113.310148 95.5802) (xy 104.139252 95.5802) (xy 103.50752 94.948468) (xy 104.9274 94.948468) (xy 104.9274 95.043532) + (xy 104.945946 95.136769) (xy 104.982326 95.224597) (xy 105.03514 95.30364) (xy 105.10236 95.37086) (xy 105.181403 95.423674) + (xy 105.269231 95.460054) (xy 105.362468 95.4786) (xy 105.457532 95.4786) (xy 105.550769 95.460054) (xy 105.638597 95.423674) + (xy 105.71764 95.37086) (xy 105.78486 95.30364) (xy 105.837674 95.224597) (xy 105.874054 95.136769) (xy 105.8926 95.043532) + (xy 105.8926 94.948468) (xy 105.874054 94.855231) (xy 105.837674 94.767403) (xy 105.78486 94.68836) (xy 105.71764 94.62114) + (xy 105.638597 94.568326) (xy 105.550769 94.531946) (xy 105.457532 94.5134) (xy 105.362468 94.5134) (xy 105.269231 94.531946) + (xy 105.181403 94.568326) (xy 105.10236 94.62114) (xy 105.03514 94.68836) (xy 104.982326 94.767403) (xy 104.945946 94.855231) + (xy 104.9274 94.948468) (xy 103.50752 94.948468) (xy 102.60414 94.045088) (xy 103.1304 94.045088) (xy 103.1304 94.168912) + (xy 103.154556 94.290356) (xy 103.201941 94.404754) (xy 103.270734 94.507709) (xy 103.358291 94.595266) (xy 103.461246 94.664059) + (xy 103.575644 94.711444) (xy 103.697088 94.7356) (xy 103.820912 94.7356) (xy 103.942356 94.711444) (xy 104.056754 94.664059) + (xy 104.159709 94.595266) (xy 104.247266 94.507709) (xy 104.316059 94.404754) (xy 104.363444 94.290356) (xy 104.3876 94.168912) + (xy 104.3876 94.045088) (xy 106.0514 94.045088) (xy 106.0514 94.168912) (xy 106.075556 94.290356) (xy 106.122941 94.404754) + (xy 106.191734 94.507709) (xy 106.279291 94.595266) (xy 106.382246 94.664059) (xy 106.496644 94.711444) (xy 106.618088 94.7356) + (xy 106.741912 94.7356) (xy 106.863356 94.711444) (xy 106.977754 94.664059) (xy 107.080709 94.595266) (xy 107.168266 94.507709) + (xy 107.237059 94.404754) (xy 107.284444 94.290356) (xy 107.3086 94.168912) (xy 107.3086 94.045088) (xy 107.284444 93.923644) + (xy 107.237059 93.809246) (xy 107.168266 93.706291) (xy 107.080709 93.618734) (xy 106.977754 93.549941) (xy 106.863356 93.502556) + (xy 106.741912 93.4784) (xy 106.618088 93.4784) (xy 106.496644 93.502556) (xy 106.382246 93.549941) (xy 106.279291 93.618734) + (xy 106.191734 93.706291) (xy 106.122941 93.809246) (xy 106.075556 93.923644) (xy 106.0514 94.045088) (xy 104.3876 94.045088) + (xy 104.363444 93.923644) (xy 104.316059 93.809246) (xy 104.247266 93.706291) (xy 104.159709 93.618734) (xy 104.056754 93.549941) + (xy 103.942356 93.502556) (xy 103.820912 93.4784) (xy 103.697088 93.4784) (xy 103.575644 93.502556) (xy 103.461246 93.549941) + (xy 103.358291 93.618734) (xy 103.270734 93.706291) (xy 103.201941 93.809246) (xy 103.154556 93.923644) (xy 103.1304 94.045088) + (xy 102.60414 94.045088) (xy 101.9048 93.345749) (xy 101.9048 91.308758) (xy 101.90764 91.30686) (xy 101.97486 91.23964) + (xy 102.027674 91.160597) (xy 102.064054 91.072769) (xy 102.0826 90.979532) (xy 102.0826 90.884468) (xy 102.064054 90.791231) + (xy 102.027674 90.703403) (xy 101.97486 90.62436) (xy 101.90764 90.55714) (xy 101.9048 90.555242) (xy 101.9048 89.930958) + (xy 101.906273 89.916) (xy 101.9048 89.901042) (xy 101.9048 89.901034) (xy 101.900389 89.856249) (xy 101.88296 89.798794) + (xy 101.854658 89.745843) (xy 101.816568 89.699432) (xy 101.804944 89.689892) (xy 101.2698 89.154749) (xy 101.2698 88.7443) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 100.457) (xy 86.868 100.457) (xy 86.868 99.568) (xy 85.852 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACAB) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 100.457) (xy 89.408 100.457) (xy 89.408 99.568) (xy 88.392 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACBC) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 100.457) (xy 94.488 100.457) (xy 94.488 99.568) (xy 93.472 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACBD) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 100.457) (xy 91.948 100.457) (xy 91.948 99.568) (xy 90.932 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACC8) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 100.457) (xy 97.028 100.457) (xy 97.028 99.568) (xy 96.012 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACCD) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 100.457) (xy 112.268 100.457) (xy 112.268 99.568) (xy 111.252 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACD0) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 100.457) (xy 114.808 100.457) (xy 114.808 99.568) (xy 113.792 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACD1) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 100.457) (xy 117.348 100.457) (xy 117.348 99.568) (xy 116.332 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACD4) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 100.457) (xy 119.888 100.457) (xy 119.888 99.568) (xy 118.872 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACD5) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 100.457) (xy 122.428 100.457) (xy 122.428 99.568) (xy 121.412 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACD8) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 100.457) (xy 127.508 100.457) (xy 127.508 99.568) (xy 126.492 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACD9) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 100.457) (xy 124.968 100.457) (xy 124.968 99.568) (xy 123.952 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACDC) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 100.457) (xy 130.048 100.457) (xy 130.048 99.568) (xy 129.032 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACE0) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 139.192 100.457) (xy 140.208 100.457) (xy 140.208 99.568) (xy 139.192 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACE1) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 141.732 100.457) (xy 142.748 100.457) (xy 142.748 99.568) (xy 141.732 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ACE5) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 144.272 100.457) (xy 145.288 100.457) (xy 145.288 99.568) (xy 144.272 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35AD4B) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 146.812 100.457) (xy 147.828 100.457) (xy 147.828 99.568) (xy 146.812 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35AD4C) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 149.352 100.457) (xy 150.368 100.457) (xy 150.368 99.568) (xy 149.352 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35AD4F) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 154.432 100.457) (xy 155.448 100.457) (xy 155.448 99.568) (xy 154.432 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35AD50) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 151.892 100.457) (xy 152.908 100.457) (xy 152.908 99.568) (xy 151.892 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35AD76) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 100.457) (xy 135.128 100.457) (xy 135.128 99.568) (xy 134.112 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35AD77) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 100.457) (xy 132.588 100.457) (xy 132.588 99.568) (xy 131.572 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ADA1) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 100.457) (xy 102.108 100.457) (xy 102.108 99.568) (xy 101.092 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ADA2) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 100.457) (xy 99.568 100.457) (xy 99.568 99.568) (xy 98.552 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ADA5) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 100.457) (xy 109.728 100.457) (xy 109.728 99.568) (xy 108.712 99.568) + ) + ) + ) + (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5D35ADA6) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.254) + (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) + (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 100.457) (xy 107.188 100.457) (xy 107.188 99.568) (xy 106.172 99.568) ) ) ) diff --git a/simm-30-4mb.pro b/simm-30-4mb.pro index d2bbdc1..6354968 100644 --- a/simm-30-4mb.pro +++ b/simm-30-4mb.pro @@ -1,4 +1,4 @@ -update=Tuesday, June 25, 2019 at 09:30:44 PM +update=Friday, July 19, 2019 at 08:55:08 PM version=1 last_client=kicad [general] @@ -64,7 +64,7 @@ OthersTextSizeThickness=0.15 OthersTextItalic=0 OthersTextUpright=1 SolderMaskClearance=0.07619999999999999 -SolderMaskMinWidth=0.1524 +SolderMaskMinWidth=0.127 SolderPasteClearance=-0.03809999999999999 SolderPasteRatio=-0 [pcbnew/Layer.F.Cu] @@ -77,7 +77,7 @@ Type=1 Enabled=1 [pcbnew/Layer.In2.Cu] Name=In2.Cu -Type=1 +Type=0 Enabled=1 [pcbnew/Layer.In3.Cu] Name=In3.Cu @@ -193,7 +193,7 @@ Type=0 Enabled=0 [pcbnew/Layer.B.Cu] Name=B.Cu -Type=0 +Type=1 Enabled=1 [pcbnew/Layer.B.Adhes] Enabled=1 diff --git a/simm-30-4mb.sch b/simm-30-4mb.sch index a7a456f..388f73c 100644 --- a/simm-30-4mb.sch +++ b/simm-30-4mb.sch @@ -1,4 +1,5 @@ EESchema Schematic File Version 4 +LIBS:simm-30-4mb-cache EELAYER 29 0 EELAYER END $Descr USLetter 11000 8500 @@ -14,12 +15,12 @@ Comment3 "" Comment4 "" $EndDescr $Comp -L Connector_Generic:Conn_01x30 J2 +L Connector_Generic:Conn_01x30 J1 U 1 1 5C2E1E12 P 4450 3450 -F 0 "J2" V 4574 3396 50 0000 C CNN +F 0 "J1" V 4574 3396 50 0000 C CNN F 1 "Conn_01x30" V 4665 3396 50 0000 C CNN -F 2 "stdpads:Memory_SIMM_30" H 4450 3450 50 0001 C CNN +F 2 "stdpads:SIMM-30_Edge" H 4450 3450 50 0001 C CNN F 3 "~" H 4450 3450 50 0001 C CNN 1 4450 3450 0 -1 1 0 @@ -120,178 +121,28 @@ Text Label 5750 3250 1 50 ~ 0 ~CASP~ Text Label 5850 3250 1 50 ~ 0 DP -$Comp -L Connector_Generic:Conn_02x13_Counter_Clockwise U1 -U 1 1 5C2E22F1 -P 4300 4700 -F 0 "U1" H 4350 5517 50 0000 C CNN -F 1 "511400" H 4350 5426 50 0000 C CNN -F 2 "stdpads:SOJ-24-26-300mil" H 4300 4700 50 0001 C CNN -F 3 "~" H 4300 4700 50 0001 C CNN - 1 4300 4700 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0105 -U 1 1 5C2E243C -P 4100 4100 -F 0 "#PWR0105" H 4100 3950 50 0001 C CNN -F 1 "+5V" H 4100 4250 50 0000 C CNN -F 2 "" H 4100 4100 50 0001 C CNN -F 3 "" H 4100 4100 50 0001 C CNN - 1 4100 4100 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0106 -U 1 1 5C2E2473 -P 4100 5300 -F 0 "#PWR0106" H 4100 5150 50 0001 C CNN -F 1 "+5V" H 4100 5450 50 0000 C CNN -F 2 "" H 4100 5300 50 0001 C CNN -F 3 "" H 4100 5300 50 0001 C CNN - 1 4100 5300 - -1 0 0 1 -$EndComp -$Comp -L power:GND #PWR0107 -U 1 1 5C2E252F -P 4600 4100 -F 0 "#PWR0107" H 4600 3850 50 0001 C CNN -F 1 "GND" H 4600 3950 50 0000 C CNN -F 2 "" H 4600 4100 50 0001 C CNN -F 3 "" H 4600 4100 50 0001 C CNN - 1 4600 4100 - -1 0 0 1 -$EndComp -$Comp -L power:GND #PWR0108 -U 1 1 5C2E2540 -P 4600 5300 -F 0 "#PWR0108" H 4600 5050 50 0001 C CNN -F 1 "GND" H 4600 5150 50 0000 C CNN -F 2 "" H 4600 5300 50 0001 C CNN -F 3 "" H 4600 5300 50 0001 C CNN - 1 4600 5300 - 1 0 0 -1 -$EndComp -Text Label 5500 4200 2 50 ~ 0 -D1 -Text Label 5500 4300 2 50 ~ 0 -D3 -Text Label 6000 4300 0 50 ~ 0 -D2 -Text Label 6000 4200 0 50 ~ 0 -D0 -Text Label 4100 4400 2 50 ~ 0 -~WE~ -Text Label 4100 4500 2 50 ~ 0 -~RAS~ -Text Label 4600 4600 0 50 ~ 0 -1A9 -Text Label 4600 4500 0 50 ~ 0 +Text Label 4200 7000 0 50 ~ 0 ~OE~ -Text Label 4600 4800 0 50 ~ 0 +Text Label 3400 6850 2 50 ~ 0 1A8 -Text Label 4600 4400 0 50 ~ 0 -~CAS~ -Text Label 4600 4900 0 50 ~ 0 +Text Label 3400 6750 2 50 ~ 0 1A7 -Text Label 4600 5000 0 50 ~ 0 +Text Label 3400 6650 2 50 ~ 0 1A6 -Text Label 4600 5100 0 50 ~ 0 +Text Label 3400 6550 2 50 ~ 0 1A5 -Text Label 4600 5200 0 50 ~ 0 +Text Label 3400 6450 2 50 ~ 0 1A4 -Text Label 4100 5200 2 50 ~ 0 +Text Label 3400 6350 2 50 ~ 0 1A3 -Text Label 4100 5100 2 50 ~ 0 +Text Label 3400 6250 2 50 ~ 0 1A2 -Text Label 4100 5000 2 50 ~ 0 +Text Label 3400 6150 2 50 ~ 0 1A1 -Text Label 4100 4900 2 50 ~ 0 +Text Label 3400 6050 2 50 ~ 0 1A0 -Text Label 4100 4800 2 50 ~ 0 +Text Label 3400 7050 2 50 ~ 0 1A10 -NoConn ~ 4100 4600 -NoConn ~ 4100 4700 -NoConn ~ 4600 4700 -$Comp -L Connector_Generic:Conn_02x13_Counter_Clockwise U2 -U 1 1 5C2E285B -P 5700 4700 -F 0 "U2" H 5750 5517 50 0000 C CNN -F 1 "511400" H 5750 5426 50 0000 C CNN -F 2 "stdpads:SOJ-24-26-300mil" H 5700 4700 50 0001 C CNN -F 3 "~" H 5700 4700 50 0001 C CNN - 1 5700 4700 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0109 -U 1 1 5C2E2862 -P 5500 4100 -F 0 "#PWR0109" H 5500 3950 50 0001 C CNN -F 1 "+5V" H 5500 4250 50 0000 C CNN -F 2 "" H 5500 4100 50 0001 C CNN -F 3 "" H 5500 4100 50 0001 C CNN - 1 5500 4100 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0110 -U 1 1 5C2E2868 -P 5500 5300 -F 0 "#PWR0110" H 5500 5150 50 0001 C CNN -F 1 "+5V" H 5500 5450 50 0000 C CNN -F 2 "" H 5500 5300 50 0001 C CNN -F 3 "" H 5500 5300 50 0001 C CNN - 1 5500 5300 - -1 0 0 1 -$EndComp -$Comp -L power:GND #PWR0111 -U 1 1 5C2E286E -P 6000 4100 -F 0 "#PWR0111" H 6000 3850 50 0001 C CNN -F 1 "GND" H 6000 3950 50 0000 C CNN -F 2 "" H 6000 4100 50 0001 C CNN -F 3 "" H 6000 4100 50 0001 C CNN - 1 6000 4100 - -1 0 0 1 -$EndComp -$Comp -L power:GND #PWR0112 -U 1 1 5C2E2874 -P 6000 5300 -F 0 "#PWR0112" H 6000 5050 50 0001 C CNN -F 1 "GND" H 6000 5150 50 0000 C CNN -F 2 "" H 6000 5300 50 0001 C CNN -F 3 "" H 6000 5300 50 0001 C CNN - 1 6000 5300 - 1 0 0 -1 -$EndComp -Text Label 4100 4200 2 50 ~ 0 -D5 -Text Label 4100 4300 2 50 ~ 0 -D7 -Text Label 4600 4300 0 50 ~ 0 -D6 -Text Label 4600 4200 0 50 ~ 0 -D4 -Text Label 5500 4400 2 50 ~ 0 -~WE~ -Text Label 5500 4500 2 50 ~ 0 -~RAS~ -Text Label 6000 4500 0 50 ~ 0 -~OE~ -Text Label 6000 4400 0 50 ~ 0 -~CAS~ -NoConn ~ 5500 4600 -NoConn ~ 5500 4700 -NoConn ~ 6000 4700 -Text Label 6000 4600 0 50 ~ 0 -2A9 Wire Wire Line 1850 7400 1850 7350 Wire Wire Line @@ -402,26 +253,6 @@ Wire Wire Line 1850 6250 1950 6250 Wire Wire Line 1750 6200 1850 6200 -Text Label 5500 5200 2 50 ~ 0 -2A3 -Text Label 5500 5100 2 50 ~ 0 -2A2 -Text Label 5500 5000 2 50 ~ 0 -2A1 -Text Label 5500 4900 2 50 ~ 0 -2A0 -Text Label 5500 4800 2 50 ~ 0 -2A10 -Text Label 6000 4800 0 50 ~ 0 -2A8 -Text Label 6000 4900 0 50 ~ 0 -2A7 -Text Label 6000 5000 0 50 ~ 0 -2A6 -Text Label 6000 5100 0 50 ~ 0 -2A5 -Text Label 6000 5200 0 50 ~ 0 -2A4 Wire Wire Line 1850 7200 1850 7150 Wire Wire Line @@ -439,7 +270,7 @@ U 1 1 5C2E290A P 2400 4200 F 0 "C1" H 2492 4246 50 0000 L CNN F 1 "100n" H 2492 4155 50 0000 L CNN -F 2 "stdpads:C_1206" H 2400 4200 50 0001 C CNN +F 2 "stdpads:C_0805" H 2400 4200 50 0001 C CNN F 3 "~" H 2400 4200 50 0001 C CNN 1 2400 4200 1 0 0 -1 @@ -450,7 +281,7 @@ U 1 1 5C2E296A P 2800 4200 F 0 "C2" H 2892 4246 50 0000 L CNN F 1 "100n" H 2892 4155 50 0000 L CNN -F 2 "stdpads:C_1206" H 2800 4200 50 0001 C CNN +F 2 "stdpads:C_0805" H 2800 4200 50 0001 C CNN F 3 "~" H 2800 4200 50 0001 C CNN 1 2800 4200 1 0 0 -1 @@ -477,7 +308,7 @@ U 1 1 5C2EDC35 P 3200 4200 F 0 "C3" H 3292 4246 50 0000 L CNN F 1 "100n" H 3292 4155 50 0000 L CNN -F 2 "stdpads:C_1206" H 3200 4200 50 0001 C CNN +F 2 "stdpads:C_0805" H 3200 4200 50 0001 C CNN F 3 "~" H 3200 4200 50 0001 C CNN 1 3200 4200 1 0 0 -1 @@ -489,17 +320,6 @@ Wire Wire Line 2800 4300 3200 4300 Connection ~ 2800 4300 Connection ~ 3200 4300 -$Comp -L power:GND #PWR0114 -U 1 1 5C2E29CC -P 3600 4300 -F 0 "#PWR0114" H 3600 4050 50 0001 C CNN -F 1 "GND" H 3600 4150 50 0000 C CNN -F 2 "" H 3600 4300 50 0001 C CNN -F 3 "" H 3600 4300 50 0001 C CNN - 1 3600 4300 - 1 0 0 -1 -$EndComp Wire Wire Line 3200 4300 3600 4300 Connection ~ 3600 4300 @@ -512,37 +332,24 @@ U 1 1 5D1301A9 P 3600 4200 F 0 "C4" H 3692 4246 50 0000 L CNN F 1 "100n" H 3692 4155 50 0000 L CNN -F 2 "stdpads:C_1206" H 3600 4200 50 0001 C CNN +F 2 "stdpads:C_0805" H 3600 4200 50 0001 C CNN F 3 "~" H 3600 4200 50 0001 C CNN 1 3600 4200 1 0 0 -1 $EndComp $Comp -L power:GND #PWR0115 -U 1 1 5D14DFC9 -P 6200 4500 -F 0 "#PWR0115" H 6200 4250 50 0001 C CNN -F 1 "GND" H 6200 4350 50 0000 C CNN -F 2 "" H 6200 4500 50 0001 C CNN -F 3 "" H 6200 4500 50 0001 C CNN - 1 6200 4500 - 1 0 0 -1 -$EndComp -Wire Wire Line - 6200 4500 6000 4500 -$Comp L power:GND #PWR0116 U 1 1 5D14FA7D -P 4800 4500 -F 0 "#PWR0116" H 4800 4250 50 0001 C CNN -F 1 "GND" H 4800 4350 50 0000 C CNN -F 2 "" H 4800 4500 50 0001 C CNN -F 3 "" H 4800 4500 50 0001 C CNN - 1 4800 4500 +P 4400 7000 +F 0 "#PWR0116" H 4400 6750 50 0001 C CNN +F 1 "GND" H 4400 6850 50 0000 C CNN +F 2 "" H 4400 7000 50 0001 C CNN +F 3 "" H 4400 7000 50 0001 C CNN + 1 4400 7000 1 0 0 -1 $EndComp Wire Wire Line - 4800 4500 4600 4500 + 4400 7000 4200 7000 Text Label 1950 5650 0 50 ~ 0 2A10 Text Label 1950 5450 0 50 ~ 0 @@ -609,4 +416,164 @@ Text Label 1950 7150 0 50 ~ 0 1A10 Text Label 1950 7350 0 50 ~ 0 1A9 +$Comp +L stdparts:AS4C4M4 U1 +U 1 1 5D38F422 +P 3800 6650 +F 0 "U1" H 3800 7515 50 0000 C CNN +F 1 "AS4C4M4" H 3800 7424 50 0000 C CNN +F 2 "stdpads:SOP-24-26-300mil" H 3800 5950 50 0001 C CNN +F 3 "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C8008.pdf" H 3800 6150 50 0001 C CNN + 1 3800 6650 + 1 0 0 -1 +$EndComp +Text Label 3400 6950 2 50 ~ 0 +1A9 +$Comp +L power:GND #PWR0105 +U 1 1 5D395DE3 +P 4200 7200 +F 0 "#PWR0105" H 4200 6950 50 0001 C CNN +F 1 "GND" H 4200 7050 50 0000 C CNN +F 2 "" H 4200 7200 50 0001 C CNN +F 3 "" H 4200 7200 50 0001 C CNN + 1 4200 7200 + 1 0 0 -1 +$EndComp +Text Label 4200 6700 0 50 ~ 0 +~CAS~ +Text Label 4200 6800 0 50 ~ 0 +~RAS~ +Text Label 4200 6900 0 50 ~ 0 +~WE~ +Text Label 4200 6250 0 50 ~ 0 +D5 +Text Label 4200 6550 0 50 ~ 0 +D4 +Text Label 4200 6350 0 50 ~ 0 +D7 +Text Label 4200 6450 0 50 ~ 0 +D6 +$Comp +L power:+5V #PWR0106 +U 1 1 5D396692 +P 4200 6050 +F 0 "#PWR0106" H 4200 5900 50 0001 C CNN +F 1 "+5V" H 4200 6200 50 0000 C CNN +F 2 "" H 4200 6050 50 0001 C CNN +F 3 "" H 4200 6050 50 0001 C CNN + 1 4200 6050 + 1 0 0 -1 +$EndComp +Text Label 5800 7050 0 50 ~ 0 +~OE~ +Text Label 5000 6900 2 50 ~ 0 +2A8 +Text Label 5000 6800 2 50 ~ 0 +2A7 +Text Label 5000 6700 2 50 ~ 0 +2A6 +Text Label 5000 6600 2 50 ~ 0 +2A5 +Text Label 5000 6500 2 50 ~ 0 +2A4 +Text Label 5000 6400 2 50 ~ 0 +2A3 +Text Label 5000 6300 2 50 ~ 0 +2A2 +Text Label 5000 6200 2 50 ~ 0 +2A1 +Text Label 5000 6100 2 50 ~ 0 +2A0 +Text Label 5000 7100 2 50 ~ 0 +2A10 +$Comp +L power:GND #PWR0107 +U 1 1 5D3999CF +P 6000 7050 +F 0 "#PWR0107" H 6000 6800 50 0001 C CNN +F 1 "GND" H 6000 6900 50 0000 C CNN +F 2 "" H 6000 7050 50 0001 C CNN +F 3 "" H 6000 7050 50 0001 C CNN + 1 6000 7050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6000 7050 5800 7050 +$Comp +L stdparts:AS4C4M4 U2 +U 1 1 5D3999D6 +P 5400 6700 +F 0 "U2" H 5400 7565 50 0000 C CNN +F 1 "AS4C4M4" H 5400 7474 50 0000 C CNN +F 2 "stdpads:SOP-24-26-300mil" H 5400 6000 50 0001 C CNN +F 3 "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C8008.pdf" H 5400 6200 50 0001 C CNN + 1 5400 6700 + 1 0 0 -1 +$EndComp +Text Label 5000 7000 2 50 ~ 0 +2A9 +$Comp +L power:GND #PWR0108 +U 1 1 5D3999DD +P 5800 7250 +F 0 "#PWR0108" H 5800 7000 50 0001 C CNN +F 1 "GND" H 5800 7100 50 0000 C CNN +F 2 "" H 5800 7250 50 0001 C CNN +F 3 "" H 5800 7250 50 0001 C CNN + 1 5800 7250 + 1 0 0 -1 +$EndComp +Text Label 5800 6750 0 50 ~ 0 +~CAS~ +Text Label 5800 6850 0 50 ~ 0 +~RAS~ +Text Label 5800 6950 0 50 ~ 0 +~WE~ +$Comp +L power:+5V #PWR0109 +U 1 1 5D3999EA +P 5800 6100 +F 0 "#PWR0109" H 5800 5950 50 0001 C CNN +F 1 "+5V" H 5800 6250 50 0000 C CNN +F 2 "" H 5800 6100 50 0001 C CNN +F 3 "" H 5800 6100 50 0001 C CNN + 1 5800 6100 + 1 0 0 -1 +$EndComp +Text Label 5800 6600 0 50 ~ 0 +D0 +Text Label 5800 6500 0 50 ~ 0 +D2 +Text Label 5800 6400 0 50 ~ 0 +D3 +Text Label 5800 6300 0 50 ~ 0 +D1 +$Comp +L power:GND #PWR0110 +U 1 1 5D3FC319 +P 4000 4300 +F 0 "#PWR0110" H 4000 4050 50 0001 C CNN +F 1 "GND" H 4000 4150 50 0000 C CNN +F 2 "" H 4000 4300 50 0001 C CNN +F 3 "" H 4000 4300 50 0001 C CNN + 1 4000 4300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3600 4300 4000 4300 +Connection ~ 4000 4300 +Wire Wire Line + 4000 4100 3600 4100 +$Comp +L Device:C_Small C5 +U 1 1 5D3FC322 +P 4000 4200 +F 0 "C5" H 4092 4246 50 0000 L CNN +F 1 "100n" H 4092 4155 50 0000 L CNN +F 2 "stdpads:C_0805" H 4000 4200 50 0001 C CNN +F 3 "~" H 4000 4200 50 0001 C CNN + 1 4000 4200 + 1 0 0 -1 +$EndComp $EndSCHEMATC diff --git a/sym-lib-table b/sym-lib-table new file mode 100644 index 0000000..b25baa6 --- /dev/null +++ b/sym-lib-table @@ -0,0 +1,3 @@ +(sym_lib_table + (lib (name stdparts)(type Legacy)(uri "$(KIPRJMOD)/../stdparts/stdparts.lib")(options "")(descr "")) +)