RAMSIMM-30/GW4194-4MB-CBRFIX-SOJ-DP/GW4194.kicad_sch

2977 lines
106 KiB
Plaintext

(kicad_sch (version 20230121) (generator eeschema)
(uuid d07c142c-cbe0-447f-91c5-f0181990f1af)
(paper "USLetter")
(title_block
(title "GW4194A")
(date "2023-01-20")
(rev "1.1")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "74xGxx:74AHCT1G04" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at -2.54 3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHCT1G04" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Single Gate NOT LVC CMOS" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Single NOT Gate, Low-Voltage CMOS" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "SOT* SG-*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "74AHCT1G04_0_1"
(polyline
(pts
(xy -3.81 2.54)
(xy -3.81 -2.54)
(xy 2.54 0)
(xy -3.81 2.54)
)
(stroke (width 0.254) (type default))
(fill (type none))
)
)
(symbol "74AHCT1G04_1_1"
(pin input line (at -7.62 0 0) (length 3.81)
(name "~" (effects (font (size 1.016 1.016))))
(number "2" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 0 -2.54 270) (length 0) hide
(name "GND" (effects (font (size 1.016 1.016))))
(number "3" (effects (font (size 1.016 1.016))))
)
(pin output inverted (at 6.35 0 180) (length 3.81)
(name "~" (effects (font (size 1.016 1.016))))
(number "4" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 0 2.54 90) (length 0) hide
(name "VCC" (effects (font (size 1.016 1.016))))
(number "5" (effects (font (size 1.016 1.016))))
)
)
)
(symbol "74xGxx:74AHCT1G32" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at -2.54 3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74AHCT1G32" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Single Gate OR LVC CMOS" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Single OR Gate, Low-Voltage CMOS" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "SOT* SG-*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "74AHCT1G32_0_1"
(arc (start -3.81 -2.54) (mid -2.919 0) (end -3.81 2.54)
(stroke (width 0.254) (type default))
(fill (type none))
)
(arc (start 0 -2.54) (mid 1.5993 -1.6027) (end 2.54 0)
(stroke (width 0.254) (type default))
(fill (type none))
)
(polyline
(pts
(xy -3.81 -1.27)
(xy -3.175 -1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy -3.81 1.27)
(xy -3.175 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 -2.54)
(xy -3.81 -2.54)
)
(stroke (width 0.254) (type default))
(fill (type background))
)
(polyline
(pts
(xy 0 2.54)
(xy -3.81 2.54)
)
(stroke (width 0.254) (type default))
(fill (type background))
)
(arc (start 2.54 0) (mid 1.6119 1.6152) (end 0 2.54)
(stroke (width 0.254) (type default))
(fill (type none))
)
)
(symbol "74AHCT1G32_1_1"
(pin input line (at -7.62 1.27 0) (length 3.81)
(name "~" (effects (font (size 1.016 1.016))))
(number "1" (effects (font (size 1.016 1.016))))
)
(pin input line (at -7.62 -1.27 0) (length 3.81)
(name "~" (effects (font (size 1.016 1.016))))
(number "2" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 0 -2.54 270) (length 0) hide
(name "GND" (effects (font (size 1.016 1.016))))
(number "3" (effects (font (size 1.016 1.016))))
)
(pin output line (at 6.35 0 180) (length 3.81)
(name "~" (effects (font (size 1.016 1.016))))
(number "4" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 0 2.54 90) (length 0) hide
(name "VCC" (effects (font (size 1.016 1.016))))
(number "5" (effects (font (size 1.016 1.016))))
)
)
)
(symbol "Connector_Generic:Conn_01x30" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (at 0 38.1 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_01x30" (at 0 -40.64 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, single row, 01x30, script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_1x??_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_01x30_1_1"
(rectangle (start -1.27 -37.973) (end 0 -38.227)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -35.433) (end 0 -35.687)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -32.893) (end 0 -33.147)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -30.353) (end 0 -30.607)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -27.813) (end 0 -28.067)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -25.273) (end 0 -25.527)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -22.733) (end 0 -22.987)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -20.193) (end 0 -20.447)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -17.653) (end 0 -17.907)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -15.113) (end 0 -15.367)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -12.573) (end 0 -12.827)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -10.033) (end 0 -10.287)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -7.493) (end 0 -7.747)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 7.747) (end 0 7.493)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 10.287) (end 0 10.033)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 12.827) (end 0 12.573)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 15.367) (end 0 15.113)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 17.907) (end 0 17.653)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 20.447) (end 0 20.193)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 22.987) (end 0 22.733)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 25.527) (end 0 25.273)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 28.067) (end 0 27.813)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 30.607) (end 0 30.353)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 33.147) (end 0 32.893)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 35.687) (end 0 35.433)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 36.83) (end 1.27 -39.37)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin passive line (at -5.08 35.56 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 12.7 0) (length 3.81)
(name "Pin_10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 10.16 0) (length 3.81)
(name "Pin_11" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 7.62 0) (length 3.81)
(name "Pin_12" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_13" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_14" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_15" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_16" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_17" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -7.62 0) (length 3.81)
(name "Pin_18" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -10.16 0) (length 3.81)
(name "Pin_19" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 33.02 0) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -12.7 0) (length 3.81)
(name "Pin_20" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -15.24 0) (length 3.81)
(name "Pin_21" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -17.78 0) (length 3.81)
(name "Pin_22" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -20.32 0) (length 3.81)
(name "Pin_23" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -22.86 0) (length 3.81)
(name "Pin_24" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -25.4 0) (length 3.81)
(name "Pin_25" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -27.94 0) (length 3.81)
(name "Pin_26" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -30.48 0) (length 3.81)
(name "Pin_27" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -33.02 0) (length 3.81)
(name "Pin_28" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -35.56 0) (length 3.81)
(name "Pin_29" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 30.48 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -38.1 0) (length 3.81)
(name "Pin_30" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 27.94 0) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 25.4 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 22.86 0) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 20.32 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 17.78 0) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 15.24 0) (length 3.81)
(name "Pin_9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (at 0.254 1.778 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C_Small" (at 0.254 -2.032 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "capacitor cap" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_Small_0_1"
(polyline
(pts
(xy -1.524 -0.508)
(xy 1.524 -0.508)
)
(stroke (width 0.3302) (type default))
(fill (type none))
)
(polyline
(pts
(xy -1.524 0.508)
(xy 1.524 0.508)
)
(stroke (width 0.3048) (type default))
(fill (type none))
)
)
(symbol "C_Small_1_1"
(pin passive line (at 0 2.54 270) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "R" (at 0.762 0.508 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Small" (at 0.762 -1.016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R resistor" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor, small symbol" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Small_0_1"
(rectangle (start -0.762 1.778) (end 0.762 -1.778)
(stroke (width 0.2032) (type default))
(fill (type none))
)
)
(symbol "R_Small_1_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "GW_Logic:741G74DC" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "GW_Logic_741G74DC" (at 0 -7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOT-353" (at 0 -8.89 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 0 -5.08 0)
(effects (font (size 1.524 1.524)) hide)
)
(symbol "741G74DC_0_1"
(rectangle (start 5.08 -6.35) (end -5.08 6.35)
(stroke (width 0.254) (type default))
(fill (type background))
)
)
(symbol "741G74DC_1_1"
(pin input line (at -10.16 -3.81 0) (length 5.08)
(name "CK" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 3.81 0) (length 5.08)
(name "D" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin output line (at 10.16 -1.27 180) (length 5.08)
(name "~{Q}" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 -3.81 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin output line (at 10.16 1.27 180) (length 5.08)
(name "Q" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -1.27 0) (length 5.08)
(name "~{R}" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 1.27 0) (length 5.08)
(name "~{S}" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 3.81 180) (length 5.08)
(name "Vcc" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "GW_RAM:DRAM-4Mx4-SOP-24" (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 16.51 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "GW_RAM_DRAM-4Mx4-SOP-24" (at 0 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOP-24-26-300mil" (at 0 -16.51 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 -15.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "SOJ*10.16x23.49mm*P1.27mm*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "DRAM-4Mx4-SOP-24_0_1"
(rectangle (start -7.62 15.24) (end 7.62 -15.24)
(stroke (width 0.254) (type default))
(fill (type background))
)
)
(symbol "DRAM-4Mx4-SOP-24_1_1"
(pin power_in line (at 10.16 12.7 180) (length 2.54)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 10.16 0) (length 2.54)
(name "A1" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 7.62 0) (length 2.54)
(name "A2" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 5.08 0) (length 2.54)
(name "A3" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 12.7 180) (length 2.54) hide
(name "VDD" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 -12.7 180) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 2.54 0) (length 2.54)
(name "A4" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 0 0) (length 2.54)
(name "A5" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -2.54 0) (length 2.54)
(name "A6" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -5.08 0) (length 2.54)
(name "A7" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -7.62 0) (length 2.54)
(name "A8" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 10.16 180) (length 2.54)
(name "D0" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 10.16 0 180) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -10.16 0) (length 2.54)
(name "A9" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -10.16 180) (length 2.54)
(name "~{OE}" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -2.54 180) (length 2.54)
(name "~{CAS}" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 5.08 180) (length 2.54)
(name "D2" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 2.54 180) (length 2.54)
(name "D3" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 -12.7 180) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 7.62 180) (length 2.54)
(name "D1" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -7.62 180) (length 2.54)
(name "~{WE}" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at 10.16 -5.08 180) (length 2.54)
(name "~{RAS}" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 10.16 0 180) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -12.7 0) (length 2.54)
(name "A10" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 12.7 0) (length 2.54)
(name "A0" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Regulator_Linear:XC6206PxxxMR" (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at -3.81 3.175 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "XC6206PxxxMR" (at 0 3.175 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Package_TO_SOT_SMD:SOT-23-3" (at 0 5.715 0)
(effects (font (size 1.27 1.27) italic) hide)
)
(property "Datasheet" "https://www.torexsemi.com/file/xc6206/XC6206.pdf" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Torex LDO Voltage Regulator Fixed Positive" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Positive 60-250mA Low Dropout Regulator, Fixed Output, SOT-23" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "SOT?23?3*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "XC6206PxxxMR_0_1"
(rectangle (start -5.08 1.905) (end 5.08 -5.08)
(stroke (width 0.254) (type default))
(fill (type background))
)
)
(symbol "XC6206PxxxMR_1_1"
(pin power_in line (at 0 -7.62 90) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 7.62 0 180) (length 2.54)
(name "VO" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -7.62 0 0) (length 2.54)
(name "VI" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "global power" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "+3V3_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "global power" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+5V_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "+5V_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+5V" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "global power" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
)
(junction (at 46.99 162.56) (diameter 0) (color 0 0 0 0)
(uuid 0b64223f-b12a-4b6f-bcea-2fa6a40a3f81)
)
(junction (at 81.28 109.22) (diameter 0) (color 0 0 0 0)
(uuid 129ee356-6d98-4cb8-ae59-9ff76dc7db57)
)
(junction (at 46.99 142.24) (diameter 0) (color 0 0 0 0)
(uuid 1f719978-1735-4634-bbef-3be0b4361921)
)
(junction (at 60.96 104.14) (diameter 0) (color 0 0 0 0)
(uuid 2942a2af-7ee9-4300-934d-3b1aefbf4495)
)
(junction (at 91.44 109.22) (diameter 0) (color 0 0 0 0)
(uuid 2e19bfe6-11d7-4d25-8280-2a7c419c79a6)
)
(junction (at 151.13 137.16) (diameter 0) (color 0 0 0 0)
(uuid 38c2b9cc-5136-43fe-963f-611f93227902)
)
(junction (at 158.75 132.08) (diameter 0) (color 0 0 0 0)
(uuid 39edba53-e3cd-418e-8acd-1048f7e3049d)
)
(junction (at 71.12 104.14) (diameter 0) (color 0 0 0 0)
(uuid 48bfca2b-c5ba-406f-b1e2-3ab6e329806a)
)
(junction (at 71.12 93.98) (diameter 0) (color 0 0 0 0)
(uuid 543aa754-8ae1-4802-ae98-d9e3e673aea0)
)
(junction (at 46.99 157.48) (diameter 0) (color 0 0 0 0)
(uuid 5eeea097-bcbf-44e8-9849-bfdccfacfd06)
)
(junction (at 46.99 182.88) (diameter 0) (color 0 0 0 0)
(uuid 785539f8-acaa-4a48-96a9-ba2b946c2ebe)
)
(junction (at 71.12 109.22) (diameter 0) (color 0 0 0 0)
(uuid 78d6778b-a9fa-4d58-ac2a-66b8e9b60b59)
)
(junction (at 166.37 132.08) (diameter 0) (color 0 0 0 0)
(uuid 7e78e6e9-3f7a-49c7-8f28-6debb9e105f1)
)
(junction (at 71.12 99.06) (diameter 0) (color 0 0 0 0)
(uuid 85e2bd14-ce7b-4639-bda6-28ae883181b2)
)
(junction (at 198.12 127) (diameter 0) (color 0 0 0 0)
(uuid 966d2dc6-457d-4a59-9ecc-22da40efdf94)
)
(junction (at 81.28 99.06) (diameter 0) (color 0 0 0 0)
(uuid b2f8e5d1-8e2c-467d-bc85-23861fe2fc42)
)
(junction (at 46.99 152.4) (diameter 0) (color 0 0 0 0)
(uuid b4fa2908-0f3b-4695-9291-002c49dd0aa9)
)
(junction (at 101.6 109.22) (diameter 0) (color 0 0 0 0)
(uuid b9971119-9cb9-4ed6-b2fa-fd42101f157f)
)
(junction (at 46.99 167.64) (diameter 0) (color 0 0 0 0)
(uuid be9b8963-f753-4835-9079-f0d110ba9573)
)
(junction (at 60.96 93.98) (diameter 0) (color 0 0 0 0)
(uuid c2417e61-02bb-4f9d-ac32-1d5021e2470c)
)
(junction (at 46.99 177.8) (diameter 0) (color 0 0 0 0)
(uuid c319fd62-2d4c-400a-a6f4-d38abc4ff5e6)
)
(junction (at 46.99 147.32) (diameter 0) (color 0 0 0 0)
(uuid c8f2ad73-c3e0-42ef-9b50-dfca1966f9c9)
)
(junction (at 91.44 104.14) (diameter 0) (color 0 0 0 0)
(uuid c90083ff-2ed3-424e-a1b5-88ee0dd596b5)
)
(junction (at 46.99 187.96) (diameter 0) (color 0 0 0 0)
(uuid cdd697a6-cbff-4239-9000-6343ebc3b60a)
)
(junction (at 81.28 104.14) (diameter 0) (color 0 0 0 0)
(uuid d93acfcd-1940-4af3-91f6-d3e44d43d7dd)
)
(junction (at 46.99 172.72) (diameter 0) (color 0 0 0 0)
(uuid e3ab84f4-8d1e-4c75-81ba-bc27e79c456f)
)
(junction (at 213.36 128.27) (diameter 0) (color 0 0 0 0)
(uuid ea1b4729-0d12-4651-af17-20d4d724eb2d)
)
(junction (at 181.61 87.63) (diameter 0) (color 0 0 0 0)
(uuid ee903f5c-9675-43a6-b308-0ffe8aea940e)
)
(junction (at 46.99 137.16) (diameter 0) (color 0 0 0 0)
(uuid f9767e06-054c-4c84-aaf3-d7053f736788)
)
(no_connect (at 191.77 127) (uuid de661f74-fcab-4b67-9938-3cabe990784e))
(wire (pts (xy 194.31 127) (xy 198.12 127))
(stroke (width 0) (type default))
(uuid 01fb0d44-376c-4c18-9a58-daba1ac7dea9)
)
(wire (pts (xy 81.28 99.06) (xy 71.12 99.06))
(stroke (width 0) (type default))
(uuid 029c440d-6a1c-4e89-9a45-02ae576d89b6)
)
(wire (pts (xy 46.99 172.72) (xy 46.99 173.99))
(stroke (width 0) (type default))
(uuid 037df8d7-7bdc-4529-97e6-f783cd3bf111)
)
(wire (pts (xy 223.52 128.27) (xy 218.44 128.27))
(stroke (width 0) (type default))
(uuid 03b03128-ff20-4be6-8e24-40bb0b17e1ec)
)
(wire (pts (xy 44.45 177.8) (xy 46.99 177.8))
(stroke (width 0) (type default))
(uuid 0638d6ba-a6de-4d88-ba7e-666f6afd746a)
)
(wire (pts (xy 46.99 156.21) (xy 49.53 156.21))
(stroke (width 0) (type default))
(uuid 07b5819e-e52f-4f88-87c7-0d08cd2cd9ec)
)
(wire (pts (xy 91.44 104.14) (xy 81.28 104.14))
(stroke (width 0) (type default))
(uuid 0f077523-4146-46f2-82fb-7b4928ae86a9)
)
(wire (pts (xy 44.45 137.16) (xy 46.99 137.16))
(stroke (width 0) (type default))
(uuid 16ada2fb-876b-4c87-adee-fd61cf280017)
)
(wire (pts (xy 46.99 181.61) (xy 49.53 181.61))
(stroke (width 0) (type default))
(uuid 173025fc-ec84-486f-8652-b28965c207f8)
)
(wire (pts (xy 46.99 135.89) (xy 49.53 135.89))
(stroke (width 0) (type default))
(uuid 1751a870-e010-4de6-8622-d359493f1401)
)
(wire (pts (xy 168.91 127) (xy 171.45 127))
(stroke (width 0) (type default))
(uuid 1cabd4a0-f5d1-4ea2-acf6-0cf317fe60d9)
)
(wire (pts (xy 46.99 186.69) (xy 49.53 186.69))
(stroke (width 0) (type default))
(uuid 1eb060ca-8685-41ad-9e77-d2d764c4e46b)
)
(wire (pts (xy 46.99 157.48) (xy 46.99 158.75))
(stroke (width 0) (type default))
(uuid 27086e37-34c2-4d66-8bf6-3ab4f0934edf)
)
(wire (pts (xy 46.99 146.05) (xy 49.53 146.05))
(stroke (width 0) (type default))
(uuid 2a17ce4c-61d6-4b4f-91e9-150e67d4b6eb)
)
(wire (pts (xy 90.17 125.73) (xy 92.71 125.73))
(stroke (width 0) (type default))
(uuid 2b96a7f2-a2da-467f-b682-b2b12132c223)
)
(wire (pts (xy 46.99 140.97) (xy 49.53 140.97))
(stroke (width 0) (type default))
(uuid 2d624461-c468-4c1e-b678-e025caa26dda)
)
(wire (pts (xy 101.6 104.14) (xy 91.44 104.14))
(stroke (width 0) (type default))
(uuid 2e1e5cac-1491-4c22-aa0d-eae2e8d311cd)
)
(wire (pts (xy 46.99 177.8) (xy 46.99 176.53))
(stroke (width 0) (type default))
(uuid 32c5342e-8a71-4ad8-a3b8-d442d5003b6b)
)
(wire (pts (xy 46.99 138.43) (xy 49.53 138.43))
(stroke (width 0) (type default))
(uuid 34290f33-abb9-43d0-b0ad-f49e507bcde0)
)
(wire (pts (xy 46.99 172.72) (xy 46.99 171.45))
(stroke (width 0) (type default))
(uuid 358bc726-7ff3-4f28-a496-ae9ce2d5e49c)
)
(wire (pts (xy 46.99 157.48) (xy 46.99 156.21))
(stroke (width 0) (type default))
(uuid 37db2f01-6996-41a4-b476-9c8569e12393)
)
(wire (pts (xy 44.45 162.56) (xy 46.99 162.56))
(stroke (width 0) (type default))
(uuid 42e3750b-9ec6-46d4-9700-423e1f5b6f9c)
)
(wire (pts (xy 46.99 173.99) (xy 49.53 173.99))
(stroke (width 0) (type default))
(uuid 4404ba44-13b6-4a2d-8d0a-258303003c9c)
)
(wire (pts (xy 158.75 132.08) (xy 158.75 127))
(stroke (width 0) (type default))
(uuid 464411a7-a6b9-46ba-9029-4a96f3f01e34)
)
(wire (pts (xy 46.99 163.83) (xy 49.53 163.83))
(stroke (width 0) (type default))
(uuid 47f651fa-370d-4b56-8121-c5a0fef027a8)
)
(wire (pts (xy 46.99 147.32) (xy 46.99 146.05))
(stroke (width 0) (type default))
(uuid 48e32acd-d78f-456f-a282-d0f072cd04df)
)
(wire (pts (xy 166.37 132.08) (xy 171.45 132.08))
(stroke (width 0) (type default))
(uuid 49011602-2a7b-41a5-88f9-7515e5f06153)
)
(wire (pts (xy 71.12 93.98) (xy 81.28 93.98))
(stroke (width 0) (type default))
(uuid 4bbf498c-49ee-4707-bdba-ddd1b2d2f220)
)
(wire (pts (xy 71.12 99.06) (xy 60.96 99.06))
(stroke (width 0) (type default))
(uuid 55166745-ebbe-4c2f-9e18-ffc7ac3d9410)
)
(wire (pts (xy 44.45 152.4) (xy 46.99 152.4))
(stroke (width 0) (type default))
(uuid 56fd10ea-9ca6-49eb-a20a-483cec8b2741)
)
(wire (pts (xy 46.99 151.13) (xy 49.53 151.13))
(stroke (width 0) (type default))
(uuid 575ceff4-3745-4e1c-968c-c8ec2368b87b)
)
(wire (pts (xy 181.61 87.63) (xy 176.53 87.63))
(stroke (width 0) (type default))
(uuid 578cc0aa-2c19-4e62-9f72-ce94443c566a)
)
(wire (pts (xy 46.99 177.8) (xy 46.99 179.07))
(stroke (width 0) (type default))
(uuid 593bb78d-e6d2-46ce-902b-515e9fdb64e5)
)
(wire (pts (xy 46.99 142.24) (xy 46.99 140.97))
(stroke (width 0) (type default))
(uuid 595ee502-f58e-4f87-8d7e-0a27cf0c0fb3)
)
(wire (pts (xy 138.43 124.46) (xy 171.45 124.46))
(stroke (width 0) (type default))
(uuid 6245000f-87bc-4cba-a279-76abc26d5dc1)
)
(wire (pts (xy 46.99 142.24) (xy 46.99 143.51))
(stroke (width 0) (type default))
(uuid 63f74d56-d127-4660-9d48-6b9e7f399e22)
)
(wire (pts (xy 46.99 168.91) (xy 49.53 168.91))
(stroke (width 0) (type default))
(uuid 671a66b5-e4d5-4ea7-aad4-5e774338c127)
)
(wire (pts (xy 198.12 127) (xy 198.12 119.38))
(stroke (width 0) (type default))
(uuid 67bc3a71-c6ab-4f19-9615-16e74f30f31b)
)
(wire (pts (xy 46.99 171.45) (xy 49.53 171.45))
(stroke (width 0) (type default))
(uuid 68cb0de4-8197-455d-806e-2d6969e38cf2)
)
(wire (pts (xy 46.99 161.29) (xy 49.53 161.29))
(stroke (width 0) (type default))
(uuid 68fdc8a9-e5d6-4e6c-a934-7229526184ee)
)
(wire (pts (xy 44.45 187.96) (xy 46.99 187.96))
(stroke (width 0) (type default))
(uuid 6a5e0a8d-effd-4712-87fb-0831c879da33)
)
(wire (pts (xy 44.45 147.32) (xy 46.99 147.32))
(stroke (width 0) (type default))
(uuid 6abf9954-1fdd-4108-9e5b-9c15e455c8c6)
)
(wire (pts (xy 151.13 134.62) (xy 151.13 137.16))
(stroke (width 0) (type default))
(uuid 6aeb70b7-dd6d-4c97-8473-9a82cd40bdf7)
)
(wire (pts (xy 158.75 127) (xy 166.37 127))
(stroke (width 0) (type default))
(uuid 6e3edc46-ed08-48fd-a7be-2faa451e10b4)
)
(wire (pts (xy 46.99 162.56) (xy 46.99 161.29))
(stroke (width 0) (type default))
(uuid 717db16b-6028-43d0-8aa8-c0240cb654b2)
)
(wire (pts (xy 46.99 182.88) (xy 46.99 184.15))
(stroke (width 0) (type default))
(uuid 774886b7-e03f-4f47-ba2d-8a1b1f2890a6)
)
(wire (pts (xy 46.99 167.64) (xy 46.99 166.37))
(stroke (width 0) (type default))
(uuid 7b7c84b4-111c-4426-872e-ca4ffcd75a16)
)
(wire (pts (xy 71.12 109.22) (xy 81.28 109.22))
(stroke (width 0) (type default))
(uuid 82b45826-e6fa-4cc5-9ee8-5c22b1fc11b8)
)
(wire (pts (xy 60.96 93.98) (xy 71.12 93.98))
(stroke (width 0) (type default))
(uuid 83cba385-9ac7-49c8-ad5c-dd1c35fa9ec1)
)
(wire (pts (xy 176.53 82.55) (xy 181.61 82.55))
(stroke (width 0) (type default))
(uuid 85daf949-0705-4aca-ae00-969ed985af1c)
)
(wire (pts (xy 198.12 127) (xy 199.39 127))
(stroke (width 0) (type default))
(uuid 8b55151e-253a-4908-bfc2-4a3813011675)
)
(wire (pts (xy 191.77 129.54) (xy 199.39 129.54))
(stroke (width 0) (type default))
(uuid 8bc3856c-c12c-4c1c-bfd0-ef2e71c15b9f)
)
(wire (pts (xy 44.45 157.48) (xy 46.99 157.48))
(stroke (width 0) (type default))
(uuid 900c2eb2-9af7-4435-891b-dbf383b65125)
)
(wire (pts (xy 166.37 129.54) (xy 166.37 127))
(stroke (width 0) (type default))
(uuid 91722ccd-07da-4a95-a5df-bd6e4de8e8ef)
)
(wire (pts (xy 157.48 132.08) (xy 158.75 132.08))
(stroke (width 0) (type default))
(uuid 9618d01c-e759-45df-8766-27615156f9e9)
)
(wire (pts (xy 213.36 119.38) (xy 209.55 119.38))
(stroke (width 0) (type default))
(uuid 98a795f1-b439-4058-ae65-4f87b5cfc53f)
)
(wire (pts (xy 46.99 147.32) (xy 46.99 148.59))
(stroke (width 0) (type default))
(uuid 9d72e0da-d8c2-43b9-aea9-625a2376e913)
)
(wire (pts (xy 81.28 109.22) (xy 91.44 109.22))
(stroke (width 0) (type default))
(uuid 9d941077-b2b9-4f34-b852-ad318fe4891e)
)
(wire (pts (xy 46.99 152.4) (xy 46.99 153.67))
(stroke (width 0) (type default))
(uuid a73cbc0e-4d9c-44e9-ac8f-5c38a55b22de)
)
(wire (pts (xy 213.36 128.27) (xy 213.36 119.38))
(stroke (width 0) (type default))
(uuid a827b050-14f2-4767-bd28-5da24d90162a)
)
(wire (pts (xy 46.99 158.75) (xy 49.53 158.75))
(stroke (width 0) (type default))
(uuid a82d1379-596e-4b94-ab94-4d4eb59d22dc)
)
(wire (pts (xy 91.44 109.22) (xy 101.6 109.22))
(stroke (width 0) (type default))
(uuid aa151a01-9ed9-4b52-8ba6-559f403878cc)
)
(wire (pts (xy 46.99 166.37) (xy 49.53 166.37))
(stroke (width 0) (type default))
(uuid aba7bce1-4fdd-4ddf-bb0c-9e21907f806d)
)
(wire (pts (xy 46.99 179.07) (xy 49.53 179.07))
(stroke (width 0) (type default))
(uuid ac6a8ba3-871c-4e7b-b80c-a40e46c9c8d3)
)
(wire (pts (xy 46.99 143.51) (xy 49.53 143.51))
(stroke (width 0) (type default))
(uuid b1c3c8d3-629b-481d-8840-119622ee6b61)
)
(wire (pts (xy 46.99 184.15) (xy 49.53 184.15))
(stroke (width 0) (type default))
(uuid b9d22246-84a3-4ea0-a823-49925ac5cd87)
)
(wire (pts (xy 165.1 132.08) (xy 166.37 132.08))
(stroke (width 0) (type default))
(uuid bbb9c57a-c194-471e-986b-4782e7f7fec7)
)
(wire (pts (xy 46.99 153.67) (xy 49.53 153.67))
(stroke (width 0) (type default))
(uuid bd75cf94-2b36-4216-b642-eab1b09985f8)
)
(wire (pts (xy 44.45 172.72) (xy 46.99 172.72))
(stroke (width 0) (type default))
(uuid becea9dd-1cbd-4c77-b928-509a554ce582)
)
(wire (pts (xy 60.96 104.14) (xy 71.12 104.14))
(stroke (width 0) (type default))
(uuid c01b59dc-ada4-4b4e-bdcd-a1d0ea1f5132)
)
(wire (pts (xy 71.12 109.22) (xy 60.96 109.22))
(stroke (width 0) (type default))
(uuid c2ae2ad0-d5ef-4063-9010-e2c7225fabc9)
)
(wire (pts (xy 168.91 123.19) (xy 168.91 127))
(stroke (width 0) (type default))
(uuid c852c640-586c-449d-8774-123357057f4d)
)
(wire (pts (xy 46.99 182.88) (xy 46.99 181.61))
(stroke (width 0) (type default))
(uuid ca457a20-cb67-47c3-84c8-ce60fdf92572)
)
(wire (pts (xy 46.99 152.4) (xy 46.99 151.13))
(stroke (width 0) (type default))
(uuid ca84a8f4-2e61-418a-a4a3-3c12b4976282)
)
(wire (pts (xy 44.45 167.64) (xy 46.99 167.64))
(stroke (width 0) (type default))
(uuid cf449d30-45dc-4753-a4c4-d85416fb4cae)
)
(wire (pts (xy 44.45 142.24) (xy 46.99 142.24))
(stroke (width 0) (type default))
(uuid d064f9b1-8dd7-4237-94b3-7bfdac49116f)
)
(wire (pts (xy 151.13 137.16) (xy 166.37 137.16))
(stroke (width 0) (type default))
(uuid d1b717bc-c16c-4678-a558-eaf2a2bcb422)
)
(wire (pts (xy 46.99 137.16) (xy 46.99 135.89))
(stroke (width 0) (type default))
(uuid d3c28e0e-9ed9-4bc7-a776-9e07884e2d07)
)
(wire (pts (xy 46.99 162.56) (xy 46.99 163.83))
(stroke (width 0) (type default))
(uuid d5474276-d152-4c8f-ba7c-f4b8f9ce7241)
)
(wire (pts (xy 46.99 176.53) (xy 49.53 176.53))
(stroke (width 0) (type default))
(uuid d6765dd6-9201-4a5e-ab05-ad6485d0988f)
)
(wire (pts (xy 198.12 119.38) (xy 204.47 119.38))
(stroke (width 0) (type default))
(uuid d86275da-6405-4a15-8970-00b259ff1427)
)
(wire (pts (xy 46.99 189.23) (xy 49.53 189.23))
(stroke (width 0) (type default))
(uuid dcfb7092-ced9-49ce-a9d9-e92a3b4e6188)
)
(wire (pts (xy 46.99 137.16) (xy 46.99 138.43))
(stroke (width 0) (type default))
(uuid de8bc632-cb7c-49d1-ba4a-02798bf94f06)
)
(wire (pts (xy 46.99 148.59) (xy 49.53 148.59))
(stroke (width 0) (type default))
(uuid df4c96bc-eac3-413f-8e8d-9febcaeca51c)
)
(wire (pts (xy 44.45 182.88) (xy 46.99 182.88))
(stroke (width 0) (type default))
(uuid e52e336d-3e19-49f3-9897-9c44fe24f38e)
)
(wire (pts (xy 138.43 132.08) (xy 143.51 132.08))
(stroke (width 0) (type default))
(uuid e54f8dce-69c0-401e-93bc-2d397aa7f0ce)
)
(wire (pts (xy 74.93 125.73) (xy 72.39 125.73))
(stroke (width 0) (type default))
(uuid e68d09ba-91fb-45e9-a5a9-4001471fb424)
)
(wire (pts (xy 81.28 104.14) (xy 71.12 104.14))
(stroke (width 0) (type default))
(uuid e6fcbd52-392a-4c64-9e34-911241016299)
)
(wire (pts (xy 160.02 132.08) (xy 158.75 132.08))
(stroke (width 0) (type default))
(uuid f4b28338-de6d-4f47-98fe-14c585a353e2)
)
(wire (pts (xy 46.99 187.96) (xy 46.99 186.69))
(stroke (width 0) (type default))
(uuid f5fc9855-d000-4de7-8865-fd311d67f84d)
)
(wire (pts (xy 171.45 129.54) (xy 166.37 129.54))
(stroke (width 0) (type default))
(uuid fb00d417-e228-43ab-8eb2-e19e4252004a)
)
(wire (pts (xy 46.99 187.96) (xy 46.99 189.23))
(stroke (width 0) (type default))
(uuid fe40e319-8ffa-4d56-969f-f28d54c00f56)
)
(wire (pts (xy 46.99 167.64) (xy 46.99 168.91))
(stroke (width 0) (type default))
(uuid fe7c83da-e2ab-4b8c-adbe-fed9a92e19d7)
)
(label "D0" (at 82.55 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0019758b-b8c0-4024-9f0e-a17512bc7ab2)
)
(label "1A2" (at 86.36 162.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 02849f44-bfeb-4419-9870-694e28c4333b)
)
(label "A10" (at 44.45 187.96 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 03f70798-a101-491e-8439-f90d3beb2622)
)
(label "A6" (at 110.49 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0674b370-fd84-4c29-9a98-a5895b5f026c)
)
(label "A5" (at 105.41 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 09914335-d2f1-4f05-9a6a-417f5d5ac606)
)
(label "2A3" (at 49.53 184.15 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0a85e1c8-5adc-4072-b45e-0a017b448776)
)
(label "1A0" (at 49.53 171.45 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0c3471c3-9c2d-4d5e-a4a3-461265b6a7f3)
)
(label "~{OE}" (at 176.53 87.63 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0d6f2cdc-9f87-4c60-9eff-933612d4e887)
)
(label "1A3" (at 49.53 140.97 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 11f12c31-686f-4644-a47c-64d085099be7)
)
(label "2A4" (at 127 167.64 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 1586433c-6460-4633-8a94-f4a53cfad507)
)
(label "~{RAS}" (at 106.68 175.26 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 16193656-a01c-4096-8908-4e5c213a54e5)
)
(label "D2" (at 100.33 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 190ed162-4cb1-43eb-a5ad-34b54c62b12b)
)
(label "~{CASP}" (at 146.05 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 19ee1176-6aa3-45f2-89c1-c02a9816aa6a)
)
(label "A8" (at 118.11 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 203fedf2-ee7a-484e-8339-a953205dd8fe)
)
(label "2A10" (at 49.53 143.51 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 26d00656-9fab-40c8-baae-e93b0316e67a)
)
(label "~{RWE}" (at 106.68 177.8 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 2704a038-cbea-440d-a200-af7185ac4372)
)
(label "A7" (at 113.03 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 29835f13-92a0-479c-bb16-663e60b785d5)
)
(label "D3" (at 107.95 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 2b7c4b88-9e3f-4a3c-b81d-644a683e73f6)
)
(label "D5" (at 125.73 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 309e2cc1-57d8-4792-8888-2bc0bb98e5a1)
)
(label "~{OE}" (at 106.68 180.34 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 3101edaf-0844-4292-ad13-39192d2973d7)
)
(label "D6" (at 106.68 165.1 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 31141cda-9b3c-4140-ac87-6ef6489a691b)
)
(label "D7" (at 138.43 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 319ac606-9fa7-4c37-87a4-0b3925aaca31)
)
(label "A4" (at 102.87 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 31ee8e0e-5e38-4254-827f-f4e070bf8d83)
)
(label "1A6" (at 86.36 172.72 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 32516f45-339f-4f2c-a195-0273d6aa2568)
)
(label "D1" (at 147.32 160.02 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 33fb9b22-e704-4828-ae2e-6c6b71b3e838)
)
(label "1A0" (at 86.36 157.48 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 3414151b-31de-46e5-bc78-c05bb9afd4d4)
)
(label "A6" (at 44.45 167.64 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 347422bc-1cc5-441a-b58b-691bb6adaa66)
)
(label "~{WE}" (at 128.27 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 355fe157-856f-474d-b642-5bf7bd20e1e8)
)
(label "A5" (at 44.45 162.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 35d4e776-a1e8-405d-a1b6-3625233900be)
)
(label "A3" (at 95.25 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 39b9b6e9-86a2-4ce6-a2d1-144d1abfd692)
)
(label "2A7" (at 127 175.26 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 3d13c956-aedb-47d6-953e-52cb4215f7b3)
)
(label "~{WE}" (at 194.31 127 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 3d85bd6c-0b57-42dc-8946-f9202e05ee9a)
)
(label "A3" (at 44.45 152.4 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 3f2ae2ba-44ec-47e8-8095-dfa6d4494630)
)
(label "1A9" (at 86.36 180.34 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 400cc38f-0555-49df-a483-7eccd4ddaa9a)
)
(label "2A4" (at 49.53 189.23 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 41d002e1-e21f-4a1d-8c18-ab3c9f75987e)
)
(label "2A5" (at 49.53 179.07 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 48083e32-66bb-4b06-9b73-6f76e768188c)
)
(label "D6" (at 133.35 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4a6defcc-c605-4f9a-ad77-82c4fc96339a)
)
(label "~{RAS}" (at 143.51 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4da1e5ce-c561-43ca-89d1-470d09a234c7)
)
(label "2A2" (at 49.53 173.99 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4e485270-9692-4e36-b829-43c4051e7781)
)
(label "A2" (at 92.71 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4f1cda18-fae1-4240-b124-bd61a6c4ccdd)
)
(label "A8" (at 44.45 177.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 4f3efd92-bcf1-4c14-b4b9-24667dad4611)
)
(label "A0" (at 44.45 137.16 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 596919ce-f74c-407f-9122-56e26926988b)
)
(label "2A0" (at 49.53 153.67 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 59918933-04f6-4212-8af5-209244d1bdf1)
)
(label "D2" (at 147.32 165.1 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 59952928-ef96-4468-bcb2-3e1088818f3e)
)
(label "2A7" (at 49.53 158.75 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 670192fb-40c7-43d1-aabe-20394f96897e)
)
(label "~{RAS}" (at 138.43 132.08 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 68128091-c05d-4c79-b950-7ed9a1b48c8a)
)
(label "1A6" (at 49.53 156.21 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 69ea44c0-c9f6-492c-a6c0-8c8129f9885b)
)
(label "A7" (at 44.45 172.72 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 6b5a9711-91d6-46c4-bf54-e90f3213b762)
)
(label "1A5" (at 86.36 170.18 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 71ee04cd-f7c5-43c4-9bd8-f8eecb003f68)
)
(label "2A9" (at 127 180.34 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 74c80074-5455-4446-9af7-6afb3d336ef3)
)
(label "1A3" (at 86.36 165.1 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 79b170a6-36af-4cc2-9529-ebf25b3aa766)
)
(label "~{OE}" (at 147.32 180.34 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7a935b51-924e-4b7a-84b4-7e35bfb86f77)
)
(label "~{RWE}" (at 223.52 128.27 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 834aa32e-a00c-438c-a06b-61fa1a005606)
)
(label "A10" (at 123.19 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 84190fdf-f17a-4197-8f30-203a90425136)
)
(label "D5" (at 106.68 160.02 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 85f8534b-e537-4ef5-95c7-ebf121c62b69)
)
(label "~{RWE}" (at 147.32 177.8 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 88815634-6a65-4328-a050-194643cd14c8)
)
(label "2A6" (at 49.53 168.91 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 89b7703c-e9e3-446c-bb6a-567c1c03f55a)
)
(label "1A9" (at 49.53 186.69 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8d234cb8-7844-45e2-909a-18e86ec2f110)
)
(label "A9" (at 44.45 182.88 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 8dcce5f6-8f47-4a66-978a-dd1d5840cf0e)
)
(label "1A8" (at 86.36 177.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 8f5c5239-2a27-4990-90eb-f60fc7da2bdc)
)
(label "2A9" (at 49.53 138.43 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 90d53b38-77b0-447b-8930-35042abef541)
)
(label "A4" (at 44.45 157.48 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 92d8ecda-042e-4f1e-9995-c080f9e09cb1)
)
(label "~{WEE}" (at 196.85 129.54 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 97c2aab0-4cab-4960-b61b-632bf7a3cb43)
)
(label "~{RAS}" (at 147.32 175.26 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 99ebd86b-703a-4e90-abe1-3db4c7fe1c44)
)
(label "2A1" (at 49.53 163.83 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 9d2ebf69-38e3-46bb-aa22-d3fe54e8f8b5)
)
(label "1A7" (at 86.36 175.26 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 9eacc7af-9342-4e35-b671-d6e5dd110f96)
)
(label "A9" (at 120.65 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 9f53ed36-4d40-4da8-9a51-8b694dc4175b)
)
(label "1A1" (at 86.36 160.02 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid a03b3533-0ea0-41c4-93ac-539178fc5d62)
)
(label "~{CAS}" (at 80.01 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a7a00676-d55a-43fc-8a45-2c3244fec435)
)
(label "2A8" (at 127 177.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid a7a65822-cfab-484b-b654-0bdaef57b3be)
)
(label "A1" (at 44.45 142.24 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid a7b1c292-d96f-4d8b-b2d9-0bf9ca59b87b)
)
(label "~{CAS}" (at 106.68 172.72 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a7e4a959-f622-44b2-bca5-4267791667cc)
)
(label "2A0" (at 127 157.48 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid a96b2815-030c-4a4e-9ba7-f38d7a66c370)
)
(label "D3" (at 147.32 162.56 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid ae083581-acbe-4893-b491-73f84fdc318e)
)
(label "1A1" (at 49.53 161.29 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid af78ebe2-f050-41d7-8d59-6d5b738b2f58)
)
(label "2A10" (at 127 182.88 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b05dde9f-06b4-4c71-87da-e3eb0f2a4867)
)
(label "2A6" (at 127 172.72 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b61f068b-decf-4efc-af96-02afcab21b54)
)
(label "~{CAS}" (at 176.53 82.55 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b6e0c2e3-7252-46e6-a2a0-8d55b67a9231)
)
(label "A1" (at 87.63 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b804ffae-85a9-40d1-b462-73b14fff2e4c)
)
(label "QP" (at 140.97 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid bb0180f1-fd99-4359-9832-ae20c1ab0921)
)
(label "DP" (at 148.59 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid bb25bfc4-cc11-4a19-a3b0-c7ca5f038969)
)
(label "1A4" (at 86.36 167.64 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid c17bfede-4e4f-4f1d-8797-2f09fd1a50e2)
)
(label "2A5" (at 127 170.18 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid c1bb33c0-8014-4a1b-ae3b-24b98381eb25)
)
(label "2A8" (at 49.53 148.59 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c63704cb-fa38-4bc7-8b8a-a9063b4fd696)
)
(label "1A8" (at 49.53 176.53 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c87538c8-b5ee-44c6-ab43-a2ea70013b70)
)
(label "A0" (at 85.09 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid cb573d8f-312c-44ed-bf07-43addac82e1b)
)
(label "D0" (at 147.32 167.64 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid d47de596-fbcd-4bbe-8cba-8ac7a53db88d)
)
(label "2A2" (at 127 162.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid dd99a8cb-8485-46ee-8fb0-61145ae6ad0e)
)
(label "2A3" (at 127 165.1 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid de7249f4-72a9-4d56-ac36-dea80410013e)
)
(label "D7" (at 106.68 162.56 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid dfce684f-1466-46a1-b775-6b7077216964)
)
(label "2A1" (at 127 160.02 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e0b803cc-fe86-4ddd-9426-cb6b41ddb79f)
)
(label "A2" (at 44.45 147.32 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e6a08b04-5312-4840-b0be-e3b1eb2b8370)
)
(label "~{CAS}" (at 147.32 172.72 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid e7216e69-3ae1-47fa-ac8b-024c0efc1afc)
)
(label "1A4" (at 49.53 135.89 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid eae6bd9d-c3ca-4e89-974b-5f0e6ba9c8ec)
)
(label "D4" (at 106.68 167.64 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid eb9f72b7-a4a1-4133-b3f3-b9a26f71d69d)
)
(label "~{CAS}" (at 138.43 124.46 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid ed7b5bc0-a3e7-4939-8d9f-6950d61ada94)
)
(label "1A5" (at 49.53 146.05 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid eeed3b46-e856-4f8a-b635-3100d3af6f3b)
)
(label "1A2" (at 49.53 151.13 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f1d66b28-60b2-4cab-b1c7-dc9484c8b1be)
)
(label "1A10" (at 86.36 182.88 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid f1f01750-7064-475c-9159-a3cc37b25a01)
)
(label "D1" (at 90.17 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f26e7ea5-bc24-4258-a31a-c6d526261857)
)
(label "A11" (at 135.89 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f46b3ffe-bfc2-40ba-acbf-afa87302783c)
)
(label "D4" (at 115.57 82.55 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f4c8c4d7-7adf-4f32-a8cd-6fb352803116)
)
(label "1A10" (at 49.53 181.61 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid fc09e0de-2617-4390-a039-bda855f91a27)
)
(label "1A7" (at 49.53 166.37 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid fd970489-6818-4ef3-b6f9-04609805a409)
)
(symbol (lib_id "Connector_Generic:Conn_01x30") (at 113.03 87.63 90) (mirror x) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005c2e1e12)
(property "Reference" "J1" (at 114.4016 90.7796 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "DRAM-SIMM-30" (at 114.4016 93.091 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SIMM-30_Edge" (at 113.03 87.63 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 113.03 87.63 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 42201ae0-e3de-40fd-97e3-3d7bce35a2f7))
(pin "10" (uuid 673c263e-c45d-499c-9eb7-ab5f361368c3))
(pin "11" (uuid 0c1fc8f9-b3db-44e9-bbbe-555fb5ec81a2))
(pin "12" (uuid 7f6c8c2f-f957-47c3-acd2-3cfb6f66c4e0))
(pin "13" (uuid bf290536-284d-4f8e-8199-4fe1fc1dcf78))
(pin "14" (uuid 118cc157-45a9-4a24-93af-2df5ef31eee3))
(pin "15" (uuid c9f4ca9b-b7be-41f5-bbc8-27632ee19793))
(pin "16" (uuid 5831d87e-c332-464e-8686-1078ee0c99bc))
(pin "17" (uuid cb3465f9-ed5d-4a5d-b495-d2b7c2d38052))
(pin "18" (uuid 6254b8f7-245f-4dab-a81d-577361414cdd))
(pin "19" (uuid 35848ead-c0fe-418a-a5cb-5bf5aa50962c))
(pin "2" (uuid 45fc7836-8b35-4c4d-8d9f-0d104916a305))
(pin "20" (uuid 5cd2ce41-42c4-440d-a639-8877fd7b1925))
(pin "21" (uuid 3053e245-f815-44e9-b4d9-55dbd1c112a6))
(pin "22" (uuid 86a77d05-817c-441b-874a-f88a37aa715c))
(pin "23" (uuid 2c1f1e85-7b71-4122-9a3e-d480e17006fb))
(pin "24" (uuid 13516728-799b-48dc-a010-fbd5e1ef986e))
(pin "25" (uuid 99c4890e-9245-4890-b3b0-4370f0a14b45))
(pin "26" (uuid 1b4f9efe-14f2-4766-8e31-bf94f68511b3))
(pin "27" (uuid 6e0d55b4-f309-4142-88ea-c8d9dcf25042))
(pin "28" (uuid 3b6ceee4-88b3-4e89-82ef-b3e3eeefcf51))
(pin "29" (uuid c4e9d780-5809-4e1c-b277-c8b8a2c4dc6f))
(pin "3" (uuid d35d6710-9815-4b54-9892-41ee09d34cc3))
(pin "30" (uuid 8f4de107-69e8-4808-8937-b61318b44698))
(pin "4" (uuid 500ef86d-f7ca-453f-976e-9c9eea7dea85))
(pin "5" (uuid e020f019-7fd8-46cc-b0ff-bc5f466b5574))
(pin "6" (uuid af6b98ff-0153-4c41-837a-0debe2d15421))
(pin "7" (uuid a120de08-6b8a-4131-ba98-e34c210a0bca))
(pin "8" (uuid 2c95d346-b6c4-48da-b1c7-61e318f9cea3))
(pin "9" (uuid 27e78d33-e26c-4876-9f5e-fa8e86ba3e3a))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "J1") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 151.13 82.55 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005c2e1ed2)
(property "Reference" "#PWR0101" (at 151.13 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 151.13 78.74 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 151.13 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 151.13 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 764e278f-f1e4-4008-867a-301e063746ee))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0101") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 77.47 82.55 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005c2e1f89)
(property "Reference" "#PWR0102" (at 77.47 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 77.47 78.74 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 77.47 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 77.47 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3bd6137b-ede5-480f-9643-b6b5aa107ff5))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0102") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 130.81 82.55 180) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005c2e2010)
(property "Reference" "#PWR0103" (at 130.81 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 130.81 78.74 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 130.81 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 130.81 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5833a3e6-919a-4d3f-864d-d9de8448bf97))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0103") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 97.79 82.55 180) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005c2e2033)
(property "Reference" "#PWR0104" (at 97.79 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 97.79 78.74 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 97.79 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 97.79 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f0c1d7a9-ccae-405d-86a1-788a070beb6b))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0104") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 60.96 106.68 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005c2e290a)
(property "Reference" "C1" (at 63.2968 105.5116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 63.2968 107.823 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (at 60.96 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 60.96 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c370ef41-cc4b-402e-a0a6-54feb0c4ce13))
(pin "2" (uuid 0a02608a-e300-4a42-b8dd-65132e644b50))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "C1") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 71.12 106.68 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005c2e296a)
(property "Reference" "C2" (at 73.4568 105.5116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 73.4568 107.823 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (at 71.12 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 71.12 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid fe1d75da-1b27-4c1c-a8a0-0ac4afd9a057))
(pin "2" (uuid b3a0f164-6472-440a-b1e3-5e1903ce6acb))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "C2") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 60.96 104.14 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005c2e299d)
(property "Reference" "#PWR0113" (at 60.96 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 60.96 100.33 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 60.96 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 60.96 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c0e1e4cd-2f03-4ed0-9602-4a606fc74101))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0113") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 81.28 106.68 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005c2edc35)
(property "Reference" "C3" (at 83.6168 105.5116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 83.6168 107.823 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (at 81.28 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 81.28 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f5cdf8bf-0dd6-46c2-98c9-1a5d192c6646))
(pin "2" (uuid 8ab97fb3-8c91-46fc-9e90-c412d574d6bb))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "C3") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 91.44 106.68 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005d1301a9)
(property "Reference" "C4" (at 93.7768 105.5116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 93.7768 107.823 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (at 91.44 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 91.44 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0cd0da93-931c-4b30-a890-25077819278f))
(pin "2" (uuid 5f1fdaad-f28e-4296-ba96-4e079616a464))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "C4") (unit 1)
)
)
)
)
(symbol (lib_id "GW_RAM:DRAM-4Mx4-SOP-24") (at 96.52 170.18 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005d38f422)
(property "Reference" "U1" (at 96.52 153.67 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "4C4M4" (at 96.52 186.69 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOJ-24-26_300mil" (at 96.52 187.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C8008.pdf" (at 96.52 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid af011b51-dce8-427b-bfa5-fa7fd30adc6f))
(pin "10" (uuid 30ecc861-4cbe-4e00-97d5-b97879aef055))
(pin "11" (uuid 6dc0a6ad-269d-4bf8-a018-5e85d81fb0d9))
(pin "12" (uuid df28ef0d-baaa-43d0-83b7-23c2676205e7))
(pin "13" (uuid 82615379-0656-4ea6-beba-fe39d1bf32f3))
(pin "14" (uuid fbc59676-ab7f-4de1-9d66-20bcd7d0a705))
(pin "15" (uuid 3689b77f-172d-44c5-8320-de77c74891ac))
(pin "16" (uuid ada4eb58-4d5d-45d2-9931-232770a579c8))
(pin "17" (uuid ced3d24a-ab35-41da-a52b-259e4e574bee))
(pin "18" (uuid b7358ecd-65bb-43ad-af41-88f29385a50e))
(pin "19" (uuid ebf526de-b6c1-49b4-a66a-c5ddf8dfc7f0))
(pin "2" (uuid d0c48309-418e-432f-a82a-050e9a9ba452))
(pin "20" (uuid 019040e5-bfbe-4199-a2ad-24225521c323))
(pin "21" (uuid 85f0401d-5dc1-4f23-9ce0-0d00f124fbb8))
(pin "22" (uuid b118dccb-9fae-4ed3-ad58-8e1df173832c))
(pin "23" (uuid dae14e08-a0e4-40ad-bc93-3a180cb8e013))
(pin "24" (uuid 466b6292-341e-407f-aa04-00303c010baf))
(pin "25" (uuid ca49cce6-3181-48e1-a70c-07b79b8a184c))
(pin "26" (uuid cab6a573-e74d-46db-b963-6fb3eacdb817))
(pin "3" (uuid 709481d7-788b-4157-9370-3a7a262c46cc))
(pin "4" (uuid 557010b2-ee3e-4b5c-b0bf-ee60866d944e))
(pin "5" (uuid 28da02f1-7a2a-49aa-a404-ec25ba83baa1))
(pin "7" (uuid 375c7cea-d63e-4d97-bc99-fe2a35d70c48))
(pin "8" (uuid 003e1c67-cade-4c50-9815-2e409f0ba0af))
(pin "9" (uuid 0f6a60ee-e20a-42be-8f0b-a7b29c48ab13))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "U1") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 106.68 182.88 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005d395de3)
(property "Reference" "#PWR0105" (at 106.68 189.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 106.68 186.69 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 106.68 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 106.68 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 93626d36-7cd6-4092-b308-b1f6c908f949))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0105") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 106.68 157.48 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005d396692)
(property "Reference" "#PWR0106" (at 106.68 161.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 106.68 153.67 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 106.68 157.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 106.68 157.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8f27be51-4b55-45c1-b341-6f96128743f1))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0106") (unit 1)
)
)
)
)
(symbol (lib_id "GW_RAM:DRAM-4Mx4-SOP-24") (at 137.16 170.18 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005d3999d6)
(property "Reference" "U2" (at 137.16 153.67 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "4C4M4" (at 137.16 186.69 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOJ-24-26_300mil" (at 137.16 187.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C8008.pdf" (at 137.16 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c830d799-f1b2-4f44-94e1-c5f3d85e0a77))
(pin "10" (uuid 03b86508-d75a-47ed-9c36-968b4d9d5788))
(pin "11" (uuid d1200cf0-3b2d-4ff8-a117-d09405d953bd))
(pin "12" (uuid 173a182c-a9d1-4909-ab7b-9460ac763a21))
(pin "13" (uuid 36bf832d-1f30-46d9-b902-c56125dd153c))
(pin "14" (uuid a88beb6b-d504-4bb6-8263-74e7c4f768ce))
(pin "15" (uuid 436033f7-9811-4b57-8867-029fe97e6d85))
(pin "16" (uuid 64a34a1b-4e64-48a8-876b-7cec29ede3ae))
(pin "17" (uuid a61977cd-968a-442a-b844-a642cd37c09d))
(pin "18" (uuid 30bb4ecc-413f-418e-8d24-aaa78793fb4c))
(pin "19" (uuid 49ca4539-81ea-434e-95bf-b710863ea8ec))
(pin "2" (uuid 2884a8b8-c863-42d8-a3d1-82a93ad91ac5))
(pin "20" (uuid 226fef95-2219-4903-9f7a-e9af285c69a8))
(pin "21" (uuid 4f1c8b46-9454-4c1b-a0b8-26642113c1d1))
(pin "22" (uuid 1be0b2d9-bfab-4b09-b075-77298b087c48))
(pin "23" (uuid 6a284c12-e18c-4aca-aa51-6e24e6280e5f))
(pin "24" (uuid 8551b767-f2de-4fdf-b7d5-2284cbbdcdd9))
(pin "25" (uuid 1bfab959-1ad6-44fd-b4a8-ec12347f1976))
(pin "26" (uuid e31cb203-ab6a-4e08-8326-cff6281656a0))
(pin "3" (uuid 90f622bd-160d-430e-9272-a9e766ae211e))
(pin "4" (uuid 9987db3c-991d-4b0b-96ec-8631a0c36097))
(pin "5" (uuid 9f3f4477-84fd-4d95-bc4f-2450b597601f))
(pin "7" (uuid 333446d6-b456-43cc-8624-79822e952519))
(pin "8" (uuid 999693c8-9973-419f-b734-43891f55ca94))
(pin "9" (uuid 2bf40cbf-c64f-4469-837c-d02d7d3f2f58))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "U2") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 147.32 182.88 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005d3999dd)
(property "Reference" "#PWR0108" (at 147.32 189.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 147.32 186.69 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 147.32 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 147.32 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid eee4f354-637f-4c02-87b6-84f4f310a095))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0108") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 147.32 157.48 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005d3999ea)
(property "Reference" "#PWR0109" (at 147.32 161.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 147.32 153.67 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 147.32 157.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 147.32 157.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ab892c76-3911-4bcb-a268-03baf046769b))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0109") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 101.6 109.22 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005d3fc319)
(property "Reference" "#PWR0110" (at 101.6 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 101.6 113.03 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 101.6 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 101.6 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e003fbea-a63a-462b-adee-89a1d27ad3a7))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0110") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 101.6 106.68 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-00005d3fc322)
(property "Reference" "C5" (at 103.9368 105.5116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 103.9368 107.823 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (at 101.6 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 101.6 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 50d84ade-d229-4bc1-9c1c-1eb2a157cd3f))
(pin "2" (uuid 578423b3-df6e-4d8d-93b7-5b2987c3cba9))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "C5") (unit 1)
)
)
)
)
(symbol (lib_id "GW_Logic:741G74DC") (at 181.61 128.27 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060c11c86)
(property "Reference" "U4" (at 181.61 128.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74LVC1G74DP" (at 181.61 135.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:NXP_TSSOP-8_3x3mm_P0.65mm" (at 181.61 137.16 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 181.61 133.35 0)
(effects (font (size 1.524 1.524)) hide)
)
(pin "1" (uuid 450a056f-6306-4b74-9bf3-055a1f261c8f))
(pin "2" (uuid 52c11ff1-1133-40b1-8982-bb2188cd13e5))
(pin "3" (uuid ab5af88d-ce4f-4498-bd78-28fa6cf7816c))
(pin "4" (uuid c371c35a-ba61-45ce-961d-4d2345455fbe))
(pin "5" (uuid 4bb9c773-0c41-411c-a784-a0d8fae1de78))
(pin "6" (uuid 5095c8dc-6884-4800-a6ab-c6f85c7dd08c))
(pin "7" (uuid 31c4524d-5c90-4fc8-a303-65e00dd17276))
(pin "8" (uuid 77c0b3fe-611f-458a-b58e-2784a3cd81a7))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "U4") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 191.77 132.08 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060c23f6f)
(property "Reference" "#PWR0107" (at 191.77 138.43 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 191.77 135.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 191.77 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 191.77 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid fb7ea357-a2e9-46ef-8693-4f1232787cc3))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0107") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 181.61 90.17 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060c54176)
(property "Reference" "R2" (at 183.1086 89.0016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "FPM" (at 183.1086 91.313 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:R_0805" (at 181.61 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 181.61 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 351ddf76-61dd-46a3-a6a4-ba40cba6cce6))
(pin "2" (uuid 2f179f2e-85d7-49d9-80d7-e7f03208fb42))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "R2") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 181.61 85.09 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060c5420a)
(property "Reference" "R1" (at 183.1086 83.9216 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "EDO" (at 183.1086 86.233 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:R_0805" (at 181.61 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 181.61 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a750ddd3-6858-48bb-8529-157eb4e939c0))
(pin "2" (uuid ab322099-3144-42f6-8429-ca31b595e8fd))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "R1") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 181.61 92.71 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060c57f90)
(property "Reference" "#PWR0115" (at 181.61 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 181.61 96.52 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 181.61 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 181.61 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 829692f8-7374-42da-a0fb-05a928128ace))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0115") (unit 1)
)
)
)
)
(symbol (lib_id "74xGxx:74AHCT1G32") (at 207.01 128.27 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060c701c1)
(property "Reference" "U5" (at 207.01 128.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74LVC1G32" (at 209.55 130.81 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:SOT-353" (at 207.01 128.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (at 207.01 128.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 19a7f2cb-ceae-425a-8a8a-547619fc3a2c))
(pin "2" (uuid 8212e722-d013-4891-8523-c1ad3479c286))
(pin "3" (uuid da6f50be-f496-4763-a336-882fe6f382e5))
(pin "4" (uuid 2bab90f1-2149-4124-a58e-7b3e90865815))
(pin "5" (uuid 25e201ff-b7fb-412a-8800-969e12392066))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "U5") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 207.01 130.81 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060c72b3c)
(property "Reference" "#PWR0118" (at 207.01 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 207.01 134.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 207.01 130.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 207.01 130.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f119ee7b-8a0a-417f-a7ae-d6ba8cc9fba9))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0118") (unit 1)
)
)
)
)
(symbol (lib_id "Regulator_Linear:XC6206PxxxMR") (at 82.55 125.73 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060c9b194)
(property "Reference" "U6" (at 82.55 119.5832 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "XC6206PxxxMR" (at 82.55 121.8946 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOT-23" (at 82.55 120.015 0)
(effects (font (size 1.27 1.27) italic) hide)
)
(property "Datasheet" "https://www.torexsemi.com/file/xc6206/XC6206.pdf" (at 82.55 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6f4f694f-6dbd-428b-9028-0923630e4b2e))
(pin "2" (uuid d28ab8b3-6627-4c81-8244-0ba6d0db6cb2))
(pin "3" (uuid 4a6930cc-2711-422f-b45e-82012e247810))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "U6") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 82.55 133.35 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060c9cd9c)
(property "Reference" "#PWR0119" (at 82.55 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 82.55 137.16 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 82.55 133.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 82.55 133.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 52369666-2933-4821-b679-cc3735a072fb))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0119") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 60.96 96.52 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060ca3460)
(property "Reference" "C6" (at 63.2968 95.3516 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 63.2968 97.663 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (at 60.96 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 60.96 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1ab5c011-1fe2-45f5-abc7-7d355b6d419a))
(pin "2" (uuid 8e36a05a-5e2e-4d55-a6c8-3ffbca6ece01))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "C6") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 71.12 96.52 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060ca3466)
(property "Reference" "C7" (at 73.4568 95.3516 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 73.4568 97.663 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (at 71.12 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 71.12 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2cc4c5e9-236b-4d00-bf55-b961256cd948))
(pin "2" (uuid 6eb6596e-4bdc-4927-ac65-a2d448dca28f))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "C7") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 60.96 93.98 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060ca59b0)
(property "Reference" "#PWR0121" (at 60.96 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 60.96 90.17 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 60.96 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 60.96 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7751491f-3a09-4896-b558-729bf0da667f))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0121") (unit 1)
)
)
)
)
(symbol (lib_id "power:+5V") (at 72.39 125.73 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060ca60dc)
(property "Reference" "#PWR0122" (at 72.39 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 72.39 121.92 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 72.39 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 72.39 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b885e274-436d-436b-afdf-5984ea47f109))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0122") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 92.71 125.73 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060ca88af)
(property "Reference" "#PWR0123" (at 92.71 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 92.71 121.92 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 92.71 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 92.71 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid fbf4023c-acd1-44cd-b2a7-0db61cf1f1b0))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0123") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 191.77 124.46 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060cd2dd4)
(property "Reference" "#PWR0114" (at 191.77 128.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 191.77 120.65 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 191.77 124.46 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 191.77 124.46 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 138fddf4-ea7f-4858-8b66-c57184e35c6b))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0114") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 207.01 125.73 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060cd3487)
(property "Reference" "#PWR0117" (at 207.01 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 207.01 121.92 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 207.01 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 207.01 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 190884d0-543a-4861-b91b-2d227f0b6050))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0117") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 81.28 96.52 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060cdf1ef)
(property "Reference" "C8" (at 83.6168 95.3516 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 83.6168 97.663 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (at 81.28 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 81.28 96.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7feb2a93-a423-45e5-a93a-c9f813ffcff4))
(pin "2" (uuid 6088e9b6-03e3-4d50-b1fb-3b7f7724e491))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "C8") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 81.28 99.06 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060cdf1f7)
(property "Reference" "#PWR0120" (at 81.28 105.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 81.28 102.87 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 81.28 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 81.28 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8bed582a-838c-41cd-bc3a-a7ea78bcef2b))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0120") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 168.91 123.19 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060ceeecb)
(property "Reference" "#PWR0111" (at 168.91 127 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 168.91 119.38 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 168.91 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 168.91 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0bd029b6-bfdf-4b98-852a-6d3a7378f0bc))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0111") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 166.37 134.62 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060d6a09f)
(property "Reference" "C9" (at 168.7068 133.4516 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "22p" (at 168.7068 135.763 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0805" (at 166.37 134.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 166.37 134.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 27a9053a-4c8a-40e5-82be-24f03fa4d93c))
(pin "2" (uuid 596875ba-f4e3-4217-8d3d-510ce87ebd3a))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "C9") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 162.56 132.08 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060d6b055)
(property "Reference" "R3" (at 162.56 128.27 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "100" (at 162.56 130.81 90)
(effects (font (size 1.27 1.27)) (justify bottom))
)
(property "Footprint" "stdpads:R_0805" (at 162.56 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 162.56 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7461f6aa-5fb2-4fb5-ab84-20217acbd9f5))
(pin "2" (uuid 3c5418bb-165d-4d19-bd88-d0687ef52790))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "R3") (unit 1)
)
)
)
)
(symbol (lib_id "74xGxx:74AHCT1G04") (at 151.13 132.08 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060d961a7)
(property "Reference" "U3" (at 149.86 132.08 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "74LVC1G04" (at 152.4 134.62 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:SOT-353" (at 151.13 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (at 151.13 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 47d4f1ca-4d24-4bf0-865d-b774995d8d62))
(pin "3" (uuid 03b9f8d1-f384-4399-82b0-398a0b0144cc))
(pin "4" (uuid c9c253d2-ae01-48d0-8a68-d2cc0625696e))
(pin "5" (uuid 249e5e05-c45c-44b3-8f50-db332766ea26))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "U3") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 151.13 137.16 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060d97767)
(property "Reference" "#PWR0112" (at 151.13 143.51 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 151.13 140.97 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 151.13 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 151.13 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d81c57ae-efa4-4499-ae61-efcd08aa3cde))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0112") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 151.13 129.54 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060d97b61)
(property "Reference" "#PWR0116" (at 151.13 133.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 151.13 125.73 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 151.13 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 151.13 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a2e1bacc-4ce2-4570-937b-c85cee3cff2c))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "#PWR0116") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 215.9 128.27 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 00000000-0000-0000-0000-000060e11e8f)
(property "Reference" "R4" (at 215.9 124.46 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "100" (at 215.9 127 90)
(effects (font (size 1.27 1.27)) (justify bottom))
)
(property "Footprint" "stdpads:R_0805" (at 215.9 128.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 215.9 128.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4a8bc9f1-5fc4-46ae-ae20-098a59d04e25))
(pin "2" (uuid 98597ea7-7c24-455e-8b12-071bdd3697db))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "R4") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 207.01 119.38 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 2a48c216-3265-478b-8f7a-3b8d31bc16f0)
(property "Reference" "R5" (at 207.01 115.57 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "DNP" (at 207.01 118.11 90)
(effects (font (size 1.27 1.27)) (justify bottom))
)
(property "Footprint" "stdpads:R_0805" (at 207.01 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 207.01 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d5a5dc6d-46b0-43e5-97b5-97ba5821763f))
(pin "2" (uuid ab410e02-35e4-40c3-a524-e327d9059328))
(instances
(project "GW4194"
(path "/d07c142c-cbe0-447f-91c5-f0181990f1af"
(reference "R5") (unit 1)
)
)
)
)
(sheet_instances
(path "/" (page "1"))
)
)