Proposed 2p3 version - Added RPi HAT EEPROM, fixed 3v/5v LED caption, added copper to USB port traces

This commit is contained in:
Tony 2020-09-12 17:49:26 -05:00
parent a50fcbde5c
commit 08e13d79fa
52 changed files with 169400 additions and 0 deletions

View File

@ -0,0 +1,24 @@
(module DIO_SS26FL (layer F.Cu) (tedit 5F3DC689)
(descr "")
(fp_text reference REF** (at -0.218 -1.6964 0) (layer F.SilkS)
(effects (font (size 0.64 0.64) (thickness 0.015)))
)
(fp_text value DIO_SS26FL (at 1.814 1.5936 0) (layer F.Fab)
(effects (font (size 0.64 0.64) (thickness 0.015)))
)
(fp_line (start -1.375 -0.8625) (end -1.375 0.8625) (layer F.Fab) (width 0.127))
(fp_line (start -1.375 0.8625) (end 1.375 0.8625) (layer F.Fab) (width 0.127))
(fp_line (start 1.375 0.8625) (end 1.375 -0.8625) (layer F.Fab) (width 0.127))
(fp_line (start 1.375 -0.8625) (end -1.375 -0.8625) (layer F.Fab) (width 0.127))
(fp_line (start 0.44 -0.8625) (end -0.44 -0.8625) (layer F.SilkS) (width 0.127))
(fp_line (start -0.44 0.8625) (end 0.44 0.8625) (layer F.SilkS) (width 0.127))
(fp_line (start -2.35 -1.15) (end 2.35 -1.15) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.35 -1.15) (end 2.35 1.15) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.35 1.15) (end -2.35 1.15) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.35 1.15) (end -2.35 -1.15) (layer F.CrtYd) (width 0.05))
(fp_circle (center -2.7 -0.1) (end -2.6 -0.1) (layer F.Fab) (width 0.2))
(fp_circle (center -2.7 -0.1) (end -2.6 -0.1) (layer F.SilkS) (width 0.2))
(pad 1 smd rect (at -1.43 0.0) (size 1.34 1.8) (layers F.Cu F.Mask F.Paste))
(pad 2 smd rect (at 1.43 0.0) (size 1.34 1.8) (layers F.Cu F.Mask F.Paste))
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,35 @@
EESchema-DOCLIB Version 2.0
#
$CMP L717SDB25PA4CH4F
D D-Sub Standard Connectors 25P Size B Stamped Male DSub Contact SD
F https://componentsearchengine.com/Datasheets/1/L717SDB25PA4CH4F.pdf
$ENDCMP
#
$CMP NE555DR
D Single Precision Timer
F http://www.ti.com/lit/ds/symlink/ne555.pdf
$ENDCMP
#
$CMP SN74LS245DW
D Octal bus transceivers
F http://www.ti.com/lit/gpn/sn74ls245
$ENDCMP
#
$CMP TDA02H0SB1R
D DIP Switches / SIP Switches HALF PITCH 2 POS
F https://www.ckswitches.com/media/1328/tda.pdf
$ENDCMP
#
$CMP 47346-0001
D Micro USB B Receptacle Bottom Mount Assy Molex Right Angle SMT Type B Version 2.0 Micro USB Connector Socket, 30 V ac, 1A 47352 MICRO-USB
K
F http://www.molex.com/pdm_docs/sd/473460001_sd.pdf
$ENDCMP
#
$CMP U254-051N-4BH806
D USB Connectors SMD RoHS
K
F https://datasheet.lcsc.com/szlcsc/XKB-U254-051N-4BH806_C319170.pdf
$ENDCMP
#
#End Doc Library

View File

@ -0,0 +1,201 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# L717SDB25PA4CH4F
#
DEF L717SDB25PA4CH4F J 0 30 Y Y 1 F N
F0 "J" 1650 500 50 H V L CNN
F1 "L717SDB25PA4CH4F" 1650 400 50 H V L CNN
F2 "L717SDB25PA4CH4F" 1650 300 50 H I L CNN
F3 "https://componentsearchengine.com/Datasheets/1/L717SDB25PA4CH4F.pdf" 1650 200 50 H I L CNN
F4 "D-Sub Standard Connectors 25P Size B Stamped Male DSub Contact SD" 1650 100 50 H I L CNN "Description"
F5 "12.55" 1650 0 50 H I L CNN "Height"
F6 "523-L717SDB25PA4CH4F" 1650 -100 50 H I L CNN "Mouser Part Number"
F7 "https://www.mouser.com/Search/Refine.aspx?Keyword=523-L717SDB25PA4CH4F" 1650 -200 50 H I L CNN "Mouser Price/Stock"
F8 "Amphenol" 1650 -300 50 H I L CNN "Manufacturer_Name"
F9 "L717SDB25PA4CH4F" 1650 -400 50 H I L CNN "Manufacturer_Part_Number"
DRAW
P 5 0 1 6 200 300 1600 300 1600 -300 200 -300 200 300 N
X 1 1 300 500 200 D 50 50 0 0 P
X 10 10 1200 500 200 D 50 50 0 0 P
X 11 11 1300 500 200 D 50 50 0 0 P
X 12 12 1400 500 200 D 50 50 0 0 P
X 13 13 1500 500 200 D 50 50 0 0 P
X 14 14 300 -500 200 U 50 50 0 0 P
X 15 15 400 -500 200 U 50 50 0 0 P
X 16 16 500 -500 200 U 50 50 0 0 P
X 17 17 600 -500 200 U 50 50 0 0 P
X 18 18 700 -500 200 U 50 50 0 0 P
X 19 19 800 -500 200 U 50 50 0 0 P
X 2 2 400 500 200 D 50 50 0 0 P
X 20 20 900 -500 200 U 50 50 0 0 P
X 21 21 1000 -500 200 U 50 50 0 0 P
X 22 22 1100 -500 200 U 50 50 0 0 P
X 23 23 1200 -500 200 U 50 50 0 0 P
X 24 24 1300 -500 200 U 50 50 0 0 P
X 25 25 1400 -500 200 U 50 50 0 0 P
X 3 3 500 500 200 D 50 50 0 0 P
X 4 4 600 500 200 D 50 50 0 0 P
X 5 5 700 500 200 D 50 50 0 0 P
X 6 6 800 500 200 D 50 50 0 0 P
X 7 7 900 500 200 D 50 50 0 0 P
X 8 8 1000 500 200 D 50 50 0 0 P
X 9 9 1100 500 200 D 50 50 0 0 P
X MH1 MH1 0 0 200 R 50 50 0 0 P
X MH2 MH2 1800 0 200 L 50 50 0 0 P
ENDDRAW
ENDDEF
#
# Logo
#
DEF Logo X 0 40 Y Y 1 F N
F0 "X" 0 150 50 H V C CNN
F1 "Logo" 0 0 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -50 50 50 100 0 1 0 f
ENDDRAW
ENDDEF
#
# NE555DR
#
DEF NE555DR IC 0 30 Y Y 1 F N
F0 "IC" 1050 600 50 H V L CNN
F1 "NE555DR" 1050 500 50 H V L CNN
F2 "SOIC127P600X175-8N" 1050 400 50 H I L CNN
F3 "http://www.ti.com/lit/ds/symlink/ne555.pdf" 1050 300 50 H I L CNN
F4 "Single Precision Timer" 1050 200 50 H I L CNN "Description"
F5 "1.75" 1050 100 50 H I L CNN "Height"
F6 "Texas Instruments" 1050 0 50 H I L CNN "Manufacturer_Name"
F7 "NE555DR" 1050 -100 50 H I L CNN "Manufacturer_Part_Number"
F8 "595-NE555DR" 1050 -200 50 H I L CNN "Mouser Part Number"
F9 "https://www.mouser.com/Search/Refine.aspx?Keyword=595-NE555DR" 1050 -300 50 H I L CNN "Mouser Price/Stock"
F10 "1218414" 1050 -400 50 H I L CNN "RS Part Number"
F11 "http//uk.rs-online.com/web/p/products/1218414" 1050 -500 50 H I L CNN "RS Price/Stock"
DRAW
P 5 0 1 6 200 400 1000 400 1000 -800 200 -800 200 400 N
X GND 1 600 -1000 200 U 50 50 0 0 B
X TRIG 2 0 -400 200 R 50 50 0 0 B
X OUT 3 1200 -200 200 L 50 50 0 0 B
X ~RESET 4 0 0 200 R 50 50 0 0 B
X CONT 5 500 600 200 D 50 50 0 0 B
X THRES 6 0 -300 200 R 50 50 0 0 B
X DISCH 7 0 -100 200 R 50 50 0 0 B
X VCC 8 700 600 200 D 50 50 0 0 B
ENDDRAW
ENDDEF
#
# SN74LS245DW
#
DEF SN74LS245DW IC 0 30 Y Y 1 F N
F0 "IC" 850 300 50 H V L CNN
F1 "SN74LS245DW" 850 200 50 H V L CNN
F2 "SOIC127P1030X265-20N" 850 100 50 H I L CNN
F3 "http://www.ti.com/lit/gpn/sn74ls245" 850 0 50 H I L CNN
F4 "Octal bus transceivers" 850 -100 50 H I L CNN "Description"
F5 "2.65" 850 -200 50 H I L CNN "Height"
F6 "595-SN74LS245DW" 850 -300 50 H I L CNN "Mouser Part Number"
F7 "https://www.mouser.co.uk/ProductDetail/Texas-Instruments/SN74LS245DW?qs=ZA235jQDfbpxKQZqDHbgmw%3D%3D" 850 -400 50 H I L CNN "Mouser Price/Stock"
F8 "Texas Instruments" 850 -500 50 H I L CNN "Manufacturer_Name"
F9 "SN74LS245DW" 850 -600 50 H I L CNN "Manufacturer_Part_Number"
DRAW
P 5 0 1 6 200 100 800 100 800 -1000 200 -1000 200 100 N
X DIR 1 0 0 200 R 50 50 0 0 P
X GND 10 0 -900 200 R 50 50 0 0 P
X B8 11 1000 -900 200 L 50 50 0 0 P
X B7 12 1000 -800 200 L 50 50 0 0 P
X B6 13 1000 -700 200 L 50 50 0 0 P
X B5 14 1000 -600 200 L 50 50 0 0 P
X B4 15 1000 -500 200 L 50 50 0 0 P
X B3 16 1000 -400 200 L 50 50 0 0 P
X B2 17 1000 -300 200 L 50 50 0 0 P
X B1 18 1000 -200 200 L 50 50 0 0 P
X ~OE 19 1000 -100 200 L 50 50 0 0 P
X A1 2 0 -100 200 R 50 50 0 0 P
X VCC 20 1000 0 200 L 50 50 0 0 P
X A2 3 0 -200 200 R 50 50 0 0 P
X A3 4 0 -300 200 R 50 50 0 0 P
X A4 5 0 -400 200 R 50 50 0 0 P
X A5 6 0 -500 200 R 50 50 0 0 P
X A6 7 0 -600 200 R 50 50 0 0 P
X A7 8 0 -700 200 R 50 50 0 0 P
X A8 9 0 -800 200 R 50 50 0 0 P
ENDDRAW
ENDDEF
#
# TDA02H0SB1R
#
DEF TDA02H0SB1R S 0 30 Y Y 1 F N
F0 "S" 1050 300 50 H V L CNN
F1 "TDA02H0SB1R" 1050 200 50 H V L CNN
F2 "SOIC127P812X230-4N" 1050 100 50 H I L CNN
F3 "https://www.ckswitches.com/media/1328/tda.pdf" 1050 0 50 H I L CNN
F4 "DIP Switches / SIP Switches HALF PITCH 2 POS" 1050 -100 50 H I L CNN "Description"
F5 "2.3" 1050 -200 50 H I L CNN "Height"
F6 "611-TDA02H0SB1R" 1050 -300 50 H I L CNN "Mouser Part Number"
F7 "https://www.mouser.co.uk/ProductDetail/CK/TDA02H0SB1R?qs=%2FNF5U5UGuWn3xddlBViRrw%3D%3D" 1050 -400 50 H I L CNN "Mouser Price/Stock"
F8 "C & K COMPONENTS" 1050 -500 50 H I L CNN "Manufacturer_Name"
F9 "TDA02H0SB1R" 1050 -600 50 H I L CNN "Manufacturer_Part_Number"
DRAW
P 5 0 1 6 200 100 1000 100 1000 -200 200 -200 200 100 N
X COM_1 1 0 0 200 R 50 50 0 0 P
X COM_2 2 0 -100 200 R 50 50 0 0 P
X NO_1 3 1200 -100 200 L 50 50 0 0 P
X NO_2 4 1200 0 200 L 50 50 0 0 P
ENDDRAW
ENDDEF
#
#SamacSys ECAD Model 47346-0001
#/233052/291242/2.47/5/4/Connector
DEF 47346-0001 J 0 30 Y Y 1 F N
F0 "J" 550 900 50 H V L CNN
F1 "47346-0001" 550 800 50 H V L CNN
F2 "47346-0001" 550 700 50 H I L CNN
F3 "http://www.molex.com/pdm_docs/sd/473460001_sd.pdf" 550 600 50 H I L CNN
F4 "Micro USB B Receptacle Bottom Mount Assy Molex Right Angle SMT Type B Version 2.0 Micro USB Connector Socket, 30 V ac, 1A 47352 MICRO-USB" 550 500 50 H I L CNN "Description"
F5 "" 550 400 50 H I L CNN "Height"
F6 "538-47346-0001" 550 300 50 H I L CNN "Mouser Part Number"
F7 "https://www.mouser.co.uk/ProductDetail/Molex/47346-0001?qs=c2CV6XM0DweJBWaSeyWeCw%3D%3D" 550 200 50 H I L CNN "Mouser Price/Stock"
F8 "Molex" 550 100 50 H I L CNN "Manufacturer_Name"
F9 "47346-0001" 550 0 50 H I L CNN "Manufacturer_Part_Number"
DRAW
X VBUS 1 400 0 200 U 50 50 0 0 P
X D- 2 300 0 200 U 50 50 0 0 P
X D+ 3 200 0 200 U 50 50 0 0 P
X ID 4 100 0 200 U 50 50 0 0 P
X GND 5 0 0 200 U 50 50 0 0 P
P 5 0 1 6 -100 700 500 700 500 200 -100 200 -100 700 N
ENDDRAW
ENDDEF
#
#SamacSys ECAD Model U254-051N-4BH806
#/2414338/304666/2.47/9/4/Connector
DEF U254-051N-4BH806 J 0 30 Y Y 1 F N
F0 "J" 750 300 50 H V L CNN
F1 "U254-051N-4BH806" 750 200 50 H V L CNN
F2 "U254051N4BH806" 750 100 50 H I L CNN
F3 "https://datasheet.lcsc.com/szlcsc/XKB-U254-051N-4BH806_C319170.pdf" 750 0 50 H I L CNN
F4 "USB Connectors SMD RoHS" 750 -100 50 H I L CNN "Description"
F5 "3" 750 -200 50 H I L CNN "Height"
F6 "XKB Enterprise" 750 -300 50 H I L CNN "Manufacturer_Name"
F7 "U254-051N-4BH806" 750 -400 50 H I L CNN "Manufacturer_Part_Number"
F8 "U254-051N-4BH806" 750 -500 50 H I L CNN "Arrow Part Number"
F9 "" 750 -600 50 H I L CNN "Arrow Price/Stock"
F10 "" 750 -700 50 H I L CNN "Mouser Part Number"
F11 "" 750 -800 50 H I L CNN "Mouser Price/Stock"
DRAW
X 1 1 0 0 200 R 50 50 0 0 P
X 2 2 0 -100 200 R 50 50 0 0 P
X 3 3 0 -200 200 R 50 50 0 0 P
X 4 4 0 -300 200 R 50 50 0 0 P
X 5 5 0 -400 200 R 50 50 0 0 P
X MH1 MH1 900 0 200 L 50 50 0 0 P
X MH2 MH2 900 -100 200 L 50 50 0 0 P
X MH3 MH3 900 -200 200 L 50 50 0 0 P
X MH4 MH4 900 -300 200 L 50 50 0 0 P
P 5 0 1 6 200 100 700 100 700 -500 200 -500 200 100 N
ENDDRAW
ENDDEF
#
#End Library

View File

@ -0,0 +1,38 @@
(module "47346-0001" (layer F.Cu)
(descr "47346-0001")
(tags "Connector")
(attr smd)
(fp_text reference J** (at -0.313 3.58) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text user %R (at -0.313 3.58) (layer F.Fab)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text value "47346-0001" (at -0.313 3.58) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_line (start -3.75 0) (end 3.75 0) (layer F.Fab) (width 0.2))
(fp_line (start 3.75 0) (end 3.75 5) (layer F.Fab) (width 0.2))
(fp_line (start 3.75 5) (end -3.75 5) (layer F.Fab) (width 0.2))
(fp_line (start -3.75 5) (end -3.75 0) (layer F.Fab) (width 0.2))
(fp_line (start -3.75 0) (end 3.75 0) (layer F.SilkS) (width 0.2))
(fp_line (start 3.75 5) (end 3.75 3.373) (layer F.SilkS) (width 0.2))
(fp_line (start -3.75 5) (end -3.75 3.373) (layer F.SilkS) (width 0.2))
(fp_circle (center 1.572 5.79) (end 1.572 5.83589) (layer F.SilkS) (width 0.2))
(pad 1 smd rect (at 1.3 4.81 0) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 0.65 4.81 0) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 0 4.81 0) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at -0.65 4.81 0) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at -1.3 4.81 0) (size 0.45 1.38) (layers F.Cu F.Paste F.Mask))
(pad 6 smd rect (at -2.4525 4.45 0) (size 1.475 2.1) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at 2.4525 4.45 0) (size 1.475 2.1) (layers F.Cu F.Paste F.Mask))
(pad 8 smd rect (at 0.8375 2.15 0) (size 1.175 1.9) (layers F.Cu F.Paste F.Mask))
(pad 9 smd rect (at -0.8375 2.15 0) (size 1.175 1.9) (layers F.Cu F.Paste F.Mask))
(pad 10 smd rect (at 2.9125 2.15 90) (size 1.9 2.375) (layers F.Cu F.Paste F.Mask))
(pad 11 smd rect (at -2.9125 2.15 90) (size 1.9 2.375) (layers F.Cu F.Paste F.Mask))
(model "C:\\Users\\theto\\Downloads\\RASCSI-Rascsi_2p2\\hw\\rascsi_2p2\\SamacSys_Parts.3dshapes\\47346-0001.stp"
(at (xyz 0 -0.092519681284747 0.047244096365501))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 -180))
)
)

View File

@ -0,0 +1,61 @@
(module "L717SDB25PA4CH4F" (layer F.Cu)
(descr "L717SDB25PA4CH4F-4")
(tags "Connector")
(fp_text reference J** (at 16.620 7.67) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text user %R (at 16.620 7.67) (layer F.Fab)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text value "L717SDB25PA4CH4F" (at 16.620 7.67) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_line (start -9.9 -1.48) (end 43.14 -1.48) (layer F.Fab) (width 0.2))
(fp_line (start 43.14 -1.48) (end 43.14 10.92) (layer F.Fab) (width 0.2))
(fp_line (start 43.14 10.92) (end -9.9 10.92) (layer F.Fab) (width 0.2))
(fp_line (start -9.9 10.92) (end -9.9 -1.48) (layer F.Fab) (width 0.2))
(fp_line (start -2.86 16.82) (end 36.1 16.82) (layer F.Fab) (width 0.2))
(fp_line (start 36.1 16.82) (end 36.1 10.92) (layer F.Fab) (width 0.2))
(fp_line (start 36.1 10.92) (end -2.86 10.92) (layer F.Fab) (width 0.2))
(fp_line (start -2.86 10.92) (end -2.86 16.82) (layer F.Fab) (width 0.2))
(fp_line (start -10.4 -1.98) (end 43.64 -1.98) (layer F.CrtYd) (width 0.1))
(fp_line (start 43.64 -1.98) (end 43.64 17.32) (layer F.CrtYd) (width 0.1))
(fp_line (start 43.64 17.32) (end -10.4 17.32) (layer F.CrtYd) (width 0.1))
(fp_line (start -10.4 17.32) (end -10.4 -1.98) (layer F.CrtYd) (width 0.1))
(fp_line (start -9.9 -1.48) (end 43.14 -1.48) (layer F.SilkS) (width 0.1))
(fp_line (start 43.14 -1.48) (end 43.14 10.92) (layer F.SilkS) (width 0.1))
(fp_line (start 43.14 10.92) (end -9.9 10.92) (layer F.SilkS) (width 0.1))
(fp_line (start -9.9 10.92) (end -9.9 -1.48) (layer F.SilkS) (width 0.1))
(pad 1 thru_hole circle (at 0.000 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 2 thru_hole circle (at 2.770 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 3 thru_hole circle (at 5.540 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 4 thru_hole circle (at 8.310 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 11.080 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 6 thru_hole circle (at 13.850 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 7 thru_hole circle (at 16.620 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 8 thru_hole circle (at 19.390 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 9 thru_hole circle (at 22.160 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 10 thru_hole circle (at 24.930 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 11 thru_hole circle (at 27.700 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 12 thru_hole circle (at 30.470 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 13 thru_hole circle (at 33.240 -0) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 14 thru_hole circle (at 1.385 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 15 thru_hole circle (at 4.155 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 16 thru_hole circle (at 6.925 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 17 thru_hole circle (at 9.695 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 18 thru_hole circle (at 12.465 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 19 thru_hole circle (at 15.235 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 20 thru_hole circle (at 18.005 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 21 thru_hole circle (at 20.775 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 22 thru_hole circle (at 23.545 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 23 thru_hole circle (at 26.315 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 24 thru_hole circle (at 29.085 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad 25 thru_hole circle (at 31.855 2.84) (size 1.545 1.545) (drill 1.03) (layers *.Cu *.Mask))
(pad MH1 thru_hole circle (at -6.900 1.42) (size 4.845 4.845) (drill 3.23) (layers *.Cu *.Mask))
(pad MH2 thru_hole circle (at 40.140 1.42) (size 4.845 4.845) (drill 3.23) (layers *.Cu *.Mask))
(model "C:\\Users\\theto\\Downloads\\RASCSI\\hw\\rascsi_2p1\\SamacSys_Parts.3dshapes\\L717SDB25PA4CH4F.stp"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,38 @@
(module SOIC127P600X175-8N (layer F.Cu)
(descr "D (R-PDSO-G8)")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference IC** (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text value SOIC127P600X175-8N (at 0 0)(layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_line (start -3.725 -2.75) (end 3.725 -2.75) (layer Dwgs.User) (width 0.05))
(fp_line (start 3.725 -2.75) (end 3.725 2.75) (layer Dwgs.User) (width 0.05))
(fp_line (start 3.725 2.75) (end -3.725 2.75) (layer Dwgs.User) (width 0.05))
(fp_line (start -3.725 2.75) (end -3.725 -2.75) (layer Dwgs.User) (width 0.05))
(fp_line (start -1.95 -2.45) (end 1.95 -2.45) (layer Dwgs.User) (width 0.1))
(fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer Dwgs.User) (width 0.1))
(fp_line (start 1.95 2.45) (end -1.95 2.45) (layer Dwgs.User) (width 0.1))
(fp_line (start -1.95 2.45) (end -1.95 -2.45) (layer Dwgs.User) (width 0.1))
(fp_line (start -1.95 -1.18) (end -0.68 -2.45) (layer Dwgs.User) (width 0.1))
(fp_line (start -1.6 -2.45) (end 1.6 -2.45) (layer F.SilkS) (width 0.2))
(fp_line (start 1.6 -2.45) (end 1.6 2.45) (layer F.SilkS) (width 0.2))
(fp_line (start 1.6 2.45) (end -1.6 2.45) (layer F.SilkS) (width 0.2))
(fp_line (start -1.6 2.45) (end -1.6 -2.45) (layer F.SilkS) (width 0.2))
(fp_line (start -3.475 -2.58) (end -1.95 -2.58) (layer F.SilkS) (width 0.2))
(pad 1 smd rect (at -2.712 -1.905 90) (size 0.65 1.525) (layers F.Cu F.Paste))
(pad 2 smd rect (at -2.712 -0.635 90) (size 0.65 1.525) (layers F.Cu F.Paste))
(pad 3 smd rect (at -2.712 0.635 90) (size 0.65 1.525) (layers F.Cu F.Paste))
(pad 4 smd rect (at -2.712 1.905 90) (size 0.65 1.525) (layers F.Cu F.Paste))
(pad 5 smd rect (at 2.712 1.905 90) (size 0.65 1.525) (layers F.Cu F.Paste))
(pad 6 smd rect (at 2.712 0.635 90) (size 0.65 1.525) (layers F.Cu F.Paste))
(pad 7 smd rect (at 2.712 -0.635 90) (size 0.65 1.525) (layers F.Cu F.Paste))
(pad 8 smd rect (at 2.712 -1.905 90) (size 0.65 1.525) (layers F.Cu F.Paste))
(model "C:\\Users\\theto\\Downloads\\RASCSI\\hw\\Dual_Connector_RaSCSI\\SamacSys_Parts.3dshapes\\NE555DR.stp"
(at(xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate(xyz 0 0 0))
)
)

View File

@ -0,0 +1,53 @@
(module "SOIC127P1030X265-20N" (layer F.Cu)
(descr "DW")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference IC** (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text value "SOIC127P1030X265-20N" (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_line (start -5.95 -6.75) (end 5.95 -6.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.95 -6.75) (end 5.95 6.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 5.95 6.75) (end -5.95 6.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.95 6.75) (end -5.95 -6.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.75 -6.4) (end 3.75 -6.4) (layer F.Fab) (width 0.1))
(fp_line (start 3.75 -6.4) (end 3.75 6.4) (layer F.Fab) (width 0.1))
(fp_line (start 3.75 6.4) (end -3.75 6.4) (layer F.Fab) (width 0.1))
(fp_line (start -3.75 6.4) (end -3.75 -6.4) (layer F.Fab) (width 0.1))
(fp_line (start -3.75 -5.13) (end -2.48 -6.4) (layer F.Fab) (width 0.1))
(fp_line (start -3.4 -6.4) (end 3.4 -6.4) (layer F.SilkS) (width 0.2))
(fp_line (start 3.4 -6.4) (end 3.4 6.4) (layer F.SilkS) (width 0.2))
(fp_line (start 3.4 6.4) (end -3.4 6.4) (layer F.SilkS) (width 0.2))
(fp_line (start -3.4 6.4) (end -3.4 -6.4) (layer F.SilkS) (width 0.2))
(fp_line (start -5.7 -6.39) (end -3.75 -6.39) (layer F.SilkS) (width 0.2))
(pad 1 smd rect (at -4.725 -5.715 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -4.725 -4.445 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -4.725 -3.175 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at -4.725 -1.905 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at -4.725 -0.635 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 6 smd rect (at -4.725 0.635 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at -4.725 1.905 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 8 smd rect (at -4.725 3.175 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 9 smd rect (at -4.725 4.445 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 10 smd rect (at -4.725 5.715 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 11 smd rect (at 4.725 5.715 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 12 smd rect (at 4.725 4.445 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 13 smd rect (at 4.725 3.175 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 14 smd rect (at 4.725 1.905 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 15 smd rect (at 4.725 0.635 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 16 smd rect (at 4.725 -0.635 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 17 smd rect (at 4.725 -1.905 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 18 smd rect (at 4.725 -3.175 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 19 smd rect (at 4.725 -4.445 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(pad 20 smd rect (at 4.725 -5.715 90) (size 0.65 1.95) (layers F.Cu F.Paste F.Mask))
(model "C:\\Users\\theto\\Downloads\\RASCSI\\hw\\rascsi_2p1\\SamacSys_Parts.3dshapes\\SN74LS245DW.stp"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,37 @@
(module "SOIC127P812X230-4N" (layer F.Cu)
(descr "TDA02H0SB1")
(tags "Switch")
(attr smd)
(fp_text reference S** (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text value "SOIC127P812X230-4N" (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_line (start -4.775 -2.085) (end 4.775 -2.085) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.775 -2.085) (end 4.775 2.085) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.775 2.085) (end -4.775 2.085) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.775 2.085) (end -4.775 -2.085) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.1 -1.835) (end 3.1 -1.835) (layer F.Fab) (width 0.1))
(fp_line (start 3.1 -1.835) (end 3.1 1.835) (layer F.Fab) (width 0.1))
(fp_line (start 3.1 1.835) (end -3.1 1.835) (layer F.Fab) (width 0.1))
(fp_line (start -3.1 1.835) (end -3.1 -1.835) (layer F.Fab) (width 0.1))
(fp_line (start -3.1 -0.565) (end -1.83 -1.835) (layer F.Fab) (width 0.1))
(fp_line (start -2.75 -1.835) (end 2.75 -1.835) (layer F.SilkS) (width 0.2))
(fp_line (start 2.75 -1.835) (end 2.75 1.835) (layer F.SilkS) (width 0.2))
(fp_line (start 2.75 1.835) (end -2.75 1.835) (layer F.SilkS) (width 0.2))
(fp_line (start -2.75 1.835) (end -2.75 -1.835) (layer F.SilkS) (width 0.2))
(fp_line (start -4.525 -1.36) (end -3.1 -1.36) (layer F.SilkS) (width 0.2))
(pad 1 smd rect (at -3.812 -0.635 90) (size 0.75 1.425) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -3.812 0.635 90) (size 0.75 1.425) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 3.812 0.635 90) (size 0.75 1.425) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 3.812 -0.635 90) (size 0.75 1.425) (layers F.Cu F.Paste F.Mask))
(model "C:\\Users\\theto\\Downloads\\RASCSI\\hw\\Dual_Connector_RaSCSI\\SamacSys_Parts.3dshapes\\TDA02H0SB1R.stp"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,42 @@
(module U254051N4BH806 (layer F.Cu) (tedit 5F3DC197)
(descr U254-051N-4BH806-2)
(tags Connector)
(fp_text reference J** (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text value U254051N4BH806 (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_line (start -3.999 -2.865) (end 4 -2.865) (layer F.Fab) (width 0.2))
(fp_line (start 4 -2.865) (end 4 3.735) (layer F.Fab) (width 0.2))
(fp_line (start 4 3.735) (end -3.999 3.735) (layer F.Fab) (width 0.2))
(fp_line (start -3.999 3.735) (end -3.999 -2.865) (layer F.Fab) (width 0.2))
(fp_line (start -5.722 -4.735) (end 5.723 -4.735) (layer F.CrtYd) (width 0.1))
(fp_line (start 5.723 -4.735) (end 5.723 4.735) (layer F.CrtYd) (width 0.1))
(fp_line (start 5.723 4.735) (end -5.722 4.735) (layer F.CrtYd) (width 0.1))
(fp_line (start -5.722 4.735) (end -5.722 -4.735) (layer F.CrtYd) (width 0.1))
(fp_line (start -3.924 2.115) (end 4.075 2.115) (layer F.SilkS) (width 0.1))
(fp_line (start -1.299 -3.535) (end -1.299 -3.535) (layer F.SilkS) (width 0.2))
(fp_line (start -1.299 -3.735) (end -1.299 -3.735) (layer F.SilkS) (width 0.2))
(fp_arc (start -1.299 -3.635) (end -1.299 -3.535) (angle -180) (layer F.SilkS) (width 0.2))
(fp_arc (start -1.299 -3.635) (end -1.299 -3.735) (angle -180) (layer F.SilkS) (width 0.2))
(pad 1 smd rect (at -1.3 -1.985) (size 0.4 1.4) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -0.65 -1.985) (size 0.4 1.4) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 0 -1.985) (size 0.4 1.4) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 0.65 -1.985) (size 0.4 1.4) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 1.3 -1.985) (size 0.4 1.4) (layers F.Cu F.Paste F.Mask))
(pad MH1 thru_hole circle (at -3.575 0.965) (size 2 2) (drill 1.3285) (layers *.Cu *.Mask))
(pad MH2 thru_hole circle (at 3.575 0.965) (size 2 2) (drill 1.3285) (layers *.Cu *.Mask))
(pad MH3 thru_hole circle (at 3.575 -2.485) (size 2 2) (drill 1.3285) (layers *.Cu *.Mask))
(pad MH4 thru_hole circle (at -3.575 -2.485) (size 2 2) (drill 1.3285) (layers *.Cu *.Mask))
(pad MH5 np_thru_hole circle (at -2 -1.165) (size 0.65 0) (drill 0.65) (layers *.Cu *.Mask))
(pad MH6 np_thru_hole circle (at 2 -1.165) (size 0.65 0) (drill 0.65) (layers *.Cu *.Mask))
(model C:\Users\theto\Downloads\RASCSI-Rascsi_2p2\hw\rascsi_2p2\SamacSys_Parts.3dshapes\U254-051N-4BH806.stp
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,82 @@
(module dogcow (layer F.Cu) (tedit 5EF54FCF)
(fp_text reference G*** (at 0.25 4.5) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 5.5 0.5 90) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy 3.996266 -2.269066) (xy 3.793066 -2.269066) (xy 3.793066 -2.065866) (xy 3.608416 -2.065866)
(xy 3.603375 -1.960033) (xy 3.598333 -1.854199) (xy 3.501823 -1.849147) (xy 3.405313 -1.844094)
(xy 3.400223 -1.756013) (xy 3.395133 -1.667933) (xy 3.1877 -1.663178) (xy 2.980266 -1.658424)
(xy 2.980266 -1.253066) (xy 2.7686 -1.253128) (xy 2.7686 -1.041399) (xy 2.569633 -1.036629)
(xy 2.370666 -1.031858) (xy 2.370666 -0.644509) (xy 1.9558 -0.634999) (xy 1.951045 -0.427566)
(xy 1.946291 -0.220133) (xy 0.7112 -0.220133) (xy 0.7112 -0.643466) (xy 0.287867 -0.643466)
(xy 0.287867 -0.829733) (xy 0.1016 -0.829733) (xy 0.1016 -1.032933) (xy -0.728134 -1.032933)
(xy -0.728134 -1.252908) (xy 1.020233 -1.25722) (xy 2.7686 -1.261533) (xy 2.773371 -1.4605)
(xy 2.778141 -1.659466) (xy 2.980266 -1.659466) (xy 2.980266 -1.845733) (xy 3.4036 -1.845733)
(xy 3.4036 -2.065866) (xy 3.6068 -2.065866) (xy 3.6068 -2.269066) (xy 3.792319 -2.269066)
(xy 3.801533 -2.904066) (xy 3.898071 -2.90912) (xy 3.994608 -2.914173) (xy 3.999671 -2.80752)
(xy 4.004733 -2.700866) (xy 4.106333 -2.692399) (xy 4.207933 -2.683933) (xy 4.21235 -1.968499)
(xy 4.216767 -1.253066) (xy 3.996266 -1.253066) (xy 3.996266 -2.269066)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.761067 -2.912533) (xy -1.962651 -2.912533) (xy -1.967692 -2.8067) (xy -1.972733 -2.700866)
(xy -2.573867 -2.691618) (xy -2.573867 -3.098799) (xy -2.370667 -3.098799) (xy -2.370667 -2.912533)
(xy -1.964267 -2.912533) (xy -1.964267 -3.098799) (xy -1.761067 -3.098799) (xy -1.761067 -2.912533)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -3.6068 -2.065866) (xy -3.395134 -2.065804) (xy -3.406608 -2.269066) (xy -3.183467 -2.269066)
(xy -3.183467 -3.098799) (xy -2.9972 -3.098799) (xy -2.9972 -3.301999) (xy -2.573867 -3.301999)
(xy -2.573867 -3.098799) (xy -2.996598 -3.098799) (xy -3.001132 -2.688166) (xy -3.005667 -2.277533)
(xy -3.094567 -2.272415) (xy -3.183467 -2.267296) (xy -3.183467 -2.067482) (xy -3.2893 -2.062441)
(xy -3.395134 -2.057399) (xy -3.405216 -1.845733) (xy -3.6068 -1.845733) (xy -3.6068 -2.065866)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 2.370666 2.472267) (xy 2.556933 2.472267) (xy 2.556933 1.828801) (xy 2.645833 1.82906)
(xy 2.700604 1.831687) (xy 2.742756 1.838114) (xy 2.756303 1.843008) (xy 2.764365 1.856604)
(xy 2.769937 1.887999) (xy 2.773218 1.94095) (xy 2.774409 2.019218) (xy 2.773711 2.126561)
(xy 2.773236 2.160249) (xy 2.7686 2.463801) (xy 2.662766 2.468842) (xy 2.556933 2.473883)
(xy 2.556933 2.861734) (xy 2.370666 2.861734) (xy 2.370666 2.472267)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.4036 3.064934) (xy 2.981883 3.064934) (xy 2.976841 3.170767) (xy 2.9718 3.2766)
(xy 2.379133 3.2766) (xy 2.374092 3.170767) (xy 2.36905 3.064934) (xy 1.947333 3.064934)
(xy 1.947333 2.861734) (xy 2.370666 2.861734) (xy 2.370666 3.064934) (xy 2.980266 3.064934)
(xy 2.980266 2.861734) (xy 3.4036 2.861734) (xy 3.4036 3.064934)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.6068 2.861734) (xy 3.4036 2.861734) (xy 3.4036 2.472267) (xy 3.6068 2.472267)
(xy 3.6068 2.861734)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.793066 0.8128) (xy 3.793066 2.472267) (xy 3.6068 2.472267) (xy 3.6068 0.8128)
(xy 3.793066 0.8128)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.6068 0.8128) (xy 3.4036 0.8128) (xy 3.4036 -0.643466) (xy 3.6068 -0.643466)
(xy 3.6068 0.8128)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.793066 -0.643466) (xy 3.6068 -0.643466) (xy 3.6068 -1.032933) (xy 3.793066 -1.032933)
(xy 3.793066 -0.643466)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.996266 -1.032933) (xy 3.793066 -1.032933) (xy 3.793066 -1.253066) (xy 3.996266 -1.253066)
(xy 3.996266 -1.032933)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -0.728134 -1.659466) (xy -0.728134 -1.253066) (xy -1.134533 -1.253066) (xy -1.134533 -1.659466)
(xy -0.728134 -1.659466)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.134533 1.219201) (xy -0.933024 1.219201) (xy -0.927945 1.121834) (xy -0.922867 1.024467)
(xy 1.947333 1.015809) (xy 1.947333 1.218158) (xy 2.154767 1.222913) (xy 2.3622 1.227667)
(xy 2.367241 1.333501) (xy 2.372283 1.439334) (xy 2.556933 1.439334) (xy 2.556933 1.828801)
(xy 2.370666 1.828801) (xy 2.370666 1.439334) (xy 1.947333 1.439334) (xy 1.947333 1.219201)
(xy -0.931334 1.219201) (xy -0.931334 2.472267) (xy -1.134533 2.472267) (xy -1.134533 1.219201)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.337733 2.472267) (xy -1.134533 2.472267) (xy -1.134533 2.861734) (xy -1.337733 2.861734)
(xy -1.337733 2.472267)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.761067 3.064934) (xy -1.761067 2.861734) (xy -1.337733 2.861734) (xy -1.337733 3.064934)
(xy -1.759451 3.064934) (xy -1.769533 3.2766) (xy -2.058425 3.28122) (xy -2.154113 3.282087)
(xy -2.237804 3.281587) (xy -2.303713 3.279857) (xy -2.346055 3.277033) (xy -2.358992 3.274164)
(xy -2.365298 3.252101) (xy -2.369537 3.207102) (xy -2.370667 3.163712) (xy -2.370667 3.064934)
(xy -1.761067 3.064934)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -2.370667 3.064934) (xy -2.573867 3.064934) (xy -2.573867 2.861734) (xy -2.370667 2.861734)
(xy -2.370667 3.064934)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -2.370667 -1.032933) (xy -2.370667 -0.831349) (xy -2.264834 -0.826308) (xy -2.159 -0.821266)
(xy -2.154376 -0.520699) (xy -2.149752 -0.220133) (xy -1.762683 -0.220133) (xy -1.757642 -0.114299)
(xy -1.7526 -0.008466) (xy -1.545167 -0.003712) (xy -1.337733 0.001043) (xy -1.337733 0.8128)
(xy -1.761067 0.8128) (xy -1.761067 1.016001) (xy -1.963903 1.016001) (xy -1.972733 2.463801)
(xy -2.061633 2.468919) (xy -2.150534 2.474037) (xy -2.150534 2.861734) (xy -2.370667 2.861734)
(xy -2.370667 2.472267) (xy -2.150534 2.472267) (xy -2.150534 -0.218517) (xy -2.3622 -0.228599)
(xy -2.371448 -0.829733) (xy -4.030133 -0.829733) (xy -4.030133 -1.032933) (xy -2.370667 -1.032933)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -4.030133 -1.032933) (xy -4.233333 -1.032933) (xy -4.233333 -1.659466) (xy -4.030133 -1.659466)
(xy -4.030133 -1.032933)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -3.6068 -1.659466) (xy -4.030133 -1.659466) (xy -4.030133 -1.845733) (xy -3.6068 -1.845733)
(xy -3.6068 -1.659466)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.337733 -3.098799) (xy -1.761067 -3.098799) (xy -1.761067 -3.301999) (xy -1.134533 -3.301999)
(xy -1.134533 -2.692399) (xy -1.337733 -2.692399) (xy -1.337733 -3.098799)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.337733 -1.659466) (xy -1.337733 -1.84469) (xy -1.545167 -1.849445) (xy -1.7526 -1.854199)
(xy -1.757129 -2.2733) (xy -1.761658 -2.692399) (xy -1.337733 -2.692399) (xy -1.337733 -1.845733)
(xy -1.134533 -1.845733) (xy -1.134533 -1.659466) (xy -1.337733 -1.659466)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -2.370667 -2.065866) (xy -2.573867 -2.065866) (xy -2.573867 -2.269066) (xy -2.370667 -2.269066)
(xy -2.370667 -2.065866)) (layer F.SilkS) (width 0.01))
)

View File

@ -0,0 +1,49 @@
(module mac_happy_small (layer F.Cu) (tedit 0)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy 0.461818 -0.969818) (xy 0.323273 -0.969818) (xy 0.323273 -1.27) (xy 0.461818 -1.27)
(xy 0.461818 -0.969818)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -0.531091 -0.969818) (xy -0.692727 -0.969818) (xy -0.692727 -1.27) (xy -0.531091 -1.27)
(xy -0.531091 -0.969818)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 0.046182 -0.554182) (xy -0.254 -0.554182) (xy -0.254 -0.623454) (xy -0.248278 -0.67252)
(xy -0.221289 -0.690605) (xy -0.184727 -0.692727) (xy -0.115454 -0.692727) (xy -0.115454 -1.27)
(xy 0.046182 -1.27) (xy 0.046182 -0.554182)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 0.303066 -0.386824) (xy 0.321151 -0.359835) (xy 0.323273 -0.323273) (xy 0.317551 -0.274207)
(xy 0.290562 -0.256122) (xy 0.254 -0.254) (xy 0.204934 -0.248278) (xy 0.18685 -0.221289)
(xy 0.184727 -0.184727) (xy 0.184727 -0.115454) (xy -0.392545 -0.115454) (xy -0.392545 -0.184727)
(xy -0.398267 -0.233793) (xy -0.425256 -0.251878) (xy -0.461818 -0.254) (xy -0.510884 -0.259722)
(xy -0.528969 -0.286711) (xy -0.531091 -0.323273) (xy -0.525369 -0.372339) (xy -0.49838 -0.390423)
(xy -0.461818 -0.392545) (xy -0.412752 -0.386824) (xy -0.394668 -0.359835) (xy -0.392545 -0.323273)
(xy -0.392545 -0.254) (xy 0.184727 -0.254) (xy 0.184727 -0.323273) (xy 0.190449 -0.372339)
(xy 0.217438 -0.390423) (xy 0.254 -0.392545) (xy 0.303066 -0.386824)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 1.200727 -1.778) (xy 1.206449 -1.728934) (xy 1.233438 -1.710849) (xy 1.27 -1.708727)
(xy 1.339273 -1.708727) (xy 1.339273 0.184727) (xy 1.27 0.184727) (xy 1.220934 0.190449)
(xy 1.20285 0.217438) (xy 1.200727 0.254) (xy 1.200727 0.323273) (xy -1.27 0.323273)
(xy -1.27 0.254) (xy -1.275722 0.204934) (xy -1.302711 0.18685) (xy -1.339273 0.184727)
(xy -1.408545 0.184727) (xy -1.408545 -1.708727) (xy -1.339273 -1.708727) (xy -1.27 -1.708727)
(xy -1.27 0.184727) (xy 1.200727 0.184727) (xy 1.200727 -1.708727) (xy -1.27 -1.708727)
(xy -1.339273 -1.708727) (xy -1.290207 -1.714449) (xy -1.272122 -1.741438) (xy -1.27 -1.778)
(xy -1.27 -1.847273) (xy 1.200727 -1.847273) (xy 1.200727 -1.778)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 1.200727 1.039091) (xy 0.323273 1.039091) (xy 0.323273 0.900546) (xy 1.200727 0.900546)
(xy 1.200727 1.039091)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.108364 1.177636) (xy -1.408545 1.177636) (xy -1.408545 1.039091) (xy -1.108364 1.039091)
(xy -1.108364 1.177636)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 1.477818 -2.205182) (xy 1.48168 -2.150883) (xy 1.501267 -2.128612) (xy 1.547091 -2.124364)
(xy 1.596157 -2.118642) (xy 1.614241 -2.091653) (xy 1.616364 -2.055091) (xy 1.620869 -2.008549)
(xy 1.643721 -1.98946) (xy 1.697182 -1.985818) (xy 1.778 -1.985818) (xy 1.778 1.616364)
(xy 1.616364 1.616364) (xy 1.616364 2.332182) (xy -1.685636 2.332182) (xy -1.685636 1.754909)
(xy -1.547091 1.754909) (xy -1.547091 2.193636) (xy 1.477818 2.193636) (xy 1.477818 1.754909)
(xy -1.547091 1.754909) (xy -1.685636 1.754909) (xy -1.685636 1.616364) (xy -1.847273 1.616364)
(xy -1.847273 -1.985818) (xy -1.766454 -1.985818) (xy -1.685636 -1.985818) (xy -1.685636 1.616364)
(xy 1.616364 1.616364) (xy 1.616364 -1.985818) (xy 1.547091 -1.985818) (xy 1.498025 -1.99154)
(xy 1.47994 -2.018529) (xy 1.477818 -2.055091) (xy 1.477818 -2.124364) (xy -1.547091 -2.124364)
(xy -1.547091 -2.055091) (xy -1.552812 -2.006025) (xy -1.579802 -1.98794) (xy -1.616364 -1.985818)
(xy -1.685636 -1.985818) (xy -1.766454 -1.985818) (xy -1.712156 -1.98968) (xy -1.689885 -2.009267)
(xy -1.685636 -2.055091) (xy -1.679915 -2.104157) (xy -1.652925 -2.122241) (xy -1.616364 -2.124364)
(xy -1.569822 -2.128869) (xy -1.550732 -2.151721) (xy -1.547091 -2.205182) (xy -1.547091 -2.286)
(xy 1.477818 -2.286) (xy 1.477818 -2.205182)) (layer F.SilkS) (width 0.01))
)

View File

@ -0,0 +1,82 @@
(module mac_trashcan (layer F.Cu) (tedit 0)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy 1.226649 -1.567139) (xy 1.241196 -1.517633) (xy 1.241778 -1.495777) (xy 1.232908 -1.433804)
(xy 1.199904 -1.411984) (xy 1.185334 -1.411111) (xy 1.173577 -1.410083) (xy 1.163578 -1.40418)
(xy 1.155194 -1.389177) (xy 1.148282 -1.360848) (xy 1.142702 -1.314964) (xy 1.138309 -1.247302)
(xy 1.134963 -1.153633) (xy 1.132521 -1.029731) (xy 1.13084 -0.871371) (xy 1.129779 -0.674325)
(xy 1.129195 -0.434368) (xy 1.128946 -0.147272) (xy 1.12889 0.191188) (xy 1.128889 0.266149)
(xy 1.128889 1.943408) (xy 1.192389 1.952426) (xy 1.245484 1.980463) (xy 1.255889 2.017889)
(xy 1.232831 2.068359) (xy 1.192389 2.083352) (xy 1.144958 2.079567) (xy 1.129458 2.039293)
(xy 1.128889 2.019852) (xy 1.11795 1.965155) (xy 1.074334 1.947796) (xy 1.058334 1.947334)
(xy 0.987778 1.947334) (xy 0.987778 -1.411111) (xy 1.058334 -1.411111) (xy 1.108758 -1.420223)
(xy 1.127204 -1.458959) (xy 1.128889 -1.495777) (xy 1.137759 -1.557751) (xy 1.170763 -1.579571)
(xy 1.185334 -1.580444) (xy 1.226649 -1.567139)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 0.486834 -1.575351) (xy 0.537193 -1.550945) (xy 0.550334 -1.495777) (xy 0.534805 -1.437617)
(xy 0.486834 -1.416204) (xy 0.423334 -1.407185) (xy 0.423334 1.947334) (xy 0.495852 1.947334)
(xy 0.548711 1.956547) (xy 0.561129 1.993739) (xy 0.559352 2.010834) (xy 0.527214 2.067889)
(xy 0.486834 2.083352) (xy 0.439402 2.079567) (xy 0.423903 2.039293) (xy 0.423334 2.019852)
(xy 0.412394 1.965155) (xy 0.368778 1.947796) (xy 0.352778 1.947334) (xy 0.282222 1.947334)
(xy 0.282222 -1.411111) (xy 0.352778 -1.411111) (xy 0.402793 -1.419941) (xy 0.421426 -1.457809)
(xy 0.423334 -1.49774) (xy 0.429624 -1.557875) (xy 0.456619 -1.577106) (xy 0.486834 -1.575351)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -0.218722 -1.575351) (xy -0.168363 -1.550945) (xy -0.155222 -1.495777) (xy -0.170751 -1.437617)
(xy -0.218722 -1.416204) (xy -0.282222 -1.407185) (xy -0.282222 1.947334) (xy -0.211666 1.947334)
(xy -0.157959 1.95899) (xy -0.141409 2.004887) (xy -0.141111 2.017889) (xy -0.152767 2.071596)
(xy -0.198664 2.088147) (xy -0.211666 2.088445) (xy -0.265374 2.076788) (xy -0.281924 2.030891)
(xy -0.282222 2.017889) (xy -0.293879 1.964182) (xy -0.339775 1.947632) (xy -0.352778 1.947334)
(xy -0.423333 1.947334) (xy -0.423333 -1.411111) (xy -0.352778 -1.411111) (xy -0.302763 -1.419941)
(xy -0.28413 -1.457809) (xy -0.282222 -1.49774) (xy -0.275932 -1.557875) (xy -0.248937 -1.577106)
(xy -0.218722 -1.575351)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -0.863742 -1.57231) (xy -0.849509 -1.536211) (xy -0.851847 -1.502833) (xy -0.875232 -1.439016)
(xy -0.924278 -1.416204) (xy -0.987778 -1.407185) (xy -0.987778 1.947334) (xy -0.917222 1.947334)
(xy -0.863515 1.95899) (xy -0.846964 2.004887) (xy -0.846666 2.017889) (xy -0.858323 2.071596)
(xy -0.90422 2.088147) (xy -0.917222 2.088445) (xy -0.970929 2.076788) (xy -0.98748 2.030891)
(xy -0.987778 2.017889) (xy -0.999434 1.964182) (xy -1.045331 1.947632) (xy -1.058333 1.947334)
(xy -1.128889 1.947334) (xy -1.128889 -1.411111) (xy -1.058333 -1.411111) (xy -1.007909 -1.420223)
(xy -0.989463 -1.458959) (xy -0.987778 -1.495777) (xy -0.980289 -1.556183) (xy -0.947971 -1.57832)
(xy -0.915347 -1.580444) (xy -0.863742 -1.57231)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 0.164867 -2.96312) (xy 0.279544 -2.961646) (xy 0.354209 -2.957658) (xy 0.397079 -2.949902)
(xy 0.416371 -2.937124) (xy 0.420301 -2.918072) (xy 0.418241 -2.899833) (xy 0.412523 -2.874728)
(xy 0.397781 -2.857071) (xy 0.365129 -2.845302) (xy 0.305679 -2.837859) (xy 0.210543 -2.83318)
(xy 0.070836 -2.829704) (xy 0.012501 -2.828536) (xy -0.131834 -2.827047) (xy -0.255562 -2.828315)
(xy -0.34794 -2.832038) (xy -0.398226 -2.837912) (xy -0.403777 -2.840295) (xy -0.420593 -2.882521)
(xy -0.423333 -2.911592) (xy -0.419477 -2.931488) (xy -0.402374 -2.945527) (xy -0.363722 -2.954719)
(xy -0.295217 -2.960075) (xy -0.188557 -2.962605) (xy -0.03544 -2.963319) (xy 0.001963 -2.963333)
(xy 0.164867 -2.96312)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 0.539804 -2.810713) (xy 0.564053 -2.760617) (xy 0.564445 -2.751666) (xy 0.564445 -2.681111)
(xy 1.979481 -2.681111) (xy 1.961445 -2.554111) (xy -0.007055 -2.546863) (xy -1.975555 -2.539616)
(xy -1.975555 -2.144889) (xy 1.975556 -2.144889) (xy 1.975556 -2.342444) (xy 1.977079 -2.446266)
(xy 1.983946 -2.505744) (xy 1.999606 -2.532947) (xy 2.027507 -2.539945) (xy 2.032 -2.54)
(xy 2.05769 -2.536126) (xy 2.074136 -2.517695) (xy 2.083381 -2.47449) (xy 2.087468 -2.396294)
(xy 2.08844 -2.272892) (xy 2.088445 -2.257777) (xy 2.087665 -2.129315) (xy 2.083968 -2.047077)
(xy 2.075317 -2.00085) (xy 2.059676 -1.980421) (xy 2.035008 -1.975576) (xy 2.03217 -1.975555)
(xy 2.021912 -1.974643) (xy 2.012969 -1.969465) (xy 2.005236 -1.956361) (xy 1.998609 -1.931673)
(xy 1.992985 -1.891738) (xy 1.98826 -1.832899) (xy 1.984329 -1.751494) (xy 1.981089 -1.643863)
(xy 1.978437 -1.506347) (xy 1.976267 -1.335286) (xy 1.974476 -1.127019) (xy 1.97296 -0.877887)
(xy 1.971616 -0.584228) (xy 1.970339 -0.242385) (xy 1.969025 0.151305) (xy 1.96867 0.261056)
(xy 1.961445 2.497667) (xy 1.89968 2.506458) (xy 1.843827 2.539058) (xy 1.829125 2.577014)
(xy 1.820334 2.638778) (xy 0.011965 2.646039) (xy -0.302437 2.647011) (xy -0.600075 2.647369)
(xy -0.876163 2.647142) (xy -1.125914 2.646362) (xy -1.344542 2.64506) (xy -1.527261 2.643266)
(xy -1.669283 2.641012) (xy -1.765822 2.638328) (xy -1.812092 2.635244) (xy -1.815424 2.63428)
(xy -1.831975 2.592402) (xy -1.834444 2.565482) (xy -1.859104 2.52098) (xy -1.884106 2.511778)
(xy -1.834782 2.511778) (xy 1.834782 2.511778) (xy 1.820334 -1.989666) (xy -1.820333 -1.989666)
(xy -1.827558 0.261056) (xy -1.834782 2.511778) (xy -1.884106 2.511778) (xy -1.897944 2.506685)
(xy -1.961444 2.497667) (xy -1.975555 0.254) (xy -1.978146 -0.154256) (xy -1.980512 -0.509723)
(xy -1.982767 -0.816055) (xy -1.985028 -1.076906) (xy -1.987409 -1.29593) (xy -1.990024 -1.476782)
(xy -1.992989 -1.623116) (xy -1.996419 -1.738585) (xy -2.000428 -1.826845) (xy -2.005131 -1.891549)
(xy -2.010644 -1.936351) (xy -2.017081 -1.964906) (xy -2.024557 -1.980868) (xy -2.033188 -1.987891)
(xy -2.043087 -1.989629) (xy -2.046111 -1.989666) (xy -2.072224 -1.993999) (xy -2.08933 -2.013869)
(xy -2.099846 -2.059587) (xy -2.10619 -2.14146) (xy -2.110633 -2.264833) (xy -2.11871 -2.54)
(xy -2.047133 -2.54) (xy -1.992874 -2.551309) (xy -1.975917 -2.595965) (xy -1.975555 -2.610062)
(xy -1.975555 -2.680125) (xy -1.277055 -2.687673) (xy -0.578555 -2.695222) (xy -0.569537 -2.758722)
(xy -0.541432 -2.809777) (xy -0.491665 -2.825818) (xy -0.442846 -2.806843) (xy -0.41824 -2.758722)
(xy -0.412851 -2.733989) (xy -0.399056 -2.71669) (xy -0.368108 -2.705496) (xy -0.311259 -2.69908)
(xy -0.219762 -2.696112) (xy -0.084868 -2.695265) (xy 0 -2.695222) (xy 0.15943 -2.695513)
(xy 0.271163 -2.697273) (xy 0.343948 -2.701828) (xy 0.386533 -2.710508) (xy 0.407665 -2.724641)
(xy 0.416092 -2.745554) (xy 0.418241 -2.758722) (xy 0.445504 -2.810798) (xy 0.493156 -2.827785)
(xy 0.539804 -2.810713)) (layer F.SilkS) (width 0.01))
)

View File

@ -0,0 +1,622 @@
(module pi_logo (layer F.Cu) (tedit 0)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy -1.074143 -3.030774) (xy -1.055953 -3.029833) (xy -1.044191 -3.028153) (xy -1.037848 -3.025639)
(xy -1.037501 -3.025362) (xy -1.029214 -3.020241) (xy -1.015368 -3.013573) (xy -0.998267 -3.006268)
(xy -0.980218 -2.999236) (xy -0.963526 -2.993386) (xy -0.950498 -2.989629) (xy -0.944669 -2.988731)
(xy -0.935363 -2.989543) (xy -0.920544 -2.991705) (xy -0.902977 -2.994799) (xy -0.897767 -2.99581)
(xy -0.871586 -2.99975) (xy -0.848052 -3.000099) (xy -0.825036 -2.996458) (xy -0.800409 -2.988428)
(xy -0.772042 -2.975607) (xy -0.761315 -2.970162) (xy -0.716179 -2.946781) (xy -0.665778 -2.949315)
(xy -0.635143 -2.950064) (xy -0.609229 -2.948493) (xy -0.586421 -2.943937) (xy -0.565103 -2.935727)
(xy -0.543658 -2.923198) (xy -0.520471 -2.905682) (xy -0.493926 -2.882512) (xy -0.485807 -2.875046)
(xy -0.470268 -2.861257) (xy -0.4559 -2.849608) (xy -0.444378 -2.841389) (xy -0.437716 -2.837963)
(xy -0.428012 -2.836893) (xy -0.413061 -2.836727) (xy -0.396035 -2.837497) (xy -0.395426 -2.837543)
(xy -0.364217 -2.839903) (xy -0.327357 -2.813777) (xy -0.272788 -2.770378) (xy -0.220638 -2.719449)
(xy -0.17155 -2.661735) (xy -0.126167 -2.597984) (xy -0.089943 -2.537734) (xy -0.078067 -2.515199)
(xy -0.064922 -2.488448) (xy -0.051451 -2.459574) (xy -0.038593 -2.43067) (xy -0.027289 -2.403829)
(xy -0.01848 -2.381144) (xy -0.014869 -2.370667) (xy -0.010356 -2.357475) (xy -0.006467 -2.347703)
(xy -0.0046 -2.344249) (xy -0.002174 -2.346844) (xy 0.002624 -2.35608) (xy 0.009197 -2.37067)
(xy 0.016948 -2.389325) (xy 0.020888 -2.399282) (xy 0.058655 -2.486113) (xy 0.10188 -2.566809)
(xy 0.150421 -2.641115) (xy 0.171465 -2.669212) (xy 0.191884 -2.693484) (xy 0.2169 -2.720201)
(xy 0.244616 -2.747565) (xy 0.273137 -2.773775) (xy 0.300566 -2.797033) (xy 0.325007 -2.81554)
(xy 0.327534 -2.817279) (xy 0.363721 -2.841859) (xy 0.440683 -2.836397) (xy 0.484946 -2.878493)
(xy 0.509675 -2.901026) (xy 0.530643 -2.917853) (xy 0.549204 -2.930014) (xy 0.557763 -2.934553)
(xy 0.568973 -2.939915) (xy 0.578289 -2.9437) (xy 0.587545 -2.946195) (xy 0.598576 -2.947684)
(xy 0.613215 -2.948453) (xy 0.633298 -2.948787) (xy 0.65009 -2.948905) (xy 0.713863 -2.949292)
(xy 0.757099 -2.970854) (xy 0.784646 -2.983943) (xy 0.807422 -2.992846) (xy 0.827769 -2.99797)
(xy 0.848029 -2.999722) (xy 0.870544 -2.998508) (xy 0.896115 -2.994984) (xy 0.915803 -2.991932)
(xy 0.931158 -2.990271) (xy 0.944142 -2.990362) (xy 0.956722 -2.992569) (xy 0.97086 -2.997254)
(xy 0.988522 -3.004781) (xy 1.011671 -3.015512) (xy 1.014535 -3.016855) (xy 1.027289 -3.022679)
(xy 1.0377 -3.026645) (xy 1.047907 -3.029111) (xy 1.060053 -3.030433) (xy 1.076279 -3.030966)
(xy 1.098726 -3.031067) (xy 1.10001 -3.031067) (xy 1.120786 -3.030778) (xy 1.138379 -3.029989)
(xy 1.151124 -3.028813) (xy 1.157358 -3.027366) (xy 1.157656 -3.027093) (xy 1.162431 -3.022994)
(xy 1.17229 -3.016441) (xy 1.182247 -3.010504) (xy 1.204383 -2.997888) (xy 1.248833 -3.008033)
(xy 1.283391 -3.015299) (xy 1.311667 -3.019738) (xy 1.335304 -3.021496) (xy 1.355948 -3.020723)
(xy 1.369035 -3.018842) (xy 1.397459 -3.011087) (xy 1.427191 -2.99884) (xy 1.453897 -2.983921)
(xy 1.456732 -2.98201) (xy 1.463402 -2.977778) (xy 1.47016 -2.974822) (xy 1.478695 -2.972883)
(xy 1.490693 -2.971701) (xy 1.507844 -2.971014) (xy 1.530815 -2.970577) (xy 1.554994 -2.970079)
(xy 1.572637 -2.969253) (xy 1.585677 -2.967818) (xy 1.596045 -2.965495) (xy 1.605671 -2.962004)
(xy 1.61314 -2.958651) (xy 1.630171 -2.948997) (xy 1.647481 -2.936629) (xy 1.655383 -2.92981)
(xy 1.674103 -2.912002) (xy 1.699593 -2.916437) (xy 1.715374 -2.918327) (xy 1.732988 -2.918512)
(xy 1.754821 -2.916939) (xy 1.773766 -2.914778) (xy 1.814517 -2.90825) (xy 1.84876 -2.899319)
(xy 1.878294 -2.887217) (xy 1.904919 -2.871173) (xy 1.930433 -2.850419) (xy 1.935628 -2.845571)
(xy 1.948013 -2.834044) (xy 1.956608 -2.827358) (xy 1.963673 -2.824431) (xy 1.971471 -2.824183)
(xy 1.978602 -2.825028) (xy 1.995622 -2.825548) (xy 2.017843 -2.823721) (xy 2.042483 -2.820018)
(xy 2.066759 -2.814911) (xy 2.087886 -2.808869) (xy 2.098358 -2.804781) (xy 2.128028 -2.786779)
(xy 2.152086 -2.762948) (xy 2.169791 -2.734321) (xy 2.180397 -2.701932) (xy 2.182527 -2.68775)
(xy 2.182883 -2.657704) (xy 2.178711 -2.626306) (xy 2.170628 -2.597425) (xy 2.166552 -2.587684)
(xy 2.157805 -2.569076) (xy 2.178288 -2.526369) (xy 2.190812 -2.497767) (xy 2.198315 -2.473581)
(xy 2.201074 -2.451625) (xy 2.199365 -2.429709) (xy 2.193526 -2.405849) (xy 2.186525 -2.387459)
(xy 2.176547 -2.366745) (xy 2.165728 -2.348133) (xy 2.165519 -2.347814) (xy 2.156608 -2.333678)
(xy 2.149892 -2.321886) (xy 2.1465 -2.314461) (xy 2.146299 -2.313406) (xy 2.147844 -2.306441)
(xy 2.151695 -2.295443) (xy 2.153166 -2.291809) (xy 2.156981 -2.279541) (xy 2.158353 -2.265214)
(xy 2.157544 -2.245862) (xy 2.157377 -2.243826) (xy 2.154116 -2.221352) (xy 2.14777 -2.199637)
(xy 2.137593 -2.177037) (xy 2.122842 -2.151909) (xy 2.10277 -2.122607) (xy 2.101905 -2.121407)
(xy 2.073245 -2.081698) (xy 2.078465 -2.059941) (xy 2.081034 -2.046506) (xy 2.081289 -2.034476)
(xy 2.079083 -2.020263) (xy 2.07638 -2.008634) (xy 2.06796 -1.979842) (xy 2.057489 -1.955473)
(xy 2.04365 -1.933471) (xy 2.025126 -1.911779) (xy 2.000599 -1.88834) (xy 1.997691 -1.885755)
(xy 1.987759 -1.876625) (xy 1.981799 -1.869188) (xy 1.978557 -1.860653) (xy 1.976783 -1.848231)
(xy 1.975849 -1.837072) (xy 1.973617 -1.81877) (xy 1.970158 -1.801352) (xy 1.966306 -1.788821)
(xy 1.950443 -1.759467) (xy 1.927851 -1.729257) (xy 1.899919 -1.699741) (xy 1.868038 -1.672468)
(xy 1.850758 -1.659959) (xy 1.833846 -1.64789) (xy 1.822489 -1.637802) (xy 1.814917 -1.627435)
(xy 1.809358 -1.614528) (xy 1.805599 -1.602371) (xy 1.7997 -1.585016) (xy 1.792395 -1.567845)
(xy 1.787877 -1.559162) (xy 1.77047 -1.535937) (xy 1.746484 -1.513205) (xy 1.717766 -1.49227)
(xy 1.686165 -1.474432) (xy 1.653529 -1.460994) (xy 1.644649 -1.458252) (xy 1.627588 -1.452983)
(xy 1.616944 -1.448155) (xy 1.610869 -1.442382) (xy 1.607515 -1.434273) (xy 1.606407 -1.429516)
(xy 1.596866 -1.403678) (xy 1.579698 -1.378982) (xy 1.55573 -1.356117) (xy 1.525789 -1.33577)
(xy 1.490702 -1.318631) (xy 1.46035 -1.307949) (xy 1.444917 -1.303113) (xy 1.432708 -1.298844)
(xy 1.425961 -1.295936) (xy 1.425466 -1.295589) (xy 1.427743 -1.292416) (xy 1.436055 -1.285366)
(xy 1.44937 -1.275224) (xy 1.466654 -1.262778) (xy 1.486849 -1.248832) (xy 1.52764 -1.220897)
(xy 1.562277 -1.196468) (xy 1.591868 -1.174694) (xy 1.617521 -1.154728) (xy 1.640343 -1.13572)
(xy 1.661442 -1.11682) (xy 1.671743 -1.107096) (xy 1.730178 -1.046164) (xy 1.781156 -0.982441)
(xy 1.825035 -0.915266) (xy 1.862178 -0.843979) (xy 1.892945 -0.767921) (xy 1.917696 -0.68643)
(xy 1.929829 -0.634562) (xy 1.936201 -0.601985) (xy 1.941448 -0.569733) (xy 1.945771 -0.536021)
(xy 1.949372 -0.499066) (xy 1.952454 -0.457082) (xy 1.955021 -0.412178) (xy 1.958316 -0.348105)
(xy 1.977591 -0.314811) (xy 1.987252 -0.298899) (xy 1.996106 -0.286887) (xy 2.006258 -0.276575)
(xy 2.019812 -0.265762) (xy 2.034541 -0.255257) (xy 2.060341 -0.235779) (xy 2.089278 -0.211447)
(xy 2.11935 -0.184137) (xy 2.148558 -0.155725) (xy 2.1749 -0.128088) (xy 2.195213 -0.104553)
(xy 2.233653 -0.053156) (xy 2.269714 0.002371) (xy 2.302734 0.060618) (xy 2.332047 0.120179)
(xy 2.356992 0.179646) (xy 2.376905 0.237611) (xy 2.391122 0.292667) (xy 2.396452 0.322409)
(xy 2.399231 0.339083) (xy 2.402102 0.35258) (xy 2.404565 0.360654) (xy 2.405196 0.361738)
(xy 2.406151 0.366893) (xy 2.406953 0.379224) (xy 2.407602 0.397519) (xy 2.4081 0.420563)
(xy 2.408447 0.447144) (xy 2.408644 0.476048) (xy 2.408692 0.506062) (xy 2.408591 0.535971)
(xy 2.408342 0.564564) (xy 2.407946 0.590626) (xy 2.407404 0.612944) (xy 2.406717 0.630305)
(xy 2.405884 0.641494) (xy 2.405021 0.645281) (xy 2.402278 0.650517) (xy 2.399555 0.661189)
(xy 2.398456 0.667756) (xy 2.395405 0.683811) (xy 2.390142 0.705684) (xy 2.38329 0.731213)
(xy 2.375469 0.758236) (xy 2.367301 0.784591) (xy 2.359407 0.808118) (xy 2.353696 0.823475)
(xy 2.32235 0.893107) (xy 2.283926 0.962684) (xy 2.239601 1.030272) (xy 2.190553 1.093934)
(xy 2.185906 1.099454) (xy 2.17463 1.113924) (xy 2.166683 1.126483) (xy 2.163278 1.135177)
(xy 2.163233 1.135893) (xy 2.162125 1.143197) (xy 2.159055 1.157194) (xy 2.154405 1.176444)
(xy 2.148557 1.199504) (xy 2.141893 1.224934) (xy 2.134794 1.251291) (xy 2.127642 1.277133)
(xy 2.120819 1.301019) (xy 2.114707 1.321507) (xy 2.11091 1.3335) (xy 2.106265 1.347193)
(xy 2.099326 1.367086) (xy 2.090714 1.391428) (xy 2.081047 1.418469) (xy 2.070946 1.44646)
(xy 2.068593 1.452941) (xy 2.054445 1.493081) (xy 2.043481 1.527093) (xy 2.035252 1.556497)
(xy 2.029308 1.582814) (xy 2.027868 1.590525) (xy 2.008033 1.678269) (xy 1.980092 1.763796)
(xy 1.944192 1.846794) (xy 1.900478 1.926948) (xy 1.849094 2.003943) (xy 1.817759 2.044655)
(xy 1.798047 2.067709) (xy 1.773567 2.094266) (xy 1.74614 2.122511) (xy 1.717586 2.15063)
(xy 1.689728 2.176809) (xy 1.664386 2.199234) (xy 1.653752 2.20805) (xy 1.614397 2.237844)
(xy 1.571359 2.267056) (xy 1.526629 2.294509) (xy 1.482201 2.319026) (xy 1.440068 2.33943)
(xy 1.411009 2.351387) (xy 1.393462 2.3588) (xy 1.376729 2.367311) (xy 1.364443 2.375071)
(xy 1.334333 2.397335) (xy 1.298556 2.422564) (xy 1.258849 2.449622) (xy 1.216951 2.47737)
(xy 1.174599 2.50467) (xy 1.133532 2.530383) (xy 1.095486 2.553373) (xy 1.067325 2.569639)
(xy 1.038755 2.585119) (xy 1.005678 2.602096) (xy 0.969915 2.619715) (xy 0.933284 2.63712)
(xy 0.897603 2.653455) (xy 0.864694 2.667864) (xy 0.836373 2.679491) (xy 0.823469 2.68437)
(xy 0.803409 2.691747) (xy 0.788271 2.697928) (xy 0.776009 2.704183) (xy 0.764574 2.711781)
(xy 0.751919 2.721991) (xy 0.735996 2.736083) (xy 0.727194 2.744051) (xy 0.672074 2.792149)
(xy 0.619444 2.834019) (xy 0.567714 2.870849) (xy 0.515291 2.90383) (xy 0.506392 2.909026)
(xy 0.463685 2.9337) (xy -0.002049 2.93369) (xy -0.467784 2.93368) (xy -0.504927 2.912846)
(xy -0.551153 2.885523) (xy -0.59491 2.856581) (xy -0.638057 2.824649) (xy -0.682459 2.788355)
(xy -0.725313 2.750572) (xy -0.743208 2.734888) (xy -0.760497 2.720727) (xy -0.775506 2.709393)
(xy -0.786563 2.702191) (xy -0.788893 2.701015) (xy -0.800158 2.696162) (xy -0.816771 2.689179)
(xy -0.836235 2.681111) (xy -0.850901 2.675097) (xy -0.943191 2.634912) (xy -1.032978 2.590526)
(xy -1.121746 2.541105) (xy -1.210983 2.485814) (xy -1.235335 2.469259) (xy -0.663798 2.469259)
(xy -0.658552 2.489747) (xy -0.651702 2.504456) (xy -0.630798 2.537549) (xy -0.602618 2.571902)
(xy -0.568118 2.606788) (xy -0.528253 2.641478) (xy -0.483978 2.675245) (xy -0.436248 2.707361)
(xy -0.386017 2.737099) (xy -0.33424 2.763731) (xy -0.281873 2.786528) (xy -0.281261 2.786769)
(xy -0.228312 2.805989) (xy -0.178469 2.820436) (xy -0.129216 2.830581) (xy -0.078035 2.836898)
(xy -0.022408 2.839861) (xy 0.003147 2.84022) (xy 0.033958 2.840207) (xy 0.058467 2.839763)
(xy 0.078837 2.838726) (xy 0.097227 2.836929) (xy 0.1158 2.83421) (xy 0.136716 2.830404)
(xy 0.139495 2.829865) (xy 0.217329 2.810647) (xy 0.294044 2.783821) (xy 0.368541 2.749988)
(xy 0.439717 2.709748) (xy 0.506473 2.663702) (xy 0.567707 2.61245) (xy 0.604343 2.576296)
(xy 0.633005 2.543908) (xy 0.654996 2.514092) (xy 0.671171 2.485547) (xy 0.681772 2.458927)
(xy 0.686688 2.442388) (xy 0.688775 2.430796) (xy 0.688319 2.421225) (xy 0.686285 2.41295)
(xy 0.674492 2.387877) (xy 0.654503 2.363567) (xy 0.626564 2.340168) (xy 0.590925 2.317824)
(xy 0.547831 2.296682) (xy 0.497532 2.276888) (xy 0.440273 2.258586) (xy 0.410146 2.250311)
(xy 0.363598 2.238818) (xy 0.319623 2.229514) (xy 0.276668 2.222242) (xy 0.233178 2.216846)
(xy 0.187598 2.213168) (xy 0.138374 2.211054) (xy 0.08395 2.210346) (xy 0.022772 2.210887)
(xy 0.01905 2.210953) (xy -0.019922 2.21175) (xy -0.052106 2.212679) (xy -0.079181 2.213854)
(xy -0.102824 2.215393) (xy -0.124715 2.21741) (xy -0.146533 2.220021) (xy -0.169955 2.223342)
(xy -0.177696 2.224518) (xy -0.20173 2.228264) (xy -0.222756 2.231645) (xy -0.239388 2.234432)
(xy -0.25024 2.23639) (xy -0.253896 2.23724) (xy -0.258567 2.238607) (xy -0.270066 2.241517)
(xy -0.286971 2.245623) (xy -0.307856 2.250578) (xy -0.32385 2.254311) (xy -0.384449 2.269983)
(xy -0.440529 2.287733) (xy -0.491546 2.307276) (xy -0.536953 2.328326) (xy -0.576207 2.350597)
(xy -0.608762 2.373803) (xy -0.634074 2.397659) (xy -0.651597 2.421878) (xy -0.654632 2.427816)
(xy -0.662457 2.449504) (xy -0.663798 2.469259) (xy -1.235335 2.469259) (xy -1.302173 2.423822)
(xy -1.314409 2.415113) (xy -1.339312 2.397318) (xy -1.358587 2.383679) (xy -1.373492 2.373428)
(xy -1.385287 2.365799) (xy -1.395231 2.360024) (xy -1.404584 2.355336) (xy -1.414606 2.350967)
(xy -1.426555 2.346151) (xy -1.4296 2.344943) (xy -1.496102 2.314521) (xy -1.562516 2.27626)
(xy -1.628058 2.230758) (xy -1.691945 2.178615) (xy -1.753391 2.120429) (xy -1.811614 2.056799)
(xy -1.820026 2.046816) (xy -1.867087 1.985202) (xy -1.90984 1.918855) (xy -1.947652 1.849139)
(xy -1.979893 1.777417) (xy -2.005929 1.705054) (xy -2.025129 1.633413) (xy -2.034076 1.585004)
(xy -2.039374 1.556727) (xy -2.04729 1.527134) (xy -2.058537 1.493683) (xy -2.063438 1.480474)
(xy -2.079734 1.436323) (xy -2.093234 1.398037) (xy -1.824066 1.398037) (xy -1.823996 1.4229)
(xy -1.823058 1.444591) (xy -1.82111 1.46575) (xy -1.81801 1.489014) (xy -1.816226 1.500716)
(xy -1.805987 1.55431) (xy -1.792006 1.609542) (xy -1.774848 1.66499) (xy -1.755077 1.719229)
(xy -1.733254 1.770837) (xy -1.709944 1.818391) (xy -1.68571 1.860467) (xy -1.661116 1.895643)
(xy -1.658719 1.89865) (xy -1.639603 1.921194) (xy -1.6167 1.946391) (xy -1.591459 1.9728)
(xy -1.56533 1.998978) (xy -1.539763 2.023485) (xy -1.516207 2.044878) (xy -1.496113 2.061716)
(xy -1.490134 2.06629) (xy -1.421281 2.112771) (xy -1.35096 2.151531) (xy -1.27964 2.18235)
(xy -1.207794 2.205007) (xy -1.180755 2.211366) (xy -1.162559 2.215163) (xy -1.147768 2.217884)
(xy -1.134393 2.219673) (xy -1.120447 2.220676) (xy -1.103942 2.221037) (xy -1.08289 2.2209)
(xy -1.056217 2.220427) (xy -1.027083 2.219614) (xy -1.004421 2.218325) (xy -0.986241 2.216352)
(xy -0.970555 2.213484) (xy -0.956764 2.209917) (xy -0.922363 2.197762) (xy -0.89277 2.182861)
(xy -0.869438 2.16601) (xy -0.86064 2.15718) (xy -0.843064 2.132174) (xy -0.827613 2.100493)
(xy -0.815012 2.063811) (xy -0.808311 2.036233) (xy -0.804396 2.015485) (xy -0.802001 1.997717)
(xy -0.800947 1.980027) (xy -0.801053 1.959515) (xy -0.802038 1.935304) (xy -0.803383 1.91336)
(xy -0.80506 1.893685) (xy -0.80687 1.87824) (xy -0.808613 1.868988) (xy -0.808866 1.868231)
(xy -0.81135 1.859327) (xy -0.814522 1.844662) (xy -0.817831 1.826856) (xy -0.819048 1.819583)
(xy -0.825858 1.785015) (xy -0.835602 1.748305) (xy -0.848764 1.707841) (xy -0.86583 1.662011)
(xy -0.868496 1.655233) (xy -0.899607 1.584343) (xy -0.934918 1.519095) (xy -0.975508 1.457848)
(xy -1.022454 1.398961) (xy -1.053793 1.36525) (xy -0.68153 1.36525) (xy -0.681193 1.39444)
(xy -0.679965 1.419233) (xy -0.677497 1.442165) (xy -0.67344 1.465775) (xy -0.667447 1.492599)
(xy -0.660032 1.521883) (xy -0.638686 1.58706) (xy -0.609815 1.649067) (xy -0.573905 1.707474)
(xy -0.531443 1.761847) (xy -0.482916 1.811756) (xy -0.42881 1.856768) (xy -0.369612 1.896451)
(xy -0.305808 1.930375) (xy -0.237885 1.958107) (xy -0.16633 1.979215) (xy -0.137455 1.985576)
(xy -0.110004 1.990248) (xy -0.078687 1.994267) (xy -0.046022 1.997419) (xy -0.014527 1.999495)
(xy 0.013278 2.000281) (xy 0.03175 1.999803) (xy 0.046384 1.998825) (xy 0.065668 1.997507)
(xy 0.068102 1.997338) (xy 0.766486 1.997338) (xy 0.76691 2.01488) (xy 0.767996 2.029212)
(xy 0.769854 2.042083) (xy 0.772599 2.055244) (xy 0.774754 2.064156) (xy 0.787211 2.105658)
(xy 0.801891 2.139071) (xy 0.818836 2.164485) (xy 0.824907 2.171107) (xy 0.846623 2.188568)
(xy 0.874671 2.204656) (xy 0.90693 2.218456) (xy 0.941277 2.229052) (xy 0.973666 2.235285)
(xy 0.996505 2.237335) (xy 1.024705 2.238481) (xy 1.055362 2.238732) (xy 1.085574 2.238095)
(xy 1.11244 2.236578) (xy 1.128622 2.23487) (xy 1.146578 2.231705) (xy 1.169318 2.226736)
(xy 1.193557 2.220721) (xy 1.210547 2.216033) (xy 1.272878 2.195811) (xy 1.329898 2.172612)
(xy 1.383029 2.145566) (xy 1.433696 2.113804) (xy 1.48332 2.076457) (xy 1.533326 2.032654)
(xy 1.571476 1.995509) (xy 1.617944 1.946781) (xy 1.657756 1.901003) (xy 1.691646 1.856985)
(xy 1.720343 1.813536) (xy 1.744577 1.769466) (xy 1.765081 1.723585) (xy 1.782585 1.674702)
(xy 1.795432 1.630717) (xy 1.807512 1.574584) (xy 1.81547 1.513395) (xy 1.819184 1.449554)
(xy 1.818533 1.385468) (xy 1.813393 1.323542) (xy 1.811645 1.310422) (xy 1.801114 1.247662)
(xy 1.788462 1.192365) (xy 1.773436 1.143732) (xy 1.755778 1.100968) (xy 1.735233 1.063275)
(xy 1.729659 1.054615) (xy 1.708564 1.028067) (xy 1.683858 1.007156) (xy 1.654413 0.991233)
(xy 1.619103 0.979651) (xy 1.588294 0.973456) (xy 1.562636 0.972128) (xy 1.53167 0.975013)
(xy 1.497158 0.981666) (xy 1.460864 0.991643) (xy 1.424551 1.0045) (xy 1.389982 1.019793)
(xy 1.376389 1.026845) (xy 1.315358 1.06375) (xy 1.252575 1.108868) (xy 1.188105 1.162141)
(xy 1.122014 1.223514) (xy 1.054371 1.292929) (xy 1.025005 1.325033) (xy 0.976213 1.384787)
(xy 0.930753 1.451386) (xy 0.889206 1.523669) (xy 0.852152 1.600474) (xy 0.82017 1.68064)
(xy 0.79384 1.763005) (xy 0.780654 1.814468) (xy 0.776271 1.834483) (xy 0.773028 1.852562)
(xy 0.770709 1.870811) (xy 0.769097 1.891332) (xy 0.767977 1.916231) (xy 0.767176 1.945623)
(xy 0.766612 1.974836) (xy 0.766486 1.997338) (xy 0.068102 1.997338) (xy 0.085808 1.996109)
(xy 0.0889 1.995892) (xy 0.148999 1.988187) (xy 0.211378 1.973699) (xy 0.27424 1.953066)
(xy 0.33579 1.926928) (xy 0.394232 1.895922) (xy 0.42583 1.876072) (xy 0.485767 1.831175)
(xy 0.538957 1.781215) (xy 0.585316 1.726302) (xy 0.624763 1.666543) (xy 0.657213 1.602048)
(xy 0.679055 1.544132) (xy 0.691798 1.500628) (xy 0.7003 1.460523) (xy 0.705018 1.420561)
(xy 0.706412 1.377484) (xy 0.705983 1.353011) (xy 0.699973 1.281237) (xy 0.686427 1.213093)
(xy 0.665227 1.148312) (xy 0.636255 1.086624) (xy 0.599392 1.02776) (xy 0.554521 0.971451)
(xy 0.52492 0.939996) (xy 0.469057 0.889809) (xy 0.408315 0.846242) (xy 0.34332 0.80948)
(xy 0.274694 0.779708) (xy 0.203064 0.757111) (xy 0.129052 0.741875) (xy 0.053284 0.734184)
(xy -0.023617 0.734224) (xy -0.101026 0.74218) (xy -0.127 0.746655) (xy -0.200983 0.764499)
(xy -0.271123 0.788953) (xy -0.337043 0.819596) (xy -0.398369 0.856009) (xy -0.454724 0.897773)
(xy -0.505731 0.944468) (xy -0.551015 0.995675) (xy -0.590199 1.050973) (xy -0.622908 1.109943)
(xy -0.648764 1.172166) (xy -0.667393 1.237222) (xy -0.678417 1.304691) (xy -0.68153 1.36525)
(xy -1.053793 1.36525) (xy -1.072265 1.345381) (xy -1.130542 1.289888) (xy -1.189429 1.240179)
(xy -1.24849 1.196452) (xy -1.307286 1.158909) (xy -1.36538 1.12775) (xy -1.422336 1.103174)
(xy -1.477714 1.085382) (xy -1.531079 1.074574) (xy -1.581992 1.07095) (xy -1.630017 1.07471)
(xy -1.653335 1.079555) (xy -1.687839 1.090044) (xy -1.715547 1.102711) (xy -1.738085 1.118858)
(xy -1.757079 1.139782) (xy -1.774154 1.166783) (xy -1.782336 1.182673) (xy -1.795531 1.211227)
(xy -1.805534 1.237011) (xy -1.812814 1.262133) (xy -1.817839 1.288702) (xy -1.821079 1.318829)
(xy -1.823003 1.354621) (xy -1.823411 1.367366) (xy -1.824066 1.398037) (xy -2.093234 1.398037)
(xy -2.095836 1.390658) (xy -2.111268 1.34496) (xy -2.125556 1.300712) (xy -2.138225 1.259395)
(xy -2.148801 1.222492) (xy -2.156807 1.191485) (xy -2.158712 1.183216) (xy -2.165213 1.156063)
(xy -2.171367 1.134521) (xy -2.176861 1.119598) (xy -2.180353 1.113366) (xy -2.197919 1.090976)
(xy -2.217215 1.065542) (xy -2.236854 1.038965) (xy -2.255455 1.013142) (xy -2.271631 0.989972)
(xy -2.283999 0.971354) (xy -2.284775 0.97013) (xy -2.311798 0.923751) (xy -2.336912 0.873745)
(xy -2.359385 0.821977) (xy -2.378486 0.770308) (xy -2.39348 0.720603) (xy -2.403636 0.674725)
(xy -2.405464 0.663166) (xy -2.408661 0.645408) (xy -2.412256 0.633123) (xy -2.415814 0.627796)
(xy -2.415834 0.627789) (xy -2.417455 0.623113) (xy -2.418834 0.611286) (xy -2.419964 0.593605)
(xy -2.420844 0.571367) (xy -2.421467 0.545868) (xy -2.421831 0.518406) (xy -2.42193 0.490277)
(xy -2.421772 0.464853) (xy -2.179734 0.464853) (xy -2.179295 0.493061) (xy -2.179006 0.505883)
(xy -2.178142 0.536906) (xy -2.177063 0.561548) (xy -2.175548 0.581892) (xy -2.173377 0.600023)
(xy -2.17033 0.618025) (xy -2.166185 0.637983) (xy -2.164007 0.647699) (xy -2.144914 0.720227)
(xy -2.122072 0.78571) (xy -2.095132 0.844854) (xy -2.063747 0.89837) (xy -2.027568 0.946965)
(xy -2.003466 0.974) (xy -1.980101 0.997127) (xy -1.960765 1.013102) (xy -1.945232 1.022094)
(xy -1.93545 1.02437) (xy -1.928181 1.023446) (xy -1.916397 1.021007) (xy -1.911144 1.019739)
(xy -1.890099 1.010435) (xy -1.868506 0.993312) (xy -1.846767 0.968966) (xy -1.825279 0.93799)
(xy -1.804445 0.90098) (xy -1.784663 0.858532) (xy -1.766333 0.811238) (xy -1.761557 0.797331)
(xy -1.738227 0.722137) (xy -1.717693 0.644171) (xy -1.699627 0.561975) (xy -1.683704 0.474092)
(xy -1.672181 0.397933) (xy -1.66609 0.344004) (xy -1.66208 0.285928) (xy -1.661274 0.260349)
(xy -1.445305 0.260349) (xy -1.445245 0.290228) (xy -1.444808 0.313738) (xy -1.443818 0.332974)
(xy -1.442098 0.350031) (xy -1.439471 0.367004) (xy -1.435761 0.385988) (xy -1.434039 0.394129)
(xy -1.416865 0.460753) (xy -1.395075 0.520955) (xy -1.36815 0.575719) (xy -1.335575 0.626033)
(xy -1.296834 0.672879) (xy -1.277459 0.692887) (xy -1.236431 0.730096) (xy -1.194244 0.761437)
(xy -1.149423 0.787692) (xy -1.100497 0.809639) (xy -1.045993 0.82806) (xy -0.999067 0.840387)
(xy -0.981306 0.844383) (xy -0.966142 0.847146) (xy -0.951413 0.84884) (xy -0.934956 0.849629)
(xy -0.914609 0.849677) (xy -0.88821 0.849148) (xy -0.884767 0.849059) (xy -0.850053 0.847726)
(xy -0.820913 0.845525) (xy -0.794472 0.84216) (xy -0.767856 0.837332) (xy -0.763823 0.836495)
(xy -0.685807 0.815839) (xy -0.611171 0.787457) (xy -0.540095 0.751459) (xy -0.472756 0.707956)
(xy -0.409333 0.657058) (xy -0.350005 0.598877) (xy -0.313294 0.556683) (xy -0.270176 0.498512)
(xy -0.232077 0.436002) (xy -0.199357 0.370234) (xy -0.172375 0.302289) (xy -0.151491 0.233246)
(xy -0.137065 0.164188) (xy -0.129457 0.096193) (xy -0.129166 0.051606) (xy 0.153189 0.051606)
(xy 0.160712 0.126517) (xy 0.176381 0.201893) (xy 0.20016 0.277424) (xy 0.232012 0.352799)
(xy 0.243869 0.376766) (xy 0.286186 0.450444) (xy 0.334623 0.518329) (xy 0.389033 0.580274)
(xy 0.449267 0.636131) (xy 0.515178 0.685753) (xy 0.586615 0.728993) (xy 0.611716 0.742005)
(xy 0.677452 0.770742) (xy 0.745109 0.792695) (xy 0.813571 0.807667) (xy 0.881722 0.815462)
(xy 0.948443 0.815881) (xy 1.000631 0.810685) (xy 1.032331 0.804441) (xy 1.067858 0.795022)
(xy 1.104368 0.783361) (xy 1.139021 0.770387) (xy 1.168972 0.757032) (xy 1.176866 0.752956)
(xy 1.230605 0.719513) (xy 1.281055 0.679218) (xy 1.327043 0.633277) (xy 1.367399 0.582896)
(xy 1.40095 0.52928) (xy 1.408718 0.514349) (xy 1.416877 0.495699) (xy 1.426106 0.470936)
(xy 1.435743 0.442233) (xy 1.445127 0.411765) (xy 1.453598 0.381707) (xy 1.460493 0.354232)
(xy 1.465151 0.331516) (xy 1.466012 0.325966) (xy 1.468271 0.302973) (xy 1.469718 0.274031)
(xy 1.470359 0.241617) (xy 1.470199 0.20821) (xy 1.469242 0.176288) (xy 1.467492 0.148327)
(xy 1.46645 0.138741) (xy 1.65825 0.138741) (xy 1.658331 0.176519) (xy 1.662936 0.296651)
(xy 1.674078 0.41251) (xy 1.69198 0.525461) (xy 1.716862 0.636869) (xy 1.748946 0.7481)
(xy 1.753023 0.760685) (xy 1.76606 0.797573) (xy 1.780887 0.834586) (xy 1.796705 0.870012)
(xy 1.812711 0.902134) (xy 1.828104 0.929238) (xy 1.840204 0.94718) (xy 1.860248 0.970099)
(xy 1.881423 0.987851) (xy 1.90254 0.999716) (xy 1.92241 1.004974) (xy 1.934633 1.004401)
(xy 1.945971 1.00108) (xy 1.958738 0.996043) (xy 1.959124 0.995867) (xy 1.972121 0.987232)
(xy 1.988198 0.972355) (xy 2.006424 0.952454) (xy 2.02587 0.928746) (xy 2.045608 0.902448)
(xy 2.064708 0.874777) (xy 2.082241 0.846949) (xy 2.097278 0.820183) (xy 2.104677 0.805222)
(xy 2.135411 0.729373) (xy 2.157816 0.652039) (xy 2.171884 0.573249) (xy 2.176016 0.53004)
(xy 2.177406 0.450072) (xy 2.170821 0.372188) (xy 2.156403 0.296773) (xy 2.134292 0.224212)
(xy 2.10463 0.154888) (xy 2.067556 0.089187) (xy 2.023212 0.027492) (xy 1.971739 -0.029812)
(xy 1.970303 -0.031244) (xy 1.943052 -0.056835) (xy 1.913889 -0.081577) (xy 1.884219 -0.104461)
(xy 1.855448 -0.124474) (xy 1.828982 -0.140606) (xy 1.806227 -0.151846) (xy 1.798708 -0.154669)
(xy 1.786685 -0.158385) (xy 1.778167 -0.159393) (xy 1.769685 -0.157362) (xy 1.757772 -0.151962)
(xy 1.75472 -0.150471) (xy 1.736936 -0.14017) (xy 1.722309 -0.127628) (xy 1.709998 -0.111553)
(xy 1.699158 -0.090654) (xy 1.688946 -0.063639) (xy 1.680823 -0.037316) (xy 1.672517 -0.005408)
(xy 1.666294 0.026373) (xy 1.661966 0.059806) (xy 1.659347 0.096669) (xy 1.65825 0.138741)
(xy 1.46645 0.138741) (xy 1.465633 0.131233) (xy 1.452329 0.062225) (xy 1.431868 -0.008176)
(xy 1.404929 -0.078147) (xy 1.372186 -0.145864) (xy 1.346003 -0.191226) (xy 1.303704 -0.252362)
(xy 1.254462 -0.311087) (xy 1.199762 -0.365787) (xy 1.141092 -0.414853) (xy 1.136858 -0.418047)
(xy 1.103958 -0.440909) (xy 1.066927 -0.463606) (xy 1.027659 -0.485182) (xy 0.988046 -0.504683)
(xy 0.949982 -0.521156) (xy 0.91536 -0.533646) (xy 0.897466 -0.538722) (xy 0.865474 -0.546635)
(xy 0.840142 -0.552787) (xy 0.819897 -0.557397) (xy 0.803166 -0.560681) (xy 0.788377 -0.562857)
(xy 0.773957 -0.564144) (xy 0.758333 -0.56476) (xy 0.739933 -0.564921) (xy 0.717184 -0.564845)
(xy 0.709083 -0.564808) (xy 0.68069 -0.564629) (xy 0.658761 -0.564216) (xy 0.641297 -0.563342)
(xy 0.626294 -0.561781) (xy 0.611751 -0.559308) (xy 0.595667 -0.555694) (xy 0.576041 -0.550715)
(xy 0.569612 -0.549038) (xy 0.507211 -0.5294) (xy 0.450613 -0.504368) (xy 0.398577 -0.473247)
(xy 0.349865 -0.435343) (xy 0.324351 -0.411658) (xy 0.283631 -0.367659) (xy 0.249391 -0.3216)
(xy 0.220726 -0.271951) (xy 0.196733 -0.217182) (xy 0.179867 -0.167232) (xy 0.16273 -0.095579)
(xy 0.15385 -0.02253) (xy 0.153189 0.051606) (xy -0.129166 0.051606) (xy -0.129027 0.030343)
(xy -0.130626 0.008139) (xy -0.137182 -0.047612) (xy -0.146046 -0.097136) (xy -0.157741 -0.142486)
(xy -0.172792 -0.185713) (xy -0.190583 -0.226484) (xy -0.222008 -0.28285) (xy -0.260378 -0.33522)
(xy -0.304856 -0.382851) (xy -0.354604 -0.425) (xy -0.408787 -0.460926) (xy -0.466566 -0.489885)
(xy -0.503303 -0.50384) (xy -0.517518 -0.50793) (xy -0.536704 -0.512504) (xy -0.559365 -0.517306)
(xy -0.584005 -0.522077) (xy -0.609131 -0.52656) (xy -0.633246 -0.530498) (xy -0.654856 -0.533633)
(xy -0.672465 -0.535709) (xy -0.684578 -0.536468) (xy -0.689456 -0.535874) (xy -0.6946 -0.534796)
(xy -0.70642 -0.53321) (xy -0.72316 -0.531329) (xy -0.743061 -0.529367) (xy -0.74308 -0.529365)
(xy -0.818928 -0.518149) (xy -0.892768 -0.498786) (xy -0.964304 -0.471421) (xy -1.033241 -0.4362)
(xy -1.099283 -0.393266) (xy -1.162132 -0.342765) (xy -1.202806 -0.304267) (xy -1.26021 -0.240698)
(xy -1.310033 -0.173404) (xy -1.352376 -0.102198) (xy -1.38734 -0.026894) (xy -1.415027 0.052695)
(xy -1.431512 0.117295) (xy -1.436405 0.14038) (xy -1.439973 0.159379) (xy -1.442425 0.176486)
(xy -1.443975 0.193892) (xy -1.444833 0.213791) (xy -1.445211 0.238375) (xy -1.445305 0.260349)
(xy -1.661274 0.260349) (xy -1.660201 0.226342) (xy -1.660504 0.167881) (xy -1.663042 0.113181)
(xy -1.66598 0.080292) (xy -1.673248 0.027897) (xy -1.682722 -0.016808) (xy -1.694575 -0.054352)
(xy -1.708978 -0.085264) (xy -1.726104 -0.110073) (xy -1.729123 -0.113528) (xy -1.747617 -0.129582)
(xy -1.767568 -0.137999) (xy -1.789554 -0.138797) (xy -1.81415 -0.131992) (xy -1.841933 -0.117599)
(xy -1.843343 -0.116725) (xy -1.900495 -0.07722) (xy -1.95379 -0.032642) (xy -2.002217 0.015942)
(xy -2.044768 0.067465) (xy -2.08043 0.12086) (xy -2.097003 0.151157) (xy -2.131056 0.227611)
(xy -2.156712 0.304931) (xy -2.17186 0.37106) (xy -2.175122 0.390026) (xy -2.177437 0.406936)
(xy -2.178908 0.423743) (xy -2.179639 0.442397) (xy -2.179734 0.464853) (xy -2.421772 0.464853)
(xy -2.421759 0.462779) (xy -2.421316 0.437207) (xy -2.420596 0.41486) (xy -2.419593 0.397034)
(xy -2.418305 0.385025) (xy -2.416726 0.380131) (xy -2.416659 0.380102) (xy -2.414158 0.375592)
(xy -2.410537 0.364274) (xy -2.40621 0.347669) (xy -2.401595 0.327293) (xy -2.399746 0.318354)
(xy -2.384225 0.252591) (xy -2.364537 0.190308) (xy -2.339614 0.128398) (xy -2.32005 0.086783)
(xy -2.278518 0.01049) (xy -2.23229 -0.060081) (xy -2.181781 -0.124426) (xy -2.127404 -0.182038)
(xy -2.069572 -0.232412) (xy -2.042584 -0.252546) (xy -2.023801 -0.266175) (xy -2.010399 -0.276965)
(xy -2.000645 -0.286665) (xy -1.992804 -0.297025) (xy -1.985141 -0.309794) (xy -1.984267 -0.311363)
(xy -1.976337 -0.326367) (xy -1.970101 -0.339486) (xy -1.966749 -0.348189) (xy -1.966571 -0.348945)
(xy -1.963919 -0.365471) (xy -1.961015 -0.388577) (xy -1.958049 -0.416387) (xy -1.955393 -0.445099)
(xy -1.7018 -0.445099) (xy -1.701705 -0.420385) (xy -1.701297 -0.402626) (xy -1.7004 -0.390311)
(xy -1.698834 -0.381931) (xy -1.696421 -0.375974) (xy -1.693027 -0.370988) (xy -1.682374 -0.362666)
(xy -1.667402 -0.359893) (xy -1.647397 -0.36266) (xy -1.623512 -0.370253) (xy -1.598524 -0.380445)
(xy -1.572392 -0.392758) (xy -1.54434 -0.407664) (xy -1.513593 -0.425632) (xy -1.479375 -0.447133)
(xy -1.440911 -0.472636) (xy -1.397425 -0.502613) (xy -1.350953 -0.535521) (xy -1.302552 -0.571254)
(xy -1.250695 -0.611556) (xy -1.196523 -0.655421) (xy -1.141174 -0.701844) (xy -1.085787 -0.749819)
(xy -1.031503 -0.79834) (xy -0.979459 -0.846401) (xy -0.930795 -0.892997) (xy -0.886651 -0.937121)
(xy -0.871244 -0.953394) (xy -0.61674 -0.953394) (xy -0.615326 -0.920165) (xy -0.606274 -0.885765)
(xy -0.589812 -0.850837) (xy -0.566174 -0.816027) (xy -0.538475 -0.784847) (xy -0.495261 -0.747039)
(xy -0.444878 -0.713089) (xy -0.387786 -0.68317) (xy -0.324439 -0.657458) (xy -0.255296 -0.636129)
(xy -0.180812 -0.619357) (xy -0.101445 -0.607317) (xy -0.065617 -0.603611) (xy -0.042109 -0.601631)
(xy -0.021499 -0.600264) (xy -0.002127 -0.599522) (xy 0.017669 -0.59942) (xy 0.03955 -0.59997)
(xy 0.065178 -0.601186) (xy 0.096215 -0.603081) (xy 0.127 -0.60516) (xy 0.194666 -0.612048)
(xy 0.261785 -0.623113) (xy 0.326519 -0.637927) (xy 0.387031 -0.656062) (xy 0.441484 -0.677091)
(xy 0.447488 -0.679772) (xy 0.488458 -0.701046) (xy 0.52644 -0.725997) (xy 0.560383 -0.753659)
(xy 0.589236 -0.783064) (xy 0.611949 -0.813244) (xy 0.627471 -0.843232) (xy 0.628828 -0.846848)
(xy 0.638903 -0.88601) (xy 0.640852 -0.924914) (xy 0.634621 -0.963712) (xy 0.620156 -1.002554)
(xy 0.597402 -1.04159) (xy 0.566306 -1.080971) (xy 0.53238 -1.115673) (xy 0.496937 -1.145918)
(xy 0.742675 -1.145918) (xy 0.745039 -1.134637) (xy 0.745174 -1.134134) (xy 0.753701 -1.112462)
(xy 0.768665 -1.086205) (xy 0.789675 -1.055791) (xy 0.816343 -1.021645) (xy 0.84828 -0.984195)
(xy 0.885097 -0.943868) (xy 0.926405 -0.901089) (xy 0.971816 -0.856285) (xy 1.02094 -0.809884)
(xy 1.073388 -0.762311) (xy 1.126066 -0.71631) (xy 1.196009 -0.657322) (xy 1.26311 -0.602704)
(xy 1.327043 -0.552685) (xy 1.387479 -0.507494) (xy 1.44409 -0.46736) (xy 1.496549 -0.432512)
(xy 1.544528 -0.403179) (xy 1.587699 -0.379589) (xy 1.625733 -0.361971) (xy 1.643352 -0.355253)
(xy 1.667723 -0.347577) (xy 1.686122 -0.343874) (xy 1.700011 -0.344056) (xy 1.710848 -0.34804)
(xy 1.715089 -0.351025) (xy 1.718892 -0.354423) (xy 1.721564 -0.358356) (xy 1.723283 -0.364233)
(xy 1.724227 -0.373465) (xy 1.724576 -0.38746) (xy 1.724507 -0.407628) (xy 1.724331 -0.42405)
(xy 1.723027 -0.468803) (xy 1.71996 -0.50954) (xy 1.714748 -0.548509) (xy 1.707008 -0.587958)
(xy 1.696357 -0.630132) (xy 1.682413 -0.677279) (xy 1.679734 -0.6858) (xy 1.65312 -0.756423)
(xy 1.619268 -0.822834) (xy 1.578034 -0.885264) (xy 1.529273 -0.943941) (xy 1.494376 -0.979319)
(xy 1.445677 -1.020705) (xy 1.390446 -1.058859) (xy 1.330261 -1.09298) (xy 1.266698 -1.122265)
(xy 1.201338 -1.145914) (xy 1.135756 -1.163124) (xy 1.130507 -1.164206) (xy 1.069688 -1.174023)
(xy 1.004153 -1.180183) (xy 0.93688 -1.182565) (xy 0.870845 -1.181051) (xy 0.819149 -1.176752)
(xy 0.79085 -1.173257) (xy 0.770041 -1.169836) (xy 0.755772 -1.16594) (xy 0.747089 -1.161021)
(xy 0.743041 -1.15453) (xy 0.742675 -1.145918) (xy 0.496937 -1.145918) (xy 0.485771 -1.155446)
(xy 0.434662 -1.191087) (xy 0.378244 -1.223027) (xy 0.31571 -1.251698) (xy 0.246249 -1.277531)
(xy 0.204139 -1.290885) (xy 0.17196 -1.300076) (xy 0.143475 -1.306999) (xy 0.116447 -1.31196)
(xy 0.088637 -1.315267) (xy 0.057809 -1.317225) (xy 0.021726 -1.318143) (xy 0.004233 -1.318292)
(xy -0.025217 -1.318314) (xy -0.048463 -1.317919) (xy -0.067768 -1.316911) (xy -0.085393 -1.315099)
(xy -0.103597 -1.312288) (xy -0.124643 -1.308285) (xy -0.13335 -1.306515) (xy -0.196404 -1.291658)
(xy -0.253566 -1.273868) (xy -0.307179 -1.25218) (xy -0.359587 -1.22563) (xy -0.413132 -1.193255)
(xy -0.420769 -1.188258) (xy -0.469836 -1.153329) (xy -0.512725 -1.117504) (xy -0.548977 -1.081276)
(xy -0.578132 -1.045139) (xy -0.59973 -1.009587) (xy -0.610282 -0.984809) (xy -0.61674 -0.953394)
(xy -0.871244 -0.953394) (xy -0.848166 -0.977769) (xy -0.840734 -0.985964) (xy -0.813016 -1.01728)
(xy -0.790475 -1.04394) (xy -0.772196 -1.067168) (xy -0.757264 -1.088187) (xy -0.744764 -1.108223)
(xy -0.733782 -1.128499) (xy -0.732867 -1.130318) (xy -0.724881 -1.147081) (xy -0.720243 -1.160124)
(xy -0.719662 -1.170051) (xy -0.72385 -1.177466) (xy -0.733517 -1.182975) (xy -0.749374 -1.187182)
(xy -0.772131 -1.190692) (xy -0.802499 -1.194109) (xy -0.808567 -1.194735) (xy -0.891084 -1.199713)
(xy -0.972866 -1.197863) (xy -1.053051 -1.189371) (xy -1.13078 -1.174419) (xy -1.205192 -1.153194)
(xy -1.275427 -1.12588) (xy -1.340623 -1.092661) (xy -1.370806 -1.074064) (xy -1.433872 -1.028295)
(xy -1.489973 -0.978141) (xy -1.539341 -0.923271) (xy -1.582213 -0.863351) (xy -1.618823 -0.798052)
(xy -1.649404 -0.727041) (xy -1.674193 -0.649987) (xy -1.676204 -0.642534) (xy -1.689438 -0.584966)
(xy -1.697679 -0.529362) (xy -1.701437 -0.4718) (xy -1.7018 -0.445099) (xy -1.955393 -0.445099)
(xy -1.955214 -0.447026) (xy -1.952701 -0.47862) (xy -1.951416 -0.497417) (xy -1.949113 -0.530923)
(xy -1.946729 -0.55836) (xy -1.943927 -0.582122) (xy -1.94037 -0.604603) (xy -1.93572 -0.628198)
(xy -1.929641 -0.655299) (xy -1.928447 -0.6604) (xy -1.905499 -0.743475) (xy -1.87683 -0.821101)
(xy -1.842099 -0.89376) (xy -1.800967 -0.961934) (xy -1.753092 -1.026105) (xy -1.698134 -1.086756)
(xy -1.635753 -1.144368) (xy -1.565608 -1.199424) (xy -1.530351 -1.224222) (xy -1.509902 -1.237935)
(xy -1.489002 -1.251609) (xy -1.470149 -1.263628) (xy -1.456267 -1.272128) (xy -1.442796 -1.280126)
(xy -1.432395 -1.286409) (xy -1.427022 -1.289792) (xy -1.426756 -1.289988) (xy -1.427453 -1.292997)
(xy -1.435378 -1.297528) (xy -1.450877 -1.303742) (xy -1.471084 -1.310741) (xy -1.511028 -1.32584)
(xy -1.543541 -1.342104) (xy -1.561802 -1.354209) (xy -1.573112 -1.365094) (xy -1.585479 -1.38049)
(xy -1.597098 -1.397736) (xy -1.606167 -1.414172) (xy -1.610733 -1.426401) (xy -1.613875 -1.43563)
(xy -1.619676 -1.443018) (xy -1.629609 -1.449611) (xy -1.645152 -1.456456) (xy -1.661584 -1.462456)
(xy -1.705192 -1.480842) (xy -1.741457 -1.503107) (xy -1.77072 -1.52957) (xy -1.793319 -1.560548)
(xy -1.809593 -1.59636) (xy -1.811916 -1.603471) (xy -1.816941 -1.618409) (xy -1.82175 -1.630494)
(xy -1.825195 -1.63694) (xy -1.830604 -1.641777) (xy -1.841149 -1.649981) (xy -1.854978 -1.660137)
(xy -1.862149 -1.665225) (xy -1.890203 -1.687133) (xy -1.916513 -1.71185) (xy -1.93939 -1.737562)
(xy -1.957148 -1.762456) (xy -1.963764 -1.774403) (xy -1.97513 -1.804582) (xy -1.981489 -1.834764)
(xy -1.986071 -1.868245) (xy -2.018861 -1.900381) (xy -2.040174 -1.92296) (xy -2.055828 -1.943751)
(xy -2.065912 -1.961543) (xy -2.072191 -1.974943) (xy -2.076413 -1.986341) (xy -2.079084 -1.998185)
(xy -2.080707 -2.012925) (xy -2.081788 -2.033009) (xy -2.082058 -2.039803) (xy -2.083943 -2.089036)
(xy -2.110579 -2.126132) (xy -2.123154 -2.144435) (xy -2.135095 -2.16319) (xy -2.144659 -2.179596)
(xy -2.148381 -2.186829) (xy -2.158865 -2.218037) (xy -2.162544 -2.251402) (xy -2.159184 -2.284096)
(xy -2.157146 -2.292076) (xy -2.14997 -2.316759) (xy -2.165295 -2.340538) (xy -2.186273 -2.377371)
(xy -2.199643 -2.411511) (xy -2.204023 -2.435889) (xy -2.019306 -2.435889) (xy -2.016524 -2.42827)
(xy -2.008998 -2.416152) (xy -1.997939 -2.401057) (xy -1.984559 -2.384505) (xy -1.97007 -2.368015)
(xy -1.955683 -2.353107) (xy -1.946595 -2.344666) (xy -1.91506 -2.316629) (xy -1.890272 -2.29353)
(xy -1.872155 -2.275293) (xy -1.860635 -2.261841) (xy -1.855637 -2.253095) (xy -1.855584 -2.250401)
(xy -1.86357 -2.240989) (xy -1.879096 -2.234879) (xy -1.902255 -2.232048) (xy -1.933142 -2.232476)
(xy -1.933759 -2.232513) (xy -1.977334 -2.235142) (xy -1.96361 -2.215063) (xy -1.954058 -2.202586)
(xy -1.940093 -2.186783) (xy -1.921284 -2.167214) (xy -1.897196 -2.143439) (xy -1.867398 -2.115018)
(xy -1.839223 -2.088703) (xy -1.82338 -2.073777) (xy -1.810044 -2.060766) (xy -1.80033 -2.050792)
(xy -1.795352 -2.044978) (xy -1.794934 -2.044107) (xy -1.798894 -2.03878) (xy -1.809685 -2.033892)
(xy -1.825671 -2.029871) (xy -1.845214 -2.027145) (xy -1.863519 -2.026165) (xy -1.900355 -2.02565)
(xy -1.886803 -2.011484) (xy -1.870397 -1.995668) (xy -1.848339 -1.976382) (xy -1.822064 -1.954788)
(xy -1.793008 -1.932049) (xy -1.762605 -1.909324) (xy -1.7443 -1.89617) (xy -1.723622 -1.881499)
(xy -1.709018 -1.870853) (xy -1.69964 -1.863382) (xy -1.694639 -1.858235) (xy -1.69317 -1.85456)
(xy -1.694383 -1.851506) (xy -1.696987 -1.848666) (xy -1.703424 -1.844304) (xy -1.713813 -1.840956)
(xy -1.729785 -1.838216) (xy -1.746901 -1.836268) (xy -1.764957 -1.8342) (xy -1.779798 -1.832011)
(xy -1.78943 -1.83002) (xy -1.791913 -1.829026) (xy -1.790592 -1.825032) (xy -1.78265 -1.817619)
(xy -1.768943 -1.807333) (xy -1.75033 -1.794723) (xy -1.727669 -1.780335) (xy -1.701817 -1.764717)
(xy -1.673633 -1.748416) (xy -1.643974 -1.731978) (xy -1.618646 -1.718516) (xy -1.526905 -1.670757)
(xy -1.538222 -1.661022) (xy -1.549843 -1.653785) (xy -1.566326 -1.64671) (xy -1.584136 -1.641071)
(xy -1.599742 -1.638143) (xy -1.602027 -1.638003) (xy -1.613588 -1.636145) (xy -1.619251 -1.634377)
(xy -1.621013 -1.630965) (xy -1.615419 -1.625417) (xy -1.603218 -1.618049) (xy -1.585156 -1.609181)
(xy -1.56198 -1.59913) (xy -1.534439 -1.588215) (xy -1.503279 -1.576753) (xy -1.469248 -1.565064)
(xy -1.433094 -1.553464) (xy -1.419225 -1.549227) (xy -1.398131 -1.542716) (xy -1.380257 -1.5369)
(xy -1.367013 -1.53226) (xy -1.359812 -1.529282) (xy -1.358916 -1.528586) (xy -1.360931 -1.523683)
(xy -1.365879 -1.514752) (xy -1.367089 -1.51274) (xy -1.378396 -1.500733) (xy -1.39725 -1.488886)
(xy -1.401933 -1.486554) (xy -1.428619 -1.473746) (xy -1.415985 -1.468817) (xy -1.377791 -1.456508)
(xy -1.333553 -1.446741) (xy -1.285419 -1.439933) (xy -1.252879 -1.43728) (xy -1.229573 -1.435509)
(xy -1.205036 -1.432931) (xy -1.181021 -1.429808) (xy -1.159282 -1.426403) (xy -1.141574 -1.422977)
(xy -1.129651 -1.419795) (xy -1.126344 -1.418338) (xy -1.122828 -1.414449) (xy -1.123567 -1.407833)
(xy -1.125965 -1.40148) (xy -1.131323 -1.392856) (xy -1.140401 -1.382007) (xy -1.151228 -1.370883)
(xy -1.161835 -1.361435) (xy -1.170252 -1.355615) (xy -1.17323 -1.354667) (xy -1.176795 -1.351461)
(xy -1.176867 -1.350666) (xy -1.173026 -1.347988) (xy -1.16306 -1.345817) (xy -1.149311 -1.344407)
(xy -1.134117 -1.344013) (xy -1.121834 -1.344665) (xy -1.112358 -1.345448) (xy -1.096378 -1.34658)
(xy -1.075846 -1.347932) (xy -1.052712 -1.349371) (xy -1.043517 -1.349922) (xy -1.014469 -1.351827)
(xy -0.989399 -1.353995) (xy -0.966035 -1.356751) (xy -0.942103 -1.360416) (xy -0.915329 -1.365315)
(xy -0.883439 -1.371771) (xy -0.869951 -1.374606) (xy -0.797746 -1.392929) (xy -0.731275 -1.416048)
(xy -0.670831 -1.443771) (xy -0.616707 -1.475908) (xy -0.569193 -1.512269) (xy -0.528583 -1.552663)
(xy -0.49517 -1.5969) (xy -0.475271 -1.63195) (xy -0.462708 -1.658717) (xy -0.452784 -1.682646)
(xy -0.445885 -1.702618) (xy -0.442395 -1.717512) (xy -0.442701 -1.726208) (xy -0.442784 -1.726384)
(xy -0.447898 -1.733163) (xy -0.458472 -1.744601) (xy -0.473563 -1.75982) (xy -0.492227 -1.777941)
(xy -0.513519 -1.798085) (xy -0.536496 -1.819373) (xy -0.560213 -1.840928) (xy -0.583727 -1.861869)
(xy -0.606094 -1.881318) (xy -0.626369 -1.898398) (xy -0.635993 -1.906227) (xy -0.69507 -1.952042)
(xy -0.760513 -2.000072) (xy -0.83086 -2.049312) (xy -0.904648 -2.098753) (xy -0.980415 -2.147389)
(xy -1.037167 -2.182434) (xy -1.067276 -2.200432) (xy -1.102966 -2.221311) (xy -1.142956 -2.244356)
(xy -1.185969 -2.268853) (xy -1.230725 -2.294087) (xy -1.275945 -2.319345) (xy -1.32035 -2.343913)
(xy -1.362662 -2.367076) (xy -1.401601 -2.38812) (xy -1.435888 -2.40633) (xy -1.464244 -2.420994)
(xy -1.465792 -2.421777) (xy -1.496129 -2.437297) (xy -1.519201 -2.449574) (xy -1.535443 -2.45889)
(xy -1.545287 -2.465527) (xy -1.549168 -2.469766) (xy -1.54752 -2.471889) (xy -1.543574 -2.472267)
(xy -1.538266 -2.47087) (xy -1.52615 -2.466947) (xy -1.50836 -2.460907) (xy -1.486032 -2.453154)
(xy -1.460299 -2.444096) (xy -1.432298 -2.434137) (xy -1.403162 -2.423685) (xy -1.374027 -2.413146)
(xy -1.346027 -2.402925) (xy -1.320298 -2.393428) (xy -1.297974 -2.385063) (xy -1.28019 -2.378235)
(xy -1.27408 -2.375816) (xy -1.254098 -2.36758) (xy -1.22792 -2.356469) (xy -1.197043 -2.343146)
(xy -1.162964 -2.328274) (xy -1.127179 -2.312512) (xy -1.091186 -2.296525) (xy -1.05648 -2.280973)
(xy -1.02456 -2.266518) (xy -0.996921 -2.253823) (xy -0.975784 -2.243896) (xy -0.857062 -2.183761)
(xy -0.737381 -2.116512) (xy -0.618297 -2.043118) (xy -0.501368 -1.96455) (xy -0.388149 -1.881778)
(xy -0.360677 -1.860596) (xy -0.348258 -1.851165) (xy -0.338491 -1.845336) (xy -0.32962 -1.843297)
(xy -0.319888 -1.845236) (xy -0.307539 -1.851343) (xy -0.290818 -1.861807) (xy -0.279351 -1.869335)
(xy -0.236438 -1.901887) (xy -0.201084 -1.938139) (xy -0.173109 -1.978355) (xy -0.152335 -2.022798)
(xy -0.138583 -2.071733) (xy -0.137278 -2.078567) (xy -0.13413 -2.100555) (xy 0.148225 -2.100555)
(xy 0.148464 -2.085162) (xy 0.149414 -2.073116) (xy 0.151234 -2.062712) (xy 0.154085 -2.052244)
(xy 0.158128 -2.040006) (xy 0.15988 -2.034914) (xy 0.17381 -1.999624) (xy 0.189985 -1.969719)
(xy 0.210318 -1.942123) (xy 0.232833 -1.917646) (xy 0.250246 -1.90141) (xy 0.26969 -1.88544)
(xy 0.289636 -1.870776) (xy 0.308555 -1.858457) (xy 0.324916 -1.849524) (xy 0.337189 -1.845016)
(xy 0.340201 -1.844675) (xy 0.346732 -1.847251) (xy 0.359242 -1.854695) (xy 0.377103 -1.866584)
(xy 0.399686 -1.882494) (xy 0.42636 -1.902001) (xy 0.436363 -1.909462) (xy 0.525712 -1.974396)
(xy 0.613027 -2.033558) (xy 0.700668 -2.088413) (xy 0.790995 -2.140426) (xy 0.886368 -2.191062)
(xy 0.905933 -2.20099) (xy 0.987793 -2.241673) (xy 1.064238 -2.278409) (xy 1.136929 -2.311905)
(xy 1.207526 -2.342865) (xy 1.277688 -2.371996) (xy 1.349076 -2.400003) (xy 1.423349 -2.427591)
(xy 1.502167 -2.455466) (xy 1.515269 -2.459985) (xy 1.533086 -2.466124) (xy 1.54435 -2.469848)
(xy 1.550549 -2.471389) (xy 1.553172 -2.470979) (xy 1.553708 -2.46885) (xy 1.553633 -2.465964)
(xy 1.549985 -2.461185) (xy 1.539992 -2.454019) (xy 1.525082 -2.445438) (xy 1.518708 -2.442151)
(xy 1.487183 -2.426044) (xy 1.449607 -2.406341) (xy 1.407228 -2.383731) (xy 1.361298 -2.358905)
(xy 1.313067 -2.332552) (xy 1.263783 -2.305363) (xy 1.214698 -2.278026) (xy 1.167061 -2.251233)
(xy 1.122122 -2.225672) (xy 1.081132 -2.202033) (xy 1.04534 -2.181007) (xy 1.043516 -2.179922)
(xy 0.981124 -2.141636) (xy 0.916544 -2.099909) (xy 0.851217 -2.055774) (xy 0.786587 -2.010264)
(xy 0.724094 -1.96441) (xy 0.665181 -1.919246) (xy 0.611291 -1.875806) (xy 0.571507 -1.841884)
(xy 0.553991 -1.826205) (xy 0.535027 -1.808755) (xy 0.515721 -1.790605) (xy 0.49718 -1.772825)
(xy 0.480509 -1.756488) (xy 0.466813 -1.742664) (xy 0.4572 -1.732424) (xy 0.452817 -1.726922)
(xy 0.452249 -1.718479) (xy 0.455676 -1.703508) (xy 0.459832 -1.69105) (xy 0.484279 -1.635272)
(xy 0.515322 -1.584562) (xy 0.553048 -1.538843) (xy 0.59754 -1.498038) (xy 0.648881 -1.462071)
(xy 0.707157 -1.430864) (xy 0.77245 -1.404342) (xy 0.774864 -1.403497) (xy 0.817186 -1.389606)
(xy 0.857436 -1.378325) (xy 0.897497 -1.369315) (xy 0.93925 -1.362236) (xy 0.984577 -1.356747)
(xy 1.03536 -1.352508) (xy 1.068283 -1.350477) (xy 1.091291 -1.349045) (xy 1.111251 -1.347503)
(xy 1.126665 -1.345992) (xy 1.136032 -1.34465) (xy 1.138133 -1.343977) (xy 1.143455 -1.343009)
(xy 1.153928 -1.343311) (xy 1.166391 -1.344548) (xy 1.177682 -1.346388) (xy 1.18464 -1.348498)
(xy 1.185249 -1.348939) (xy 1.183984 -1.352684) (xy 1.178976 -1.357213) (xy 1.162079 -1.371046)
(xy 1.148746 -1.38522) (xy 1.139765 -1.398506) (xy 1.135923 -1.409675) (xy 1.138004 -1.417497)
(xy 1.139579 -1.418809) (xy 1.147538 -1.422712) (xy 1.159015 -1.426121) (xy 1.17504 -1.429206)
(xy 1.19664 -1.432135) (xy 1.224844 -1.43508) (xy 1.253066 -1.43758) (xy 1.302906 -1.442523)
(xy 1.345827 -1.448387) (xy 1.381397 -1.455097) (xy 1.409187 -1.462577) (xy 1.420685 -1.466858)
(xy 1.437216 -1.473878) (xy 1.413296 -1.486094) (xy 1.395617 -1.496821) (xy 1.381839 -1.508497)
(xy 1.373457 -1.519677) (xy 1.371599 -1.526432) (xy 1.375504 -1.530252) (xy 1.386327 -1.535357)
(xy 1.402731 -1.54115) (xy 1.408641 -1.542963) (xy 1.443498 -1.553707) (xy 1.478186 -1.565109)
(xy 1.511766 -1.576796) (xy 1.543301 -1.588399) (xy 1.571853 -1.599545) (xy 1.596485 -1.609863)
(xy 1.61626 -1.618982) (xy 1.630239 -1.62653) (xy 1.637485 -1.632136) (xy 1.638299 -1.633935)
(xy 1.634464 -1.636032) (xy 1.624531 -1.63802) (xy 1.613958 -1.639178) (xy 1.59659 -1.641808)
(xy 1.579113 -1.646568) (xy 1.563488 -1.652653) (xy 1.551676 -1.659258) (xy 1.545637 -1.665576)
(xy 1.545245 -1.667397) (xy 1.548985 -1.672046) (xy 1.560199 -1.679795) (xy 1.57898 -1.6907)
(xy 1.605423 -1.704819) (xy 1.620137 -1.712384) (xy 1.658243 -1.732469) (xy 1.694851 -1.753057)
(xy 1.728622 -1.773323) (xy 1.758218 -1.792446) (xy 1.782298 -1.809602) (xy 1.794933 -1.819806)
(xy 1.80975 -1.83269) (xy 1.7835 -1.832862) (xy 1.758045 -1.834317) (xy 1.734978 -1.838044)
(xy 1.716391 -1.843593) (xy 1.705416 -1.849615) (xy 1.700967 -1.853587) (xy 1.700014 -1.85693)
(xy 1.703552 -1.861035) (xy 1.712575 -1.867295) (xy 1.722546 -1.873616) (xy 1.739647 -1.88499)
(xy 1.759926 -1.899448) (xy 1.782305 -1.916121) (xy 1.805707 -1.93414) (xy 1.829055 -1.952636)
(xy 1.851272 -1.970741) (xy 1.871281 -1.987586) (xy 1.888005 -2.002302) (xy 1.900368 -2.01402)
(xy 1.907292 -2.021872) (xy 1.908144 -2.023336) (xy 1.904734 -2.024436) (xy 1.894754 -2.025352)
(xy 1.880102 -2.025934) (xy 1.874277 -2.02603) (xy 1.852831 -2.027304) (xy 1.834108 -2.030351)
(xy 1.819551 -2.034739) (xy 1.810603 -2.040035) (xy 1.808667 -2.045699) (xy 1.812188 -2.050051)
(xy 1.821025 -2.059187) (xy 1.834235 -2.072184) (xy 1.850876 -2.08812) (xy 1.870008 -2.106075)
(xy 1.876867 -2.112434) (xy 1.898601 -2.133037) (xy 1.919884 -2.154153) (xy 1.939837 -2.174818)
(xy 1.957585 -2.194067) (xy 1.97225 -2.210936) (xy 1.982953 -2.224459) (xy 1.988819 -2.233672)
(xy 1.989666 -2.236479) (xy 1.986034 -2.236945) (xy 1.976996 -2.235557) (xy 1.973791 -2.234856)
(xy 1.946011 -2.230949) (xy 1.917985 -2.231502) (xy 1.892177 -2.236227) (xy 1.871048 -2.244839)
(xy 1.867197 -2.247277) (xy 1.864989 -2.252624) (xy 1.869039 -2.261539) (xy 1.879551 -2.274253)
(xy 1.896724 -2.290999) (xy 1.920759 -2.312008) (xy 1.92994 -2.319676) (xy 1.948133 -2.335168)
(xy 1.965316 -2.35058) (xy 1.979705 -2.364259) (xy 1.989513 -2.374553) (xy 1.990443 -2.375659)
(xy 1.999612 -2.387516) (xy 2.009561 -2.401414) (xy 2.019007 -2.415418) (xy 2.026665 -2.42759)
(xy 2.031251 -2.435995) (xy 2.032 -2.438327) (xy 2.028032 -2.439134) (xy 2.017175 -2.43957)
(xy 2.001 -2.439611) (xy 1.981078 -2.439235) (xy 1.977025 -2.439116) (xy 1.954307 -2.438519)
(xy 1.938648 -2.43848) (xy 1.928651 -2.439133) (xy 1.922919 -2.440611) (xy 1.920056 -2.443047)
(xy 1.919282 -2.444616) (xy 1.918576 -2.455542) (xy 1.921917 -2.472318) (xy 1.928768 -2.493675)
(xy 1.938592 -2.518345) (xy 1.950851 -2.54506) (xy 1.965009 -2.57255) (xy 1.98053 -2.599547)
(xy 1.99336 -2.619632) (xy 2.019518 -2.658534) (xy 1.906167 -2.658952) (xy 1.863452 -2.659233)
(xy 1.828529 -2.659759) (xy 1.800728 -2.660578) (xy 1.779375 -2.661737) (xy 1.763801 -2.663284)
(xy 1.753332 -2.665265) (xy 1.747298 -2.667728) (xy 1.745143 -2.670268) (xy 1.74721 -2.67531)
(xy 1.754178 -2.684823) (xy 1.764899 -2.697353) (xy 1.775725 -2.708904) (xy 1.808085 -2.742156)
(xy 1.779648 -2.747522) (xy 1.74462 -2.751451) (xy 1.705026 -2.750752) (xy 1.660187 -2.745359)
(xy 1.609425 -2.735207) (xy 1.582259 -2.728495) (xy 1.55811 -2.722426) (xy 1.536518 -2.717419)
(xy 1.518922 -2.713775) (xy 1.50676 -2.711793) (xy 1.501644 -2.71168) (xy 1.494152 -2.718514)
(xy 1.48897 -2.730525) (xy 1.487194 -2.74451) (xy 1.487999 -2.751588) (xy 1.492682 -2.761798)
(xy 1.502186 -2.775151) (xy 1.513669 -2.788095) (xy 1.523382 -2.798647) (xy 1.529123 -2.80613)
(xy 1.529983 -2.809304) (xy 1.529163 -2.809234) (xy 1.521894 -2.807624) (xy 1.508587 -2.805165)
(xy 1.491579 -2.802279) (xy 1.483184 -2.800928) (xy 1.465787 -2.797567) (xy 1.442668 -2.792221)
(xy 1.41617 -2.785479) (xy 1.388638 -2.777929) (xy 1.37149 -2.772923) (xy 1.34391 -2.764961)
(xy 1.320698 -2.758879) (xy 1.302898 -2.754924) (xy 1.291554 -2.753338) (xy 1.288667 -2.753502)
(xy 1.280522 -2.758663) (xy 1.276188 -2.770091) (xy 1.27616 -2.770237) (xy 1.276259 -2.785646)
(xy 1.282734 -2.801614) (xy 1.296137 -2.819214) (xy 1.307002 -2.830306) (xy 1.316652 -2.840253)
(xy 1.322751 -2.847925) (xy 1.323992 -2.851486) (xy 1.318049 -2.852238) (xy 1.305691 -2.850293)
(xy 1.288356 -2.846115) (xy 1.267482 -2.840169) (xy 1.24451 -2.832918) (xy 1.220876 -2.824828)
(xy 1.198021 -2.81636) (xy 1.177383 -2.807981) (xy 1.1604 -2.800154) (xy 1.153583 -2.796509)
(xy 1.141004 -2.789287) (xy 1.124749 -2.77995) (xy 1.109472 -2.77117) (xy 1.095536 -2.763843)
(xy 1.083988 -2.759007) (xy 1.077094 -2.757594) (xy 1.076688 -2.757698) (xy 1.06942 -2.763843)
(xy 1.062072 -2.775023) (xy 1.056388 -2.788004) (xy 1.054115 -2.799502) (xy 1.056846 -2.814051)
(xy 1.063935 -2.830572) (xy 1.073677 -2.845132) (xy 1.074094 -2.845614) (xy 1.079345 -2.853121)
(xy 1.078408 -2.856271) (xy 1.072074 -2.8555) (xy 1.061136 -2.851243) (xy 1.046386 -2.843934)
(xy 1.028616 -2.834008) (xy 1.008618 -2.8219) (xy 0.987185 -2.808045) (xy 0.965108 -2.792878)
(xy 0.943179 -2.776834) (xy 0.929482 -2.766222) (xy 0.911692 -2.752394) (xy 0.896071 -2.740817)
(xy 0.883868 -2.732372) (xy 0.876331 -2.727941) (xy 0.874675 -2.727514) (xy 0.87011 -2.731549)
(xy 0.862746 -2.740501) (xy 0.856418 -2.749232) (xy 0.848393 -2.762047) (xy 0.844174 -2.773182)
(xy 0.842597 -2.786582) (xy 0.842433 -2.796579) (xy 0.841906 -2.81046) (xy 0.840528 -2.820219)
(xy 0.838736 -2.823634) (xy 0.832692 -2.820895) (xy 0.821694 -2.813348) (xy 0.806944 -2.801997)
(xy 0.789643 -2.787848) (xy 0.770994 -2.771905) (xy 0.752197 -2.755172) (xy 0.734455 -2.738656)
(xy 0.719681 -2.72409) (xy 0.70351 -2.707643) (xy 0.692045 -2.696473) (xy 0.684115 -2.689766)
(xy 0.678546 -2.686707) (xy 0.674166 -2.68648) (xy 0.669802 -2.688271) (xy 0.668881 -2.688771)
(xy 0.660644 -2.69598) (xy 0.65194 -2.707555) (xy 0.648451 -2.713607) (xy 0.642526 -2.727152)
(xy 0.640258 -2.739783) (xy 0.640881 -2.755944) (xy 0.640977 -2.756959) (xy 0.641827 -2.769999)
(xy 0.641612 -2.778833) (xy 0.640696 -2.7813) (xy 0.635125 -2.778324) (xy 0.624927 -2.770065)
(xy 0.611144 -2.757533) (xy 0.594817 -2.741733) (xy 0.576989 -2.723674) (xy 0.5587 -2.704362)
(xy 0.54144 -2.685311) (xy 0.516947 -2.657692) (xy 0.497356 -2.635856) (xy 0.482045 -2.619171)
(xy 0.470391 -2.607004) (xy 0.461773 -2.598721) (xy 0.45557 -2.593689) (xy 0.451159 -2.591274)
(xy 0.44864 -2.5908) (xy 0.442913 -2.594551) (xy 0.436112 -2.604421) (xy 0.429294 -2.618335)
(xy 0.423521 -2.634219) (xy 0.42061 -2.645687) (xy 0.417231 -2.657501) (xy 0.412494 -2.662781)
(xy 0.405473 -2.661429) (xy 0.395245 -2.653345) (xy 0.38391 -2.641724) (xy 0.353511 -2.606451)
(xy 0.321357 -2.564645) (xy 0.28847 -2.517771) (xy 0.255875 -2.467295) (xy 0.224594 -2.414682)
(xy 0.221244 -2.408767) (xy 0.211311 -2.389231) (xy 0.199976 -2.363827) (xy 0.188149 -2.33483)
(xy 0.176738 -2.304518) (xy 0.166655 -2.275167) (xy 0.162278 -2.261176) (xy 0.158149 -2.246462)
(xy 0.15509 -2.232642) (xy 0.152887 -2.217854) (xy 0.151325 -2.200234) (xy 0.150188 -2.177921)
(xy 0.149261 -2.149051) (xy 0.149238 -2.148203) (xy 0.148537 -2.121) (xy 0.148225 -2.100555)
(xy -0.13413 -2.100555) (xy -0.133085 -2.107851) (xy -0.131768 -2.135621) (xy -0.133385 -2.164726)
(xy -0.137995 -2.198017) (xy -0.139974 -2.209269) (xy -0.155957 -2.27535) (xy -0.179877 -2.342781)
(xy -0.211426 -2.410984) (xy -0.250299 -2.479383) (xy -0.296186 -2.547398) (xy -0.348783 -2.614453)
(xy -0.366372 -2.634921) (xy -0.399519 -2.672692) (xy -0.410701 -2.637914) (xy -0.418539 -2.615773)
(xy -0.425586 -2.601228) (xy -0.432405 -2.593517) (xy -0.439561 -2.591878) (xy -0.443761 -2.593248)
(xy -0.449012 -2.597535) (xy -0.458812 -2.607145) (xy -0.472144 -2.621019) (xy -0.487993 -2.638099)
(xy -0.505342 -2.657325) (xy -0.506383 -2.658495) (xy -0.52995 -2.684612) (xy -0.552819 -2.70922)
(xy -0.574128 -2.731448) (xy -0.593017 -2.750423) (xy -0.608624 -2.765273) (xy -0.620088 -2.775127)
(xy -0.62472 -2.778336) (xy -0.627894 -2.778751) (xy -0.629748 -2.77465) (xy -0.630599 -2.764629)
(xy -0.630767 -2.751319) (xy -0.632887 -2.725703) (xy -0.639616 -2.706214) (xy -0.651514 -2.691544)
(xy -0.658482 -2.68631) (xy -0.665492 -2.682328) (xy -0.670505 -2.682768) (xy -0.67643 -2.688562)
(xy -0.680262 -2.69328) (xy -0.695459 -2.710625) (xy -0.715363 -2.731003) (xy -0.737853 -2.752443)
(xy -0.760807 -2.772974) (xy -0.782104 -2.790623) (xy -0.796619 -2.801409) (xy -0.810907 -2.811098)
(xy -0.822365 -2.818648) (xy -0.82936 -2.822994) (xy -0.830696 -2.823634) (xy -0.831322 -2.819805)
(xy -0.831219 -2.809874) (xy -0.830603 -2.798913) (xy -0.831038 -2.776863) (xy -0.836766 -2.759191)
(xy -0.848946 -2.742886) (xy -0.854131 -2.737715) (xy -0.866584 -2.72588) (xy -0.888866 -2.744065)
(xy -0.923342 -2.771603) (xy -0.953095 -2.793995) (xy -0.97936 -2.812113) (xy -1.003368 -2.826828)
(xy -1.015564 -2.833525) (xy -1.03647 -2.844258) (xy -1.050758 -2.850691) (xy -1.059099 -2.852827)
(xy -1.062166 -2.850672) (xy -1.06063 -2.844232) (xy -1.055342 -2.833832) (xy -1.046862 -2.812673)
(xy -1.044838 -2.792253) (xy -1.049387 -2.774537) (xy -1.051504 -2.770855) (xy -1.059027 -2.763138)
(xy -1.066548 -2.760134) (xy -1.073209 -2.762033) (xy -1.085593 -2.767216) (xy -1.101988 -2.774913)
(xy -1.120683 -2.784356) (xy -1.122542 -2.785328) (xy -1.144179 -2.796322) (xy -1.166428 -2.807005)
(xy -1.1865 -2.816071) (xy -1.20015 -2.821675) (xy -1.216027 -2.827246) (xy -1.235271 -2.833397)
(xy -1.255897 -2.839566) (xy -1.275918 -2.845191) (xy -1.293348 -2.849708) (xy -1.3062 -2.852556)
(xy -1.311612 -2.853256) (xy -1.311477 -2.850415) (xy -1.306468 -2.84278) (xy -1.297539 -2.831698)
(xy -1.291368 -2.824692) (xy -1.27949 -2.810819) (xy -1.269858 -2.798164) (xy -1.263968 -2.788754)
(xy -1.262977 -2.78628) (xy -1.262934 -2.774061) (xy -1.26744 -2.762019) (xy -1.274956 -2.753895)
(xy -1.276631 -2.753091) (xy -1.28327 -2.753219) (xy -1.295948 -2.755584) (xy -1.312669 -2.75976)
(xy -1.326558 -2.76379) (xy -1.347928 -2.770029) (xy -1.372724 -2.77675) (xy -1.399379 -2.783583)
(xy -1.426324 -2.79016) (xy -1.451994 -2.79611) (xy -1.474821 -2.801065) (xy -1.493238 -2.804655)
(xy -1.505677 -2.80651) (xy -1.508594 -2.806684) (xy -1.516869 -2.806387) (xy -1.517479 -2.804294)
(xy -1.511982 -2.799292) (xy -1.504459 -2.79122) (xy -1.495406 -2.779184) (xy -1.486316 -2.765504)
(xy -1.478682 -2.752501) (xy -1.473999 -2.742495) (xy -1.473222 -2.739064) (xy -1.475737 -2.731603)
(xy -1.481862 -2.721878) (xy -1.48281 -2.720662) (xy -1.492377 -2.708706) (xy -1.543114 -2.721469)
(xy -1.587396 -2.732213) (xy -1.624829 -2.740381) (xy -1.656561 -2.746173) (xy -1.683744 -2.749788)
(xy -1.707525 -2.751423) (xy -1.717282 -2.751566) (xy -1.741333 -2.750822) (xy -1.762314 -2.748881)
(xy -1.778572 -2.745977) (xy -1.788451 -2.742344) (xy -1.789749 -2.74133) (xy -1.788059 -2.737451)
(xy -1.781433 -2.729045) (xy -1.771012 -2.717477) (xy -1.761897 -2.708052) (xy -1.745018 -2.689966)
(xy -1.734997 -2.676539) (xy -1.731744 -2.667591) (xy -1.735169 -2.662942) (xy -1.738842 -2.662206)
(xy -1.744801 -2.661984) (xy -1.758124 -2.661623) (xy -1.777709 -2.661148) (xy -1.802456 -2.660586)
(xy -1.831262 -2.659962) (xy -1.863027 -2.6593) (xy -1.876314 -2.659031) (xy -2.006378 -2.656417)
(xy -1.980477 -2.616201) (xy -1.963909 -2.589035) (xy -1.948455 -2.560991) (xy -1.934634 -2.533278)
(xy -1.92296 -2.507102) (xy -1.913952 -2.483674) (xy -1.908127 -2.4642) (xy -1.906 -2.44989)
(xy -1.906815 -2.444012) (xy -1.90914 -2.44141) (xy -1.914613 -2.439728) (xy -1.924544 -2.438856)
(xy -1.940245 -2.438681) (xy -1.963028 -2.439091) (xy -1.964269 -2.439122) (xy -1.989724 -2.439468)
(xy -2.00712 -2.438988) (xy -2.016826 -2.437662) (xy -2.019306 -2.435889) (xy -2.204023 -2.435889)
(xy -2.205457 -2.443866) (xy -2.203771 -2.475344) (xy -2.194638 -2.506852) (xy -2.178113 -2.539299)
(xy -2.177222 -2.540753) (xy -2.162408 -2.564789) (xy -2.17412 -2.59367) (xy -2.179709 -2.608643)
(xy -2.183241 -2.622074) (xy -2.185189 -2.636846) (xy -2.186029 -2.655841) (xy -2.186175 -2.667001)
(xy -2.186127 -2.687812) (xy -2.185328 -2.702661) (xy -2.183361 -2.714045) (xy -2.179809 -2.724463)
(xy -2.174789 -2.735333) (xy -2.157464 -2.761867) (xy -2.133759 -2.78532) (xy -2.105689 -2.803709)
(xy -2.104954 -2.804084) (xy -2.0868 -2.811241) (xy -2.063508 -2.817556) (xy -2.037998 -2.822509)
(xy -2.013189 -2.825576) (xy -1.992 -2.826238) (xy -1.984422 -2.825611) (xy -1.973353 -2.824388)
(xy -1.965565 -2.825226) (xy -1.958395 -2.829281) (xy -1.949182 -2.837707) (xy -1.943568 -2.843324)
(xy -1.911946 -2.870005) (xy -1.876174 -2.890266) (xy -1.835453 -2.904463) (xy -1.788987 -2.912952)
(xy -1.787246 -2.913151) (xy -1.764059 -2.915658) (xy -1.747128 -2.917174) (xy -1.734308 -2.917743)
(xy -1.723452 -2.917412) (xy -1.712414 -2.916226) (xy -1.703628 -2.914942) (xy -1.691762 -2.913437)
(xy -1.683432 -2.914106) (xy -1.675661 -2.91799) (xy -1.665473 -2.926128) (xy -1.661295 -2.929732)
(xy -1.645606 -2.941725) (xy -1.627729 -2.953108) (xy -1.617134 -2.958712) (xy -1.606827 -2.96317)
(xy -1.596919 -2.966303) (xy -1.585487 -2.968402) (xy -1.570606 -2.969752) (xy -1.550353 -2.970644)
(xy -1.534584 -2.971085) (xy -1.510788 -2.971773) (xy -1.493817 -2.972632) (xy -1.48203 -2.973929)
(xy -1.473784 -2.975933) (xy -1.46744 -2.978913) (xy -1.461497 -2.983028) (xy -1.43503 -2.999004)
(xy -1.403358 -3.011489) (xy -1.37259 -3.018803) (xy -1.339899 -3.021175) (xy -1.303498 -3.018678)
(xy -1.266374 -3.011622) (xy -1.245963 -3.005655) (xy -1.231801 -3.001238) (xy -1.220293 -2.99822)
(xy -1.214967 -2.997345) (xy -1.208467 -2.999473) (xy -1.197233 -3.005143) (xy -1.183565 -3.013181)
(xy -1.181989 -3.014174) (xy -1.15536 -3.031067) (xy -1.099772 -3.031067) (xy -1.074143 -3.030774)) (layer F.SilkS) (width 0.01))
)

View File

@ -0,0 +1,42 @@
(module scsi_logo (layer F.Cu) (tedit 5F25ADDA)
(fp_text reference G*** (at -2.56 -2.6) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at -4.15 2.12) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy 2.794 0.2286) (xy 1.74625 0.2286) (xy 1.585557 0.228524) (xy 1.432371 0.228307)
(xy 1.288564 0.227958) (xy 1.15601 0.227489) (xy 1.036582 0.226911) (xy 0.932152 0.226237)
(xy 0.844594 0.225476) (xy 0.775781 0.224641) (xy 0.727586 0.223743) (xy 0.701883 0.222793)
(xy 0.698011 0.22225) (xy 0.697824 0.20808) (xy 0.697612 0.172764) (xy 0.697392 0.120556)
(xy 0.697182 0.055708) (xy 0.697033 -0.003175) (xy 0.696544 -0.22225) (xy 1.745272 -0.225499)
(xy 2.794 -0.228747) (xy 2.794 0.2286)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 0.13911 -2.90861) (xy 0.165872 -2.882679) (xy 0.208156 -2.841257) (xy 0.264725 -2.785566)
(xy 0.334347 -2.716827) (xy 0.415787 -2.636262) (xy 0.507811 -2.545091) (xy 0.609186 -2.444536)
(xy 0.718677 -2.335818) (xy 0.83505 -2.220158) (xy 0.957072 -2.098778) (xy 1.016264 -2.03986)
(xy 1.894945 -1.165069) (xy 1.581381 -0.850751) (xy 0.857365 -1.573717) (xy 0.13335 -2.296683)
(xy -1.013054 -1.157867) (xy -1.155283 -1.016479) (xy -1.292429 -0.879951) (xy -1.423419 -0.749358)
(xy -1.547183 -0.625777) (xy -1.66265 -0.510283) (xy -1.768748 -0.403953) (xy -1.864407 -0.307863)
(xy -1.948555 -0.223089) (xy -2.020121 -0.150707) (xy -2.078034 -0.091792) (xy -2.121223 -0.047423)
(xy -2.148617 -0.018673) (xy -2.159145 -0.006619) (xy -2.159229 -0.006377) (xy -2.150387 0.004007)
(xy -2.12463 0.031253) (xy -2.083023 0.074281) (xy -2.026635 0.132015) (xy -1.956529 0.203375)
(xy -1.873775 0.287285) (xy -1.779436 0.382666) (xy -1.674581 0.48844) (xy -1.560275 0.603529)
(xy -1.437585 0.726855) (xy -1.307577 0.857339) (xy -1.171318 0.993905) (xy -1.029874 1.135474)
(xy -1.012859 1.152491) (xy 0.133282 2.298685) (xy 0.859401 1.572648) (xy 1.585521 0.846611)
(xy 1.73891 0.997027) (xy 1.789494 1.047054) (xy 1.833051 1.090937) (xy 1.866603 1.125612)
(xy 1.887176 1.148015) (xy 1.8923 1.154964) (xy 1.883545 1.164794) (xy 1.858273 1.191055)
(xy 1.817969 1.232261) (xy 1.764118 1.286926) (xy 1.698207 1.353562) (xy 1.621721 1.430684)
(xy 1.536147 1.516806) (xy 1.442968 1.610441) (xy 1.343673 1.710103) (xy 1.239746 1.814305)
(xy 1.132672 1.921562) (xy 1.023939 2.030386) (xy 0.915031 2.139292) (xy 0.807435 2.246794)
(xy 0.702636 2.351405) (xy 0.602119 2.451639) (xy 0.507372 2.546009) (xy 0.419879 2.633029)
(xy 0.341126 2.711213) (xy 0.272599 2.779075) (xy 0.215784 2.835128) (xy 0.172167 2.877887)
(xy 0.143233 2.905863) (xy 0.130468 2.917573) (xy 0.130055 2.91783) (xy 0.12019 2.909352)
(xy 0.093333 2.883837) (xy 0.050431 2.842228) (xy -0.007565 2.785464) (xy -0.079706 2.714488)
(xy -0.165043 2.630241) (xy -0.262625 2.533665) (xy -0.371504 2.4257) (xy -0.49073 2.307288)
(xy -0.619352 2.179371) (xy -0.756423 2.04289) (xy -0.900992 1.898786) (xy -1.05211 1.748001)
(xy -1.208827 1.591476) (xy -1.339845 1.460505) (xy -2.800308 0) (xy -1.339845 -1.460506)
(xy -1.179284 -1.621001) (xy -1.023559 -1.776524) (xy -0.873621 -1.926131) (xy -0.730417 -2.068883)
(xy -0.594898 -2.203838) (xy -0.46801 -2.330054) (xy -0.350704 -2.44659) (xy -0.243928 -2.552506)
(xy -0.14863 -2.646859) (xy -0.06576 -2.728708) (xy 0.003733 -2.797112) (xy 0.058901 -2.85113)
(xy 0.098795 -2.889821) (xy 0.122467 -2.912243) (xy 0.129101 -2.917831) (xy 0.13911 -2.90861)) (layer F.SilkS) (width 0.01))
)

View File

@ -0,0 +1,372 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 3
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Memory_EEPROM:24LC256 U?
U 1 1 5FE1F118
P 4000 2600
AR Path="/5FE1F118" Ref="U?" Part="1"
AR Path="/5FE03785/5FE1F118" Ref="U1" Part="1"
F 0 "U1" H 3900 2900 50 0000 C CNN
F 1 "24LC256" H 4200 2850 50 0000 C CNN
F 2 "Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm" H 4000 2600 50 0001 C CNN
F 3 "http://ww1.microchip.com/downloads/en/devicedoc/21203m.pdf" H 4000 2600 50 0001 C CNN
F 4 "C6482" H 4000 2600 50 0001 C CNN "LCSC"
1 4000 2600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FE1F11E
P 4000 2900
AR Path="/5FE1F11E" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F11E" Ref="#PWR0101" Part="1"
F 0 "#PWR0101" H 4000 2650 50 0001 C CNN
F 1 "GND" V 4000 2700 50 0000 C CNN
F 2 "" H 4000 2900 50 0001 C CNN
F 3 "" H 4000 2900 50 0001 C CNN
1 4000 2900
1 0 0 -1
$EndComp
Text GLabel 4700 2500 2 50 BiDi ~ 0
PI_SDA
Text GLabel 4700 2600 2 50 BiDi ~ 0
PI_SCL
$Comp
L Device:R_Small R?
U 1 1 5FE1F127
P 2900 2400
AR Path="/5FE1F127" Ref="R?" Part="1"
AR Path="/5FE03785/5FE1F127" Ref="R59" Part="1"
F 0 "R59" V 3000 2350 50 0000 L CNN
F 1 "10k" V 2950 2500 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 2900 2400 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 2900 2400 50 0001 C CNN
F 4 "C25744" H 2900 2400 50 0001 C CNN "LCSC"
1 2900 2400
0 -1 -1 0
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FE1F12E
P 2900 3050
AR Path="/5FE1F12E" Ref="R?" Part="1"
AR Path="/5FE03785/5FE1F12E" Ref="R60" Part="1"
F 0 "R60" V 2950 3000 50 0000 L CNN
F 1 "10k" V 2800 3000 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 2900 3050 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 2900 3050 50 0001 C CNN
F 4 "C25744" H 2900 3050 50 0001 C CNN "LCSC"
1 2900 3050
0 -1 -1 0
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FE1F135
P 2900 3700
AR Path="/5FE1F135" Ref="R?" Part="1"
AR Path="/5FE03785/5FE1F135" Ref="R61" Part="1"
F 0 "R61" V 2950 3650 50 0000 L CNN
F 1 "10k" V 2800 3650 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 2900 3700 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 2900 3700 50 0001 C CNN
F 4 "C25744" H 2900 3700 50 0001 C CNN "LCSC"
1 2900 3700
0 -1 -1 0
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FE1F13C
P 4600 3050
AR Path="/5FE1F13C" Ref="R?" Part="1"
AR Path="/5FE03785/5FE1F13C" Ref="R62" Part="1"
F 0 "R62" V 4500 3000 50 0000 L CNN
F 1 "10k" V 4550 2850 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4600 3050 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 4600 3050 50 0001 C CNN
F 4 "C25744" H 4600 3050 50 0001 C CNN "LCSC"
1 4600 3050
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE1F148
P 5300 2800
AR Path="/5FE1F148" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F148" Ref="#PWR0102" Part="1"
F 0 "#PWR0102" H 5300 2650 50 0001 C CNN
F 1 "+3V3" H 5150 2900 50 0000 C CNN
F 2 "" H 5300 2800 50 0001 C CNN
F 3 "" H 5300 2800 50 0001 C CNN
1 5300 2800
0 1 1 0
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FE1F14E
P 2400 3950
AR Path="/5FE1F14E" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F14E" Ref="#PWR0103" Part="1"
F 0 "#PWR0103" H 2400 3700 50 0001 C CNN
F 1 "GND" V 2400 3750 50 0000 C CNN
F 2 "" H 2400 3950 50 0001 C CNN
F 3 "" H 2400 3950 50 0001 C CNN
1 2400 3950
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_3_Bridged12 JP?
U 1 1 5FE1F161
P 2400 2400
AR Path="/5FE1F161" Ref="JP?" Part="1"
AR Path="/5FE03785/5FE1F161" Ref="JP1" Part="1"
F 0 "JP1" V 2446 2467 50 0000 L CNN
F 1 "Jumper_3_Bridged12" V 2355 2467 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm" H 2400 2400 50 0001 C CNN
F 3 "~" H 2400 2400 50 0001 C CNN
1 2400 2400
0 -1 -1 0
$EndComp
$Comp
L Jumper:Jumper_3_Bridged12 JP?
U 1 1 5FE1F167
P 2400 3050
AR Path="/5FE1F167" Ref="JP?" Part="1"
AR Path="/5FE03785/5FE1F167" Ref="JP2" Part="1"
F 0 "JP2" V 2446 3117 50 0000 L CNN
F 1 "Jumper_3_Bridged12" V 2355 3117 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm" H 2400 3050 50 0001 C CNN
F 3 "~" H 2400 3050 50 0001 C CNN
1 2400 3050
0 -1 -1 0
$EndComp
$Comp
L Jumper:Jumper_3_Bridged12 JP?
U 1 1 5FE1F16D
P 2400 3700
AR Path="/5FE1F16D" Ref="JP?" Part="1"
AR Path="/5FE03785/5FE1F16D" Ref="JP3" Part="1"
F 0 "JP3" V 2446 3767 50 0000 L CNN
F 1 "Jumper_3_Bridged12" V 2355 3767 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm" H 2400 3700 50 0001 C CNN
F 3 "~" H 2400 3700 50 0001 C CNN
1 2400 3700
0 -1 -1 0
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FE1F173
P 2400 3300
AR Path="/5FE1F173" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F173" Ref="#PWR0104" Part="1"
F 0 "#PWR0104" H 2400 3050 50 0001 C CNN
F 1 "GND" V 2400 3100 50 0000 C CNN
F 2 "" H 2400 3300 50 0001 C CNN
F 3 "" H 2400 3300 50 0001 C CNN
1 2400 3300
0 1 1 0
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FE1F179
P 2400 2650
AR Path="/5FE1F179" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F179" Ref="#PWR0105" Part="1"
F 0 "#PWR0105" H 2400 2400 50 0001 C CNN
F 1 "GND" V 2400 2450 50 0000 C CNN
F 2 "" H 2400 2650 50 0001 C CNN
F 3 "" H 2400 2650 50 0001 C CNN
1 2400 2650
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE385DA
P 2400 3450
AR Path="/5FE385DA" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE385DA" Ref="#PWR0106" Part="1"
F 0 "#PWR0106" H 2400 3300 50 0001 C CNN
F 1 "+3V3" V 2400 3650 50 0000 C CNN
F 2 "" H 2400 3450 50 0001 C CNN
F 3 "" H 2400 3450 50 0001 C CNN
1 2400 3450
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE385E0
P 2400 2800
AR Path="/5FE385E0" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE385E0" Ref="#PWR0107" Part="1"
F 0 "#PWR0107" H 2400 2650 50 0001 C CNN
F 1 "+3V3" V 2400 3000 50 0000 C CNN
F 2 "" H 2400 2800 50 0001 C CNN
F 3 "" H 2400 2800 50 0001 C CNN
1 2400 2800
0 -1 -1 0
$EndComp
Wire Wire Line
4700 2600 4650 2600
Wire Wire Line
4400 2500 4500 2500
$Comp
L power:GND #PWR?
U 1 1 5FE7346C
P 5300 3300
AR Path="/5FE7346C" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE7346C" Ref="#PWR0108" Part="1"
F 0 "#PWR0108" H 5300 3050 50 0001 C CNN
F 1 "GND" V 5300 3100 50 0000 C CNN
F 2 "" H 5300 3300 50 0001 C CNN
F 3 "" H 5300 3300 50 0001 C CNN
1 5300 3300
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE73A17
P 4000 2300
AR Path="/5FE73A17" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE73A17" Ref="#PWR0109" Part="1"
F 0 "#PWR0109" H 4000 2150 50 0001 C CNN
F 1 "+3V3" H 3850 2400 50 0000 C CNN
F 2 "" H 4000 2300 50 0001 C CNN
F 3 "" H 4000 2300 50 0001 C CNN
1 4000 2300
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE78C02
P 2400 2150
AR Path="/5FE78C02" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE78C02" Ref="#PWR0110" Part="1"
F 0 "#PWR0110" H 2400 2000 50 0001 C CNN
F 1 "+3V3" V 2400 2350 50 0000 C CNN
F 2 "" H 2400 2150 50 0001 C CNN
F 3 "" H 2400 2150 50 0001 C CNN
1 2400 2150
0 -1 -1 0
$EndComp
Wire Wire Line
2550 3700 2800 3700
Wire Wire Line
3000 3700 3600 3700
Wire Wire Line
3600 3700 3600 2700
Wire Wire Line
2550 3050 2800 3050
Wire Wire Line
3350 3050 3350 2600
Wire Wire Line
3350 2600 3600 2600
Wire Wire Line
3600 2500 3350 2500
Wire Wire Line
3350 2500 3350 2400
Wire Wire Line
3350 2400 3000 2400
Wire Wire Line
2800 2400 2550 2400
Wire Wire Line
3000 3050 3350 3050
Text Notes 4550 4000 2 50 ~ 0
HAT Serial EEPROM
Wire Notes Line
6300 4150 1350 4150
Wire Notes Line
1350 4150 1350 1900
Wire Notes Line
1350 1900 6300 1900
Wire Notes Line
6300 1900 6300 4150
$Comp
L Jumper:Jumper_3_Bridged12 JP?
U 1 1 5FE882AF
P 5300 3050
AR Path="/5FE882AF" Ref="JP?" Part="1"
AR Path="/5FE03785/5FE882AF" Ref="JP4" Part="1"
F 0 "JP4" V 5346 3117 50 0000 L CNN
F 1 "Jumper_3_Bridged12" V 5255 3117 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm" H 5300 3050 50 0001 C CNN
F 3 "~" H 5300 3050 50 0001 C CNN
1 5300 3050
0 1 -1 0
$EndComp
Wire Wire Line
4400 2700 4500 2700
Wire Wire Line
4700 3050 5150 3050
Wire Wire Line
4500 2700 4500 3050
$Comp
L power:+3V3 #PWR?
U 1 1 5FEEFA21
P 4650 2050
AR Path="/5FEEFA21" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FEEFA21" Ref="#PWR053" Part="1"
F 0 "#PWR053" H 4650 1900 50 0001 C CNN
F 1 "+3V3" H 4500 2150 50 0000 C CNN
F 2 "" H 4650 2050 50 0001 C CNN
F 3 "" H 4650 2050 50 0001 C CNN
1 4650 2050
1 0 0 -1
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FEF0453
P 4500 2250
AR Path="/5FEF0453" Ref="R?" Part="1"
AR Path="/5FE03785/5FEF0453" Ref="R63" Part="1"
F 0 "R63" V 4400 2200 50 0000 L CNN
F 1 "4.7k" V 4300 2200 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4500 2250 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 4500 2250 50 0001 C CNN
F 4 "C25900" H 4500 2250 50 0001 C CNN "LCSC"
1 4500 2250
1 0 0 -1
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FEF0C20
P 4650 2250
AR Path="/5FEF0C20" Ref="R?" Part="1"
AR Path="/5FE03785/5FEF0C20" Ref="R64" Part="1"
F 0 "R64" V 4750 2200 50 0000 L CNN
F 1 "4.7k" V 4850 2200 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4650 2250 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 4650 2250 50 0001 C CNN
F 4 "C25900" H 4650 2250 50 0001 C CNN "LCSC"
1 4650 2250
1 0 0 -1
$EndComp
Wire Wire Line
4650 2050 4500 2050
Wire Wire Line
4500 2050 4500 2150
Wire Wire Line
4650 2050 4650 2150
Connection ~ 4650 2050
Wire Wire Line
4650 2350 4650 2600
Connection ~ 4650 2600
Wire Wire Line
4650 2600 4400 2600
Wire Wire Line
4500 2350 4500 2500
Connection ~ 4500 2500
Wire Wire Line
4500 2500 4700 2500
$EndSCHEMATC

View File

@ -0,0 +1,372 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 3
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Memory_EEPROM:24LC256 U?
U 1 1 5FE1F118
P 4000 2600
AR Path="/5FE1F118" Ref="U?" Part="1"
AR Path="/5FE03785/5FE1F118" Ref="U1" Part="1"
F 0 "U1" H 3900 2900 50 0000 C CNN
F 1 "24LC256" H 4200 2850 50 0000 C CNN
F 2 "Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm" H 4000 2600 50 0001 C CNN
F 3 "http://ww1.microchip.com/downloads/en/devicedoc/21203m.pdf" H 4000 2600 50 0001 C CNN
F 4 "C6482" H 4000 2600 50 0001 C CNN "LCSC"
1 4000 2600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FE1F11E
P 4000 2900
AR Path="/5FE1F11E" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F11E" Ref="#PWR0101" Part="1"
F 0 "#PWR0101" H 4000 2650 50 0001 C CNN
F 1 "GND" V 4000 2700 50 0000 C CNN
F 2 "" H 4000 2900 50 0001 C CNN
F 3 "" H 4000 2900 50 0001 C CNN
1 4000 2900
1 0 0 -1
$EndComp
Text GLabel 4700 2500 2 50 BiDi ~ 0
PI_SDA
Text GLabel 4700 2600 2 50 BiDi ~ 0
PI_SCL
$Comp
L Device:R_Small R?
U 1 1 5FE1F127
P 2900 2400
AR Path="/5FE1F127" Ref="R?" Part="1"
AR Path="/5FE03785/5FE1F127" Ref="R59" Part="1"
F 0 "R59" V 3000 2350 50 0000 L CNN
F 1 "10k" V 2950 2500 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 2900 2400 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 2900 2400 50 0001 C CNN
F 4 "C25744" H 2900 2400 50 0001 C CNN "LCSC"
1 2900 2400
0 -1 -1 0
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FE1F12E
P 2900 3050
AR Path="/5FE1F12E" Ref="R?" Part="1"
AR Path="/5FE03785/5FE1F12E" Ref="R60" Part="1"
F 0 "R60" V 2950 3000 50 0000 L CNN
F 1 "10k" V 2800 3000 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 2900 3050 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 2900 3050 50 0001 C CNN
F 4 "C25744" H 2900 3050 50 0001 C CNN "LCSC"
1 2900 3050
0 -1 -1 0
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FE1F135
P 2900 3700
AR Path="/5FE1F135" Ref="R?" Part="1"
AR Path="/5FE03785/5FE1F135" Ref="R61" Part="1"
F 0 "R61" V 2950 3650 50 0000 L CNN
F 1 "10k" V 2800 3650 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 2900 3700 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 2900 3700 50 0001 C CNN
F 4 "C25744" H 2900 3700 50 0001 C CNN "LCSC"
1 2900 3700
0 -1 -1 0
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FE1F13C
P 4600 3050
AR Path="/5FE1F13C" Ref="R?" Part="1"
AR Path="/5FE03785/5FE1F13C" Ref="R62" Part="1"
F 0 "R62" V 4500 3000 50 0000 L CNN
F 1 "10k" V 4550 2850 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4600 3050 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 4600 3050 50 0001 C CNN
F 4 "C25744" H 4600 3050 50 0001 C CNN "LCSC"
1 4600 3050
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE1F148
P 5300 2800
AR Path="/5FE1F148" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F148" Ref="#PWR0102" Part="1"
F 0 "#PWR0102" H 5300 2650 50 0001 C CNN
F 1 "+3V3" H 5150 2900 50 0000 C CNN
F 2 "" H 5300 2800 50 0001 C CNN
F 3 "" H 5300 2800 50 0001 C CNN
1 5300 2800
0 1 1 0
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FE1F14E
P 2400 3950
AR Path="/5FE1F14E" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F14E" Ref="#PWR0103" Part="1"
F 0 "#PWR0103" H 2400 3700 50 0001 C CNN
F 1 "GND" V 2400 3750 50 0000 C CNN
F 2 "" H 2400 3950 50 0001 C CNN
F 3 "" H 2400 3950 50 0001 C CNN
1 2400 3950
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_3_Bridged12 JP?
U 1 1 5FE1F161
P 2400 2400
AR Path="/5FE1F161" Ref="JP?" Part="1"
AR Path="/5FE03785/5FE1F161" Ref="JP1" Part="1"
F 0 "JP1" V 2446 2467 50 0000 L CNN
F 1 "Jumper_3_Bridged12" V 2355 2467 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm" H 2400 2400 50 0001 C CNN
F 3 "~" H 2400 2400 50 0001 C CNN
1 2400 2400
0 -1 -1 0
$EndComp
$Comp
L Jumper:Jumper_3_Bridged12 JP?
U 1 1 5FE1F167
P 2400 3050
AR Path="/5FE1F167" Ref="JP?" Part="1"
AR Path="/5FE03785/5FE1F167" Ref="JP2" Part="1"
F 0 "JP2" V 2446 3117 50 0000 L CNN
F 1 "Jumper_3_Bridged12" V 2355 3117 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm" H 2400 3050 50 0001 C CNN
F 3 "~" H 2400 3050 50 0001 C CNN
1 2400 3050
0 -1 -1 0
$EndComp
$Comp
L Jumper:Jumper_3_Bridged12 JP?
U 1 1 5FE1F16D
P 2400 3700
AR Path="/5FE1F16D" Ref="JP?" Part="1"
AR Path="/5FE03785/5FE1F16D" Ref="JP3" Part="1"
F 0 "JP3" V 2446 3767 50 0000 L CNN
F 1 "Jumper_3_Bridged12" V 2355 3767 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm" H 2400 3700 50 0001 C CNN
F 3 "~" H 2400 3700 50 0001 C CNN
1 2400 3700
0 -1 -1 0
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FE1F173
P 2400 3300
AR Path="/5FE1F173" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F173" Ref="#PWR0104" Part="1"
F 0 "#PWR0104" H 2400 3050 50 0001 C CNN
F 1 "GND" V 2400 3100 50 0000 C CNN
F 2 "" H 2400 3300 50 0001 C CNN
F 3 "" H 2400 3300 50 0001 C CNN
1 2400 3300
0 1 1 0
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FE1F179
P 2400 2650
AR Path="/5FE1F179" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE1F179" Ref="#PWR0105" Part="1"
F 0 "#PWR0105" H 2400 2400 50 0001 C CNN
F 1 "GND" V 2400 2450 50 0000 C CNN
F 2 "" H 2400 2650 50 0001 C CNN
F 3 "" H 2400 2650 50 0001 C CNN
1 2400 2650
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE385DA
P 2400 3450
AR Path="/5FE385DA" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE385DA" Ref="#PWR0106" Part="1"
F 0 "#PWR0106" H 2400 3300 50 0001 C CNN
F 1 "+3V3" V 2400 3650 50 0000 C CNN
F 2 "" H 2400 3450 50 0001 C CNN
F 3 "" H 2400 3450 50 0001 C CNN
1 2400 3450
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE385E0
P 2400 2800
AR Path="/5FE385E0" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE385E0" Ref="#PWR0107" Part="1"
F 0 "#PWR0107" H 2400 2650 50 0001 C CNN
F 1 "+3V3" V 2400 3000 50 0000 C CNN
F 2 "" H 2400 2800 50 0001 C CNN
F 3 "" H 2400 2800 50 0001 C CNN
1 2400 2800
0 -1 -1 0
$EndComp
Wire Wire Line
4700 2600 4650 2600
Wire Wire Line
4400 2500 4500 2500
$Comp
L power:GND #PWR?
U 1 1 5FE7346C
P 5300 3300
AR Path="/5FE7346C" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE7346C" Ref="#PWR0108" Part="1"
F 0 "#PWR0108" H 5300 3050 50 0001 C CNN
F 1 "GND" V 5300 3100 50 0000 C CNN
F 2 "" H 5300 3300 50 0001 C CNN
F 3 "" H 5300 3300 50 0001 C CNN
1 5300 3300
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE73A17
P 4000 2300
AR Path="/5FE73A17" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE73A17" Ref="#PWR0109" Part="1"
F 0 "#PWR0109" H 4000 2150 50 0001 C CNN
F 1 "+3V3" H 3850 2400 50 0000 C CNN
F 2 "" H 4000 2300 50 0001 C CNN
F 3 "" H 4000 2300 50 0001 C CNN
1 4000 2300
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 5FE78C02
P 2400 2150
AR Path="/5FE78C02" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FE78C02" Ref="#PWR0110" Part="1"
F 0 "#PWR0110" H 2400 2000 50 0001 C CNN
F 1 "+3V3" V 2400 2350 50 0000 C CNN
F 2 "" H 2400 2150 50 0001 C CNN
F 3 "" H 2400 2150 50 0001 C CNN
1 2400 2150
0 -1 -1 0
$EndComp
Wire Wire Line
2550 3700 2800 3700
Wire Wire Line
3000 3700 3600 3700
Wire Wire Line
3600 3700 3600 2700
Wire Wire Line
2550 3050 2800 3050
Wire Wire Line
3350 3050 3350 2600
Wire Wire Line
3350 2600 3600 2600
Wire Wire Line
3600 2500 3350 2500
Wire Wire Line
3350 2500 3350 2400
Wire Wire Line
3350 2400 3000 2400
Wire Wire Line
2800 2400 2550 2400
Wire Wire Line
3000 3050 3350 3050
Text Notes 4550 4000 2 50 ~ 0
HAT Serial EEPROM
Wire Notes Line
6300 4150 1350 4150
Wire Notes Line
1350 4150 1350 1900
Wire Notes Line
1350 1900 6300 1900
Wire Notes Line
6300 1900 6300 4150
$Comp
L Jumper:Jumper_3_Bridged12 JP?
U 1 1 5FE882AF
P 5300 3050
AR Path="/5FE882AF" Ref="JP?" Part="1"
AR Path="/5FE03785/5FE882AF" Ref="JP4" Part="1"
F 0 "JP4" V 5346 3117 50 0000 L CNN
F 1 "Jumper_3_Bridged12" V 5255 3117 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm" H 5300 3050 50 0001 C CNN
F 3 "~" H 5300 3050 50 0001 C CNN
1 5300 3050
0 1 -1 0
$EndComp
Wire Wire Line
4400 2700 4500 2700
Wire Wire Line
4700 3050 5150 3050
Wire Wire Line
4500 2700 4500 3050
$Comp
L power:+3V3 #PWR?
U 1 1 5FEEFA21
P 4650 2050
AR Path="/5FEEFA21" Ref="#PWR?" Part="1"
AR Path="/5FE03785/5FEEFA21" Ref="#PWR?" Part="1"
F 0 "#PWR?" H 4650 1900 50 0001 C CNN
F 1 "+3V3" H 4500 2150 50 0000 C CNN
F 2 "" H 4650 2050 50 0001 C CNN
F 3 "" H 4650 2050 50 0001 C CNN
1 4650 2050
1 0 0 -1
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FEF0453
P 4500 2250
AR Path="/5FEF0453" Ref="R?" Part="1"
AR Path="/5FE03785/5FEF0453" Ref="R?" Part="1"
F 0 "R?" V 4400 2200 50 0000 L CNN
F 1 "4.7k" V 4300 2200 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4500 2250 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 4500 2250 50 0001 C CNN
F 4 "C25900" H 4500 2250 50 0001 C CNN "LCSC"
1 4500 2250
1 0 0 -1
$EndComp
$Comp
L Device:R_Small R?
U 1 1 5FEF0C20
P 4650 2250
AR Path="/5FEF0C20" Ref="R?" Part="1"
AR Path="/5FE03785/5FEF0C20" Ref="R?" Part="1"
F 0 "R?" V 4750 2200 50 0000 L CNN
F 1 "4.7k" V 4850 2200 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4650 2250 50 0001 C CNN
F 3 "https://datasheet.lcsc.com/szlcsc/Uniroyal-Elec-0402WGF1002TCE_C25744.pdf" H 4650 2250 50 0001 C CNN
F 4 "C25900" H 4650 2250 50 0001 C CNN "LCSC"
1 4650 2250
1 0 0 -1
$EndComp
Wire Wire Line
4650 2050 4500 2050
Wire Wire Line
4500 2050 4500 2150
Wire Wire Line
4650 2050 4650 2150
Connection ~ 4650 2050
Wire Wire Line
4650 2350 4650 2600
Connection ~ 4650 2600
Wire Wire Line
4650 2600 4400 2600
Wire Wire Line
4500 2350 4500 2500
Connection ~ 4500 2500
Wire Wire Line
4500 2500 4700 2500
$EndSCHEMATC

143
hw/rascsi_2p3/USB_Conn.sch Normal file
View File

@ -0,0 +1,143 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 3 3
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L SamacSys_Parts:U254-051N-4BH806 J?
U 1 1 5FEC9A88
P 2900 2050
AR Path="/5FEC9A88" Ref="J?" Part="1"
AR Path="/5FEC586E/5FEC9A88" Ref="J8" Part="1"
F 0 "J8" H 3350 2300 50 0000 C CNN
F 1 "USB_B_Micro" H 3350 2200 50 0000 C CNN
F 2 "SamacSys_Parts:U254051N4BH806" H 3050 2000 50 0001 C CNN
F 3 "~" H 3050 2000 50 0001 C CNN
F 4 "C319170" H 2900 2050 50 0001 C CNN "LCSC"
1 2900 2050
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FEC9A8E
P 2900 2500
AR Path="/5FEC9A8E" Ref="#PWR?" Part="1"
AR Path="/5FEC586E/5FEC9A8E" Ref="#PWR051" Part="1"
F 0 "#PWR051" H 2900 2250 50 0001 C CNN
F 1 "GND" H 3000 2400 50 0000 C CNN
F 2 "" H 2900 2500 50 0001 C CNN
F 3 "" H 2900 2500 50 0001 C CNN
1 2900 2500
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FEC9A94
P 3800 2500
AR Path="/5FEC9A94" Ref="#PWR?" Part="1"
AR Path="/5FEC586E/5FEC9A94" Ref="#PWR052" Part="1"
F 0 "#PWR052" H 3800 2250 50 0001 C CNN
F 1 "GND" H 3650 2400 50 0000 C CNN
F 2 "" H 3800 2500 50 0001 C CNN
F 3 "" H 3800 2500 50 0001 C CNN
1 3800 2500
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR?
U 1 1 5FEC9A9A
P 2900 2000
AR Path="/5FEC9A9A" Ref="#PWR?" Part="1"
AR Path="/5FEC586E/5FEC9A9A" Ref="#PWR050" Part="1"
F 0 "#PWR050" H 2900 1850 50 0001 C CNN
F 1 "+5V" H 2950 2150 50 0000 C CNN
F 2 "" H 2900 2000 50 0001 C CNN
F 3 "" H 2900 2000 50 0001 C CNN
1 2900 2000
1 0 0 -1
$EndComp
Connection ~ 3800 2150
Wire Wire Line
3800 2150 3800 2050
Connection ~ 3800 2250
Wire Wire Line
3800 2250 3800 2150
Connection ~ 3800 2350
Wire Wire Line
3800 2350 3800 2250
Wire Wire Line
2900 2050 2900 2000
Wire Wire Line
2900 2450 2900 2500
Wire Wire Line
3800 2350 3800 2500
Wire Wire Line
3850 3150 3100 3150
Wire Wire Line
3850 2950 3100 2950
Wire Wire Line
3100 3050 3850 3050
Text Label 3700 3150 2 39 ~ 0
USB_Pin_2
Text Label 3700 3050 2 39 ~ 0
USB_Pin_3
Text Label 3700 2950 2 39 ~ 0
USB_Pin_4
Text GLabel 2900 2150 0 39 Input ~ 0
USB_Pin_2
Text GLabel 2900 2250 0 39 Input ~ 0
USB_Pin_3
Text GLabel 2900 2350 0 39 Input ~ 0
USB_Pin_4
Text GLabel 3100 3150 0 39 Input ~ 0
USB_Pin_2
Text GLabel 3100 3050 0 39 Input ~ 0
USB_Pin_3
Text GLabel 3100 2950 0 39 Input ~ 0
USB_Pin_4
Text Notes 2550 3450 0 39 ~ 0
Pins 2,3,4 are not used. However, if there aren't any traces connected\nto them, they fall off very easily. These test points dont' serve any \nfunction other than adding more copper to Pins 2,3,4
$Comp
L Connector:TestPoint TP1
U 1 1 5FED04F9
P 3850 2950
F 0 "TP1" V 3804 3138 50 0000 L CNN
F 1 "TestPoint" V 3895 3138 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D1.0mm" H 4050 2950 50 0001 C CNN
F 3 "~" H 4050 2950 50 0001 C CNN
1 3850 2950
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP2
U 1 1 5FED0B15
P 3850 3050
F 0 "TP2" V 3804 3238 50 0000 L CNN
F 1 "TestPoint" V 3895 3238 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D1.0mm" H 4050 3050 50 0001 C CNN
F 3 "~" H 4050 3050 50 0001 C CNN
1 3850 3050
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP3
U 1 1 5FED0C52
P 3850 3150
F 0 "TP3" V 3804 3338 50 0000 L CNN
F 1 "TestPoint" V 3895 3338 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D1.0mm" H 4050 3150 50 0001 C CNN
F 3 "~" H 4050 3150 50 0001 C CNN
1 3850 3150
0 1 1 0
$EndComp
$EndSCHEMATC

View File

@ -0,0 +1,143 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 3 3
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L SamacSys_Parts:U254-051N-4BH806 J?
U 1 1 5FEC9A88
P 2900 2050
AR Path="/5FEC9A88" Ref="J?" Part="1"
AR Path="/5FEC586E/5FEC9A88" Ref="J8" Part="1"
F 0 "J8" H 3350 2300 50 0000 C CNN
F 1 "USB_B_Micro" H 3350 2200 50 0000 C CNN
F 2 "SamacSys_Parts:U254051N4BH806" H 3050 2000 50 0001 C CNN
F 3 "~" H 3050 2000 50 0001 C CNN
F 4 "C319170" H 2900 2050 50 0001 C CNN "LCSC"
1 2900 2050
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FEC9A8E
P 2900 2500
AR Path="/5FEC9A8E" Ref="#PWR?" Part="1"
AR Path="/5FEC586E/5FEC9A8E" Ref="#PWR051" Part="1"
F 0 "#PWR051" H 2900 2250 50 0001 C CNN
F 1 "GND" H 3000 2400 50 0000 C CNN
F 2 "" H 2900 2500 50 0001 C CNN
F 3 "" H 2900 2500 50 0001 C CNN
1 2900 2500
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 5FEC9A94
P 3800 2500
AR Path="/5FEC9A94" Ref="#PWR?" Part="1"
AR Path="/5FEC586E/5FEC9A94" Ref="#PWR052" Part="1"
F 0 "#PWR052" H 3800 2250 50 0001 C CNN
F 1 "GND" H 3650 2400 50 0000 C CNN
F 2 "" H 3800 2500 50 0001 C CNN
F 3 "" H 3800 2500 50 0001 C CNN
1 3800 2500
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR?
U 1 1 5FEC9A9A
P 2900 2000
AR Path="/5FEC9A9A" Ref="#PWR?" Part="1"
AR Path="/5FEC586E/5FEC9A9A" Ref="#PWR050" Part="1"
F 0 "#PWR050" H 2900 1850 50 0001 C CNN
F 1 "+5V" H 2950 2150 50 0000 C CNN
F 2 "" H 2900 2000 50 0001 C CNN
F 3 "" H 2900 2000 50 0001 C CNN
1 2900 2000
1 0 0 -1
$EndComp
Connection ~ 3800 2150
Wire Wire Line
3800 2150 3800 2050
Connection ~ 3800 2250
Wire Wire Line
3800 2250 3800 2150
Connection ~ 3800 2350
Wire Wire Line
3800 2350 3800 2250
Wire Wire Line
2900 2050 2900 2000
Wire Wire Line
2900 2450 2900 2500
Wire Wire Line
3800 2350 3800 2500
Wire Wire Line
3850 3150 3100 3150
Wire Wire Line
3850 2950 3100 2950
Wire Wire Line
3100 3050 3850 3050
Text Label 3700 3150 2 39 ~ 0
USB_Pin_2
Text Label 3700 3050 2 39 ~ 0
USB_Pin_3
Text Label 3700 2950 2 39 ~ 0
USB_Pin_4
Text GLabel 2900 2150 0 39 Input ~ 0
USB_Pin_2
Text GLabel 2900 2250 0 39 Input ~ 0
USB_Pin_3
Text GLabel 2900 2350 0 39 Input ~ 0
USB_Pin_4
Text GLabel 3100 3150 0 39 Input ~ 0
USB_Pin_2
Text GLabel 3100 3050 0 39 Input ~ 0
USB_Pin_3
Text GLabel 3100 2950 0 39 Input ~ 0
USB_Pin_4
Text Notes 2550 3450 0 39 ~ 0
Pins 2,3,4 are not used. However, if there aren't any traces connected\nto them, they fall off very easily. These test points dont' serve any \nfunction other than adding more copper to Pins 2,3,4
$Comp
L Connector:TestPoint TP1
U 1 1 5FED04F9
P 3850 2950
F 0 "TP1" V 3804 3138 50 0000 L CNN
F 1 "TestPoint" V 3895 3138 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D1.0mm" H 4050 2950 50 0001 C CNN
F 3 "~" H 4050 2950 50 0001 C CNN
1 3850 2950
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP2
U 1 1 5FED0B15
P 3850 3050
F 0 "TP2" V 3804 3238 50 0000 L CNN
F 1 "TestPoint" V 3895 3238 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D1.0mm" H 4050 3050 50 0001 C CNN
F 3 "~" H 4050 3050 50 0001 C CNN
1 3850 3050
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP3
U 1 1 5FED0C52
P 3850 3150
F 0 "TP3" V 3804 3338 50 0000 L CNN
F 1 "TestPoint" V 3895 3338 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D1.0mm" H 4050 3150 50 0001 C CNN
F 3 "~" H 4050 3150 50 0001 C CNN
1 3850 3150
0 1 1 0
$EndComp
$EndSCHEMATC

400
hw/rascsi_2p3/fp-info-cache Normal file
View File

@ -0,0 +1,400 @@
89230649316938
TestPoint
TestPoint_2Pads_Pitch2.54mm_Drill0.8mm
Test point with 2 pins, pitch 2.54mm, drill diameter 0.8mm
CONN DEV
0
2
2
TestPoint
TestPoint_2Pads_Pitch5.08mm_Drill1.3mm
Test point with 2 pads, pitch 5.08mm, hole diameter 1.3mm, wire diameter 1.0mm
CONN DEV
0
2
2
TestPoint
TestPoint_Bridge_Pitch2.0mm_Drill0.7mm
wire loop as test point, pitch 2.0mm, hole diameter 0.7mm, wire diameter 0.5mm
test point wire loop
0
2
1
TestPoint
TestPoint_Bridge_Pitch2.54mm_Drill0.7mm
wire loop as test point, pitch 2.0mm, hole diameter 0.7mm, wire diameter 0.5mm
test point wire loop
0
2
1
TestPoint
TestPoint_Bridge_Pitch2.54mm_Drill1.0mm
wire loop as test point, pitch 2.54mm, hole diameter 1.0mm, wire diameter 0.8mm
test point wire loop
0
2
1
TestPoint
TestPoint_Bridge_Pitch2.54mm_Drill1.3mm
wire loop as test point, pitch 2.54mm, hole diameter 1.3mm, wire diameter 1.0mm
test point wire loop
0
2
1
TestPoint
TestPoint_Bridge_Pitch3.81mm_Drill1.3mm
wire loop as test point, pitch 3.81mm, hole diameter 1.3mm, wire diameter 1.0mm
test point wire loop
0
2
1
TestPoint
TestPoint_Bridge_Pitch5.08mm_Drill0.7mm
wire loop as test point, pitch 5.08mm, hole diameter 0.7mm, wire diameter 1.0mm
test point wire loop
0
2
1
TestPoint
TestPoint_Bridge_Pitch5.08mm_Drill1.3mm
wire loop as test point, pitch 5.08mm, hole diameter 1.3mm, wire diameter 1.0mm
test point wire loop
0
2
1
TestPoint
TestPoint_Bridge_Pitch6.35mm_Drill1.3mm
wire loop as test point, pitch 6.35mm, hole diameter 1.3mm, wire diameter 1.0mm
test point wire loop
0
2
1
TestPoint
TestPoint_Bridge_Pitch7.62mm_Drill1.3mm
wire loop as test point, pitch 7.62mm, hole diameter 1.3mm, wire diameter 1.0mm
test point wire loop
0
2
1
TestPoint
TestPoint_Keystone_5000-5004_Miniature
Keystone Miniature THM Test Point 5000-5004, http://www.keyelco.com/product-pdf.cfm?p=1309
Through Hole Mount Test Points
0
1
1
TestPoint
TestPoint_Keystone_5005-5009_Compact
Keystone Miniature THM Test Point 5005-5009, http://www.keyelco.com/product-pdf.cfm?p=1314
Through Hole Mount Test Points
0
1
1
TestPoint
TestPoint_Keystone_5010-5014_Multipurpose
Keystone Miniature THM Test Point 5010-5014, http://www.keyelco.com/product-pdf.cfm?p=1319
Through Hole Mount Test Points
0
1
1
TestPoint
TestPoint_Keystone_5015_Micro-Minature
SMT Test Point- Micro Miniature 5015, http://www.keyelco.com/product-pdf.cfm?p=1353
Test Point
0
1
1
TestPoint
TestPoint_Keystone_5019_Minature
SMT Test Point- Micro Miniature 5019, http://www.keyelco.com/product-pdf.cfm?p=1357
Test Point
0
1
1
TestPoint
TestPoint_Loop_D1.80mm_Drill1.0mm_Beaded
wire loop with bead as test point, loop diameter 1.8mm, hole diameter 1.0mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D2.50mm_Drill1.0mm
wire loop as test point, loop diameter 2.5mm, hole diameter 1.0mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D2.50mm_Drill1.0mm_LowProfile
low profile wire loop as test point, loop diameter 2.5mm, hole diameter 1.0mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D2.50mm_Drill1.85mm
wire loop as test point, loop diameter 2.5mm, hole diameter 1.85mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D2.54mm_Drill1.5mm_Beaded
wire loop with bead as test point, loop diameter2.548mm, hole diameter 1.5mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D2.60mm_Drill0.9mm_Beaded
wire loop with bead as test point, loop diameter2.6mm, hole diameter 0.9mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D2.60mm_Drill1.4mm_Beaded
wire loop with bead as test point, loop diameter2.6mm, hole diameter 1.4mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D2.60mm_Drill1.6mm_Beaded
wire loop with bead as test point, loop diameter2.6mm, hole diameter 1.6mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D3.50mm_Drill0.9mm_Beaded
wire loop with bead as test point, loop diameter2.6mm, hole diameter 0.9mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D3.50mm_Drill1.4mm_Beaded
wire loop with bead as test point, loop diameter 3.5mm, hole diameter 1.4mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D3.80mm_Drill2.0mm
wire loop as test point, loop diameter 3.8mm, hole diameter 2.0mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D3.80mm_Drill2.5mm
wire loop as test point, loop diameter 3.8mm, hole diameter 2.5mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Loop_D3.80mm_Drill2.8mm
wire loop as test point, loop diameter 3.8mm, hole diameter 2.8mm
test point wire loop bead
0
1
1
TestPoint
TestPoint_Pad_1.0x1.0mm
SMD rectangular pad as test Point, square 1.0mm side length
test point SMD pad rectangle square
0
1
1
TestPoint
TestPoint_Pad_1.5x1.5mm
SMD rectangular pad as test Point, square 1.5mm side length
test point SMD pad rectangle square
0
1
1
TestPoint
TestPoint_Pad_2.0x2.0mm
SMD rectangular pad as test Point, square 2.0mm side length
test point SMD pad rectangle square
0
1
1
TestPoint
TestPoint_Pad_2.5x2.5mm
SMD rectangular pad as test Point, square 2.5mm side length
test point SMD pad rectangle square
0
1
1
TestPoint
TestPoint_Pad_3.0x3.0mm
SMD rectangular pad as test Point, square 3.0mm side length
test point SMD pad rectangle square
0
1
1
TestPoint
TestPoint_Pad_4.0x4.0mm
SMD rectangular pad as test Point, square 4.0mm side length
test point SMD pad rectangle square
0
1
1
TestPoint
TestPoint_Pad_D1.0mm
SMD pad as test Point, diameter 1.0mm
test point SMD pad
0
1
1
TestPoint
TestPoint_Pad_D1.5mm
SMD pad as test Point, diameter 1.5mm
test point SMD pad
0
1
1
TestPoint
TestPoint_Pad_D2.0mm
SMD pad as test Point, diameter 2.0mm
test point SMD pad
0
1
1
TestPoint
TestPoint_Pad_D2.5mm
SMD pad as test Point, diameter 2.5mm
test point SMD pad
0
1
1
TestPoint
TestPoint_Pad_D3.0mm
SMD pad as test Point, diameter 3.0mm
test point SMD pad
0
1
1
TestPoint
TestPoint_Pad_D4.0mm
SMD pad as test Point, diameter 4.0mm
test point SMD pad
0
1
1
TestPoint
TestPoint_Plated_Hole_D2.0mm
Plated Hole as test Point, diameter 2.0mm
test point plated hole
0
1
1
TestPoint
TestPoint_Plated_Hole_D3.0mm
Plated Hole as test Point, diameter 3.0mm
test point plated hole
0
1
1
TestPoint
TestPoint_Plated_Hole_D4.0mm
Plated Hole as test Point, diameter 4.0mm
test point plated hole
0
1
1
TestPoint
TestPoint_Plated_Hole_D5.0mm
Plated Hole as test Point, diameter 5.0mm
test point plated hole
0
1
1
TestPoint
TestPoint_THTPad_1.0x1.0mm_Drill0.5mm
THT rectangular pad as test Point, square 1.0mm side length, hole diameter 0.5mm
test point THT pad rectangle square
0
1
1
TestPoint
TestPoint_THTPad_1.5x1.5mm_Drill0.7mm
THT rectangular pad as test Point, square 1.5mm side length, hole diameter 0.7mm
test point THT pad rectangle square
0
1
1
TestPoint
TestPoint_THTPad_2.0x2.0mm_Drill1.0mm
THT rectangular pad as test Point, square 2.0mm_Drill1.0mm side length, hole diameter 1.0mm
test point THT pad rectangle square
0
1
1
TestPoint
TestPoint_THTPad_2.5x2.5mm_Drill1.2mm
THT rectangular pad as test Point, square 2.5mm side length, hole diameter 1.2mm
test point THT pad rectangle square
0
1
1
TestPoint
TestPoint_THTPad_3.0x3.0mm_Drill1.5mm
THT rectangular pad as test Point, square 3.0mm side length, hole diameter 1.5mm
test point THT pad rectangle square
0
1
1
TestPoint
TestPoint_THTPad_4.0x4.0mm_Drill2.0mm
THT rectangular pad as test Point, square 4.0mm side length, hole diameter 2.0mm
test point THT pad rectangle square
0
1
1
TestPoint
TestPoint_THTPad_D1.0mm_Drill0.5mm
THT pad as test Point, diameter 1.0mm, hole diameter 0.5mm
test point THT pad
0
1
1
TestPoint
TestPoint_THTPad_D1.5mm_Drill0.7mm
THT pad as test Point, diameter 1.5mm, hole diameter 0.7mm
test point THT pad
0
1
1
TestPoint
TestPoint_THTPad_D2.0mm_Drill1.0mm
THT pad as test Point, diameter 2.0mm, hole diameter 1.0mm
test point THT pad
0
1
1
TestPoint
TestPoint_THTPad_D2.5mm_Drill1.2mm
THT pad as test Point, diameter 2.5mm, hole diameter 1.2mm
test point THT pad
0
1
1
TestPoint
TestPoint_THTPad_D3.0mm_Drill1.5mm
THT pad as test Point, diameter 3.0mm, hole diameter 1.5mm
test point THT pad
0
1
1
TestPoint
TestPoint_THTPad_D4.0mm_Drill2.0mm
THT pad as test Point, diameter 4.0mm, hole diameter 2.0mm
test point THT pad
0
1
1

View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name SamacSys_Parts)(type KiCad)(uri ${KIPRJMOD}/SamacSys_Parts.pretty)(options "")(descr ""))
)

Binary file not shown.

View File

@ -0,0 +1,329 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(5.1.4)-1*%
%TF.CreationDate,2020-09-12T17:46:14-05:00*%
%TF.ProjectId,rascsi_2p3,72617363-7369-45f3-9270-332e6b696361,rev?*%
%TF.SameCoordinates,PX59d60c0PY325aa00*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.4)-1) date 2020-09-12 17:46:14*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.050000*%
%ADD11C,1.100000*%
%ADD12C,0.750000*%
%ADD13C,2.100000*%
%ADD14R,1.600000X1.100000*%
%ADD15C,4.945000*%
%ADD16C,1.645000*%
%ADD17O,1.800000X1.800000*%
%ADD18R,1.800000X1.800000*%
%ADD19C,0.900000*%
%ADD20C,5.500000*%
G04 APERTURE END LIST*
D10*
X83800000Y-45696000D02*
X141800000Y-45696000D01*
X83800000Y-45696000D02*
G75*
G02X80300000Y-42196000I0J3500000D01*
G01*
X145300000Y-42196000D02*
G75*
G02X141800000Y-45696000I-3500000J0D01*
G01*
X80300000Y6800000D02*
X80300000Y-42196000D01*
X145300000Y6800000D02*
X145300000Y-42196000D01*
X83800000Y10300000D02*
X141800000Y10300000D01*
X80300000Y6800000D02*
G75*
G02X83800000Y10300000I3500000J0D01*
G01*
X141800000Y10300000D02*
G75*
G02X145300000Y6800000I0J-3500000D01*
G01*
D11*
%TO.C,TP1*%
X140650000Y-7250000D03*
%TD*%
%TO.C,TP2*%
X139260000Y-8660000D03*
%TD*%
%TO.C,TP3*%
X140650000Y-10110000D03*
%TD*%
D12*
%TO.C,J8*%
X142025000Y-6660000D03*
X142025000Y-10660000D03*
D13*
X140705000Y-12235000D03*
X140705000Y-5085000D03*
X144155000Y-5085000D03*
X144155000Y-12235000D03*
%TD*%
D14*
%TO.C,JP4*%
X113969999Y-10210000D03*
X113969999Y-8910000D03*
X113969999Y-11510000D03*
%TD*%
%TO.C,JP1*%
X106220000Y-10210000D03*
X106220000Y-8910000D03*
X106220000Y-11510000D03*
%TD*%
%TO.C,JP3*%
X111386666Y-10210000D03*
X111386666Y-8910000D03*
X111386666Y-11510000D03*
%TD*%
%TO.C,JP2*%
X108803333Y-10210000D03*
X108803333Y-8910000D03*
X108803333Y-11510000D03*
%TD*%
D15*
%TO.C,J6*%
X89281600Y-36250000D03*
X136321600Y-36250000D03*
D16*
X97566600Y-37670000D03*
X100336600Y-37670000D03*
X103106600Y-37670000D03*
X105876600Y-37670000D03*
X108646600Y-37670000D03*
X111416600Y-37670000D03*
X114186600Y-37670000D03*
X116956600Y-37670000D03*
X119726600Y-37670000D03*
X122496600Y-37670000D03*
X125266600Y-37670000D03*
X128036600Y-37670000D03*
X96181600Y-34830000D03*
X98951600Y-34830000D03*
X101721600Y-34830000D03*
X104491600Y-34830000D03*
X107261600Y-34830000D03*
X110031600Y-34830000D03*
X112801600Y-34830000D03*
X115571600Y-34830000D03*
X118341600Y-34830000D03*
X121111600Y-34830000D03*
X123881600Y-34830000D03*
X126651600Y-34830000D03*
X129421600Y-34830000D03*
%TD*%
D17*
%TO.C,J2*%
X139226000Y857000D03*
D18*
X139226000Y-1683000D03*
%TD*%
D17*
%TO.C,J7*%
X84920000Y2280000D03*
D18*
X82380000Y2280000D03*
%TD*%
D17*
%TO.C,J5*%
X82560000Y-23110000D03*
X82560000Y-20570000D03*
X85100000Y-23110000D03*
X85100000Y-20570000D03*
X87640000Y-23110000D03*
D18*
X87640000Y-20570000D03*
%TD*%
D17*
%TO.C,J3*%
X143226500Y-27654500D03*
X143226500Y-30194500D03*
X140686500Y-27654500D03*
X140686500Y-30194500D03*
X138146500Y-27654500D03*
X138146500Y-30194500D03*
X135606500Y-27654500D03*
X135606500Y-30194500D03*
X133066500Y-27654500D03*
X133066500Y-30194500D03*
X130526500Y-27654500D03*
X130526500Y-30194500D03*
X127986500Y-27654500D03*
X127986500Y-30194500D03*
X125446500Y-27654500D03*
X125446500Y-30194500D03*
X122906500Y-27654500D03*
X122906500Y-30194500D03*
X120366500Y-27654500D03*
X120366500Y-30194500D03*
X117826500Y-27654500D03*
X117826500Y-30194500D03*
X115286500Y-27654500D03*
X115286500Y-30194500D03*
X112746500Y-27654500D03*
X112746500Y-30194500D03*
X110206500Y-27654500D03*
X110206500Y-30194500D03*
X107666500Y-27654500D03*
X107666500Y-30194500D03*
X105126500Y-27654500D03*
X105126500Y-30194500D03*
X102586500Y-27654500D03*
X102586500Y-30194500D03*
X100046500Y-27654500D03*
X100046500Y-30194500D03*
X97506500Y-27654500D03*
X97506500Y-30194500D03*
X94966500Y-27654500D03*
X94966500Y-30194500D03*
X92426500Y-27654500D03*
X92426500Y-30194500D03*
X89886500Y-27654500D03*
X89886500Y-30194500D03*
X87346500Y-27654500D03*
X87346500Y-30194500D03*
X84806500Y-27654500D03*
X84806500Y-30194500D03*
X82266500Y-27654500D03*
D18*
X82266500Y-30194500D03*
%TD*%
D17*
%TO.C,J1*%
X136930000Y8070000D03*
X136930000Y5530000D03*
X134390000Y8070000D03*
X134390000Y5530000D03*
X131850000Y8070000D03*
X131850000Y5530000D03*
X129310000Y8070000D03*
X129310000Y5530000D03*
X126770000Y8070000D03*
X126770000Y5530000D03*
X124230000Y8070000D03*
X124230000Y5530000D03*
X121690000Y8070000D03*
X121690000Y5530000D03*
X119150000Y8070000D03*
X119150000Y5530000D03*
X116610000Y8070000D03*
X116610000Y5530000D03*
X114070000Y8070000D03*
X114070000Y5530000D03*
X111530000Y8070000D03*
X111530000Y5530000D03*
X108990000Y8070000D03*
X108990000Y5530000D03*
X106450000Y8070000D03*
X106450000Y5530000D03*
X103910000Y8070000D03*
X103910000Y5530000D03*
X101370000Y8070000D03*
X101370000Y5530000D03*
X98830000Y8070000D03*
X98830000Y5530000D03*
X96290000Y8070000D03*
X96290000Y5530000D03*
X93750000Y8070000D03*
X93750000Y5530000D03*
X91210000Y8070000D03*
X91210000Y5530000D03*
X88670000Y8070000D03*
D18*
X88670000Y5530000D03*
%TD*%
D19*
%TO.C,H2*%
X143231891Y8231891D03*
X141800000Y8825000D03*
X140368109Y8231891D03*
X139775000Y6800000D03*
X140368109Y5368109D03*
X141800000Y4775000D03*
X143231891Y5368109D03*
X143825000Y6800000D03*
D20*
X141800000Y6800000D03*
%TD*%
D19*
%TO.C,H1*%
X85231891Y8231891D03*
X83800000Y8825000D03*
X82368109Y8231891D03*
X81775000Y6800000D03*
X82368109Y5368109D03*
X83800000Y4775000D03*
X85231891Y5368109D03*
X85825000Y6800000D03*
D20*
X83800000Y6800000D03*
%TD*%
D17*
%TO.C,J4*%
X83810000Y-820000D03*
X83810000Y-3360000D03*
X83810000Y-5900000D03*
X83810000Y-8440000D03*
D18*
X83810000Y-10980000D03*
%TD*%
D19*
%TO.C,H6*%
X143231891Y-40768109D03*
X141800000Y-40175000D03*
X140368109Y-40768109D03*
X139775000Y-42200000D03*
X140368109Y-43631891D03*
X141800000Y-44225000D03*
X143231891Y-43631891D03*
X143825000Y-42200000D03*
D20*
X141800000Y-42200000D03*
%TD*%
D19*
%TO.C,H5*%
X85231891Y-40768109D03*
X83800000Y-40175000D03*
X82368109Y-40768109D03*
X81775000Y-42200000D03*
X82368109Y-43631891D03*
X83800000Y-44225000D03*
X85231891Y-43631891D03*
X85825000Y-42200000D03*
D20*
X83800000Y-42200000D03*
%TD*%
D19*
%TO.C,H4*%
X143231891Y-14768109D03*
X141800000Y-14175000D03*
X140368109Y-14768109D03*
X139775000Y-16200000D03*
X140368109Y-17631891D03*
X141800000Y-18225000D03*
X143231891Y-17631891D03*
X143825000Y-16200000D03*
D20*
X141800000Y-16200000D03*
%TD*%
D19*
%TO.C,H3*%
X85231891Y-14768109D03*
X83800000Y-14175000D03*
X82368109Y-14768109D03*
X81775000Y-16200000D03*
X82368109Y-17631891D03*
X83800000Y-18225000D03*
X85231891Y-17631891D03*
X85825000Y-16200000D03*
D20*
X83800000Y-16200000D03*
%TD*%
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,39 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(5.1.4)-1*%
%TF.CreationDate,2020-09-12T17:46:14-05:00*%
%TF.ProjectId,rascsi_2p3,72617363-7369-45f3-9270-332e6b696361,rev?*%
%TF.SameCoordinates,PX59d60c0PY325aa00*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.4)-1) date 2020-09-12 17:46:14*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.050000*%
G04 APERTURE END LIST*
D10*
X83800000Y-45696000D02*
X141800000Y-45696000D01*
X83800000Y-45696000D02*
G75*
G02X80300000Y-42196000I0J3500000D01*
G01*
X145300000Y-42196000D02*
G75*
G02X141800000Y-45696000I-3500000J0D01*
G01*
X80300000Y6800000D02*
X80300000Y-42196000D01*
X145300000Y6800000D02*
X145300000Y-42196000D01*
X83800000Y10300000D02*
X141800000Y10300000D01*
X80300000Y6800000D02*
G75*
G02X83800000Y10300000I3500000J0D01*
G01*
X141800000Y10300000D02*
G75*
G02X145300000Y6800000I0J-3500000D01*
G01*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1 @@
Ref,Val,Package,PosX,PosY,Rot,Side
1 Ref Val Package PosX PosY Rot Side

View File

@ -0,0 +1,110 @@
{
"Header":
{
"GenerationSoftware":
{
"Vendor": "KiCad",
"Application": "Pcbnew",
"Version": "(5.1.4)-1"
},
"CreationDate": "2020-09-12T17:46:14-05:00"
},
"GeneralSpecs":
{
"ProjectId":
{
"Name": "rascsi_2p3",
"GUID": "72617363-7369-45f3-9270-332e6b696361",
"Revision": "rev?"
},
"Size":
{
"X": 65.050,
"Y": 56.046
},
"LayerNumber": 2,
"BoardThickness": 1.600
},
"DesignRules":
[
{
"Layers": "Outer",
"PadToPad": 0.127,
"PadToTrack": 0.127,
"TrackToTrack": 0.127,
"MinLineWidth": 0.150,
"TrackToRegion": 0.508,
"RegionToRegion": 0.508
}
],
"FilesAttributes":
[
{
"Path": "rascsi_2p3-Top.gbr",
"FileFunction": "Copper,L1,Top",
"FilePolarity": "Positive"
},
{
"Path": "rascsi_2p3-Bottom.gbr",
"FileFunction": "Copper,L2,Bot",
"FilePolarity": "Positive"
},
{
"Path": "rascsi_2p3-F_SilkS.gbr",
"FileFunction": "Legend,Top",
"FilePolarity": "Positive"
},
{
"Path": "rascsi_2p3-B_SilkS.gbr",
"FileFunction": "Legend,Bot",
"FilePolarity": "Positive"
},
{
"Path": "rascsi_2p3-F_Mask.gbr",
"FileFunction": "SolderMask,Top",
"FilePolarity": "Negative"
},
{
"Path": "rascsi_2p3-B_Mask.gbr",
"FileFunction": "SolderMask,Bot",
"FilePolarity": "Negative"
},
{
"Path": "rascsi_2p3-Edge_Cuts.gbr",
"FileFunction": "Profile",
"FilePolarity": "Positive"
}
],
"MaterialStackup":
[
{
"Type": "Legend",
"Notes": "Layer F.SilkS"
},
{
"Type": "SolderMask",
"Notes": "Layer F.Mask"
},
{
"Type": "Copper",
"Notes": "Layer Top"
},
{
"Type": "Dielectric",
"Material": "FR4",
"Notes": "Layers L1/L2"
},
{
"Type": "Copper",
"Notes": "Layer Bottom"
},
{
"Type": "SolderMask",
"Notes": "Layer B.Mask"
},
{
"Type": "Legend",
"Notes": "Layer B.SilkS"
}
]
}

View File

@ -0,0 +1,77 @@
Ref,Val,Package,PosX,PosY,Rot,Side
"D1","Green","LED_0805_2012Metric",142.703500,2.381000,180.000000,top
"D2","Green","LED_0805_2012Metric",142.591500,-1.556000,180.000000,top
"D3","Green","LED_0805_2012Metric",141.829500,-22.066500,180.000000,top
"D4","Green","LED_0805_2012Metric",141.829500,-20.161500,180.000000,top
"D5","SM4007PL","D_SOD-123F",128.494500,-13.620000,270.000000,top
"FUSE1","1A","Fuse_1206_3216Metric",125.573500,-13.624000,90.000000,top
"IC1","SN74LS641-1DW","SOIC127P1030X265-20N",105.444000,-4.985000,90.000000,top
"IC2","SN74LS641-1DW","SOIC127P1030X265-20N",92.490000,-4.985000,90.000000,top
"IC3","SN74LS641-1DW","SOIC127P1030X265-20N",118.398000,-4.985000,270.000000,top
"IC4","SN74LS641-1DW","SOIC127P1030X265-20N",131.352000,-4.985000,270.000000,top
"R1","2k","R_0402_1005Metric",138.758500,2.444500,0.000000,top
"R2","2k","R_0402_1005Metric",138.758500,3.460500,0.000000,top
"R3","5k","R_0402_1005Metric",139.607000,-22.003000,270.000000,top
"R4","2k","R_0402_1005Metric",139.607000,-20.098000,270.000000,top
"R5","10k","R_0402_1005Metric",132.812500,2.231000,270.000000,top
"R6","10k","R_0402_1005Metric",134.082500,2.231000,270.000000,top
"R7","10k","R_0402_1005Metric",135.352500,2.231000,270.000000,top
"R8","10k","R_0402_1005Metric",136.622500,2.231000,270.000000,top
"R9","10k","R_0402_1005Metric",118.525000,2.231000,270.000000,top
"R10","10k","R_0402_1005Metric",119.795000,2.231000,270.000000,top
"R11","10k","R_0402_1005Metric",121.065000,2.231000,270.000000,top
"R12","10k","R_0402_1005Metric",122.335000,2.231000,270.000000,top
"R13","10k","R_0402_1005Metric",123.605000,2.231000,270.000000,top
"R14","10k","R_0402_1005Metric",89.950000,2.231000,270.000000,top
"R15","10k","R_0402_1005Metric",91.220000,2.231000,270.000000,top
"R16","10k","R_0402_1005Metric",92.490000,2.231000,270.000000,top
"R17","10k","R_0402_1005Metric",93.760000,2.231000,270.000000,top
"R18","10k","R_0402_1005Metric",95.030000,2.231000,270.000000,top
"R19","10k","R_0402_1005Metric",96.300000,2.231000,270.000000,top
"R20","10k","R_0402_1005Metric",97.570000,2.231000,270.000000,top
"R21","10k","R_0402_1005Metric",98.840000,2.231000,270.000000,top
"R22","10k","R_0402_1005Metric",102.650000,2.231000,270.000000,top
"R23","220","R_0402_1005Metric",131.542500,-12.605000,270.000000,top
"R24","220","R_0402_1005Metric",132.812500,-12.605000,270.000000,top
"R25","220","R_0402_1005Metric",134.082500,-12.605000,270.000000,top
"R26","220","R_0402_1005Metric",135.352500,-12.605000,270.000000,top
"R27","220","R_0402_1005Metric",117.255000,-12.478000,270.000000,top
"R28","220","R_0402_1005Metric",118.525000,-12.478000,270.000000,top
"R29","220","R_0402_1005Metric",119.795000,-12.478000,270.000000,top
"R30","220","R_0402_1005Metric",121.001500,-12.478000,270.000000,top
"R31","220","R_0402_1005Metric",122.335000,-12.478000,270.000000,top
"R32","220","R_0402_1005Metric",88.680000,-12.478000,270.000000,top
"R33","220","R_0402_1005Metric",89.950000,-12.478000,270.000000,top
"R34","220","R_0402_1005Metric",91.220000,-12.478000,270.000000,top
"R35","220","R_0402_1005Metric",92.490000,-12.478000,270.000000,top
"R36","220","R_0402_1005Metric",93.760000,-12.478000,270.000000,top
"R37","220","R_0402_1005Metric",94.966500,-12.478000,270.000000,top
"R38","220","R_0402_1005Metric",96.300000,-12.478000,270.000000,top
"R39","220","R_0402_1005Metric",97.570000,-12.478000,270.000000,top
"R40","220","R_0402_1005Metric",101.697500,-12.478000,270.000000,top
"R41","330","R_0402_1005Metric",131.542500,-15.002501,90.000000,top
"R42","330","R_0402_1005Metric",132.812500,-15.002501,90.000000,top
"R43","330","R_0402_1005Metric",134.082500,-15.002501,90.000000,top
"R44","330","R_0402_1005Metric",135.352500,-15.002501,90.000000,top
"R45","330","R_0402_1005Metric",117.255000,-14.827500,90.000000,top
"R46","330","R_0402_1005Metric",118.525000,-14.827500,90.000000,top
"R47","330","R_0402_1005Metric",119.795000,-14.827500,90.000000,top
"R48","330","R_0402_1005Metric",121.065000,-14.827500,90.000000,top
"R49","330","R_0402_1005Metric",122.335000,-14.827500,90.000000,top
"R50","330","R_0402_1005Metric",88.680000,-14.827500,90.000000,top
"R51","330","R_0402_1005Metric",89.950000,-14.827500,90.000000,top
"R52","330","R_0402_1005Metric",91.220000,-14.827500,90.000000,top
"R53","330","R_0402_1005Metric",92.490000,-14.827500,90.000000,top
"R54","330","R_0402_1005Metric",93.760000,-14.827500,90.000000,top
"R55","330","R_0402_1005Metric",95.030000,-14.827500,90.000000,top
"R56","330","R_0402_1005Metric",96.300000,-14.827500,90.000000,top
"R57","330","R_0402_1005Metric",97.570000,-14.827500,90.000000,top
"R58","330","R_0402_1005Metric",101.697500,-14.827500,90.000000,top
"R59","10k","R_0402_1005Metric",104.650000,-12.130000,0.000000,top
"R60","10k","R_0402_1005Metric",104.650000,-13.400000,0.000000,top
"R61","10k","R_0402_1005Metric",104.650000,-14.670000,0.000000,top
"R62","10k","R_0402_1005Metric",114.420000,-13.380000,0.000000,top
"R63","4.7k","R_0402_1005Metric",114.390000,-15.920000,180.000000,top
"R64","4.7k","R_0402_1005Metric",114.400000,-14.650000,180.000000,top
"S1","Switch x2","SOIC127P812X230-4N",133.828500,-18.256500,0.000000,top
"U1","24LC256","SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm",109.550000,-14.015000,-0.000000,top
1 Ref Val Package PosX PosY Rot Side
2 D1 Green LED_0805_2012Metric 142.703500 2.381000 180.000000 top
3 D2 Green LED_0805_2012Metric 142.591500 -1.556000 180.000000 top
4 D3 Green LED_0805_2012Metric 141.829500 -22.066500 180.000000 top
5 D4 Green LED_0805_2012Metric 141.829500 -20.161500 180.000000 top
6 D5 SM4007PL D_SOD-123F 128.494500 -13.620000 270.000000 top
7 FUSE1 1A Fuse_1206_3216Metric 125.573500 -13.624000 90.000000 top
8 IC1 SN74LS641-1DW SOIC127P1030X265-20N 105.444000 -4.985000 90.000000 top
9 IC2 SN74LS641-1DW SOIC127P1030X265-20N 92.490000 -4.985000 90.000000 top
10 IC3 SN74LS641-1DW SOIC127P1030X265-20N 118.398000 -4.985000 270.000000 top
11 IC4 SN74LS641-1DW SOIC127P1030X265-20N 131.352000 -4.985000 270.000000 top
12 R1 2k R_0402_1005Metric 138.758500 2.444500 0.000000 top
13 R2 2k R_0402_1005Metric 138.758500 3.460500 0.000000 top
14 R3 5k R_0402_1005Metric 139.607000 -22.003000 270.000000 top
15 R4 2k R_0402_1005Metric 139.607000 -20.098000 270.000000 top
16 R5 10k R_0402_1005Metric 132.812500 2.231000 270.000000 top
17 R6 10k R_0402_1005Metric 134.082500 2.231000 270.000000 top
18 R7 10k R_0402_1005Metric 135.352500 2.231000 270.000000 top
19 R8 10k R_0402_1005Metric 136.622500 2.231000 270.000000 top
20 R9 10k R_0402_1005Metric 118.525000 2.231000 270.000000 top
21 R10 10k R_0402_1005Metric 119.795000 2.231000 270.000000 top
22 R11 10k R_0402_1005Metric 121.065000 2.231000 270.000000 top
23 R12 10k R_0402_1005Metric 122.335000 2.231000 270.000000 top
24 R13 10k R_0402_1005Metric 123.605000 2.231000 270.000000 top
25 R14 10k R_0402_1005Metric 89.950000 2.231000 270.000000 top
26 R15 10k R_0402_1005Metric 91.220000 2.231000 270.000000 top
27 R16 10k R_0402_1005Metric 92.490000 2.231000 270.000000 top
28 R17 10k R_0402_1005Metric 93.760000 2.231000 270.000000 top
29 R18 10k R_0402_1005Metric 95.030000 2.231000 270.000000 top
30 R19 10k R_0402_1005Metric 96.300000 2.231000 270.000000 top
31 R20 10k R_0402_1005Metric 97.570000 2.231000 270.000000 top
32 R21 10k R_0402_1005Metric 98.840000 2.231000 270.000000 top
33 R22 10k R_0402_1005Metric 102.650000 2.231000 270.000000 top
34 R23 220 R_0402_1005Metric 131.542500 -12.605000 270.000000 top
35 R24 220 R_0402_1005Metric 132.812500 -12.605000 270.000000 top
36 R25 220 R_0402_1005Metric 134.082500 -12.605000 270.000000 top
37 R26 220 R_0402_1005Metric 135.352500 -12.605000 270.000000 top
38 R27 220 R_0402_1005Metric 117.255000 -12.478000 270.000000 top
39 R28 220 R_0402_1005Metric 118.525000 -12.478000 270.000000 top
40 R29 220 R_0402_1005Metric 119.795000 -12.478000 270.000000 top
41 R30 220 R_0402_1005Metric 121.001500 -12.478000 270.000000 top
42 R31 220 R_0402_1005Metric 122.335000 -12.478000 270.000000 top
43 R32 220 R_0402_1005Metric 88.680000 -12.478000 270.000000 top
44 R33 220 R_0402_1005Metric 89.950000 -12.478000 270.000000 top
45 R34 220 R_0402_1005Metric 91.220000 -12.478000 270.000000 top
46 R35 220 R_0402_1005Metric 92.490000 -12.478000 270.000000 top
47 R36 220 R_0402_1005Metric 93.760000 -12.478000 270.000000 top
48 R37 220 R_0402_1005Metric 94.966500 -12.478000 270.000000 top
49 R38 220 R_0402_1005Metric 96.300000 -12.478000 270.000000 top
50 R39 220 R_0402_1005Metric 97.570000 -12.478000 270.000000 top
51 R40 220 R_0402_1005Metric 101.697500 -12.478000 270.000000 top
52 R41 330 R_0402_1005Metric 131.542500 -15.002501 90.000000 top
53 R42 330 R_0402_1005Metric 132.812500 -15.002501 90.000000 top
54 R43 330 R_0402_1005Metric 134.082500 -15.002501 90.000000 top
55 R44 330 R_0402_1005Metric 135.352500 -15.002501 90.000000 top
56 R45 330 R_0402_1005Metric 117.255000 -14.827500 90.000000 top
57 R46 330 R_0402_1005Metric 118.525000 -14.827500 90.000000 top
58 R47 330 R_0402_1005Metric 119.795000 -14.827500 90.000000 top
59 R48 330 R_0402_1005Metric 121.065000 -14.827500 90.000000 top
60 R49 330 R_0402_1005Metric 122.335000 -14.827500 90.000000 top
61 R50 330 R_0402_1005Metric 88.680000 -14.827500 90.000000 top
62 R51 330 R_0402_1005Metric 89.950000 -14.827500 90.000000 top
63 R52 330 R_0402_1005Metric 91.220000 -14.827500 90.000000 top
64 R53 330 R_0402_1005Metric 92.490000 -14.827500 90.000000 top
65 R54 330 R_0402_1005Metric 93.760000 -14.827500 90.000000 top
66 R55 330 R_0402_1005Metric 95.030000 -14.827500 90.000000 top
67 R56 330 R_0402_1005Metric 96.300000 -14.827500 90.000000 top
68 R57 330 R_0402_1005Metric 97.570000 -14.827500 90.000000 top
69 R58 330 R_0402_1005Metric 101.697500 -14.827500 90.000000 top
70 R59 10k R_0402_1005Metric 104.650000 -12.130000 0.000000 top
71 R60 10k R_0402_1005Metric 104.650000 -13.400000 0.000000 top
72 R61 10k R_0402_1005Metric 104.650000 -14.670000 0.000000 top
73 R62 10k R_0402_1005Metric 114.420000 -13.380000 0.000000 top
74 R63 4.7k R_0402_1005Metric 114.390000 -15.920000 180.000000 top
75 R64 4.7k R_0402_1005Metric 114.400000 -14.650000 180.000000 top
76 S1 Switch x2 SOIC127P812X230-4N 133.828500 -18.256500 0.000000 top
77 U1 24LC256 SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm 109.550000 -14.015000 -0.000000 top

View File

@ -0,0 +1,476 @@
M48
; DRILL file {KiCad (5.1.4)-1} date 9/12/2020 5:46:16 PM
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2020-09-12T17:46:16-05:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.4)-1
FMAT,2
INCH
T1C0.0098
T2C0.0157
T3C0.0197
T4C0.0394
T5C0.0406
T6C0.0523
T7C0.1063
T8C0.1272
T9C0.0256
%
G90
G05
T1
X3.2088Y-0.9763
X3.2984Y-1.2394
X3.3093Y-0.9763
X3.3642Y-0.4567
X3.3738Y-0.0451
X3.4004Y-1.2413
X3.4472Y-0.5228
X3.4472Y-0.548
X3.4563Y-0.1513
X3.4565Y-0.7325
X3.4642Y-0.2465
X3.4813Y-0.6788
X3.4884Y-0.1838
X3.4913Y-0.4438
X3.4913Y-0.6288
X3.504Y-1.2324
X3.5135Y0.097
X3.5272Y-0.085
X3.5347Y-0.2727
X3.5413Y-0.4438
X3.5413Y-0.6288
X3.5513Y0.1812
X3.5669Y0.0887
X3.5691Y0.1512
X3.5862Y-0.6788
X3.5913Y-0.4438
X3.5913Y-0.6288
X3.61Y-1.2275
X3.6105Y-0.9763
X3.6113Y0.0837
X3.6368Y0.2698
X3.6387Y-0.6788
X3.6413Y-0.4438
X3.6413Y-0.6288
X3.6638Y0.0862
X3.6912Y-0.6788
X3.6913Y-0.4438
X3.6913Y-0.6288
X3.6981Y-1.2146
X3.7013Y-0.8838
X3.7109Y-0.9763
X3.7118Y-0.8542
X3.7163Y0.0887
X3.7224Y-0.222
X3.7238Y-0.9138
X3.7388Y-0.4438
X3.7413Y-0.6288
X3.7437Y-0.6788
X3.7513Y0.1837
X3.7588Y-0.9363
X3.7638Y0.0862
X3.7816Y0.1367
X3.7913Y-0.4438
X3.7913Y-0.6288
X3.7945Y-1.2264
X3.7963Y-0.8294
X3.8034Y-0.8035
X3.8113Y-0.9763
X3.8138Y0.0837
X3.8163Y-0.9338
X3.8413Y-0.4438
X3.8413Y-0.6288
X3.8433Y-0.3323
X3.8487Y-0.6788
X3.8604Y0.0513
X3.8661Y0.1238
X3.8688Y-0.7238
X3.8988Y-0.6788
X3.913Y-0.9763
X3.9161Y0.0881
X3.9163Y-0.5438
X3.9252Y-0.3154
X3.9319Y-0.2394
X3.9398Y0.1824
X3.9409Y-0.0634
X3.9513Y-0.6788
X3.9653Y0.1241
X3.9709Y-1.3327
X3.9753Y-1.1428
X3.976Y0.015
X3.9955Y-0.6421
X4.0013Y-0.6788
X4.0038Y-0.4438
X4.0043Y-0.076
X4.0134Y-0.9763
X4.0175Y0.054
X4.0358Y-1.2376
X4.0382Y-0.4807
X4.052Y-0.5149
X4.052Y-0.5396
X4.0638Y-1.25
X4.0763Y-0.0688
X4.0783Y0.087
X4.0963Y-0.6788
X4.1024Y-0.4445
X4.1055Y-1.2433
X4.1114Y-0.6299
X4.1126Y-0.9763
X4.1246Y0.0927
X4.1436Y-0.6788
X4.1594Y0.0598
X4.1638Y-0.1709
X4.1713Y-1.2453
X4.1763Y-0.0713
X4.1909Y-0.6788
X4.2098Y0.0894
X4.213Y-0.9763
X4.2382Y-0.6788
X4.2657Y-1.2472
X4.2713Y-0.1463
X4.2803Y-0.1725
X4.2854Y-0.6788
X4.3283Y-0.124
X4.3307Y0.0437
X4.3327Y-0.6788
X4.3354Y-1.2453
X4.3409Y0.135
X4.3713Y-1.2472
X4.38Y-0.6788
X4.3853Y-0.3207
X4.3892Y0.0608
X4.4138Y-0.9763
X4.4272Y-0.6788
X4.4598Y-0.5988
X4.461Y-0.6476
X4.4745Y-0.6788
X4.4951Y0.0345
X4.5022Y0.1039
X4.5091Y-1.2941
X4.5218Y-0.6788
X4.5252Y-0.2039
X4.5267Y0.101
X4.5402Y-1.3171
X4.5409Y0.1504
X4.5438Y-0.9763
X4.5508Y-0.635
X4.5559Y-0.511
X4.5559Y-0.5516
X4.5591Y-0.3329
X4.5623Y0.093
X4.5691Y-0.6788
X4.5768Y-0.126
X4.5909Y-1.137
X4.5913Y0.1149
X4.6137Y-1.2474
X4.6163Y-0.4438
X4.6163Y-0.6288
X4.6163Y-0.6788
X4.6163Y-0.9288
X4.6263Y0.0587
X4.6313Y-0.9763
X4.665Y-0.6788
X4.6663Y-0.4438
X4.6663Y-0.6288
X4.6777Y-1.287
X4.6799Y-0.8827
X4.6938Y0.0842
X4.702Y-0.0846
X4.7113Y-0.9663
X4.7122Y-0.6788
X4.7163Y-0.4438
X4.7163Y-0.6288
X4.7163Y-0.9313
X4.7438Y0.0837
X4.7595Y-0.6788
X4.7638Y-0.4438
X4.7663Y-0.6288
X4.7788Y-0.9213
X4.785Y-1.2425
X4.7889Y-0.8912
X4.7938Y-0.0988
X4.8038Y-0.941
X4.8068Y-0.6788
X4.8113Y0.0437
X4.8163Y-0.4438
X4.8163Y-0.6288
X4.8421Y-0.885
X4.8463Y-0.1488
X4.8476Y0.05
X4.8536Y-0.6788
X4.8713Y-1.0238
X4.8888Y-0.8988
X4.8913Y0.0437
X4.8925Y-1.2155
X4.9013Y-0.6788
X4.9088Y-0.0813
X4.9227Y-1.2499
X4.9363Y0.1258
X4.9486Y-0.6788
X4.9738Y-0.0913
X4.9752Y-1.2435
X4.9894Y-1.2972
X4.9927Y0.2694
X4.9959Y-0.6788
X5.0134Y0.1258
X5.0238Y-0.0813
X5.0338Y0.0662
X5.0432Y-0.6788
X5.0716Y-1.2453
X5.0982Y-0.9143
X5.1213Y-0.4563
X5.1346Y-1.2762
X5.1441Y0.1976
X5.1651Y0.1304
X5.1705Y0.1739
X5.1776Y-1.022
X5.1788Y-0.4463
X5.1788Y-0.6363
X5.1791Y0.1507
X5.1795Y-1.1386
X5.2147Y0.15
X5.2288Y-0.4463
X5.2288Y-0.6363
X5.2288Y-0.6788
X5.2513Y0.0787
X5.272Y-0.828
X5.2753Y-1.2264
X5.2788Y-0.4463
X5.2788Y-0.6363
X5.2788Y-0.6788
X5.2941Y-1.0134
X5.3013Y0.0837
X5.3288Y-0.4463
X5.3288Y-0.6363
X5.3476Y-1.286
X5.3513Y0.0837
X5.3563Y0.1262
X5.3596Y0.0481
X5.3888Y-0.2163
X5.3959Y-1.2253
X5.4563Y-0.7738
X5.4618Y-0.6913
X5.4713Y0.1737
X5.4862Y-1.2264
X5.4873Y-0.2907
X5.4873Y-0.393
X5.5238Y0.0687
X5.5906Y-1.2291
X5.6378Y-0.2906
X5.6378Y-0.3404
X5.6378Y-0.3927
X5.6527Y-0.3157
X5.6527Y-0.3669
X5.6813Y0.0212
T2
X3.3763Y-0.2588
X3.4888Y0.1287
X4.2388Y0.0637
X4.4526Y0.0664
X4.7663Y-0.2863
X4.8588Y-0.5413
X4.9463Y-0.2913
X4.9902Y-1.0094
X5.3345Y-0.2456
X5.4138Y-0.7838
T3
X5.503Y-1.6614
X5.5263Y-1.605
X5.5263Y-1.7178
X5.5827Y-1.5817
X5.5827Y-1.7411
X5.6391Y-1.605
X5.6391Y-1.7178
X5.6624Y-1.6614
X5.503Y0.2677
X5.5263Y0.3241
X5.5263Y0.2113
X5.5827Y0.3474
X5.5827Y0.188
X5.6391Y0.3241
X5.6391Y0.2113
X5.6624Y0.2677
X5.503Y-0.6378
X5.5263Y-0.5814
X5.5263Y-0.6942
X5.5827Y-0.5581
X5.5827Y-0.7175
X5.6391Y-0.5814
X5.6391Y-0.6942
X5.6624Y-0.6378
X3.2195Y-1.6614
X3.2428Y-1.605
X3.2428Y-1.7178
X3.2992Y-1.5817
X3.2992Y-1.7411
X3.3556Y-1.605
X3.3556Y-1.7178
X3.3789Y-1.6614
X3.2195Y0.2677
X3.2428Y0.3241
X3.2428Y0.2113
X3.2992Y0.3474
X3.2992Y0.188
X3.3556Y0.3241
X3.3556Y0.2113
X3.3789Y0.2677
X3.2195Y-0.6378
X3.2428Y-0.5814
X3.2428Y-0.6942
X3.2992Y-0.5581
X3.2992Y-0.7175
X3.3556Y-0.5814
X3.3556Y-0.6942
X3.3789Y-0.6378
T4
X3.2504Y-0.8098
X3.2504Y-0.9098
X3.3504Y-0.8098
X3.3504Y-0.9098
X3.4504Y-0.8098
X3.4504Y-0.9098
X3.2388Y-1.0888
X3.2388Y-1.1888
X3.3388Y-1.0888
X3.3388Y-1.1888
X3.4388Y-1.0888
X3.4388Y-1.1888
X3.5388Y-1.0888
X3.5388Y-1.1888
X3.6388Y-1.0888
X3.6388Y-1.1888
X3.7388Y-1.0888
X3.7388Y-1.1888
X3.8388Y-1.0888
X3.8388Y-1.1888
X3.9388Y-1.0888
X3.9388Y-1.1888
X4.0388Y-1.0888
X4.0388Y-1.1888
X4.1388Y-1.0888
X4.1388Y-1.1888
X4.2388Y-1.0888
X4.2388Y-1.1888
X4.3388Y-1.0888
X4.3388Y-1.1888
X4.4388Y-1.0888
X4.4388Y-1.1888
X4.5388Y-1.0888
X4.5388Y-1.1888
X4.6388Y-1.0888
X4.6388Y-1.1888
X4.7388Y-1.0888
X4.7388Y-1.1888
X4.8388Y-1.0888
X4.8388Y-1.1888
X4.9388Y-1.0888
X4.9388Y-1.1888
X5.0388Y-1.0888
X5.0388Y-1.1888
X5.1388Y-1.0888
X5.1388Y-1.1888
X5.2388Y-1.0888
X5.2388Y-1.1888
X5.3388Y-1.0888
X5.3388Y-1.1888
X5.4388Y-1.0888
X5.4388Y-1.1888
X5.5388Y-1.0888
X5.5388Y-1.1888
X5.6388Y-1.0888
X5.6388Y-1.1888
X3.2433Y0.0898
X3.3433Y0.0898
X3.2996Y-0.0323
X3.2996Y-0.1323
X3.2996Y-0.2323
X3.2996Y-0.3323
X3.2996Y-0.4323
X5.4813Y0.0337
X5.4813Y-0.0663
X3.4909Y0.3177
X3.4909Y0.2177
X3.5909Y0.3177
X3.5909Y0.2177
X3.6909Y0.3177
X3.6909Y0.2177
X3.7909Y0.3177
X3.7909Y0.2177
X3.8909Y0.3177
X3.8909Y0.2177
X3.9909Y0.3177
X3.9909Y0.2177
X4.0909Y0.3177
X4.0909Y0.2177
X4.1909Y0.3177
X4.1909Y0.2177
X4.2909Y0.3177
X4.2909Y0.2177
X4.3909Y0.3177
X4.3909Y0.2177
X4.4909Y0.3177
X4.4909Y0.2177
X4.5909Y0.3177
X4.5909Y0.2177
X4.6909Y0.3177
X4.6909Y0.2177
X4.7909Y0.3177
X4.7909Y0.2177
X4.8909Y0.3177
X4.8909Y0.2177
X4.9909Y0.3177
X4.9909Y0.2177
X5.0909Y0.3177
X5.0909Y0.2177
X5.1909Y0.3177
X5.1909Y0.2177
X5.2909Y0.3177
X5.2909Y0.2177
X5.3909Y0.3177
X5.3909Y0.2177
T5
X3.7867Y-1.3713
X3.8412Y-1.4831
X3.8957Y-1.3713
X3.9503Y-1.4831
X4.0048Y-1.3713
X4.0593Y-1.4831
X4.1138Y-1.3713
X4.1684Y-1.4831
X4.2229Y-1.3713
X4.2774Y-1.4831
X4.332Y-1.3713
X4.3865Y-1.4831
X4.441Y-1.3713
X4.4955Y-1.4831
X4.5501Y-1.3713
X4.6046Y-1.4831
X4.6591Y-1.3713
X4.7136Y-1.4831
X4.7682Y-1.3713
X4.8227Y-1.4831
X4.8772Y-1.3713
X4.9318Y-1.4831
X4.9863Y-1.3713
X5.0408Y-1.4831
X5.0953Y-1.3713
T6
X5.5396Y-0.2002
X5.5396Y-0.4817
X5.6754Y-0.2002
X5.6754Y-0.4817
T7
X5.5827Y-1.6614
X5.5827Y0.2677
X5.5827Y-0.6378
X3.2992Y-1.6614
X3.2992Y0.2677
X3.2992Y-0.6378
T8
X3.515Y-1.4272
X5.367Y-1.4272
T9
X5.5915Y-0.2622
X5.5915Y-0.4197
T0
M30

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

1886
hw/rascsi_2p3/rascsi_2p2.xml Normal file

File diff suppressed because it is too large Load Diff

33
hw/rascsi_2p3/rascsi_2p3 Normal file
View File

@ -0,0 +1,33 @@
Comment,Designator,Footprint,LCSC
"Green","D1,D2,D3,D4","LED_SMD:LED_0805_2012Metric","C2297"
"SM4007PL","D5","Diode_SMD:D_SOD-123F","C64898"
"1A","FUSE1","Fuse:Fuse_1206_3216Metric","C182974"
"Hole1","H1","MountingHole:MountingHole_2.7mm_M2.5_Pad_Via",""
"Hole2","H2","MountingHole:MountingHole_2.7mm_M2.5_Pad_Via",""
"Hole3","H3","MountingHole:MountingHole_2.7mm_M2.5_Pad_Via",""
"Hole5","H4","MountingHole:MountingHole_2.7mm_M2.5_Pad_Via",""
"Hole4","H5","MountingHole:MountingHole_2.7mm_M2.5_Pad_Via",""
"Hole6","H6","MountingHole:MountingHole_2.7mm_M2.5_Pad_Via",""
"SN74LS641-1DW","IC1,IC2,IC3,IC4","SOIC127P1030X265-20N",""
"Raspberry_Pi_2_3","J1","Connector_PinSocket_2.54mm:PinSocket_2x20_P2.54mm_Vertical","C50982"
"Conn_01x02_Male","J2","Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Horizontal","C2337"
"Conn_02x25_Odd_Even","J3","Connector_PinHeader_2.54mm:PinHeader_2x25_P2.54mm_Vertical","C30006"
"I2C Pinout","J4","Connector_PinSocket_2.54mm:PinSocket_1x05_P2.54mm_Vertical","C2337"
"Conn_02x03_Odd_Even","J5","Connector_PinHeader_2.54mm:PinHeader_2x03_P2.54mm_Vertical","C3409"
"CONNFLY DB-25","J6","L717SDB25PA4CH4F","C77838"
"Conn_01x02_Male","J7","Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical","C2337"
"USB_B_Micro","J8","SamacSys_Parts:U254051N4BH806","C319170"
"Jumper_3_Bridged12","JP1,JP2,JP3,JP4","Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm",""
"2k","R1,R2,R4","Resistor_SMD:R_0402_1005Metric","C4109"
"10k","R5,R6,R7,R8,R9,R10,R11,R12,R13,R14,R15,R16,R17,R18,R19,R20,R21,R22,R59,R60,R61,R62","Resistor_SMD:R_0402_1005Metric","C25744"
"5k","R3","Resistor_SMD:R_0402_1005Metric","C25905"
"220","R23,R24,R25,R26,R27,R28,R29,R30,R31,R32,R33,R34,R35,R36,R37,R38,R39,R40","Resistor_SMD:R_0402_1005Metric","C25091"
"330","R41,R42,R43,R44,R45,R46,R47,R48,R49,R50,R51,R52,R53,R54,R55,R56,R57,R58","Resistor_SMD:R_0402_1005Metric","C25104"
"4.7k","R63,R64","Resistor_SMD:R_0402_1005Metric","C25900"
"Switch x2","S1","rascsi_din:SOIC127P812X230-4N","C40735"
"TestPoint","TP1,TP2,TP3","TestPoint:TestPoint_Pad_D1.0mm",""
"24LC256","U1","Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm","C6482"
"Mac","X1,X3","SamacSys_Parts:mac_happy_small",""
"Dogcow","X2,X4,X5,X6","SamacSys_Parts:dogcow",""
"Pi","X7","SamacSys_Parts:pi_logo",""
"Pi","X8","SamacSys_Parts:scsi_logo",""

View File

@ -0,0 +1,640 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Conn_01x02_Male
#
DEF Connector_Conn_01x02_Male J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Conn_01x02_Male" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S 34 -95 0 -105 1 1 6 F
S 34 5 0 -5 1 1 6 F
P 2 1 1 6 50 -100 34 -100 N
P 2 1 1 6 50 0 34 0 N
X Pin_1 1 200 0 150 L 50 50 1 1 P
X Pin_2 2 200 -100 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Conn_01x05_Male
#
DEF Connector_Conn_01x05_Male J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Conn_01x05_Male" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S 34 -195 0 -205 1 1 6 F
S 34 -95 0 -105 1 1 6 F
S 34 5 0 -5 1 1 6 F
S 34 105 0 95 1 1 6 F
S 34 205 0 195 1 1 6 F
P 2 1 1 6 50 -200 34 -200 N
P 2 1 1 6 50 -100 34 -100 N
P 2 1 1 6 50 0 34 0 N
P 2 1 1 6 50 100 34 100 N
P 2 1 1 6 50 200 34 200 N
X Pin_1 1 200 200 150 L 50 50 1 1 P
X Pin_2 2 200 100 150 L 50 50 1 1 P
X Pin_3 3 200 0 150 L 50 50 1 1 P
X Pin_4 4 200 -100 150 L 50 50 1 1 P
X Pin_5 5 200 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x03_Odd_Even
#
DEF Connector_Generic_Conn_02x03_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 200 50 H V C CNN
F1 "Connector_Generic_Conn_02x03_Odd_Even" 50 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 150 150 -150 1 1 10 f
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
X Pin_1 1 -200 100 150 R 50 50 1 1 P
X Pin_2 2 300 100 150 L 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 300 0 150 L 50 50 1 1 P
X Pin_5 5 -200 -100 150 R 50 50 1 1 P
X Pin_6 6 300 -100 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x25_Odd_Even
#
DEF Connector_Generic_Conn_02x25_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 1300 50 H V C CNN
F1 "Connector_Generic_Conn_02x25_Odd_Even" 50 -1300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -1195 0 -1205 1 1 6 N
S -50 -1095 0 -1105 1 1 6 N
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 1005 0 995 1 1 6 N
S -50 1105 0 1095 1 1 6 N
S -50 1205 0 1195 1 1 6 N
S -50 1250 150 -1250 1 1 10 f
S 150 -1195 100 -1205 1 1 6 N
S 150 -1095 100 -1105 1 1 6 N
S 150 -995 100 -1005 1 1 6 N
S 150 -895 100 -905 1 1 6 N
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
S 150 905 100 895 1 1 6 N
S 150 1005 100 995 1 1 6 N
S 150 1105 100 1095 1 1 6 N
S 150 1205 100 1195 1 1 6 N
X Pin_1 1 -200 1200 150 R 50 50 1 1 P
X Pin_10 10 300 800 150 L 50 50 1 1 P
X Pin_11 11 -200 700 150 R 50 50 1 1 P
X Pin_12 12 300 700 150 L 50 50 1 1 P
X Pin_13 13 -200 600 150 R 50 50 1 1 P
X Pin_14 14 300 600 150 L 50 50 1 1 P
X Pin_15 15 -200 500 150 R 50 50 1 1 P
X Pin_16 16 300 500 150 L 50 50 1 1 P
X Pin_17 17 -200 400 150 R 50 50 1 1 P
X Pin_18 18 300 400 150 L 50 50 1 1 P
X Pin_19 19 -200 300 150 R 50 50 1 1 P
X Pin_2 2 300 1200 150 L 50 50 1 1 P
X Pin_20 20 300 300 150 L 50 50 1 1 P
X Pin_21 21 -200 200 150 R 50 50 1 1 P
X Pin_22 22 300 200 150 L 50 50 1 1 P
X Pin_23 23 -200 100 150 R 50 50 1 1 P
X Pin_24 24 300 100 150 L 50 50 1 1 P
X Pin_25 25 -200 0 150 R 50 50 1 1 P
X Pin_26 26 300 0 150 L 50 50 1 1 P
X Pin_27 27 -200 -100 150 R 50 50 1 1 P
X Pin_28 28 300 -100 150 L 50 50 1 1 P
X Pin_29 29 -200 -200 150 R 50 50 1 1 P
X Pin_3 3 -200 1100 150 R 50 50 1 1 P
X Pin_30 30 300 -200 150 L 50 50 1 1 P
X Pin_31 31 -200 -300 150 R 50 50 1 1 P
X Pin_32 32 300 -300 150 L 50 50 1 1 P
X Pin_33 33 -200 -400 150 R 50 50 1 1 P
X Pin_34 34 300 -400 150 L 50 50 1 1 P
X Pin_35 35 -200 -500 150 R 50 50 1 1 P
X Pin_36 36 300 -500 150 L 50 50 1 1 P
X Pin_37 37 -200 -600 150 R 50 50 1 1 P
X Pin_38 38 300 -600 150 L 50 50 1 1 P
X Pin_39 39 -200 -700 150 R 50 50 1 1 P
X Pin_4 4 300 1100 150 L 50 50 1 1 P
X Pin_40 40 300 -700 150 L 50 50 1 1 P
X Pin_41 41 -200 -800 150 R 50 50 1 1 P
X Pin_42 42 300 -800 150 L 50 50 1 1 P
X Pin_43 43 -200 -900 150 R 50 50 1 1 P
X Pin_44 44 300 -900 150 L 50 50 1 1 P
X Pin_45 45 -200 -1000 150 R 50 50 1 1 P
X Pin_46 46 300 -1000 150 L 50 50 1 1 P
X Pin_47 47 -200 -1100 150 R 50 50 1 1 P
X Pin_48 48 300 -1100 150 L 50 50 1 1 P
X Pin_49 49 -200 -1200 150 R 50 50 1 1 P
X Pin_5 5 -200 1000 150 R 50 50 1 1 P
X Pin_50 50 300 -1200 150 L 50 50 1 1 P
X Pin_6 6 300 1000 150 L 50 50 1 1 P
X Pin_7 7 -200 900 150 R 50 50 1 1 P
X Pin_8 8 300 900 150 L 50 50 1 1 P
X Pin_9 9 -200 800 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Raspberry_Pi_2_3
#
DEF Connector_Raspberry_Pi_2_3 J 0 40 Y Y 1 F N
F0 "J" -700 1250 50 H V L BNN
F1 "Connector_Raspberry_Pi_2_3" 400 -1250 50 H V L TNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
PinHeader*2x20*P2.54mm*Vertical*
PinSocket*2x20*P2.54mm*Vertical*
$ENDFPLIST
DRAW
S -700 1200 700 -1200 0 1 10 f
S -665 -690 -700 -710 1 1 0 N
S -665 -590 -700 -610 1 1 0 N
S -665 -490 -700 -510 1 1 0 N
S -665 -390 -700 -410 1 1 0 N
S -665 -290 -700 -310 1 1 0 N
S -665 -190 -700 -210 1 1 0 N
S -665 10 -700 -10 1 1 0 N
S -665 110 -700 90 1 1 0 N
S -665 210 -700 190 1 1 0 N
S -665 410 -700 390 1 1 0 N
S -665 510 -700 490 1 1 0 N
S -665 610 -700 590 1 1 0 N
S -665 810 -700 790 1 1 0 N
S -665 910 -700 890 1 1 0 N
S -410 -1165 -390 -1200 1 1 0 N
S -310 -1165 -290 -1200 1 1 0 N
S -210 -1165 -190 -1200 1 1 0 N
S -210 1200 -190 1165 1 1 0 N
S -110 -1165 -90 -1200 1 1 0 N
S -110 1200 -90 1165 1 1 0 N
S -10 -1165 10 -1200 1 1 0 N
S 90 -1165 110 -1200 1 1 0 N
S 90 1200 110 1165 1 1 0 N
S 190 -1165 210 -1200 1 1 0 N
S 190 1200 210 1165 1 1 0 N
S 290 -1165 310 -1200 1 1 0 N
S 700 -790 665 -810 1 1 0 N
S 700 -690 665 -710 1 1 0 N
S 700 -490 665 -510 1 1 0 N
S 700 -390 665 -410 1 1 0 N
S 700 -290 665 -310 1 1 0 N
S 700 -190 665 -210 1 1 0 N
S 700 -90 665 -110 1 1 0 N
S 700 110 665 90 1 1 0 N
S 700 210 665 190 1 1 0 N
S 700 310 665 290 1 1 0 N
S 700 510 665 490 1 1 0 N
S 700 610 665 590 1 1 0 N
S 700 810 665 790 1 1 0 N
S 700 910 665 890 1 1 0 N
X 3V3 1 100 1300 100 D 50 50 1 1 W
X GPIO15/RXD 10 -800 800 100 R 50 50 1 1 B
X GPIO17 11 -800 500 100 R 50 50 1 1 B
X GPIO18/PWM0 12 -800 400 100 R 50 50 1 1 B
X GPIO27 13 -800 -700 100 R 50 50 1 1 B
X GND 14 -200 -1300 100 U 50 50 1 1 W
X GPIO22 15 -800 -200 100 R 50 50 1 1 B
X GPIO23 16 -800 -300 100 R 50 50 1 1 B
X 3V3 17 200 1300 100 D 50 50 1 1 W
X GPIO24 18 -800 -400 100 R 50 50 1 1 B
X MOSI0/GPIO10 19 800 -400 100 L 50 50 1 1 B
X 5V 2 -200 1300 100 D 50 50 1 1 W
X GND 20 -100 -1300 100 U 50 50 1 1 W
X MISO0/GPIO9 21 800 -300 100 L 50 50 1 1 B
X GPIO25 22 -800 -500 100 R 50 50 1 1 B
X SCLK0/GPIO11 23 800 -500 100 L 50 50 1 1 B
X ~CE0~/GPIO8 24 800 -200 100 L 50 50 1 1 B
X GND 25 0 -1300 100 U 50 50 1 1 W
X ~CE1~/GPIO7 26 800 -100 100 L 50 50 1 1 B
X ID_SD/GPIO0 27 800 900 100 L 50 50 1 1 B
X ID_SC/GPIO1 28 800 800 100 L 50 50 1 1 B
X GCLK1/GPIO5 29 800 200 100 L 50 50 1 1 B
X SDA/GPIO2 3 800 600 100 L 50 50 1 1 B
X GND 30 100 -1300 100 U 50 50 1 1 W
X GCLK2/GPIO6 31 800 100 100 L 50 50 1 1 B
X PWM0/GPIO12 32 800 -700 100 L 50 50 1 1 B
X PWM1/GPIO13 33 800 -800 100 L 50 50 1 1 B
X GND 34 200 -1300 100 U 50 50 1 1 W
X GPIO19/MISO1 35 -800 200 100 R 50 50 1 1 B
X GPIO16 36 -800 600 100 R 50 50 1 1 B
X GPIO26 37 -800 -600 100 R 50 50 1 1 B
X GPIO20/MOSI1 38 -800 100 100 R 50 50 1 1 B
X GND 39 300 -1300 100 U 50 50 1 1 W
X 5V 4 -100 1300 100 D 50 50 1 1 W
X GPIO21/SCLK1 40 -800 0 100 R 50 50 1 1 B
X SCL/GPIO3 5 800 500 100 L 50 50 1 1 B
X GND 6 -400 -1300 100 U 50 50 1 1 W
X GCLK0/GPIO4 7 800 300 100 L 50 50 1 1 B
X GPIO14/TXD 8 -800 900 100 R 50 50 1 1 B
X GND 9 -300 -1300 100 U 50 50 1 1 W
ENDDRAW
ENDDEF
#
# Connector_TestPoint
#
DEF Connector_TestPoint TP 0 30 N N 1 F N
F0 "TP" 0 270 50 H V C CNN
F1 "Connector_TestPoint" 0 200 50 H V C CNN
F2 "" 200 0 50 H I C CNN
F3 "" 200 0 50 H I C CNN
$FPLIST
Pin*
Test*
$ENDFPLIST
DRAW
C 0 130 30 0 1 0 N
X 1 1 0 0 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_D_Small
#
DEF Device_D_Small D 0 10 N N 1 F N
F0 "D" -50 80 50 H V L CNN
F1 "Device_D_Small" -150 -80 50 H V L CNN
F2 "" 0 0 50 V I C CNN
F3 "" 0 0 50 V I C CNN
$FPLIST
TO-???*
*_Diode_*
*SingleDiode*
D_*
$ENDFPLIST
DRAW
P 2 0 1 0 -30 -40 -30 40 N
P 2 0 1 0 -30 0 30 0 N
P 4 0 1 0 30 -40 -30 0 30 40 30 -40 N
X K 1 -100 0 70 R 50 50 1 1 P
X A 2 100 0 70 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Fuse_Small
#
DEF Device_Fuse_Small F 0 10 N N 1 F N
F0 "F" 0 -60 50 H V C CNN
F1 "Device_Fuse_Small" 0 60 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SM*
$ENDFPLIST
DRAW
S -50 20 50 -20 0 1 0 N
P 2 0 1 0 -50 0 50 0 N
X ~ 1 -100 0 50 R 50 50 1 1 P
X ~ 2 100 0 50 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_LED_Small
#
DEF Device_LED_Small D 0 10 N N 1 F N
F0 "D" -50 125 50 H V L CNN
F1 "Device_LED_Small" -175 -100 50 H V L CNN
F2 "" 0 0 50 V I C CNN
F3 "" 0 0 50 V I C CNN
$FPLIST
LED*
LED_SMD:*
LED_THT:*
$ENDFPLIST
DRAW
P 2 0 1 0 -30 -40 -30 40 N
P 2 0 1 0 40 0 -30 0 N
P 4 0 1 0 30 -40 -30 0 30 40 30 -40 N
P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N
P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N
X K 1 -100 0 70 R 50 50 1 1 P
X A 2 100 0 70 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
F0 "R" 30 20 50 H V L CNN
F1 "Device_R_Small" 30 -40 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -30 70 30 -70 0 1 8 N
X ~ 1 0 100 30 D 50 50 1 1 P
X ~ 2 0 -100 30 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Jumper_Jumper_3_Bridged12
#
DEF Jumper_Jumper_3_Bridged12 JP 0 0 Y N 1 F N
F0 "JP" -100 -100 50 H V C CNN
F1 "Jumper_Jumper_3_Bridged12" 0 110 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SolderJumper*Bridged12*
$ENDFPLIST
DRAW
A -65 -50 89 1282 518 0 1 0 N -120 20 -10 20
C -130 0 20 0 0 0 N
C 0 0 20 0 0 0 N
C 130 0 20 0 0 0 N
P 2 0 1 0 0 -50 0 -20 N
X A 1 -250 0 100 R 50 50 1 1 P
X C 2 0 -150 100 U 50 50 1 1 I
X B 3 250 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Memory_EEPROM_24LC256
#
DEF Memory_EEPROM_24LC256 U 0 20 Y Y 1 F N
F0 "U" -250 250 50 H V C CNN
F1 "Memory_EEPROM_24LC256" 50 250 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS 24LC02 24LC00 24LC04 24LC08 24LC01 24LC512 24LC64 24LC1025 24LC32 24LC256 24LC128 CAT24C256 CAT24C128
$FPLIST
DIP*W7.62mm*
SOIC*3.9x4.9mm*
TSSOP*4.4x3mm*P0.65mm*
DFN*3x2mm*P0.5mm*
$ENDFPLIST
DRAW
S -300 200 300 -200 1 1 10 f
X A0 1 -400 100 100 R 50 50 1 1 I
X A1 2 -400 0 100 R 50 50 1 1 I
X A2 3 -400 -100 100 R 50 50 1 1 I
X GND 4 0 -300 100 U 50 50 1 1 W
X SDA 5 400 100 100 L 50 50 1 1 B
X SCL 6 400 0 100 L 50 50 1 1 I
X WP 7 400 -100 100 L 50 50 1 1 I
X VCC 8 0 300 100 D 50 50 1 1 W
ENDDRAW
ENDDEF
#
# SamacSys_Parts_L717SDB25PA4CH4F
#
DEF SamacSys_Parts_L717SDB25PA4CH4F J 0 30 Y Y 1 F N
F0 "J" 1650 500 50 H V L CNN
F1 "SamacSys_Parts_L717SDB25PA4CH4F" 1650 400 50 H V L CNN
F2 "L717SDB25PA4CH4F" 1650 300 50 H I L CNN
F3 "https://componentsearchengine.com/Datasheets/1/L717SDB25PA4CH4F.pdf" 1650 200 50 H I L CNN
F4 "D-Sub Standard Connectors 25P Size B Stamped Male DSub Contact SD" 1650 100 50 H I L CNN "Description"
F5 "12.55" 1650 0 50 H I L CNN "Height"
F6 "523-L717SDB25PA4CH4F" 1650 -100 50 H I L CNN "Mouser Part Number"
F7 "https://www.mouser.com/Search/Refine.aspx?Keyword=523-L717SDB25PA4CH4F" 1650 -200 50 H I L CNN "Mouser Price/Stock"
F8 "Amphenol" 1650 -300 50 H I L CNN "Manufacturer_Name"
F9 "L717SDB25PA4CH4F" 1650 -400 50 H I L CNN "Manufacturer_Part_Number"
DRAW
P 5 0 1 6 200 300 1600 300 1600 -300 200 -300 200 300 N
X 1 1 300 500 200 D 50 50 0 0 P
X 10 10 1200 500 200 D 50 50 0 0 P
X 11 11 1300 500 200 D 50 50 0 0 P
X 12 12 1400 500 200 D 50 50 0 0 P
X 13 13 1500 500 200 D 50 50 0 0 P
X 14 14 300 -500 200 U 50 50 0 0 P
X 15 15 400 -500 200 U 50 50 0 0 P
X 16 16 500 -500 200 U 50 50 0 0 P
X 17 17 600 -500 200 U 50 50 0 0 P
X 18 18 700 -500 200 U 50 50 0 0 P
X 19 19 800 -500 200 U 50 50 0 0 P
X 2 2 400 500 200 D 50 50 0 0 P
X 20 20 900 -500 200 U 50 50 0 0 P
X 21 21 1000 -500 200 U 50 50 0 0 P
X 22 22 1100 -500 200 U 50 50 0 0 P
X 23 23 1200 -500 200 U 50 50 0 0 P
X 24 24 1300 -500 200 U 50 50 0 0 P
X 25 25 1400 -500 200 U 50 50 0 0 P
X 3 3 500 500 200 D 50 50 0 0 P
X 4 4 600 500 200 D 50 50 0 0 P
X 5 5 700 500 200 D 50 50 0 0 P
X 6 6 800 500 200 D 50 50 0 0 P
X 7 7 900 500 200 D 50 50 0 0 P
X 8 8 1000 500 200 D 50 50 0 0 P
X 9 9 1100 500 200 D 50 50 0 0 P
X MH1 MH1 0 0 200 R 50 50 0 0 P
X MH2 MH2 1800 0 200 L 50 50 0 0 P
ENDDRAW
ENDDEF
#
# SamacSys_Parts_Logo
#
DEF SamacSys_Parts_Logo X 0 40 Y Y 1 F N
F0 "X" 0 150 50 H V C CNN
F1 "SamacSys_Parts_Logo" 0 0 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -50 50 50 100 0 1 0 f
ENDDRAW
ENDDEF
#
# SamacSys_Parts_SN74LS245DW
#
DEF SamacSys_Parts_SN74LS245DW IC 0 30 Y Y 1 F N
F0 "IC" 850 300 50 H V L CNN
F1 "SamacSys_Parts_SN74LS245DW" 850 200 50 H V L CNN
F2 "SOIC127P1030X265-20N" 850 100 50 H I L CNN
F3 "http://www.ti.com/lit/gpn/sn74ls245" 850 0 50 H I L CNN
F4 "Octal bus transceivers" 850 -100 50 H I L CNN "Description"
F5 "2.65" 850 -200 50 H I L CNN "Height"
F6 "595-SN74LS245DW" 850 -300 50 H I L CNN "Mouser Part Number"
F7 "https://www.mouser.co.uk/ProductDetail/Texas-Instruments/SN74LS245DW?qs=ZA235jQDfbpxKQZqDHbgmw%3D%3D" 850 -400 50 H I L CNN "Mouser Price/Stock"
F8 "Texas Instruments" 850 -500 50 H I L CNN "Manufacturer_Name"
F9 "SN74LS245DW" 850 -600 50 H I L CNN "Manufacturer_Part_Number"
DRAW
P 5 0 1 6 200 100 800 100 800 -1000 200 -1000 200 100 N
X DIR 1 0 0 200 R 50 50 0 0 P
X GND 10 0 -900 200 R 50 50 0 0 P
X B8 11 1000 -900 200 L 50 50 0 0 P
X B7 12 1000 -800 200 L 50 50 0 0 P
X B6 13 1000 -700 200 L 50 50 0 0 P
X B5 14 1000 -600 200 L 50 50 0 0 P
X B4 15 1000 -500 200 L 50 50 0 0 P
X B3 16 1000 -400 200 L 50 50 0 0 P
X B2 17 1000 -300 200 L 50 50 0 0 P
X B1 18 1000 -200 200 L 50 50 0 0 P
X ~OE 19 1000 -100 200 L 50 50 0 0 P
X A1 2 0 -100 200 R 50 50 0 0 P
X VCC 20 1000 0 200 L 50 50 0 0 P
X A2 3 0 -200 200 R 50 50 0 0 P
X A3 4 0 -300 200 R 50 50 0 0 P
X A4 5 0 -400 200 R 50 50 0 0 P
X A5 6 0 -500 200 R 50 50 0 0 P
X A6 7 0 -600 200 R 50 50 0 0 P
X A7 8 0 -700 200 R 50 50 0 0 P
X A8 9 0 -800 200 R 50 50 0 0 P
ENDDRAW
ENDDEF
#
# SamacSys_Parts_TDA02H0SB1R
#
DEF SamacSys_Parts_TDA02H0SB1R S 0 30 Y Y 1 F N
F0 "S" 1050 300 50 H V L CNN
F1 "SamacSys_Parts_TDA02H0SB1R" 1050 200 50 H V L CNN
F2 "SOIC127P812X230-4N" 1050 100 50 H I L CNN
F3 "https://www.ckswitches.com/media/1328/tda.pdf" 1050 0 50 H I L CNN
F4 "DIP Switches / SIP Switches HALF PITCH 2 POS" 1050 -100 50 H I L CNN "Description"
F5 "2.3" 1050 -200 50 H I L CNN "Height"
F6 "611-TDA02H0SB1R" 1050 -300 50 H I L CNN "Mouser Part Number"
F7 "https://www.mouser.co.uk/ProductDetail/CK/TDA02H0SB1R?qs=%2FNF5U5UGuWn3xddlBViRrw%3D%3D" 1050 -400 50 H I L CNN "Mouser Price/Stock"
F8 "C & K COMPONENTS" 1050 -500 50 H I L CNN "Manufacturer_Name"
F9 "TDA02H0SB1R" 1050 -600 50 H I L CNN "Manufacturer_Part_Number"
DRAW
P 5 0 1 6 200 100 1000 100 1000 -200 200 -200 200 100 N
X COM_1 1 0 0 200 R 50 50 0 0 P
X COM_2 2 0 -100 200 R 50 50 0 0 P
X NO_1 3 1200 -100 200 L 50 50 0 0 P
X NO_2 4 1200 0 200 L 50 50 0 0 P
ENDDRAW
ENDDEF
#
# SamacSys_Parts_U254-051N-4BH806
#
DEF SamacSys_Parts_U254-051N-4BH806 J 0 30 Y Y 1 F N
F0 "J" 750 300 50 H V L CNN
F1 "SamacSys_Parts_U254-051N-4BH806" 750 200 50 H V L CNN
F2 "U254051N4BH806" 750 100 50 H I L CNN
F3 "https://datasheet.lcsc.com/szlcsc/XKB-U254-051N-4BH806_C319170.pdf" 750 0 50 H I L CNN
F4 "USB Connectors SMD RoHS" 750 -100 50 H I L CNN "Description"
F5 "3" 750 -200 50 H I L CNN "Height"
F6 "XKB Enterprise" 750 -300 50 H I L CNN "Manufacturer_Name"
F7 "U254-051N-4BH806" 750 -400 50 H I L CNN "Manufacturer_Part_Number"
F8 "U254-051N-4BH806" 750 -500 50 H I L CNN "Arrow Part Number"
DRAW
P 5 0 1 6 200 100 700 100 700 -500 200 -500 200 100 N
X 1 1 0 0 200 R 50 50 0 0 P
X 2 2 0 -100 200 R 50 50 0 0 P
X 3 3 0 -200 200 R 50 50 0 0 P
X 4 4 0 -300 200 R 50 50 0 0 P
X 5 5 0 -400 200 R 50 50 0 0 P
X MH1 MH1 900 0 200 L 50 50 0 0 P
X MH2 MH2 900 -100 200 L 50 50 0 0 P
X MH3 MH3 900 -200 200 L 50 50 0 0 P
X MH4 MH4 900 -300 200 L 50 50 0 0 P
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,257 @@
update=9/12/2020 5:46:49 PM
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.127
MinViaDiameter=0.45
MinViaDrill=0.2
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.25
TrackWidth2=0.15
TrackWidth3=0.2
TrackWidth4=0.25
TrackWidth5=0.4
TrackWidth6=0.5
ViaDiameter1=0.8
ViaDrill1=0.4
ViaDiameter2=0.5
ViaDrill2=0.25
ViaDiameter3=0.8
ViaDrill3=0.4
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.12
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.05
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.05
SolderMaskMinWidth=0
SolderPasteClearance=0
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=Top
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=Bottom
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.127
TrackWidth=0.25
ViaDiameter=0.8
ViaDrill=0.4
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[schematic_editor]
version=1
PageLayoutDescrFile=empty.kicad_wks
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=
SpiceAjustPassiveValues=0
LabSize=39
ERC_TestSimilarLabels=1

2876
hw/rascsi_2p3/rascsi_2p3.sch Normal file

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

2172
hw/rascsi_2p3/rascsi_2p3.xml Normal file

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,28 @@
(module LOGO (layer F.Cu)
(at 0 0)
(fp_text reference "G***" (at 0 0) (layer F.SilkS) hide
(effects (font (thickness 0.3)))
)
(fp_text value "LOGO" (at 0.75 0) (layer F.SilkS) hide
(effects (font (thickness 0.3)))
)
(fp_poly (pts (xy 0.139110 -2.908610) (xy 0.165872 -2.882679) (xy 0.208156 -2.841257) (xy 0.264725 -2.785566) (xy 0.334347 -2.716827) (xy 0.415787 -2.636262) (xy 0.507811 -2.545091) (xy 0.609186 -2.444536)
(xy 0.718677 -2.335818) (xy 0.835050 -2.220158) (xy 0.957072 -2.098778) (xy 1.016264 -2.039860) (xy 1.894945 -1.165069) (xy 1.581381 -0.850751) (xy 0.857365 -1.573717) (xy 0.133350 -2.296683)
(xy -1.013054 -1.157867) (xy -1.155283 -1.016479) (xy -1.292429 -0.879951) (xy -1.423419 -0.749358) (xy -1.547183 -0.625777) (xy -1.662650 -0.510283) (xy -1.768748 -0.403953) (xy -1.864407 -0.307863)
(xy -1.948555 -0.223089) (xy -2.020121 -0.150707) (xy -2.078034 -0.091792) (xy -2.121223 -0.047423) (xy -2.148617 -0.018673) (xy -2.159145 -0.006619) (xy -2.159229 -0.006377) (xy -2.150387 0.004007)
(xy -2.124630 0.031253) (xy -2.083023 0.074281) (xy -2.026635 0.132015) (xy -1.956529 0.203375) (xy -1.873775 0.287285) (xy -1.779436 0.382666) (xy -1.674581 0.488440) (xy -1.560275 0.603529)
(xy -1.437585 0.726855) (xy -1.307577 0.857339) (xy -1.171318 0.993905) (xy -1.029874 1.135474) (xy -1.012859 1.152491) (xy 0.133282 2.298685) (xy 0.859401 1.572648) (xy 1.585521 0.846611)
(xy 1.738910 0.997027) (xy 1.789494 1.047054) (xy 1.833051 1.090937) (xy 1.866603 1.125612) (xy 1.887176 1.148015) (xy 1.892300 1.154964) (xy 1.883545 1.164794) (xy 1.858273 1.191055)
(xy 1.817969 1.232261) (xy 1.764118 1.286926) (xy 1.698207 1.353562) (xy 1.621721 1.430684) (xy 1.536147 1.516806) (xy 1.442968 1.610441) (xy 1.343673 1.710103) (xy 1.239746 1.814305)
(xy 1.132672 1.921562) (xy 1.023939 2.030386) (xy 0.915031 2.139292) (xy 0.807435 2.246794) (xy 0.702636 2.351405) (xy 0.602119 2.451639) (xy 0.507372 2.546009) (xy 0.419879 2.633029)
(xy 0.341126 2.711213) (xy 0.272599 2.779075) (xy 0.215784 2.835128) (xy 0.172167 2.877887) (xy 0.143233 2.905863) (xy 0.130468 2.917573) (xy 0.130055 2.917830) (xy 0.120190 2.909352)
(xy 0.093333 2.883837) (xy 0.050431 2.842228) (xy -0.007565 2.785464) (xy -0.079706 2.714488) (xy -0.165043 2.630241) (xy -0.262625 2.533665) (xy -0.371504 2.425700) (xy -0.490730 2.307288)
(xy -0.619352 2.179371) (xy -0.756423 2.042890) (xy -0.900992 1.898786) (xy -1.052110 1.748001) (xy -1.208827 1.591476) (xy -1.339845 1.460505) (xy -2.800308 0.000000) (xy -1.339845 -1.460506)
(xy -1.179284 -1.621001) (xy -1.023559 -1.776524) (xy -0.873621 -1.926131) (xy -0.730417 -2.068883) (xy -0.594898 -2.203838) (xy -0.468010 -2.330054) (xy -0.350704 -2.446590) (xy -0.243928 -2.552506)
(xy -0.148630 -2.646859) (xy -0.065760 -2.728708) (xy 0.003733 -2.797112) (xy 0.058901 -2.851130) (xy 0.098795 -2.889821) (xy 0.122467 -2.912243) (xy 0.129101 -2.917831) (xy 0.139110 -2.908610) )(layer Eco2.User) (width 0.010000)
)
(fp_poly (pts (xy 2.794000 0.228600) (xy 1.746250 0.228600) (xy 1.585557 0.228524) (xy 1.432371 0.228307) (xy 1.288564 0.227958) (xy 1.156010 0.227489) (xy 1.036582 0.226911) (xy 0.932152 0.226237)
(xy 0.844594 0.225476) (xy 0.775781 0.224641) (xy 0.727586 0.223743) (xy 0.701883 0.222793) (xy 0.698011 0.222250) (xy 0.697824 0.208080) (xy 0.697612 0.172764) (xy 0.697392 0.120556)
(xy 0.697182 0.055708) (xy 0.697033 -0.003175) (xy 0.696544 -0.222250) (xy 1.745272 -0.225499) (xy 2.794000 -0.228747) (xy 2.794000 0.228600) )(layer Eco2.User) (width 0.010000)
)
)

View File

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name SamacSys_Parts)(type Legacy)(uri ${KIPRJMOD}/SamacSys_Parts.lib)(options "")(descr ""))
)