Control board for managing the RaSCSI configuration (#721)

* First draft of control board - Issue #382

* routed signals

* Fixed up silkscreens and added mousebites

* Fixed up silkscreens and added mousebites

* 3d models of encoder and display

* cleaned up layout

* cleaned up layout

* Rename project

* Remove extraneous files

* As-ordered version

* As-ordered version

* version 1.5 updates

* Updated to Kicad 6.0

* Genereated Gerber Files

* Fixed order of RaSCSI connector pinout & cleanup

* cleanup and switch to KiCad6

* Fix paths to 3d models

* create step file and delete old version

* Address Schematic ERC Warning

Co-authored-by: akuker <akuker@gmail.com>
This commit is contained in:
akuker 2022-03-03 15:44:24 -06:00 committed by GitHub
parent ebf4cec6ee
commit 536e77cd9d
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
49 changed files with 227799 additions and 0 deletions

View File

@ -0,0 +1,39 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#(c) SnapEDA 2016 (snapeda.com)
#This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License (CC BY-SA) with Design Exception 1.0
#
# PCA9554APW,118
#
DEF PCA9554APW,118 U 0 40 Y Y 1 L N
F0 "U" -208 625 50 H V L BNN
F1 "PCA9554APW,118" -194 -1019 50 H V L BNN
F2 "SOP65P640X110-16N" 0 0 50 H I L BNN
F3 "" 0 0 50 H I L BNN
F4 "1831212" 0 0 50 H I L BNN "OC_FARNELL"
F5 "70R6656" 0 0 50 H I L BNN "OC_NEWARK"
F6 "PCA9554APW,118" 0 0 50 H I L BNN "MPN"
F7 "TSSOP" 0 0 50 H I L BNN "PACKAGE"
F8 "NXP" 0 0 50 H I L BNN "SUPPLIER"
DRAW
S -500 -800 500 500 0 0 16 f
X VDD 16 -700 300 200 R 40 40 0 0 W
X SCL 14 -700 100 200 R 40 40 0 0 I
X SDA 15 -700 0 200 R 40 40 0 0 B
X A0 1 -700 -200 200 R 40 40 0 0 I
X A1 2 -700 -300 200 R 40 40 0 0 I
X A2 3 -700 -400 200 R 40 40 0 0 I
X VSS 8 -700 -600 200 R 40 40 0 0 P
X ~INT 13 700 300 200 L 40 40 0 0 O
X IO0 4 700 100 200 L 40 40 0 0 B
X IO1 5 700 0 200 L 40 40 0 0 B
X IO2 6 700 -100 200 L 40 40 0 0 B
X IO3 7 700 -200 200 L 40 40 0 0 B
X IO4 9 700 -300 200 L 40 40 0 0 B
X IO5 10 700 -400 200 L 40 40 0 0 B
X IO6 11 700 -500 200 L 40 40 0 0 B
X IO7 12 700 -600 200 L 40 40 0 0 B
ENDDRAW
ENDDEF
#
# End Library

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,103 @@
(module SOP65P640X110-16N (layer F.Cu) (tedit 61B56B90)
(descr "")
(fp_text reference REF** (at 1.753855 -4.219415 0) (layer F.SilkS)
(effects (font (size 1.64117322835 1.64117322835) (thickness 0.15)))
)
(fp_text value SOP65P640X110-16N (at 14.27499 4.04584 0) (layer F.Fab)
(effects (font (size 1.64294488189 1.64294488189) (thickness 0.15)))
)
(pad 1 smd rect (at -2.921 -2.286) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 2 smd rect (at -2.921 -1.6256) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 3 smd rect (at -2.921 -0.9652) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 4 smd rect (at -2.921 -0.3302) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 5 smd rect (at -2.921 0.3302) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 6 smd rect (at -2.921 0.9652) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 7 smd rect (at -2.921 1.6256) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 8 smd rect (at -2.921 2.286) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 9 smd rect (at 2.921 2.286) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 10 smd rect (at 2.921 1.6256) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 11 smd rect (at 2.921 0.9652) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 12 smd rect (at 2.921 0.3302) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 13 smd rect (at 2.921 -0.3302) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 14 smd rect (at 2.921 -0.9652) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 15 smd rect (at 2.921 -1.6256) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(pad 16 smd rect (at 2.921 -2.286) (size 1.4732 0.3556) (layers F.Cu F.Mask F.Paste))
(fp_line (start -2.2606 -2.1336) (end -2.2606 -2.413) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 -2.413) (end -3.302 -2.413) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 -2.413) (end -3.302 -2.1336) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 -2.1336) (end -2.2606 -2.1336) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 -1.4732) (end -2.2606 -1.778) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 -1.778) (end -3.302 -1.778) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 -1.778) (end -3.302 -1.4732) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 -1.4732) (end -2.2606 -1.4732) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 -0.8128) (end -2.2606 -1.1176) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 -1.1176) (end -3.302 -1.1176) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 -1.1176) (end -3.302 -0.8128) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 -0.8128) (end -2.2606 -0.8128) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 -0.1778) (end -2.2606 -0.4826) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 -0.4826) (end -3.302 -0.4826) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 -0.4826) (end -3.302 -0.1778) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 -0.1778) (end -2.2606 -0.1778) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 0.4826) (end -2.2606 0.1778) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 0.1778) (end -3.302 0.1778) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 0.1778) (end -3.302 0.4826) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 0.4826) (end -2.2606 0.4826) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 1.1176) (end -2.2606 0.8128) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 0.8128) (end -3.302 0.8128) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 0.8128) (end -3.302 1.1176) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 1.1176) (end -2.2606 1.1176) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 1.778) (end -2.2606 1.4732) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 1.4732) (end -3.302 1.4732) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 1.4732) (end -3.302 1.778) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 1.778) (end -2.2606 1.778) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 2.413) (end -2.2606 2.1336) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 2.1336) (end -3.302 2.1336) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 2.1336) (end -3.302 2.413) (layer F.Fab) (width 0.0))
(fp_line (start -3.302 2.413) (end -2.2606 2.413) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 2.1336) (end 2.2606 2.413) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 2.413) (end 3.302 2.413) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 2.413) (end 3.302 2.1336) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 2.1336) (end 2.2606 2.1336) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 1.4732) (end 2.2606 1.778) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 1.778) (end 3.302 1.778) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 1.778) (end 3.302 1.4732) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 1.4732) (end 2.2606 1.4732) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 0.8128) (end 2.2606 1.1176) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 1.1176) (end 3.302 1.1176) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 1.1176) (end 3.302 0.8128) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 0.8128) (end 2.2606 0.8128) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 0.1778) (end 2.2606 0.4826) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 0.4826) (end 3.302 0.4826) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 0.4826) (end 3.302 0.1778) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 0.1778) (end 2.2606 0.1778) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 -0.4826) (end 2.2606 -0.1778) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 -0.1778) (end 3.302 -0.1778) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 -0.1778) (end 3.302 -0.4826) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 -0.4826) (end 2.2606 -0.4826) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 -1.1176) (end 2.2606 -0.8128) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 -0.8128) (end 3.302 -0.8128) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 -0.8128) (end 3.302 -1.1176) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 -1.1176) (end 2.2606 -1.1176) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 -1.778) (end 2.2606 -1.4732) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 -1.4732) (end 3.302 -1.4732) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 -1.4732) (end 3.302 -1.778) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 -1.778) (end 2.2606 -1.778) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 -2.413) (end 2.2606 -2.1336) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 -2.1336) (end 3.302 -2.1336) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 -2.1336) (end 3.302 -2.413) (layer F.Fab) (width 0.0))
(fp_line (start 3.302 -2.413) (end 2.2606 -2.413) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 2.54) (end 2.2606 2.54) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 2.54) (end 2.2606 -2.54) (layer F.Fab) (width 0.0))
(fp_line (start 2.2606 -2.54) (end 0.3048 -2.54) (layer F.Fab) (width 0.0))
(fp_line (start 0.3048 -2.54) (end -0.3048 -2.54) (layer F.Fab) (width 0.0))
(fp_line (start -0.3048 -2.54) (end -2.2606 -2.54) (layer F.Fab) (width 0.0))
(fp_line (start -2.2606 -2.54) (end -2.2606 2.54) (layer F.Fab) (width 0.0))
(fp_arc (start 0.0 -2.54) (end -0.3048 -2.54) (angle -180.0) (layer F.Fab) (width 0.0))
(fp_line (start 5.0292 1.6256) (end 4.0132 1.6256) (layer F.SilkS) (width 0.1524))
(fp_line (start -1.8796 2.54) (end 1.8796 2.54) (layer F.SilkS) (width 0.1524))
(fp_line (start 1.8796 -2.54) (end 0.3048 -2.54) (layer F.SilkS) (width 0.1524))
(fp_line (start 0.3048 -2.54) (end -0.3048 -2.54) (layer F.SilkS) (width 0.1524))
(fp_line (start -0.3048 -2.54) (end -1.8796 -2.54) (layer F.SilkS) (width 0.1524))
(fp_arc (start 0.0 -2.54) (end -0.3048 -2.54) (angle -180.0) (layer F.SilkS) (width 0.1524))
)

View File

@ -0,0 +1 @@
<!DOCTYPE HTML><html lang="en-US"> <head> <meta charset="UTF-8"> <meta http-equiv="refresh" content="0; url=https://www.snapeda.com/about/import/#"> <script type="text/javascript">window.location.href="https://www.snapeda.com/about/import/#" </script> <title>Page Redirection</title> </head> <body> If you are not redirected automatically, follow this <a href="https://www.snapeda.com/about/import/#">link to the import guide</a>. </body></html>

View File

@ -0,0 +1,437 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Conn_01x04_Female
#
DEF Connector_Conn_01x04_Female J 0 40 Y N 1 F N
F0 "J" 0 200 50 H V C CNN
F1 "Connector_Conn_01x04_Female" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
A 0 -200 20 901 -901 1 1 6 N 0 -180 0 -220
A 0 -100 20 901 -901 1 1 6 N 0 -80 0 -120
A 0 0 20 901 -901 1 1 6 N 0 20 0 -20
A 0 100 20 901 -901 1 1 6 N 0 120 0 80
P 2 1 1 6 -50 -200 -20 -200 N
P 2 1 1 6 -50 -100 -20 -100 N
P 2 1 1 6 -50 0 -20 0 N
P 2 1 1 6 -50 100 -20 100 N
X Pin_1 1 -200 100 150 R 50 50 1 1 P
X Pin_2 2 -200 0 150 R 50 50 1 1 P
X Pin_3 3 -200 -100 150 R 50 50 1 1 P
X Pin_4 4 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Conn_01x05_Male
#
DEF Connector_Conn_01x05_Male J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Conn_01x05_Male" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S 34 -195 0 -205 1 1 6 F
S 34 -95 0 -105 1 1 6 F
S 34 5 0 -5 1 1 6 F
S 34 105 0 95 1 1 6 F
S 34 205 0 195 1 1 6 F
P 2 1 1 6 50 -200 34 -200 N
P 2 1 1 6 50 -100 34 -100 N
P 2 1 1 6 50 0 34 0 N
P 2 1 1 6 50 100 34 100 N
P 2 1 1 6 50 200 34 200 N
X Pin_1 1 200 200 150 L 50 50 1 1 P
X Pin_2 2 200 100 150 L 50 50 1 1 P
X Pin_3 3 200 0 150 L 50 50 1 1 P
X Pin_4 4 200 -100 150 L 50 50 1 1 P
X Pin_5 5 200 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x06_Odd_Even
#
DEF Connector_Generic_Conn_02x06_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x06_Odd_Even" 50 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -350 1 1 10 f
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_11 11 -200 -300 150 R 50 50 1 1 P
X Pin_12 12 300 -300 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x20_Odd_Even
#
DEF Connector_Generic_Conn_02x20_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 1000 50 H V C CNN
F1 "Connector_Generic_Conn_02x20_Odd_Even" 50 -1100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 950 150 -1050 1 1 10 f
S 150 -995 100 -1005 1 1 6 N
S 150 -895 100 -905 1 1 6 N
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
S 150 905 100 895 1 1 6 N
X Pin_1 1 -200 900 150 R 50 50 1 1 P
X Pin_10 10 300 500 150 L 50 50 1 1 P
X Pin_11 11 -200 400 150 R 50 50 1 1 P
X Pin_12 12 300 400 150 L 50 50 1 1 P
X Pin_13 13 -200 300 150 R 50 50 1 1 P
X Pin_14 14 300 300 150 L 50 50 1 1 P
X Pin_15 15 -200 200 150 R 50 50 1 1 P
X Pin_16 16 300 200 150 L 50 50 1 1 P
X Pin_17 17 -200 100 150 R 50 50 1 1 P
X Pin_18 18 300 100 150 L 50 50 1 1 P
X Pin_19 19 -200 0 150 R 50 50 1 1 P
X Pin_2 2 300 900 150 L 50 50 1 1 P
X Pin_20 20 300 0 150 L 50 50 1 1 P
X Pin_21 21 -200 -100 150 R 50 50 1 1 P
X Pin_22 22 300 -100 150 L 50 50 1 1 P
X Pin_23 23 -200 -200 150 R 50 50 1 1 P
X Pin_24 24 300 -200 150 L 50 50 1 1 P
X Pin_25 25 -200 -300 150 R 50 50 1 1 P
X Pin_26 26 300 -300 150 L 50 50 1 1 P
X Pin_27 27 -200 -400 150 R 50 50 1 1 P
X Pin_28 28 300 -400 150 L 50 50 1 1 P
X Pin_29 29 -200 -500 150 R 50 50 1 1 P
X Pin_3 3 -200 800 150 R 50 50 1 1 P
X Pin_30 30 300 -500 150 L 50 50 1 1 P
X Pin_31 31 -200 -600 150 R 50 50 1 1 P
X Pin_32 32 300 -600 150 L 50 50 1 1 P
X Pin_33 33 -200 -700 150 R 50 50 1 1 P
X Pin_34 34 300 -700 150 L 50 50 1 1 P
X Pin_35 35 -200 -800 150 R 50 50 1 1 P
X Pin_36 36 300 -800 150 L 50 50 1 1 P
X Pin_37 37 -200 -900 150 R 50 50 1 1 P
X Pin_38 38 300 -900 150 L 50 50 1 1 P
X Pin_39 39 -200 -1000 150 R 50 50 1 1 P
X Pin_4 4 300 800 150 L 50 50 1 1 P
X Pin_40 40 300 -1000 150 L 50 50 1 1 P
X Pin_5 5 -200 700 150 R 50 50 1 1 P
X Pin_6 6 300 700 150 L 50 50 1 1 P
X Pin_7 7 -200 600 150 R 50 50 1 1 P
X Pin_8 8 300 600 150 L 50 50 1 1 P
X Pin_9 9 -200 500 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
F0 "R" 30 20 50 H V L CNN
F1 "Device_R_Small" 30 -40 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -30 70 30 -70 0 1 8 N
X ~ 1 0 100 30 D 50 50 1 1 P
X ~ 2 0 -100 30 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Rotary_Encoder_Switch
#
DEF Device_Rotary_Encoder_Switch SW 0 10 Y N 1 F N
F0 "SW" 0 260 50 H V C CNN
F1 "Device_Rotary_Encoder_Switch" 0 -260 50 H V C CNN
F2 "" -150 160 50 H I C CNN
F3 "" 0 260 50 H I C CNN
$FPLIST
RotaryEncoder*Switch*
$ENDFPLIST
DRAW
A -15 -2 108 -899 899 0 1 10 N -15 -110 -15 105
C -150 0 10 0 1 0 F
C -15 0 75 0 1 10 N
C 170 -40 5 0 1 10 N
C 170 40 5 0 1 10 N
S -200 200 200 -200 0 1 10 f
P 2 0 1 10 -25 -70 -25 70 N
P 2 0 1 10 -15 -70 -15 70 N
P 2 0 1 10 -5 70 -5 -70 N
P 2 0 1 10 150 0 135 0 N
P 2 0 1 10 150 40 150 -40 N
P 3 0 1 0 -200 -100 -150 -100 -150 -80 N
P 3 0 1 0 -200 100 -150 100 -150 80 N
P 3 0 1 10 10 -120 -20 -110 5 -95 N
P 3 0 1 10 10 115 -20 105 5 90 N
P 3 0 1 10 200 -100 170 -100 170 -40 N
P 3 0 1 10 200 100 170 100 170 40 N
P 4 0 1 0 -200 0 -150 0 -150 -40 -130 -80 N
P 4 0 1 0 -170 0 -150 0 -150 40 -130 80 N
X A A -300 100 100 R 50 50 1 1 P
X B B -300 -100 100 R 50 50 1 1 P
X C C -300 0 100 R 50 50 1 1 P
X S1 S1 300 100 100 L 50 50 1 1 P
X S2 S2 300 -100 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Jumper_SolderJumper_2_Open
#
DEF Jumper_SolderJumper_2_Open JP 0 0 Y N 1 F N
F0 "JP" 0 80 50 H V C CNN
F1 "Jumper_SolderJumper_2_Open" 0 -100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SolderJumper*Open*
$ENDFPLIST
DRAW
A -10 0 40 901 -901 0 1 0 N -10 40 -10 -40
A -10 0 40 901 -901 0 1 0 F -10 40 -10 -40
A 10 0 40 -899 899 0 1 0 N 10 -40 10 40
A 10 0 40 -899 899 0 1 0 F 10 -40 10 40
P 2 0 1 0 -10 40 -10 -40 N
P 2 0 1 0 10 40 10 -40 N
X A 1 -150 0 100 R 50 50 1 1 P
X B 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Jumper_SolderJumper_3_Bridged12
#
DEF Jumper_SolderJumper_3_Bridged12 JP 0 0 Y N 1 F N
F0 "JP" -100 -100 50 H V C CNN
F1 "Jumper_SolderJumper_3_Bridged12" 0 110 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SolderJumper*Bridged12*
$ENDFPLIST
DRAW
A -40 0 40 901 -901 0 1 0 N -40 40 -40 -40
A -40 0 40 901 -901 0 1 0 F -40 40 -40 -40
A 40 0 40 -899 899 0 1 0 N 40 -40 40 40
A 40 0 40 -899 899 0 1 0 F 40 -40 40 40
S -40 20 -20 -20 0 1 0 F
S -20 40 20 -40 0 1 0 F
P 2 0 1 0 -100 0 -80 0 N
P 2 0 1 0 -40 40 -40 -40 N
P 2 0 1 0 0 -50 0 -40 N
P 2 0 1 0 40 40 40 -40 N
P 2 0 1 0 100 0 80 0 N
X A 1 -200 0 100 R 50 50 1 1 P
X C 2 0 -150 100 U 50 50 1 1 I
X B 3 200 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# PCA9554APW_118_PCA9554APW,118
#
DEF PCA9554APW_118_PCA9554APW,118 U 0 40 Y Y 1 L N
F0 "U" -208 625 50 H V L BNN
F1 "PCA9554APW_118_PCA9554APW,118" -194 -1019 50 H V L BNN
F2 "SOP65P640X110-16N" 0 0 50 H I L BNN
F3 "" 0 0 50 H I L BNN
F4 "1831212" 0 0 50 H I L BNN "OC_FARNELL"
F5 "70R6656" 0 0 50 H I L BNN "OC_NEWARK"
F6 "PCA9554APW,118" 0 0 50 H I L BNN "MPN"
F7 "TSSOP" 0 0 50 H I L BNN "PACKAGE"
F8 "NXP" 0 0 50 H I L BNN "SUPPLIER"
DRAW
S -500 -800 500 500 0 0 16 f
X A0 1 -700 -200 200 R 40 40 0 0 I
X IO5 10 700 -400 200 L 40 40 0 0 B
X IO6 11 700 -500 200 L 40 40 0 0 B
X IO7 12 700 -600 200 L 40 40 0 0 B
X ~INT 13 700 300 200 L 40 40 0 0 O
X SCL 14 -700 100 200 R 40 40 0 0 I
X SDA 15 -700 0 200 R 40 40 0 0 B
X VDD 16 -700 300 200 R 40 40 0 0 W
X A1 2 -700 -300 200 R 40 40 0 0 I
X A2 3 -700 -400 200 R 40 40 0 0 I
X IO0 4 700 100 200 L 40 40 0 0 B
X IO1 5 700 0 200 L 40 40 0 0 B
X IO2 6 700 -100 200 L 40 40 0 0 B
X IO3 7 700 -200 200 L 40 40 0 0 B
X VSS 8 -700 -600 200 R 40 40 0 0 P
X IO4 9 700 -300 200 L 40 40 0 0 B
ENDDRAW
ENDDEF
#
# Switch_SW_SPST
#
DEF Switch_SW_SPST SW 0 0 Y N 1 F N
F0 "SW" 0 125 50 H V C CNN
F1 "Switch_SW_SPST" 0 -100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
C -80 0 20 0 0 0 N
C 80 0 20 0 0 0 N
P 2 0 0 0 -60 10 60 70 N
X A 1 -200 0 100 R 50 50 1 1 P
X B 2 200 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# power_+3.3V
#
DEF power_+3.3V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3.3V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_PWR_FLAG
#
DEF power_PWR_FLAG #FLG 0 0 N N 1 F P
F0 "#FLG" 0 75 50 H I C CNN
F1 "power_PWR_FLAG" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 -40 75 0 100 40 75 0 50 N
X pwr 1 0 0 0 U 50 50 0 0 w
ENDDRAW
ENDDEF
#
#End Library

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,75 @@
{
"board": {
"active_layer": 31,
"active_layer_preset": "All Layers",
"auto_track_width": true,
"hidden_nets": [],
"high_contrast_mode": 0,
"net_color_mode": 1,
"opacity": {
"pads": 1.0,
"tracks": 1.0,
"vias": 1.0,
"zones": 0.6
},
"ratsnest_display_mode": 0,
"selection_filter": {
"dimensions": true,
"footprints": true,
"graphics": true,
"keepouts": true,
"lockedItems": true,
"otherItems": true,
"pads": true,
"text": true,
"tracks": true,
"vias": true,
"zones": true
},
"visible_items": [
0,
1,
2,
3,
4,
5,
8,
9,
10,
11,
12,
13,
14,
15,
16,
17,
18,
19,
20,
21,
22,
23,
24,
25,
26,
27,
28,
29,
30,
32,
33,
34,
35,
36
],
"visible_layers": "fffffff_ffffffff",
"zone_display_mode": 0
},
"meta": {
"filename": "ctrl_board.kicad_prl",
"version": 3
},
"project": {
"files": []
}
}

View File

@ -0,0 +1,460 @@
{
"board": {
"design_settings": {
"defaults": {
"board_outline_line_width": 0.09999999999999999,
"copper_line_width": 0.09999999999999999,
"copper_text_italic": false,
"copper_text_size_h": 1.0,
"copper_text_size_v": 1.0,
"copper_text_thickness": 0.09999999999999999,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 1.0,
"height": 2.0,
"width": 2.0
},
"silk_line_width": 0.15,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.508
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"filename": "board_design_settings.json",
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rule_severitieslegacy_courtyards_overlap": true,
"rule_severitieslegacy_no_courtyard_defined": false,
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.049999999999999996,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.5,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.19999999999999998,
"min_track_width": 0.15239999999999998,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"use_height_for_length_calcs": true
},
"track_widths": [
0.0,
0.4
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.5,
"drill": 0.25
}
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "ctrl_board.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.5,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6.0
},
{
"bus_width": 12.0,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.5,
"microvia_drill": 0.1,
"name": "Power",
"nets": [
"+3V3",
"+5V"
],
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.5,
"via_diameter": 1.0,
"via_drill": 0.6,
"wire_width": 6.0
}
],
"meta": {
"version": 2
},
"net_colors": null
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "ctrl_board.step",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.25,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.08
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_external_command": "spice \"%I\"",
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"a15a7506-eae4-4933-84da-9ad754258706",
""
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,266 @@
update=Tuesday, December 14, 2021 at 09:20:31 PM
version=1
last_client=kicad
[cvpcb]
version=1
NetITyp=0
NetIExt=.net
PkgIExt=.pkg
NetDir=
LibDir=
NetType=0
[cvpcb/libraries]
EquName1=devcms
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=
SpiceForceRefPrefix=0
SpiceUseNetNumbers=0
LabSize=50
[general]
version=1
[eeschema]
version=1
LibDir=
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.1524
MinViaDiameter=0.4
MinViaDrill=0.2
MinMicroViaDiameter=0.5
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.2
TrackWidth2=0.4
ViaDiameter1=0.9
ViaDrill1=0.6
ViaDiameter2=0.5
ViaDrill2=0.25
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.15
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.09999999999999999
CopperTextSizeV=1
CopperTextSizeH=1
CopperTextThickness=0.09999999999999999
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.09999999999999999
CourtyardLineWidth=0.05
OthersLineWidth=0.12
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0
SolderMaskMinWidth=0
SolderPasteClearance=0
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.2
TrackWidth=0.2
ViaDiameter=0.9
ViaDrill=0.6
uViaDiameter=0.5
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[pcbnew/Netclasses/1]
Name=Power
Clearance=0.2
TrackWidth=0.5
ViaDiameter=1
ViaDrill=0.7
uViaDiameter=0.5
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,910 @@
<?xml version="1.0" encoding="UTF-8"?>
<export version="E">
<design>
<source>C:\Users\theto\Downloads\RASCSI\hw\ctrl_board_v1p5\ctrl_board.kicad_sch</source>
<date>1/20/2022 5:12:06 PM</date>
<tool>Eeschema (6.0.0)</tool>
<sheet number="1" name="/" tstamps="/">
<title_block>
<title/>
<company/>
<rev/>
<date>15 nov 2012</date>
<source>ctrl_board.kicad_sch</source>
<comment number="1" value=""/>
<comment number="2" value=""/>
<comment number="3" value=""/>
<comment number="4" value=""/>
<comment number="5" value=""/>
<comment number="6" value=""/>
<comment number="7" value=""/>
<comment number="8" value=""/>
<comment number="9" value=""/>
</title_block>
</sheet>
</design>
<components>
<comp ref="C1">
<value>100n</value>
<footprint>Capacitor_SMD:C_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C49678</field>
</fields>
<libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<property name="LCSC" value="C49678"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061b8f9e7</tstamps>
</comp>
<comp ref="J1">
<value>RaSCSI Connector</value>
<footprint>Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Vertical</footprint>
<libsource lib="Connector" part="Conn_01x05_Male" description="Generic connector, single row, 01x05, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061b5acde</tstamps>
</comp>
<comp ref="J2">
<value>OLED Connector</value>
<footprint>mousebites:0.9_and_1.3_in_OLED_Footprint</footprint>
<libsource lib="Connector" part="Conn_01x04_Female" description="Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061bff728</tstamps>
</comp>
<comp ref="J3">
<value>Conn_01x06_Odd_Even</value>
<footprint>Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical</footprint>
<libsource lib="Connector_Generic" part="Conn_01x06" description="Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061c08a8b</tstamps>
</comp>
<comp ref="J4">
<value>Aux GPIO Connector</value>
<footprint>Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical</footprint>
<libsource lib="Connector_Generic" part="Conn_01x02" description="Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>e1110524-9d88-4fa9-afec-1859834e2f21</tstamps>
</comp>
<comp ref="JP1">
<value>SolderJumper_3_Bridged12</value>
<footprint>Jumper:SolderJumper-3_P1.3mm_Bridged12_RoundedPad1.0x1.5mm</footprint>
<libsource lib="Jumper" part="SolderJumper_3_Bridged12" description="3-pole Solder Jumper, pins 1+2 closed/bridged"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061d138dd</tstamps>
</comp>
<comp ref="JP2">
<value>SolderJumper_3_Bridged12</value>
<footprint>Jumper:SolderJumper-3_P1.3mm_Bridged12_RoundedPad1.0x1.5mm</footprint>
<libsource lib="Jumper" part="SolderJumper_3_Bridged12" description="3-pole Solder Jumper, pins 1+2 closed/bridged"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061d1a9da</tstamps>
</comp>
<comp ref="JP3">
<value>SolderJumper_3_Bridged12</value>
<footprint>Jumper:SolderJumper-3_P1.3mm_Bridged12_RoundedPad1.0x1.5mm</footprint>
<libsource lib="Jumper" part="SolderJumper_3_Bridged12" description="3-pole Solder Jumper, pins 1+2 closed/bridged"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061d1f4fd</tstamps>
</comp>
<comp ref="JP4">
<value>SolderJumper_2_Open</value>
<footprint>Jumper:SolderJumper-2_P1.3mm_Bridged_RoundedPad1.0x1.5mm</footprint>
<libsource lib="Jumper" part="SolderJumper_2_Bridged" description="Solder Jumper, 2-pole, closed/bridged"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061cf258a</tstamps>
</comp>
<comp ref="MK1">
<value>M2.5</value>
<footprint>MountingHole:MountingHole_2.7mm_M2.5</footprint>
<libsource lib="Mechanical" part="MountingHole" description="Mounting Hole without connection"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-00005834fb2e</tstamps>
</comp>
<comp ref="MK2">
<value>M2.5</value>
<footprint>MountingHole:MountingHole_2.7mm_M2.5</footprint>
<libsource lib="Mechanical" part="MountingHole" description="Mounting Hole without connection"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-00005834fc19</tstamps>
</comp>
<comp ref="MK3">
<value>M2.5</value>
<footprint>MountingHole:MountingHole_2.7mm_M2.5</footprint>
<libsource lib="Mechanical" part="MountingHole" description="Mounting Hole without connection"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-00005834fbef</tstamps>
</comp>
<comp ref="MK4">
<value>M2.5</value>
<footprint>MountingHole:MountingHole_2.7mm_M2.5</footprint>
<libsource lib="Mechanical" part="MountingHole" description="Mounting Hole without connection"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-00005834fc4f</tstamps>
</comp>
<comp ref="P1">
<value>Conn_02x20_Odd_Even</value>
<footprint>Connector_PinSocket_2.54mm:PinSocket_2x20_P2.54mm_Vertical</footprint>
<libsource lib="Connector_Generic" part="Conn_02x20_Odd_Even" description="Generic connector, double row, 02x20, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000059ad464a</tstamps>
</comp>
<comp ref="R1">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061bbe82c</tstamps>
</comp>
<comp ref="R2">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061bc5446</tstamps>
</comp>
<comp ref="R3">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061b67971</tstamps>
</comp>
<comp ref="R4">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061b68cc5</tstamps>
</comp>
<comp ref="R5">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>8dd785d1-511d-4538-964b-312a69d957a7</tstamps>
</comp>
<comp ref="R6">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061bb0920</tstamps>
</comp>
<comp ref="R7">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061d41495</tstamps>
</comp>
<comp ref="R8">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061d38fc5</tstamps>
</comp>
<comp ref="R9">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061d3ce2e</tstamps>
</comp>
<comp ref="R10">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061b71964</tstamps>
</comp>
<comp ref="R11">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061b766b8</tstamps>
</comp>
<comp ref="R12">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061bb79ce</tstamps>
</comp>
<comp ref="R13">
<value>10k</value>
<footprint>Resistor_SMD:R_0805_2012Metric</footprint>
<fields>
<field name="LCSC">C17414</field>
</fields>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<property name="LCSC" value="C17414"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061bb2381</tstamps>
</comp>
<comp ref="SW1">
<value>SW_SPST</value>
<footprint>Button_Switch_THT:SW_PUSH_6mm_H5mm</footprint>
<datasheet>https://datasheet.lcsc.com/lcsc/2108131530_Korean-Hroparts-Elec-K2-1102DP-C4SW-04_C110153.pdf</datasheet>
<fields>
<field name="LCSC">C110153</field>
</fields>
<libsource lib="Switch" part="SW_SPST" description="Single Pole Single Throw (SPST) switch"/>
<property name="LCSC" value="C110153"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061b61b8c</tstamps>
</comp>
<comp ref="SW2">
<value>SW_SPST</value>
<footprint>Button_Switch_THT:SW_PUSH_6mm_H5mm</footprint>
<datasheet>https://datasheet.lcsc.com/lcsc/2108131530_Korean-Hroparts-Elec-K2-1102DP-C4SW-04_C110153.pdf</datasheet>
<fields>
<field name="LCSC">C110153</field>
</fields>
<libsource lib="Switch" part="SW_SPST" description="Single Pole Single Throw (SPST) switch"/>
<property name="LCSC" value="C110153"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061b66339</tstamps>
</comp>
<comp ref="SW4">
<value>Rotary_Encoder_Switch</value>
<footprint>Rotary_Encoder:RotaryEncoder_Alps_EC11E-Switch_Vertical_H20mm</footprint>
<libsource lib="Device" part="RotaryEncoder_Switch" description="Rotary encoder, dual channel, incremental quadrate outputs, with switch"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061b88cf1</tstamps>
</comp>
<comp ref="U1">
<value>PCA9554APW,118</value>
<footprint>Package_SO:TSSOP-16_4.4x5mm_P0.65mm</footprint>
<fields>
<field name="LCSC">C86803</field>
<field name="MPN">PCA9554APW,118</field>
<field name="OC_FARNELL">1831212</field>
<field name="OC_NEWARK">70R6656</field>
<field name="PACKAGE">TSSOP</field>
<field name="SUPPLIER">NXP</field>
</fields>
<libsource lib="PCA9554APW_118" part="PCA9554APW,118" description=""/>
<property name="OC_FARNELL" value="1831212"/>
<property name="OC_NEWARK" value="70R6656"/>
<property name="MPN" value="PCA9554APW,118"/>
<property name="PACKAGE" value="TSSOP"/>
<property name="SUPPLIER" value="NXP"/>
<property name="LCSC" value="C86803"/>
<property name="Sheetname" value=""/>
<property name="Sheetfile" value="ctrl_board.kicad_sch"/>
<sheetpath names="/" tstamps="/"/>
<tstamps>00000000-0000-0000-0000-000061c61273</tstamps>
</comp>
</components>
<libparts>
<libpart lib="Connector" part="Conn_01x04_Female">
<description>Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)</description>
<docs>~</docs>
<footprints>
<fp>Connector*:*_1x??_*</fp>
</footprints>
<fields>
<field name="Reference">J</field>
<field name="Value">Conn_01x04_Female</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="Pin_1" type="passive"/>
<pin num="2" name="Pin_2" type="passive"/>
<pin num="3" name="Pin_3" type="passive"/>
<pin num="4" name="Pin_4" type="passive"/>
</pins>
</libpart>
<libpart lib="Connector" part="Conn_01x05_Male">
<description>Generic connector, single row, 01x05, script generated (kicad-library-utils/schlib/autogen/connector/)</description>
<docs>~</docs>
<footprints>
<fp>Connector*:*_1x??_*</fp>
</footprints>
<fields>
<field name="Reference">J</field>
<field name="Value">Conn_01x05_Male</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="Pin_1" type="passive"/>
<pin num="2" name="Pin_2" type="passive"/>
<pin num="3" name="Pin_3" type="passive"/>
<pin num="4" name="Pin_4" type="passive"/>
<pin num="5" name="Pin_5" type="passive"/>
</pins>
</libpart>
<libpart lib="Connector_Generic" part="Conn_01x02">
<description>Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)</description>
<docs>~</docs>
<footprints>
<fp>Connector*:*_1x??_*</fp>
</footprints>
<fields>
<field name="Reference">J</field>
<field name="Value">Conn_01x02</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="Pin_1" type="passive"/>
<pin num="2" name="Pin_2" type="passive"/>
</pins>
</libpart>
<libpart lib="Connector_Generic" part="Conn_01x06">
<description>Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)</description>
<docs>~</docs>
<footprints>
<fp>Connector*:*_1x??_*</fp>
</footprints>
<fields>
<field name="Reference">J</field>
<field name="Value">Conn_01x06</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="Pin_1" type="passive"/>
<pin num="2" name="Pin_2" type="passive"/>
<pin num="3" name="Pin_3" type="passive"/>
<pin num="4" name="Pin_4" type="passive"/>
<pin num="5" name="Pin_5" type="passive"/>
<pin num="6" name="Pin_6" type="passive"/>
</pins>
</libpart>
<libpart lib="Connector_Generic" part="Conn_02x20_Odd_Even">
<description>Generic connector, double row, 02x20, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)</description>
<docs>~</docs>
<footprints>
<fp>Connector*:*_2x??_*</fp>
</footprints>
<fields>
<field name="Reference">J</field>
<field name="Value">Conn_02x20_Odd_Even</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="Pin_1" type="passive"/>
<pin num="2" name="Pin_2" type="passive"/>
<pin num="3" name="Pin_3" type="passive"/>
<pin num="4" name="Pin_4" type="passive"/>
<pin num="5" name="Pin_5" type="passive"/>
<pin num="6" name="Pin_6" type="passive"/>
<pin num="7" name="Pin_7" type="passive"/>
<pin num="8" name="Pin_8" type="passive"/>
<pin num="9" name="Pin_9" type="passive"/>
<pin num="10" name="Pin_10" type="passive"/>
<pin num="11" name="Pin_11" type="passive"/>
<pin num="12" name="Pin_12" type="passive"/>
<pin num="13" name="Pin_13" type="passive"/>
<pin num="14" name="Pin_14" type="passive"/>
<pin num="15" name="Pin_15" type="passive"/>
<pin num="16" name="Pin_16" type="passive"/>
<pin num="17" name="Pin_17" type="passive"/>
<pin num="18" name="Pin_18" type="passive"/>
<pin num="19" name="Pin_19" type="passive"/>
<pin num="20" name="Pin_20" type="passive"/>
<pin num="21" name="Pin_21" type="passive"/>
<pin num="22" name="Pin_22" type="passive"/>
<pin num="23" name="Pin_23" type="passive"/>
<pin num="24" name="Pin_24" type="passive"/>
<pin num="25" name="Pin_25" type="passive"/>
<pin num="26" name="Pin_26" type="passive"/>
<pin num="27" name="Pin_27" type="passive"/>
<pin num="28" name="Pin_28" type="passive"/>
<pin num="29" name="Pin_29" type="passive"/>
<pin num="30" name="Pin_30" type="passive"/>
<pin num="31" name="Pin_31" type="passive"/>
<pin num="32" name="Pin_32" type="passive"/>
<pin num="33" name="Pin_33" type="passive"/>
<pin num="34" name="Pin_34" type="passive"/>
<pin num="35" name="Pin_35" type="passive"/>
<pin num="36" name="Pin_36" type="passive"/>
<pin num="37" name="Pin_37" type="passive"/>
<pin num="38" name="Pin_38" type="passive"/>
<pin num="39" name="Pin_39" type="passive"/>
<pin num="40" name="Pin_40" type="passive"/>
</pins>
</libpart>
<libpart lib="Device" part="C_Small">
<description>Unpolarized capacitor, small symbol</description>
<docs>~</docs>
<footprints>
<fp>C_*</fp>
</footprints>
<fields>
<field name="Reference">C</field>
<field name="Value">C_Small</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="" type="passive"/>
<pin num="2" name="" type="passive"/>
</pins>
</libpart>
<libpart lib="Device" part="R_Small">
<description>Resistor, small symbol</description>
<docs>~</docs>
<footprints>
<fp>R_*</fp>
</footprints>
<fields>
<field name="Reference">R</field>
<field name="Value">R_Small</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="" type="passive"/>
<pin num="2" name="" type="passive"/>
</pins>
</libpart>
<libpart lib="Device" part="RotaryEncoder_Switch">
<description>Rotary encoder, dual channel, incremental quadrate outputs, with switch</description>
<docs>~</docs>
<footprints>
<fp>RotaryEncoder*Switch*</fp>
</footprints>
<fields>
<field name="Reference">SW</field>
<field name="Value">RotaryEncoder_Switch</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="A" name="A" type="passive"/>
<pin num="B" name="B" type="passive"/>
<pin num="C" name="C" type="passive"/>
<pin num="S1" name="S1" type="passive"/>
<pin num="S2" name="S2" type="passive"/>
</pins>
</libpart>
<libpart lib="Jumper" part="SolderJumper_2_Bridged">
<description>Solder Jumper, 2-pole, closed/bridged</description>
<docs>~</docs>
<footprints>
<fp>SolderJumper*Bridged*</fp>
</footprints>
<fields>
<field name="Reference">JP</field>
<field name="Value">SolderJumper_2_Bridged</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="A" type="passive"/>
<pin num="2" name="B" type="passive"/>
</pins>
</libpart>
<libpart lib="Jumper" part="SolderJumper_3_Bridged12">
<description>3-pole Solder Jumper, pins 1+2 closed/bridged</description>
<docs>~</docs>
<footprints>
<fp>SolderJumper*Bridged12*</fp>
</footprints>
<fields>
<field name="Reference">JP</field>
<field name="Value">SolderJumper_3_Bridged12</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="A" type="passive"/>
<pin num="2" name="C" type="input"/>
<pin num="3" name="B" type="passive"/>
</pins>
</libpart>
<libpart lib="Mechanical" part="MountingHole">
<description>Mounting Hole without connection</description>
<docs>~</docs>
<footprints>
<fp>MountingHole*</fp>
</footprints>
<fields>
<field name="Reference">H</field>
<field name="Value">MountingHole</field>
<field name="Datasheet">~</field>
</fields>
</libpart>
<libpart lib="PCA9554APW_118" part="PCA9554APW,118">
<fields>
<field name="Reference">U</field>
<field name="Value">PCA9554APW,118</field>
<field name="Footprint">SOP65P640X110-16N</field>
<field name="OC_FARNELL">1831212</field>
<field name="OC_NEWARK">70R6656</field>
<field name="MPN">PCA9554APW,118</field>
<field name="PACKAGE">TSSOP</field>
<field name="SUPPLIER">NXP</field>
</fields>
<pins>
<pin num="1" name="A0" type="input"/>
<pin num="2" name="A1" type="input"/>
<pin num="3" name="A2" type="input"/>
<pin num="4" name="IO0" type="bidirectional"/>
<pin num="5" name="IO1" type="bidirectional"/>
<pin num="6" name="IO2" type="bidirectional"/>
<pin num="7" name="IO3" type="bidirectional"/>
<pin num="8" name="VSS" type="passive"/>
<pin num="9" name="IO4" type="bidirectional"/>
<pin num="10" name="IO5" type="bidirectional"/>
<pin num="11" name="IO6" type="bidirectional"/>
<pin num="12" name="IO7" type="bidirectional"/>
<pin num="13" name="~{INT}" type="output"/>
<pin num="14" name="SCL" type="input"/>
<pin num="15" name="SDA" type="bidirectional"/>
<pin num="16" name="VDD" type="power_in"/>
</pins>
</libpart>
<libpart lib="Switch" part="SW_SPST">
<description>Single Pole Single Throw (SPST) switch</description>
<docs>~</docs>
<fields>
<field name="Reference">SW</field>
<field name="Value">SW_SPST</field>
<field name="Datasheet">~</field>
</fields>
<pins>
<pin num="1" name="A" type="passive"/>
<pin num="2" name="B" type="passive"/>
</pins>
</libpart>
<libpart lib="graphics" part="Generic_Graphic">
<fields>
<field name="Reference">G?</field>
<field name="Value">Generic_Graphic</field>
</fields>
</libpart>
</libparts>
<libraries>
<library logical="Connector">
<uri>C:\Program Files\KiCad\6.0\share\kicad\symbols\/Connector.kicad_sym</uri>
</library>
<library logical="Connector_Generic">
<uri>C:\Program Files\KiCad\6.0\share\kicad\symbols\/Connector_Generic.kicad_sym</uri>
</library>
<library logical="Device">
<uri>C:\Program Files\KiCad\6.0\share\kicad\symbols\/Device.kicad_sym</uri>
</library>
<library logical="Jumper">
<uri>C:\Program Files\KiCad\6.0\share\kicad\symbols\/Jumper.kicad_sym</uri>
</library>
<library logical="Mechanical">
<uri>C:\Program Files\KiCad\6.0\share\kicad\symbols\/Mechanical.kicad_sym</uri>
</library>
<library logical="PCA9554APW_118">
<uri>C:\Users\theto\Downloads\RASCSI\hw\ctrl_board_v1p5/PCA9554APW_118/PCA9554APW_118.lib</uri>
</library>
<library logical="Switch">
<uri>C:\Program Files\KiCad\6.0\share\kicad\symbols\/Switch.kicad_sym</uri>
</library>
<library logical="graphics">
<uri>C:\Users\theto\Downloads\RASCSI\hw\ctrl_board_v1p5/graphics.kicad_sym</uri>
</library>
</libraries>
<nets>
<net code="1" name="+3V3">
<node ref="C1" pin="1" pintype="passive"/>
<node ref="J1" pin="3" pinfunction="Pin_3" pintype="passive"/>
<node ref="J2" pin="2" pinfunction="Pin_2" pintype="passive"/>
<node ref="JP1" pin="1" pinfunction="A" pintype="passive"/>
<node ref="JP2" pin="1" pinfunction="A" pintype="passive"/>
<node ref="JP3" pin="1" pinfunction="A" pintype="passive"/>
<node ref="P1" pin="1" pinfunction="Pin_1" pintype="passive"/>
<node ref="P1" pin="17" pinfunction="Pin_17" pintype="passive"/>
<node ref="R1" pin="1" pintype="passive"/>
<node ref="R10" pin="2" pintype="passive"/>
<node ref="R11" pin="1" pintype="passive"/>
<node ref="R12" pin="1" pintype="passive"/>
<node ref="R13" pin="1" pintype="passive"/>
<node ref="R2" pin="1" pintype="passive"/>
<node ref="R3" pin="1" pintype="passive"/>
<node ref="R4" pin="1" pintype="passive"/>
<node ref="R5" pin="2" pintype="passive"/>
<node ref="R6" pin="1" pintype="passive"/>
<node ref="U1" pin="16" pinfunction="VDD" pintype="power_in"/>
</net>
<net code="2" name="+5V">
<node ref="P1" pin="2" pinfunction="Pin_2" pintype="passive"/>
<node ref="P1" pin="4" pinfunction="Pin_4" pintype="passive"/>
</net>
<net code="3" name="/BUTTON_1">
<node ref="R3" pin="2" pintype="passive"/>
<node ref="SW1" pin="2" pinfunction="B" pintype="passive"/>
<node ref="U1" pin="6" pinfunction="IO2" pintype="bidirectional"/>
</net>
<net code="4" name="/BUTTON_2">
<node ref="R4" pin="2" pintype="passive"/>
<node ref="SW2" pin="2" pinfunction="B" pintype="passive"/>
<node ref="U1" pin="7" pinfunction="IO3" pintype="bidirectional"/>
</net>
<net code="5" name="/BUTTON_3">
<node ref="J3" pin="1" pinfunction="Pin_1" pintype="passive"/>
<node ref="U1" pin="9" pinfunction="IO4" pintype="bidirectional"/>
</net>
<net code="6" name="/BUTTON_4">
<node ref="R6" pin="2" pintype="passive"/>
<node ref="SW4" pin="S1" pinfunction="S1" pintype="passive"/>
<node ref="U1" pin="10" pinfunction="IO5" pintype="bidirectional"/>
</net>
<net code="7" name="/GPIO2(SDA1)">
<node ref="J1" pin="5" pinfunction="Pin_5" pintype="passive"/>
<node ref="J2" pin="4" pinfunction="Pin_4" pintype="passive"/>
<node ref="P1" pin="3" pinfunction="Pin_3" pintype="passive"/>
<node ref="R11" pin="2" pintype="passive"/>
<node ref="U1" pin="15" pinfunction="SDA" pintype="bidirectional"/>
</net>
<net code="8" name="/GPIO3(SCL1)">
<node ref="J1" pin="4" pinfunction="Pin_4" pintype="passive"/>
<node ref="J2" pin="3" pinfunction="Pin_3" pintype="passive"/>
<node ref="P1" pin="5" pinfunction="Pin_5" pintype="passive"/>
<node ref="R10" pin="1" pintype="passive"/>
<node ref="U1" pin="14" pinfunction="SCL" pintype="input"/>
</net>
<net code="9" name="/GPIO4(GCLK)">
<node ref="P1" pin="7" pinfunction="Pin_7" pintype="passive"/>
</net>
<net code="10" name="/GPIO5">
<node ref="P1" pin="29" pinfunction="Pin_29" pintype="passive"/>
</net>
<net code="11" name="/GPIO6">
<node ref="P1" pin="31" pinfunction="Pin_31" pintype="passive"/>
</net>
<net code="12" name="/GPIO7(SPI1_CE_N)">
<node ref="P1" pin="26" pinfunction="Pin_26" pintype="passive"/>
</net>
<net code="13" name="/GPIO8(SPI0_CE_N)">
<node ref="P1" pin="24" pinfunction="Pin_24" pintype="passive"/>
</net>
<net code="14" name="/GPIO9(SPI0_MISO)">
<node ref="J4" pin="2" pinfunction="Pin_2" pintype="passive"/>
<node ref="JP4" pin="2" pinfunction="B" pintype="passive"/>
<node ref="P1" pin="21" pinfunction="Pin_21" pintype="passive"/>
</net>
<net code="15" name="/GPIO10(SPI0_MOSI)">
<node ref="P1" pin="19" pinfunction="Pin_19" pintype="passive"/>
</net>
<net code="16" name="/GPIO11(SPI0_SCK)">
<node ref="P1" pin="23" pinfunction="Pin_23" pintype="passive"/>
</net>
<net code="17" name="/GPIO12(PWM0)">
<node ref="P1" pin="32" pinfunction="Pin_32" pintype="passive"/>
</net>
<net code="18" name="/GPIO13(PWM1)">
<node ref="P1" pin="33" pinfunction="Pin_33" pintype="passive"/>
</net>
<net code="19" name="/GPIO14(TXD0)">
<node ref="P1" pin="8" pinfunction="Pin_8" pintype="passive"/>
</net>
<net code="20" name="/GPIO15(RXD0)">
<node ref="P1" pin="10" pinfunction="Pin_10" pintype="passive"/>
</net>
<net code="21" name="/GPIO16">
<node ref="P1" pin="36" pinfunction="Pin_36" pintype="passive"/>
</net>
<net code="22" name="/GPIO17(GEN0)">
<node ref="P1" pin="11" pinfunction="Pin_11" pintype="passive"/>
</net>
<net code="23" name="/GPIO18(GEN1)(PWM0)">
<node ref="P1" pin="12" pinfunction="Pin_12" pintype="passive"/>
</net>
<net code="24" name="/GPIO19(SPI1_MISO)">
<node ref="P1" pin="35" pinfunction="Pin_35" pintype="passive"/>
</net>
<net code="25" name="/GPIO20(SPI1_MOSI)">
<node ref="P1" pin="38" pinfunction="Pin_38" pintype="passive"/>
</net>
<net code="26" name="/GPIO21(SPI1_SCK)">
<node ref="P1" pin="40" pinfunction="Pin_40" pintype="passive"/>
</net>
<net code="27" name="/GPIO22(GEN3)">
<node ref="P1" pin="15" pinfunction="Pin_15" pintype="passive"/>
</net>
<net code="28" name="/GPIO23(GEN4)">
<node ref="P1" pin="16" pinfunction="Pin_16" pintype="passive"/>
</net>
<net code="29" name="/GPIO24(GEN5)">
<node ref="P1" pin="18" pinfunction="Pin_18" pintype="passive"/>
</net>
<net code="30" name="/GPIO25(GEN6)">
<node ref="P1" pin="22" pinfunction="Pin_22" pintype="passive"/>
</net>
<net code="31" name="/GPIO26">
<node ref="P1" pin="37" pinfunction="Pin_37" pintype="passive"/>
</net>
<net code="32" name="/GPIO27(GEN2)">
<node ref="P1" pin="13" pinfunction="Pin_13" pintype="passive"/>
</net>
<net code="33" name="/ID_SC">
<node ref="P1" pin="28" pinfunction="Pin_28" pintype="passive"/>
</net>
<net code="34" name="/ID_SD">
<node ref="P1" pin="27" pinfunction="Pin_27" pintype="passive"/>
</net>
<net code="35" name="/LED_1">
<node ref="J3" pin="3" pinfunction="Pin_3" pintype="passive"/>
<node ref="U1" pin="11" pinfunction="IO6" pintype="bidirectional"/>
</net>
<net code="36" name="/LED_2">
<node ref="J3" pin="5" pinfunction="Pin_5" pintype="passive"/>
<node ref="U1" pin="12" pinfunction="IO7" pintype="bidirectional"/>
</net>
<net code="37" name="/ROTARY_ENC_A">
<node ref="R2" pin="2" pintype="passive"/>
<node ref="SW4" pin="A" pinfunction="A" pintype="passive"/>
<node ref="U1" pin="4" pinfunction="IO0" pintype="bidirectional"/>
</net>
<net code="38" name="/ROTARY_ENC_B">
<node ref="R1" pin="2" pintype="passive"/>
<node ref="SW4" pin="B" pinfunction="B" pintype="passive"/>
<node ref="U1" pin="5" pinfunction="IO1" pintype="bidirectional"/>
</net>
<net code="39" name="GND">
<node ref="C1" pin="2" pintype="passive"/>
<node ref="J1" pin="2" pinfunction="Pin_2" pintype="passive"/>
<node ref="J2" pin="1" pinfunction="Pin_1" pintype="passive"/>
<node ref="J3" pin="2" pinfunction="Pin_2" pintype="passive"/>
<node ref="JP1" pin="3" pinfunction="B" pintype="passive"/>
<node ref="JP2" pin="3" pinfunction="B" pintype="passive"/>
<node ref="JP3" pin="3" pinfunction="B" pintype="passive"/>
<node ref="P1" pin="14" pinfunction="Pin_14" pintype="passive"/>
<node ref="P1" pin="20" pinfunction="Pin_20" pintype="passive"/>
<node ref="P1" pin="25" pinfunction="Pin_25" pintype="passive"/>
<node ref="P1" pin="30" pinfunction="Pin_30" pintype="passive"/>
<node ref="P1" pin="34" pinfunction="Pin_34" pintype="passive"/>
<node ref="P1" pin="39" pinfunction="Pin_39" pintype="passive"/>
<node ref="P1" pin="6" pinfunction="Pin_6" pintype="passive"/>
<node ref="P1" pin="9" pinfunction="Pin_9" pintype="passive"/>
<node ref="SW1" pin="1" pinfunction="A" pintype="passive"/>
<node ref="SW2" pin="1" pinfunction="A" pintype="passive"/>
<node ref="SW4" pin="C" pinfunction="C" pintype="passive"/>
<node ref="SW4" pin="S2" pinfunction="S2" pintype="passive"/>
<node ref="U1" pin="8" pinfunction="VSS" pintype="passive"/>
</net>
<net code="40" name="Net-(J3-Pad4)">
<node ref="J3" pin="4" pinfunction="Pin_4" pintype="passive"/>
<node ref="R12" pin="2" pintype="passive"/>
</net>
<net code="41" name="Net-(J3-Pad6)">
<node ref="J3" pin="6" pinfunction="Pin_6" pintype="passive"/>
<node ref="R13" pin="2" pintype="passive"/>
</net>
<net code="42" name="Net-(JP1-Pad2)">
<node ref="JP1" pin="2" pinfunction="C" pintype="input"/>
<node ref="R7" pin="1" pintype="passive"/>
</net>
<net code="43" name="Net-(JP2-Pad2)">
<node ref="JP2" pin="2" pinfunction="C" pintype="input"/>
<node ref="R8" pin="1" pintype="passive"/>
</net>
<net code="44" name="Net-(JP3-Pad2)">
<node ref="JP3" pin="2" pinfunction="C" pintype="input"/>
<node ref="R9" pin="1" pintype="passive"/>
</net>
<net code="45" name="Net-(JP4-Pad1)">
<node ref="JP4" pin="1" pinfunction="A" pintype="passive"/>
<node ref="R5" pin="1" pintype="passive"/>
<node ref="U1" pin="13" pinfunction="~{INT}" pintype="output"/>
</net>
<net code="46" name="Net-(R7-Pad2)">
<node ref="R7" pin="2" pintype="passive"/>
<node ref="U1" pin="1" pinfunction="A0" pintype="input"/>
</net>
<net code="47" name="Net-(R8-Pad2)">
<node ref="R8" pin="2" pintype="passive"/>
<node ref="U1" pin="2" pinfunction="A1" pintype="input"/>
</net>
<net code="48" name="Net-(R9-Pad2)">
<node ref="R9" pin="2" pintype="passive"/>
<node ref="U1" pin="3" pinfunction="A2" pintype="input"/>
</net>
<net code="49" name="unconnected-(J1-Pad1)">
<node ref="J1" pin="1" pinfunction="Pin_1" pintype="passive+no_connect"/>
</net>
<net code="50" name="unconnected-(J4-Pad1)">
<node ref="J4" pin="1" pinfunction="Pin_1" pintype="passive+no_connect"/>
</net>
</nets>
</export>

View File

@ -0,0 +1,3 @@
EESchema-DOCLIB Version 2.0
#
#End Doc Library

View File

@ -0,0 +1,38 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# LTC3128
#
DEF LTC3128 U 0 40 Y Y 1 F N
F0 "U" -500 250 50 H V C CNN
F1 "LTC3128" 600 250 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -400 350 400 -1000 0 1 0 N
X SW1 1 -300 450 100 D 50 50 1 1 B
X VIN 11 -500 -250 100 R 50 50 1 1 W
X GND 12 50 -1100 100 U 50 50 1 1 W
X PFO 13 -500 -550 100 R 50 50 1 1 I
X PFI 14 -500 -450 100 R 50 50 1 1 I
X MAXV 15 -500 -750 100 R 50 50 1 1 I
X FB 16 500 -550 100 L 50 50 1 1 B
X PGOOD 17 -500 -650 100 R 50 50 1 1 I
X MID 18 500 -350 100 L 50 50 1 1 B
X VOUTS 19 500 -150 100 L 50 50 1 1 w
X GND 2 -150 -1100 100 U 50 50 1 1 W
X VOUTP 20 500 -50 100 L 50 50 1 1 w
X VOUTP 21 500 50 100 L 50 50 1 1 w
X SW2 23 200 450 100 D 50 50 1 1 B
X SW2 24 300 450 100 D 50 50 1 1 B
X GND 25 150 -1100 100 U 50 50 1 1 W
X GND 3 -50 -1100 100 U 50 50 1 1 W
X SW1 4 -200 450 100 D 50 50 1 1 B
X RSENP 5 -500 50 100 R 50 50 1 1 I
X RSENS 6 -500 -50 100 R 50 50 1 1 I
X RUN 7 -500 -350 100 R 50 50 1 1 I
X PROG 8 500 -750 100 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
#End Library

View File

@ -0,0 +1,64 @@
14759294537252
mousebites
0.9_and_1.3_in_OLED_Footprint
Through hole straight socket strip, 1x04, 2.54mm pitch, single row (from Kicad 4.0.7), script generated
Through hole socket strip THT 1x04 2.54mm single row
0
8
5
mousebites
0.9_in_OLED_Footprint
Through hole straight socket strip, 1x04, 2.54mm pitch, single row (from Kicad 4.0.7), script generated
Through hole socket strip THT 1x04 2.54mm single row
0
8
5
mousebites
1.3_in_OLED_Footprint
Through hole straight socket strip, 1x04, 2.54mm pitch, single row (from Kicad 4.0.7), script generated
Through hole socket strip THT 1x04 2.54mm single row
0
8
5
mousebites
Breakaway_Mousebite
0
0
0
mousebites
PinSocket_1x04_P2.54mm_Vertical
Through hole straight socket strip, 1x04, 2.54mm pitch, single row (from Kicad 4.0.7), script generated
Through hole socket strip THT 1x04 2.54mm single row
0
8
5
mousebites
SolderJumper-3_P1.3mm_Bridged12_NoSilkscreen
SMD Solder 3-pad Jumper, 1x1.5mm rounded Pads, 0.3mm gap, pads 1-2 bridged with 1 copper strip
solder jumper open
0
3
3
mousebites
dogcow
0
0
0
mousebites
mac_happy_small
0
0
0
mousebites
pi_logo
0
0
0

View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name mousebites)(type KiCad)(uri ${KIPRJMOD}/mousebites.pretty)(options "")(descr ""))
)

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,238 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*
G04 #@! TF.CreationDate,2022-01-20T17:07:56-06:00*
G04 #@! TF.ProjectId,ctrl_board,6374726c-5f62-46f6-9172-642e6b696361,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-01-20 17:07:56*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
%AMFreePoly0*
4,1,22,0.500000,-0.750000,0.000000,-0.750000,0.000000,-0.745033,-0.079941,-0.743568,-0.215256,-0.701293,-0.333266,-0.622738,-0.424486,-0.514219,-0.481581,-0.384460,-0.499164,-0.250000,-0.500000,-0.250000,-0.500000,0.250000,-0.499164,0.250000,-0.499963,0.256109,-0.478152,0.396186,-0.417904,0.524511,-0.324060,0.630769,-0.204165,0.706417,-0.067858,0.745374,0.000000,0.744959,0.000000,0.750000,
0.500000,0.750000,0.500000,-0.750000,0.500000,-0.750000,$1*%
%AMFreePoly1*
4,1,20,0.000000,0.744959,0.073905,0.744508,0.209726,0.703889,0.328688,0.626782,0.421226,0.519385,0.479903,0.390333,0.500000,0.250000,0.500000,-0.250000,0.499851,-0.262216,0.476331,-0.402017,0.414519,-0.529596,0.319384,-0.634700,0.198574,-0.708877,0.061801,-0.746166,0.000000,-0.745033,0.000000,-0.750000,-0.500000,-0.750000,-0.500000,0.750000,0.000000,0.750000,0.000000,0.744959,
0.000000,0.744959,$1*%
%AMFreePoly2*
4,1,22,0.550000,-0.750000,0.000000,-0.750000,0.000000,-0.745033,-0.079941,-0.743568,-0.215256,-0.701293,-0.333266,-0.622738,-0.424486,-0.514219,-0.481581,-0.384460,-0.499164,-0.250000,-0.500000,-0.250000,-0.500000,0.250000,-0.499164,0.250000,-0.499963,0.256109,-0.478152,0.396186,-0.417904,0.524511,-0.324060,0.630769,-0.204165,0.706417,-0.067858,0.745374,0.000000,0.744959,0.000000,0.750000,
0.550000,0.750000,0.550000,-0.750000,0.550000,-0.750000,$1*%
%AMFreePoly3*
4,1,20,0.000000,0.744959,0.073905,0.744508,0.209726,0.703889,0.328688,0.626782,0.421226,0.519385,0.479903,0.390333,0.500000,0.250000,0.500000,-0.250000,0.499851,-0.262216,0.476331,-0.402017,0.414519,-0.529596,0.319384,-0.634700,0.198574,-0.708877,0.061801,-0.746166,0.000000,-0.745033,0.000000,-0.750000,-0.550000,-0.750000,-0.550000,0.750000,0.000000,0.750000,0.000000,0.744959,
0.000000,0.744959,$1*%
G04 Aperture macros list end*
%ADD10C,2.700000*%
%ADD11C,2.000000*%
%ADD12R,1.700000X1.700000*%
%ADD13O,1.700000X1.700000*%
%ADD14O,8.500000X2.500000*%
%ADD15O,6.500000X2.500000*%
%ADD16R,2.000000X2.000000*%
%ADD17R,2.000000X3.200000*%
%ADD18RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%ADD19FreePoly0,90.000000*%
%ADD20FreePoly1,90.000000*%
%ADD21FreePoly2,90.000000*%
%ADD22R,1.500000X1.000000*%
%ADD23FreePoly3,90.000000*%
%ADD24RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%ADD25RoundRect,0.250000X0.450000X-0.262500X0.450000X0.262500X-0.450000X0.262500X-0.450000X-0.262500X0*%
%ADD26RoundRect,0.100000X0.100000X-0.637500X0.100000X0.637500X-0.100000X0.637500X-0.100000X-0.637500X0*%
%ADD27RoundRect,0.250000X0.262500X0.450000X-0.262500X0.450000X-0.262500X-0.450000X0.262500X-0.450000X0*%
%ADD28RoundRect,0.250000X-0.475000X0.250000X-0.475000X-0.250000X0.475000X-0.250000X0.475000X0.250000X0*%
G04 APERTURE END LIST*
D10*
X203500000Y-120500000D03*
D11*
X246500000Y-101800000D03*
X240000000Y-101800000D03*
X246500000Y-106300000D03*
X240000000Y-106300000D03*
X246500000Y-117700000D03*
X240000000Y-117700000D03*
X246500000Y-113200000D03*
X240000000Y-113200000D03*
D12*
X216690000Y-102000000D03*
D13*
X219230000Y-102000000D03*
X221770000Y-102000000D03*
X224310000Y-102000000D03*
D14*
X208000000Y-102500000D03*
X233000000Y-102500000D03*
D15*
X209500000Y-126000000D03*
X231500000Y-126000000D03*
D12*
X243950000Y-122200000D03*
D13*
X246490000Y-122200000D03*
X249030000Y-122200000D03*
X251570000Y-122200000D03*
X254110000Y-122200000D03*
X256650000Y-122200000D03*
D16*
X255400000Y-116300000D03*
D11*
X260400000Y-116300000D03*
X257900000Y-116300000D03*
D17*
X263500000Y-108800000D03*
X252300000Y-108800000D03*
D11*
X260400000Y-101800000D03*
X255400000Y-101800000D03*
D10*
X203500000Y-97500000D03*
X261500000Y-97500000D03*
X261500000Y-120500000D03*
D12*
X208370000Y-98770000D03*
D13*
X208370000Y-96230000D03*
X210910000Y-98770000D03*
X210910000Y-96230000D03*
X213450000Y-98770000D03*
X213450000Y-96230000D03*
X215990000Y-98770000D03*
X215990000Y-96230000D03*
X218530000Y-98770000D03*
X218530000Y-96230000D03*
X221070000Y-98770000D03*
X221070000Y-96230000D03*
X223610000Y-98770000D03*
X223610000Y-96230000D03*
X226150000Y-98770000D03*
X226150000Y-96230000D03*
X228690000Y-98770000D03*
X228690000Y-96230000D03*
X231230000Y-98770000D03*
X231230000Y-96230000D03*
X233770000Y-98770000D03*
X233770000Y-96230000D03*
X236310000Y-98770000D03*
X236310000Y-96230000D03*
X238850000Y-98770000D03*
X238850000Y-96230000D03*
X241390000Y-98770000D03*
X241390000Y-96230000D03*
X243930000Y-98770000D03*
X243930000Y-96230000D03*
X246470000Y-98770000D03*
X246470000Y-96230000D03*
X249010000Y-98770000D03*
X249010000Y-96230000D03*
X251550000Y-98770000D03*
X251550000Y-96230000D03*
X254090000Y-98770000D03*
X254090000Y-96230000D03*
X256630000Y-98770000D03*
X256630000Y-96230000D03*
D18*
X206187500Y-107800000D03*
X208012500Y-107800000D03*
D19*
X232600000Y-107300000D03*
D20*
X232600000Y-106000000D03*
D21*
X218859500Y-122471000D03*
D22*
X218859500Y-121171000D03*
D23*
X218859500Y-119871000D03*
D21*
X215716250Y-122471000D03*
D22*
X215716250Y-121171000D03*
D23*
X215716250Y-119871000D03*
D21*
X212573000Y-122471000D03*
D22*
X212573000Y-121171000D03*
D23*
X212573000Y-119871000D03*
D24*
X220038083Y-105780500D03*
X220038083Y-107605500D03*
X222070466Y-105780500D03*
X222070466Y-107605500D03*
D25*
X218859500Y-117892500D03*
X218859500Y-116067500D03*
X215700000Y-117892500D03*
X215700000Y-116067500D03*
X212573000Y-117892500D03*
X212573000Y-116067500D03*
D26*
X215275000Y-112862500D03*
X214625000Y-112862500D03*
X213975000Y-112862500D03*
X213325000Y-112862500D03*
X212675000Y-112862500D03*
X212025000Y-112862500D03*
X211375000Y-112862500D03*
X210725000Y-112862500D03*
X210725000Y-107137500D03*
X211375000Y-107137500D03*
X212025000Y-107137500D03*
X212675000Y-107137500D03*
X213325000Y-107137500D03*
X213975000Y-107137500D03*
X214625000Y-107137500D03*
X215275000Y-107137500D03*
D25*
X223300000Y-122712500D03*
X223300000Y-120887500D03*
X225274084Y-122712500D03*
X225274084Y-120887500D03*
D27*
X208012500Y-105500000D03*
X206187500Y-105500000D03*
D28*
X216900000Y-107200000D03*
X216900000Y-109100000D03*
D24*
X226135232Y-105780500D03*
X226135232Y-107605500D03*
X230200000Y-105780500D03*
X230200000Y-107605500D03*
X228167615Y-105780500D03*
X228167615Y-107605500D03*
D12*
X203500000Y-115270000D03*
D13*
X203500000Y-112730000D03*
X203500000Y-110190000D03*
X203500000Y-107650000D03*
X203500000Y-105110000D03*
D12*
X204770000Y-124800000D03*
D13*
X202230000Y-124800000D03*
D25*
X224000000Y-107625000D03*
X224000000Y-105800000D03*
M02*

View File

@ -0,0 +1,92 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*
G04 #@! TF.CreationDate,2022-01-20T17:07:56-06:00*
G04 #@! TF.ProjectId,ctrl_board,6374726c-5f62-46f6-9172-642e6b696361,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-01-20 17:07:56*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%ADD11RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%ADD12RoundRect,0.250000X0.450000X-0.262500X0.450000X0.262500X-0.450000X0.262500X-0.450000X-0.262500X0*%
%ADD13RoundRect,0.100000X0.100000X-0.637500X0.100000X0.637500X-0.100000X0.637500X-0.100000X-0.637500X0*%
%ADD14RoundRect,0.250000X0.262500X0.450000X-0.262500X0.450000X-0.262500X-0.450000X0.262500X-0.450000X0*%
%ADD15RoundRect,0.250000X-0.475000X0.250000X-0.475000X-0.250000X0.475000X-0.250000X0.475000X0.250000X0*%
G04 APERTURE END LIST*
D10*
X206187500Y-107800000D03*
X208012500Y-107800000D03*
D11*
X220038083Y-105780500D03*
X220038083Y-107605500D03*
X222070466Y-105780500D03*
X222070466Y-107605500D03*
D12*
X218859500Y-117892500D03*
X218859500Y-116067500D03*
X215700000Y-117892500D03*
X215700000Y-116067500D03*
X212573000Y-117892500D03*
X212573000Y-116067500D03*
D13*
X215275000Y-112862500D03*
X214625000Y-112862500D03*
X213975000Y-112862500D03*
X213325000Y-112862500D03*
X212675000Y-112862500D03*
X212025000Y-112862500D03*
X211375000Y-112862500D03*
X210725000Y-112862500D03*
X210725000Y-107137500D03*
X211375000Y-107137500D03*
X212025000Y-107137500D03*
X212675000Y-107137500D03*
X213325000Y-107137500D03*
X213975000Y-107137500D03*
X214625000Y-107137500D03*
X215275000Y-107137500D03*
D12*
X223300000Y-122712500D03*
X223300000Y-120887500D03*
X225274084Y-122712500D03*
X225274084Y-120887500D03*
D14*
X208012500Y-105500000D03*
X206187500Y-105500000D03*
D15*
X216900000Y-107200000D03*
X216900000Y-109100000D03*
D11*
X226135232Y-105780500D03*
X226135232Y-107605500D03*
X230200000Y-105780500D03*
X230200000Y-107605500D03*
X228167615Y-105780500D03*
X228167615Y-107605500D03*
D12*
X224000000Y-107625000D03*
X224000000Y-105800000D03*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,55 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*
G04 #@! TF.CreationDate,2022-01-20T17:07:56-06:00*
G04 #@! TF.ProjectId,ctrl_board,6374726c-5f62-46f6-9172-642e6b696361,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-01-20 17:07:56*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,Profile*
%ADD10C,0.100000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
X234400000Y-128000000D02*
G75*
G03*
X236400000Y-126000000I1J1999999D01*
G01*
X200000000Y-97000000D02*
X200000000Y-125000000D01*
X262000000Y-94000000D02*
X203000000Y-94000000D01*
X200000000Y-125000000D02*
G75*
G03*
X203000000Y-128000000I3000001J1D01*
G01*
X262000000Y-124000000D02*
G75*
G03*
X265000000Y-121000000I-1J3000001D01*
G01*
X236400000Y-126000000D02*
X236400000Y-124000000D01*
X203000000Y-94000000D02*
G75*
G03*
X200000000Y-97000000I1J-3000001D01*
G01*
X236400000Y-124000000D02*
X262000000Y-124000000D01*
X265000000Y-97000000D02*
G75*
G03*
X262000000Y-94000000I-3000001J-1D01*
G01*
X265000000Y-121000000D02*
X265000000Y-97000000D01*
X203000000Y-128000000D02*
X234400000Y-128000000D01*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,122 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*
G04 #@! TF.CreationDate,2022-01-20T17:07:56-06:00*
G04 #@! TF.ProjectId,ctrl_board,6374726c-5f62-46f6-9172-642e6b696361,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Top*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-01-20 17:07:56*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,2.700000*%
%ADD11C,2.000000*%
%ADD12R,1.700000X1.700000*%
%ADD13O,1.700000X1.700000*%
%ADD14O,8.500000X2.500000*%
%ADD15O,6.500000X2.500000*%
%ADD16R,2.000000X2.000000*%
%ADD17R,2.000000X3.200000*%
G04 APERTURE END LIST*
D10*
X203500000Y-120500000D03*
D11*
X246500000Y-101800000D03*
X240000000Y-101800000D03*
X246500000Y-106300000D03*
X240000000Y-106300000D03*
X246500000Y-117700000D03*
X240000000Y-117700000D03*
X246500000Y-113200000D03*
X240000000Y-113200000D03*
D12*
X216690000Y-102000000D03*
D13*
X219230000Y-102000000D03*
X221770000Y-102000000D03*
X224310000Y-102000000D03*
D14*
X208000000Y-102500000D03*
X233000000Y-102500000D03*
D15*
X209500000Y-126000000D03*
X231500000Y-126000000D03*
D12*
X243950000Y-122200000D03*
D13*
X246490000Y-122200000D03*
X249030000Y-122200000D03*
X251570000Y-122200000D03*
X254110000Y-122200000D03*
X256650000Y-122200000D03*
D16*
X255400000Y-116300000D03*
D11*
X260400000Y-116300000D03*
X257900000Y-116300000D03*
D17*
X263500000Y-108800000D03*
X252300000Y-108800000D03*
D11*
X260400000Y-101800000D03*
X255400000Y-101800000D03*
D10*
X203500000Y-97500000D03*
X261500000Y-97500000D03*
X261500000Y-120500000D03*
D12*
X208370000Y-98770000D03*
D13*
X208370000Y-96230000D03*
X210910000Y-98770000D03*
X210910000Y-96230000D03*
X213450000Y-98770000D03*
X213450000Y-96230000D03*
X215990000Y-98770000D03*
X215990000Y-96230000D03*
X218530000Y-98770000D03*
X218530000Y-96230000D03*
X221070000Y-98770000D03*
X221070000Y-96230000D03*
X223610000Y-98770000D03*
X223610000Y-96230000D03*
X226150000Y-98770000D03*
X226150000Y-96230000D03*
X228690000Y-98770000D03*
X228690000Y-96230000D03*
X231230000Y-98770000D03*
X231230000Y-96230000D03*
X233770000Y-98770000D03*
X233770000Y-96230000D03*
X236310000Y-98770000D03*
X236310000Y-96230000D03*
X238850000Y-98770000D03*
X238850000Y-96230000D03*
X241390000Y-98770000D03*
X241390000Y-96230000D03*
X243930000Y-98770000D03*
X243930000Y-96230000D03*
X246470000Y-98770000D03*
X246470000Y-96230000D03*
X249010000Y-98770000D03*
X249010000Y-96230000D03*
X251550000Y-98770000D03*
X251550000Y-96230000D03*
X254090000Y-98770000D03*
X254090000Y-96230000D03*
X256630000Y-98770000D03*
X256630000Y-96230000D03*
D12*
X203500000Y-115270000D03*
D13*
X203500000Y-112730000D03*
X203500000Y-110190000D03*
X203500000Y-107650000D03*
X203500000Y-105110000D03*
D12*
X204770000Y-124800000D03*
D13*
X202230000Y-124800000D03*
M02*

View File

@ -0,0 +1,15 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*
G04 #@! TF.CreationDate,2022-01-20T17:07:56-06:00*
G04 #@! TF.ProjectId,ctrl_board,6374726c-5f62-46f6-9172-642e6b696361,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-01-20 17:07:56*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,20 @@
M48
; DRILL file {KiCad (6.0.0)} date Thu Jan 20 17:08:15 2022
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2022-01-20T17:08:15-06:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.0)
; #@! TF.FileFunction,NonPlated,1,2,NPTH
FMAT,2
METRIC
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T1C2.700
%
G90
G05
T1
X203.5Y-97.5
X203.5Y-120.5
X261.5Y-97.5
X261.5Y-120.5
T0
M30

View File

@ -0,0 +1,146 @@
M48
; DRILL file {KiCad (6.0.0)} date Thu Jan 20 17:08:15 2022
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2022-01-20T17:08:15-06:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.0)
; #@! TF.FileFunction,Plated,1,2,PTH
FMAT,2
METRIC
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.400
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T2C0.600
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T3C1.000
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T4C1.100
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T5C1.500
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T6C2.000
%
G90
G05
T1
X205.7Y-116.3
X208.9Y-111.1
X209.1Y-120.5
X209.3Y-107.4
X210.9Y-110.4
X211.5Y-111.4
X211.9Y-110.0
X211.9Y-114.8
X212.0Y-108.9
X212.9Y-114.6
X213.4Y-109.6
X213.925Y-104.0
X214.719Y-108.89
X214.8Y-111.4
X215.0Y-105.399
X215.325Y-104.0
X217.3Y-119.9
X221.058Y-106.68
X222.4Y-113.2
X222.7Y-104.2
X224.0Y-108.9
X224.4Y-119.7
X226.0Y-109.7
X226.9Y-120.0
X228.2Y-114.5
X230.1Y-115.3
X234.048Y-107.599
X235.0Y-116.2
X243.6Y-110.7
X246.9Y-108.7
X249.5Y-109.7
T2
X207.21Y-110.19
X210.742Y-100.55
T3
X202.23Y-124.8
X203.5Y-105.11
X203.5Y-107.65
X203.5Y-110.19
X203.5Y-112.73
X203.5Y-115.27
X204.77Y-124.8
X208.37Y-96.23
X208.37Y-98.77
X210.91Y-96.23
X210.91Y-98.77
X213.45Y-96.23
X213.45Y-98.77
X215.99Y-96.23
X215.99Y-98.77
X216.69Y-102.0
X218.53Y-96.23
X218.53Y-98.77
X219.23Y-102.0
X221.07Y-96.23
X221.07Y-98.77
X221.77Y-102.0
X223.61Y-96.23
X223.61Y-98.77
X224.31Y-102.0
X226.15Y-96.23
X226.15Y-98.77
X228.69Y-96.23
X228.69Y-98.77
X231.23Y-96.23
X231.23Y-98.77
X233.77Y-96.23
X233.77Y-98.77
X236.31Y-96.23
X236.31Y-98.77
X238.85Y-96.23
X238.85Y-98.77
X241.39Y-96.23
X241.39Y-98.77
X243.93Y-96.23
X243.93Y-98.77
X243.95Y-122.2
X246.47Y-96.23
X246.47Y-98.77
X246.49Y-122.2
X249.01Y-96.23
X249.01Y-98.77
X249.03Y-122.2
X251.55Y-96.23
X251.55Y-98.77
X251.57Y-122.2
X254.09Y-96.23
X254.09Y-98.77
X254.11Y-122.2
X255.4Y-101.8
X255.4Y-116.3
X256.63Y-96.23
X256.63Y-98.77
X256.65Y-122.2
X257.9Y-116.3
X260.4Y-101.8
X260.4Y-116.3
T4
X240.0Y-101.8
X240.0Y-106.3
X240.0Y-113.2
X240.0Y-117.7
X246.5Y-101.8
X246.5Y-106.3
X246.5Y-113.2
X246.5Y-117.7
T5
X252.3Y-109.45G85X252.3Y-108.15
G05
X263.5Y-109.45G85X263.5Y-108.15
G05
T6
X211.0Y-102.5G85X205.0Y-102.5
G05
X211.5Y-126.0G85X207.5Y-126.0
G05
X233.5Y-126.0G85X229.5Y-126.0
G05
X236.0Y-102.5G85X230.0Y-102.5
G05
T0
M30

View File

@ -0,0 +1,20 @@
Designator,Val,Package,Mid X,Mid Y,Rotation,Layer
C1,100n,C_0805_2012Metric,216.9,-108.15,-90,bottom
G4,DogCow,dogcow,257.9,-111.2,180,bottom
J1,RaSCSI Connector,PinHeader_1x05_P2.54mm_Vertical,203.5,-115.27,0,bottom
J4,Aux GPIO Connector,PinHeader_1x02_P2.54mm_Vertical,204.77,-124.8,90,bottom
P1,Conn_02x20_Odd_Even,PinSocket_2x20_P2.54mm_Vertical,208.37,-98.77,-90,bottom
R1,10k,R_0805_2012Metric,230.2,-106.693,-90,bottom
R2,10k,R_0805_2012Metric,228.167615,-106.693,-90,bottom
R3,10k,R_0805_2012Metric,220.038083,-106.693,-90,bottom
R4,10k,R_0805_2012Metric,222.070466,-106.693,-90,bottom
R5,10k,R_0805_2012Metric,224,-106.7125,90,bottom
R6,10k,R_0805_2012Metric,226.135232,-106.693,-90,bottom
R7,10k,R_0805_2012Metric,218.8595,-116.98,90,bottom
R8,10k,R_0805_2012Metric,215.7,-116.98,90,bottom
R9,10k,R_0805_2012Metric,212.573,-116.98,90,bottom
R10,10k,R_0805_2012Metric,207.1,-107.8,0,bottom
R11,10k,R_0805_2012Metric,207.1,-105.5,180,bottom
R12,10k,R_0805_2012Metric,223.3,-121.8,90,bottom
R13,10k,R_0805_2012Metric,225.274084,-121.8,90,bottom
U1,"PCA9554APW,118",TSSOP-16_4.4x5mm_P0.65mm,213,-110,0,bottom
1 Designator Val Package Mid X Mid Y Rotation Layer
2 C1 100n C_0805_2012Metric 216.9 -108.15 -90 bottom
3 G4 DogCow dogcow 257.9 -111.2 180 bottom
4 J1 RaSCSI Connector PinHeader_1x05_P2.54mm_Vertical 203.5 -115.27 0 bottom
5 J4 Aux GPIO Connector PinHeader_1x02_P2.54mm_Vertical 204.77 -124.8 90 bottom
6 P1 Conn_02x20_Odd_Even PinSocket_2x20_P2.54mm_Vertical 208.37 -98.77 -90 bottom
7 R1 10k R_0805_2012Metric 230.2 -106.693 -90 bottom
8 R2 10k R_0805_2012Metric 228.167615 -106.693 -90 bottom
9 R3 10k R_0805_2012Metric 220.038083 -106.693 -90 bottom
10 R4 10k R_0805_2012Metric 222.070466 -106.693 -90 bottom
11 R5 10k R_0805_2012Metric 224 -106.7125 90 bottom
12 R6 10k R_0805_2012Metric 226.135232 -106.693 -90 bottom
13 R7 10k R_0805_2012Metric 218.8595 -116.98 90 bottom
14 R8 10k R_0805_2012Metric 215.7 -116.98 90 bottom
15 R9 10k R_0805_2012Metric 212.573 -116.98 90 bottom
16 R10 10k R_0805_2012Metric 207.1 -107.8 0 bottom
17 R11 10k R_0805_2012Metric 207.1 -105.5 180 bottom
18 R12 10k R_0805_2012Metric 223.3 -121.8 90 bottom
19 R13 10k R_0805_2012Metric 225.274084 -121.8 90 bottom
20 U1 PCA9554APW,118 TSSOP-16_4.4x5mm_P0.65mm 213 -110 0 bottom

View File

@ -0,0 +1,8 @@
Ref,Val,Package,PosX,PosY,Rot,Side
"G1","Pi Logo","pi_logo",211.700000,-112.100000,0.000000,top
"G2","Pi Logo","pi_logo",230.100000,-111.900000,0.000000,top
"J2","OLED Connector","0.9_and_1.3_in_OLED_Footprint",220.500000,-102.000000,-90.000000,top
"J3","Conn_01x06_Odd_Even","PinHeader_1x06_P2.54mm_Vertical",243.950000,-122.200000,90.000000,top
"SW1","SW_SPST","SW_PUSH_6mm_H5mm",240.000000,-101.800000,0.000000,top
"SW2","SW_SPST","SW_PUSH_6mm_H5mm",246.500000,-117.700000,180.000000,top
"SW4","Rotary_Encoder_Switch","RotaryEncoder_Alps_EC11E-Switch_Vertical_H20mm",255.400000,-116.300000,90.000000,top
1 Ref Val Package PosX PosY Rot Side
2 G1 Pi Logo pi_logo 211.700000 -112.100000 0.000000 top
3 G2 Pi Logo pi_logo 230.100000 -111.900000 0.000000 top
4 J2 OLED Connector 0.9_and_1.3_in_OLED_Footprint 220.500000 -102.000000 -90.000000 top
5 J3 Conn_01x06_Odd_Even PinHeader_1x06_P2.54mm_Vertical 243.950000 -122.200000 90.000000 top
6 SW1 SW_SPST SW_PUSH_6mm_H5mm 240.000000 -101.800000 0.000000 top
7 SW2 SW_SPST SW_PUSH_6mm_H5mm 246.500000 -117.700000 180.000000 top
8 SW4 Rotary_Encoder_Switch RotaryEncoder_Alps_EC11E-Switch_Vertical_H20mm 255.400000 -116.300000 90.000000 top

Binary file not shown.

View File

@ -0,0 +1,14 @@
Comment,Designator,Footprint,LCSC
"100n","C1","Capacitor_SMD:C_0805_2012Metric","C49678"
"RaSCSI Connector","J1","Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Vertical",""
"OLED Connector","J2","mousebites:0.9_and_1.3_in_OLED_Footprint",""
"Conn_01x06_Odd_Even","J3","Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical",""
"Aux GPIO Connector","J4","Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical",""
"SolderJumper_3_Bridged12","JP1,JP2,JP3","Jumper:SolderJumper-3_P1.3mm_Bridged12_RoundedPad1.0x1.5mm",""
"SolderJumper_2_Open","JP4","Jumper:SolderJumper-2_P1.3mm_Bridged_RoundedPad1.0x1.5mm",""
"M2.5","MK1,MK2,MK3,MK4","MountingHole:MountingHole_2.7mm_M2.5",""
"Conn_02x20_Odd_Even","P1","Connector_PinSocket_2.54mm:PinSocket_2x20_P2.54mm_Vertical",""
"10k","R1,R2,R3,R4,R5,R6,R7,R8,R9,R10,R11,R12,R13","Resistor_SMD:R_0805_2012Metric","C17414"
"SW_SPST","SW1,SW2","Button_Switch_THT:SW_PUSH_6mm_H5mm","C110153"
"Rotary_Encoder_Switch","SW4","Rotary_Encoder:RotaryEncoder_Alps_EC11E-Switch_Vertical_H20mm",""
"PCA9554APW,118","U1","Package_SO:TSSOP-16_4.4x5mm_P0.65mm","C86803"
1 Comment Designator Footprint LCSC
2 100n C1 Capacitor_SMD:C_0805_2012Metric C49678
3 RaSCSI Connector J1 Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Vertical
4 OLED Connector J2 mousebites:0.9_and_1.3_in_OLED_Footprint
5 Conn_01x06_Odd_Even J3 Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Vertical
6 Aux GPIO Connector J4 Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical
7 SolderJumper_3_Bridged12 JP1,JP2,JP3 Jumper:SolderJumper-3_P1.3mm_Bridged12_RoundedPad1.0x1.5mm
8 SolderJumper_2_Open JP4 Jumper:SolderJumper-2_P1.3mm_Bridged_RoundedPad1.0x1.5mm
9 M2.5 MK1,MK2,MK3,MK4 MountingHole:MountingHole_2.7mm_M2.5
10 Conn_02x20_Odd_Even P1 Connector_PinSocket_2.54mm:PinSocket_2x20_P2.54mm_Vertical
11 10k R1,R2,R3,R4,R5,R6,R7,R8,R9,R10,R11,R12,R13 Resistor_SMD:R_0805_2012Metric C17414
12 SW_SPST SW1,SW2 Button_Switch_THT:SW_PUSH_6mm_H5mm C110153
13 Rotary_Encoder_Switch SW4 Rotary_Encoder:RotaryEncoder_Alps_EC11E-Switch_Vertical_H20mm
14 PCA9554APW,118 U1 Package_SO:TSSOP-16_4.4x5mm_P0.65mm C86803

View File

@ -0,0 +1,46 @@
(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor)
(symbol "Generic_Graphic" (in_bom no) (on_board yes)
(property "Reference" "G?" (id 0) (at 0 -7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Generic_Graphic" (id 1) (at 0 7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Generic_Graphic_0_1"
(circle (center -1.27 2.54) (radius 1.27)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center -1.27 2.54) (radius 0.0001)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start 0 -3.81) (mid 2.6941 2.6941) (end -3.81 0)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start 0 -3.81) (mid 2.6941 -2.6941) (end 3.81 0)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center 0 0) (radius 5.6796)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center 1.27 2.54) (radius 0.0001)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center 1.27 2.54) (radius 1.27)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
)
)

View File

@ -0,0 +1,81 @@
(footprint "0.9_and_1.3_in_OLED_Footprint" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 61DE2661)
(descr "Through hole straight socket strip, 1x04, 2.54mm pitch, single row (from Kicad 4.0.7), script generated")
(tags "Through hole socket strip THT 1x04 2.54mm single row")
(attr through_hole)
(fp_text reference "J2" (at 0 6.58) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 4d770cf5-2570-44ce-82d7-01b22dd0dfa9)
)
(fp_text value "OLED Connector" (at 0 6.5165 -180) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 7ee5ae77-ad6f-4554-84d3-ed4b63e95639)
)
(fp_text user "GND" (at -1.9 4 90) (layer "B.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror))
(tstamp 13d8bfa6-d7d2-43b6-8fd6-aa77f4d342d5)
)
(fp_text user "VCC" (at -1.7 1.5 90) (layer "B.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror))
(tstamp 2bd88b42-a583-4824-8baf-4ecac716152a)
)
(fp_text user "SCL" (at -1.8 -1 90) (layer "B.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror))
(tstamp 2d14feb1-4575-47c1-9b52-3c7fb892f819)
)
(fp_text user "SDA" (at -1.8 -3.7 90) (layer "B.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror))
(tstamp c9c437a5-3127-4e17-93f1-fcd6223b2daf)
)
(fp_text user "SCL" (at -1.8 -1.1 90) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.1)))
(tstamp 5a4b792d-7daf-49f9-a003-f77559fa2efd)
)
(fp_text user "SDA" (at -1.8 -3.7 90) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.1)))
(tstamp 86ab502a-b4f3-439d-b0ba-23f8fc09431b)
)
(fp_text user "GND" (at -1.9 4 90) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.1)))
(tstamp cc55bf8f-d3a8-4da6-a5f8-64c109c81511)
)
(fp_text user "VCC" (at -1.8 1.5 90) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.1)))
(tstamp da5e2eb9-cffc-4a6d-876e-eb7dcbbad407)
)
(fp_text user "${REFERENCE}" (at 0 0 -270) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp abaed4f7-8b9b-42fb-b7e6-2364a8a9edf3)
)
(fp_line (start -1.33 2.54) (end -1.33 -5.14) (layer "F.SilkS") (width 0.12) (tstamp 0c3f101c-5d7c-4f8f-abf0-4066322fab6b))
(fp_line (start 1.33 2.54) (end -1.33 2.54) (layer "F.SilkS") (width 0.12) (tstamp 21329ce4-f53b-4757-a186-68e3ce22792c))
(fp_line (start 1.33 -5.14) (end -1.33 -5.14) (layer "F.SilkS") (width 0.12) (tstamp 5ac9e4bc-322c-4ce1-942d-8bdf6f2db28f))
(fp_line (start 0 5.14) (end -1.33 5.14) (layer "F.SilkS") (width 0.12) (tstamp c17611e7-b006-48aa-88a5-0a087d80bf70))
(fp_line (start -1.33 5.14) (end -1.33 3.81) (layer "F.SilkS") (width 0.12) (tstamp d2463a16-5ee1-4ea5-b724-3f5c6b12b298))
(fp_line (start 1.33 2.54) (end 1.33 -5.14) (layer "F.SilkS") (width 0.12) (tstamp f621dca6-2e8a-4ab7-8a4b-480d0a1e895d))
(fp_rect (start -2 -13.75) (end 25.2 13.85) (layer "Dwgs.User") (width 0.12) (fill none) (tstamp 25891a7d-5ca8-485e-8d76-29a8b6e1dae0))
(fp_rect (start -3 -17.6) (end 31.4 17.5) (layer "Dwgs.User") (width 0.12) (fill none) (tstamp 72e32a2d-6d54-4c08-8135-8a9c14a6b016))
(fp_line (start -1.75 5.61) (end -1.75 -5.59) (layer "F.CrtYd") (width 0.05) (tstamp 05e7bc89-2182-401c-803d-ae34796e9841))
(fp_line (start 1.8 -5.59) (end 1.8 5.61) (layer "F.CrtYd") (width 0.05) (tstamp b428b46e-6bb2-4218-88bb-f65b402ba084))
(fp_line (start 1.8 5.61) (end -1.75 5.61) (layer "F.CrtYd") (width 0.05) (tstamp d3197f7f-9a98-4fbd-957b-d629a634d50a))
(fp_line (start -1.75 -5.59) (end 1.8 -5.59) (layer "F.CrtYd") (width 0.05) (tstamp ff965ae9-0de4-48fa-a1a6-d1580e191547))
(fp_line (start 1.27 -5.08) (end 1.27 5.08) (layer "F.Fab") (width 0.1) (tstamp afd0b185-caaf-4f93-99db-f0b618dfdb0d))
(fp_line (start -1.27 4.445) (end -1.27 -5.08) (layer "F.Fab") (width 0.1) (tstamp c9fd13d8-34fc-4eff-b8ce-cde6d5a9c87a))
(fp_line (start -1.27 -5.08) (end 1.27 -5.08) (layer "F.Fab") (width 0.1) (tstamp f2571a4c-3e67-4916-9c0e-b0974b924c84))
(fp_line (start -0.635 5.08) (end -1.27 4.445) (layer "F.Fab") (width 0.1) (tstamp f9bb99c7-ccda-4b6c-a116-fa3715af7191))
(fp_line (start 1.27 5.08) (end -0.635 5.08) (layer "F.Fab") (width 0.1) (tstamp faad28a2-09fa-4ce9-a367-71b6860b94ed))
(pad "1" thru_hole rect (at 0 3.81 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 91aeb66b-3414-4567-889a-6c6508c65350))
(pad "2" thru_hole oval (at 0 1.27 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 05fa7b1a-8ed3-4b32-82c0-6b7ad7df3417))
(pad "3" thru_hole oval (at 0 -1.27 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 7807b0c6-5091-4f3d-9363-0b79274786b0))
(pad "4" thru_hole oval (at 0 -3.81 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 086cae51-9891-41f4-b716-2063551784ef))
(pad "5" thru_hole oval (at 24 -11) (size 2.5 6.5) (drill oval 2 6) (layers *.Cu *.Mask) (tstamp 047e0d1e-484a-4d10-b086-6b188a78c581))
(pad "5" thru_hole oval (at 0.5 -12.5) (size 2.5 8.5) (drill oval 2 8) (layers *.Cu *.Mask) (tstamp 1cd3d1b4-e18e-4851-9980-bde55fb0c52d))
(pad "5" thru_hole oval (at 0.5 12.5) (size 2.5 8.5) (drill oval 2 8) (layers *.Cu *.Mask) (tstamp 2a884ec1-8b99-4278-96d4-ba229cbf2441))
(pad "5" thru_hole oval (at 24 11) (size 2.5 6.5) (drill oval 2 6) (layers *.Cu *.Mask) (tstamp d646c145-0888-4122-a9ee-c80c4050d447))
(model "${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x04_P2.54mm_Vertical.wrl"
(offset (xyz 0 3.81 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,65 @@
(module 0.9_in_OLED_Footprint (layer F.Cu) (tedit 61C3456E)
(descr "Through hole straight socket strip, 1x04, 2.54mm pitch, single row (from Kicad 4.0.7), script generated")
(tags "Through hole socket strip THT 1x04 2.54mm single row")
(fp_text reference J2 (at 0 6.58) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "OLED Connector" (at 0 6.5165 -180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 11.8) (end 1.5 11.8) (layer F.SilkS) (width 0.12))
(fp_circle (center 23.2 11.8) (end 24.7 11.8) (layer F.SilkS) (width 0.12))
(fp_circle (center 23.2 -11.75) (end 24.7 -11.75) (layer F.SilkS) (width 0.12))
(fp_circle (center 0 -11.75) (end 1.5 -11.75) (layer F.SilkS) (width 0.12))
(fp_line (start 24.7 13.75) (end -1.524 13.75) (layer F.SilkS) (width 0.12))
(fp_line (start 25.2 -13.2715) (end 25.2 13.25) (layer F.SilkS) (width 0.12))
(fp_line (start -1.5 -13.75) (end 24.7015 -13.75) (layer F.SilkS) (width 0.12))
(fp_line (start -2 -13.2715) (end -2 13.25) (layer F.SilkS) (width 0.12))
(fp_line (start 1.8 -5.59) (end 1.8 5.61) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.75 -5.59) (end 1.8 -5.59) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.75 5.61) (end -1.75 -5.59) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 5.61) (end -1.75 5.61) (layer F.CrtYd) (width 0.05))
(fp_line (start 0 5.14) (end -1.33 5.14) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 5.14) (end -1.33 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 2.54) (end -1.33 -5.14) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 -5.14) (end -1.33 -5.14) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 2.54) (end 1.33 -5.14) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 2.54) (end -1.33 2.54) (layer F.SilkS) (width 0.12))
(fp_line (start 1.27 -5.08) (end 1.27 5.08) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -5.08) (end 1.27 -5.08) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 4.445) (end -1.27 -5.08) (layer F.Fab) (width 0.1))
(fp_line (start -0.635 5.08) (end -1.27 4.445) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 5.08) (end -0.635 5.08) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0 -270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SDA (at 2.7305 -3.81) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user SCL (at 2.711453 -1.227667) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user VCC (at 2.749548 1.354666) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user GND (at 2.825738 3.937) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_arc (start 24.7 13.25) (end 24.7 13.75) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 24.7 -13.25) (end 25.2 -13.25) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start -1.5 -13.25) (end -1.5 -13.75) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start -1.5 13.25) (end -2 13.25) (angle -90) (layer F.SilkS) (width 0.12))
(pad 4 thru_hole oval (at 0 -3.81 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 3 thru_hole oval (at 0 -1.27 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 2 thru_hole oval (at 0 1.27 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 1 thru_hole rect (at 0 3.81 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 0 -11.75) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 0 11.8) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 23.2 -11.75) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 23.2 11.8) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x04_P2.54mm_Vertical.wrl
(offset (xyz 0 3.81 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,85 @@
(module 1.3_in_OLED_Footprint (layer F.Cu) (tedit 61C34F4A)
(descr "Through hole straight socket strip, 1x04, 2.54mm pitch, single row (from Kicad 4.0.7), script generated")
(tags "Through hole socket strip THT 1x04 2.54mm single row")
(fp_text reference REF** (at 1.025 -6.425) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "OLED Connector" (at 0 6.4915 -180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.775 5.585) (end -1.775 -5.615) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.775 -5.59) (end 1.775 5.61) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.775 -5.615) (end 1.775 -5.615) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.775 5.61) (end -1.775 5.61) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0.5 -15.2) (end 2.75 -15.2) (layer B.CrtYd) (width 0.12))
(fp_circle (center 0.5 15.175) (end 2.75 15.175) (layer B.CrtYd) (width 0.12))
(fp_circle (center 29 15.2) (end 31.25 15.2) (layer B.CrtYd) (width 0.12))
(fp_circle (center 28.990284 -15.2) (end 30.925 -15.2) (layer B.CrtYd) (width 0.12))
(fp_circle (center 0.5 15.2) (end 2.75 15.2) (layer F.CrtYd) (width 0.12))
(fp_circle (center 29 15.2) (end 31.25 15.2) (layer F.CrtYd) (width 0.12))
(fp_circle (center 29 -15.2) (end 30.934716 -15.2) (layer F.CrtYd) (width 0.12))
(fp_circle (center 0.5 -15.2) (end 2.75 -15.2) (layer F.CrtYd) (width 0.12))
(fp_line (start 1.27 5.08) (end -0.635 5.08) (layer F.Fab) (width 0.1))
(fp_line (start -0.635 5.08) (end -1.27 4.445) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 4.445) (end -1.27 -5.08) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -5.08) (end 1.27 -5.08) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -5.08) (end 1.27 5.08) (layer F.Fab) (width 0.1))
(fp_line (start 1.33 2.54) (end -1.33 2.54) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 2.54) (end 1.33 -5.14) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 -5.14) (end -1.33 -5.14) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 2.54) (end -1.33 -5.14) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 5.14) (end -1.33 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start 0 5.14) (end -1.33 5.14) (layer F.SilkS) (width 0.12))
(fp_line (start 1.8 5.61) (end -1.75 5.61) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.775 5.61) (end -1.775 -5.59) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.775 -5.59) (end 1.775 -5.59) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -5.59) (end 1.8 5.61) (layer B.CrtYd) (width 0.05))
(fp_line (start -2 17.2) (end -2 -17.2) (layer F.SilkS) (width 0.12))
(fp_line (start -1.5 -17.7) (end 31 -17.7) (layer F.SilkS) (width 0.12))
(fp_line (start 31.5 17.2) (end 31.5 -17.2) (layer F.SilkS) (width 0.12))
(fp_line (start -1.5 17.7) (end 31 17.7) (layer F.SilkS) (width 0.12))
(fp_circle (center 0.5 -15.2) (end 2 -15.2) (layer F.SilkS) (width 0.12))
(fp_circle (center 29 -15.2) (end 30.5 -15.2) (layer F.SilkS) (width 0.12))
(fp_circle (center 29 15.2) (end 30.5 15.2) (layer F.SilkS) (width 0.12))
(fp_circle (center 0.5 15.2) (end 2 15.2) (layer F.SilkS) (width 0.12))
(fp_line (start 5.35 -14.71) (end 20.05 -14.71) (layer F.SilkS) (width 0.12))
(fp_line (start 20.05 -14.71) (end 20.05 14.71) (layer F.SilkS) (width 0.12))
(fp_line (start 20.05 14.71) (end 5.35 14.71) (layer F.SilkS) (width 0.12))
(fp_line (start 5.35 14.71) (end 5.35 -14.71) (layer F.SilkS) (width 0.12))
(fp_line (start 4 -17) (end 27 -17) (layer F.SilkS) (width 0.12))
(fp_line (start 27 -17) (end 27 17) (layer F.SilkS) (width 0.12))
(fp_line (start 27 17) (end 4 17) (layer F.SilkS) (width 0.12))
(fp_line (start 4 17) (end 4 -17) (layer F.SilkS) (width 0.12))
(fp_arc (start -1.5 17.2) (end -2 17.2) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start -1.5 -17.2) (end -1.5 -17.7) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 31 -17.2) (end 31.5 -17.2) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 31 17.2) (end 31 17.7) (angle -90) (layer F.SilkS) (width 0.12))
(fp_text user GND (at 2.725738 3.987) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user VCC (at 2.649548 1.404666) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user SCL (at 2.611453 -1.177667) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user SDA (at 2.6305 -3.76) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user %R (at 0 0 -270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 5 thru_hole circle (at 29 15.2) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 29 -15.2) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 0.5 15.2) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 0.5 -15.2) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 1 thru_hole rect (at 0 3.81 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 2 thru_hole oval (at 0 1.27 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 3 thru_hole oval (at 0 -1.27 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 4 thru_hole oval (at 0 -3.81 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x04_P2.54mm_Vertical.wrl
(offset (xyz 0 3.81 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,9 @@
(module Breakaway_Mousebite (layer F.Cu) (tedit 61B7F46E)
(fp_text reference REF** (at 0 0.5) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Breakaway_Mousebite (at 0 -0.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad "" np_thru_hole oval (at 0 0) (size 2 1) (drill oval 1.75 0.75) (layers *.Cu *.Mask))
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,65 @@
(module PinSocket_1x04_P2.54mm_Vertical (layer F.Cu) (tedit 61B6B096)
(descr "Through hole straight socket strip, 1x04, 2.54mm pitch, single row (from Kicad 4.0.7), script generated")
(tags "Through hole socket strip THT 1x04 2.54mm single row")
(fp_text reference J2 (at 0 10.39) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "OLED Connector" (at 0 10.3265 -180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 15.61) (end 1.5 15.61) (layer F.SilkS) (width 0.12))
(fp_circle (center 23.2 15.61) (end 24.7 15.61) (layer F.SilkS) (width 0.12))
(fp_circle (center 23.2 -7.94) (end 24.7 -7.94) (layer F.SilkS) (width 0.12))
(fp_circle (center 0 -7.94) (end 1.5 -7.94) (layer F.SilkS) (width 0.12))
(fp_line (start 24.7 17.56) (end -1.524 17.56) (layer F.SilkS) (width 0.12))
(fp_line (start 25.2 -9.4615) (end 25.2 17.06) (layer F.SilkS) (width 0.12))
(fp_line (start -1.5 -9.94) (end 24.7015 -9.94) (layer F.SilkS) (width 0.12))
(fp_line (start -2 -9.4615) (end -2 17.06) (layer F.SilkS) (width 0.12))
(fp_line (start 1.8 -1.78) (end 1.8 9.42) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.75 -1.78) (end 1.8 -1.78) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.75 9.42) (end -1.75 -1.78) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 9.42) (end -1.75 9.42) (layer F.CrtYd) (width 0.05))
(fp_line (start 0 8.95) (end -1.33 8.95) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 8.95) (end -1.33 7.62) (layer F.SilkS) (width 0.12))
(fp_line (start -1.33 6.35) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 -1.33) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 6.35) (end 1.33 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 1.33 6.35) (end -1.33 6.35) (layer F.SilkS) (width 0.12))
(fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 8.255) (end -1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -0.635 8.89) (end -1.27 8.255) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 8.89) (end -0.635 8.89) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 3.81 -270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SDA (at 2.7305 0) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user SCL (at 2.711453 2.582333) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user VCC (at 2.749548 5.164666) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_text user GND (at 2.825738 7.747) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.1)))
)
(fp_arc (start 24.7 17.06) (end 24.7 17.56) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start 24.7 -9.44) (end 25.2 -9.44) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start -1.5 -9.44) (end -1.5 -9.94) (angle -90) (layer F.SilkS) (width 0.12))
(fp_arc (start -1.5 17.06) (end -2 17.06) (angle -90) (layer F.SilkS) (width 0.12))
(pad 4 thru_hole oval (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 3 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 2 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 1 thru_hole rect (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 0 -7.94) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 0 15.61) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 23.2 -7.94) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at 23.2 15.61) (size 2 2) (drill 2) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x04_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,35 @@
(module SolderJumper-3_P1.3mm_Bridged12_NoSilkscreen (layer F.Cu) (tedit 61B802DF)
(descr "SMD Solder 3-pad Jumper, 1x1.5mm rounded Pads, 0.3mm gap, pads 1-2 bridged with 1 copper strip")
(tags "solder jumper open")
(attr virtual)
(fp_text reference JP1 (at 3.556 0) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SolderJumper_3_Bridged12 (at 0 1.9 -180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.3 -1.25) (end 2.3 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 -1.25) (end -2.3 1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 1.25) (end 2.3 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 1.25) (end -2.3 1.25) (layer F.CrtYd) (width 0.05))
(fp_poly (pts (xy -0.9 -0.3) (xy -0.4 -0.3) (xy -0.4 0.3) (xy -0.9 0.3)) (layer F.Cu) (width 0))
(pad 1 smd custom (at -1.3 0) (size 1 0.5) (layers F.Cu F.Mask)
(zone_connect 2)
(options (clearance outline) (anchor rect))
(primitives
(gr_circle (center 0 0.25) (end 0.5 0.25) (width 0))
(gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0))
(gr_poly (pts
(xy 0.55 -0.75) (xy 0 -0.75) (xy 0 0.75) (xy 0.55 0.75)) (width 0))
))
(pad 2 smd rect (at 0 0) (size 1 1.5) (layers F.Cu F.Mask))
(pad 3 smd custom (at 1.3 0) (size 1 0.5) (layers F.Cu F.Mask)
(zone_connect 2)
(options (clearance outline) (anchor rect))
(primitives
(gr_circle (center 0 0.25) (end 0.5 0.25) (width 0))
(gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0))
(gr_poly (pts
(xy -0.55 -0.75) (xy 0 -0.75) (xy 0 0.75) (xy -0.55 0.75)) (width 0))
))
)

View File

@ -0,0 +1,82 @@
(module dogcow (layer F.Cu) (tedit 5EF54FCF)
(fp_text reference G*** (at 0.25 4.5) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 5.5 0.5 90) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy 3.996266 -2.269066) (xy 3.793066 -2.269066) (xy 3.793066 -2.065866) (xy 3.608416 -2.065866)
(xy 3.603375 -1.960033) (xy 3.598333 -1.854199) (xy 3.501823 -1.849147) (xy 3.405313 -1.844094)
(xy 3.400223 -1.756013) (xy 3.395133 -1.667933) (xy 3.1877 -1.663178) (xy 2.980266 -1.658424)
(xy 2.980266 -1.253066) (xy 2.7686 -1.253128) (xy 2.7686 -1.041399) (xy 2.569633 -1.036629)
(xy 2.370666 -1.031858) (xy 2.370666 -0.644509) (xy 1.9558 -0.634999) (xy 1.951045 -0.427566)
(xy 1.946291 -0.220133) (xy 0.7112 -0.220133) (xy 0.7112 -0.643466) (xy 0.287867 -0.643466)
(xy 0.287867 -0.829733) (xy 0.1016 -0.829733) (xy 0.1016 -1.032933) (xy -0.728134 -1.032933)
(xy -0.728134 -1.252908) (xy 1.020233 -1.25722) (xy 2.7686 -1.261533) (xy 2.773371 -1.4605)
(xy 2.778141 -1.659466) (xy 2.980266 -1.659466) (xy 2.980266 -1.845733) (xy 3.4036 -1.845733)
(xy 3.4036 -2.065866) (xy 3.6068 -2.065866) (xy 3.6068 -2.269066) (xy 3.792319 -2.269066)
(xy 3.801533 -2.904066) (xy 3.898071 -2.90912) (xy 3.994608 -2.914173) (xy 3.999671 -2.80752)
(xy 4.004733 -2.700866) (xy 4.106333 -2.692399) (xy 4.207933 -2.683933) (xy 4.21235 -1.968499)
(xy 4.216767 -1.253066) (xy 3.996266 -1.253066) (xy 3.996266 -2.269066)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.761067 -2.912533) (xy -1.962651 -2.912533) (xy -1.967692 -2.8067) (xy -1.972733 -2.700866)
(xy -2.573867 -2.691618) (xy -2.573867 -3.098799) (xy -2.370667 -3.098799) (xy -2.370667 -2.912533)
(xy -1.964267 -2.912533) (xy -1.964267 -3.098799) (xy -1.761067 -3.098799) (xy -1.761067 -2.912533)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -3.6068 -2.065866) (xy -3.395134 -2.065804) (xy -3.406608 -2.269066) (xy -3.183467 -2.269066)
(xy -3.183467 -3.098799) (xy -2.9972 -3.098799) (xy -2.9972 -3.301999) (xy -2.573867 -3.301999)
(xy -2.573867 -3.098799) (xy -2.996598 -3.098799) (xy -3.001132 -2.688166) (xy -3.005667 -2.277533)
(xy -3.094567 -2.272415) (xy -3.183467 -2.267296) (xy -3.183467 -2.067482) (xy -3.2893 -2.062441)
(xy -3.395134 -2.057399) (xy -3.405216 -1.845733) (xy -3.6068 -1.845733) (xy -3.6068 -2.065866)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 2.370666 2.472267) (xy 2.556933 2.472267) (xy 2.556933 1.828801) (xy 2.645833 1.82906)
(xy 2.700604 1.831687) (xy 2.742756 1.838114) (xy 2.756303 1.843008) (xy 2.764365 1.856604)
(xy 2.769937 1.887999) (xy 2.773218 1.94095) (xy 2.774409 2.019218) (xy 2.773711 2.126561)
(xy 2.773236 2.160249) (xy 2.7686 2.463801) (xy 2.662766 2.468842) (xy 2.556933 2.473883)
(xy 2.556933 2.861734) (xy 2.370666 2.861734) (xy 2.370666 2.472267)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.4036 3.064934) (xy 2.981883 3.064934) (xy 2.976841 3.170767) (xy 2.9718 3.2766)
(xy 2.379133 3.2766) (xy 2.374092 3.170767) (xy 2.36905 3.064934) (xy 1.947333 3.064934)
(xy 1.947333 2.861734) (xy 2.370666 2.861734) (xy 2.370666 3.064934) (xy 2.980266 3.064934)
(xy 2.980266 2.861734) (xy 3.4036 2.861734) (xy 3.4036 3.064934)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.6068 2.861734) (xy 3.4036 2.861734) (xy 3.4036 2.472267) (xy 3.6068 2.472267)
(xy 3.6068 2.861734)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.793066 0.8128) (xy 3.793066 2.472267) (xy 3.6068 2.472267) (xy 3.6068 0.8128)
(xy 3.793066 0.8128)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.6068 0.8128) (xy 3.4036 0.8128) (xy 3.4036 -0.643466) (xy 3.6068 -0.643466)
(xy 3.6068 0.8128)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.793066 -0.643466) (xy 3.6068 -0.643466) (xy 3.6068 -1.032933) (xy 3.793066 -1.032933)
(xy 3.793066 -0.643466)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 3.996266 -1.032933) (xy 3.793066 -1.032933) (xy 3.793066 -1.253066) (xy 3.996266 -1.253066)
(xy 3.996266 -1.032933)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -0.728134 -1.659466) (xy -0.728134 -1.253066) (xy -1.134533 -1.253066) (xy -1.134533 -1.659466)
(xy -0.728134 -1.659466)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.134533 1.219201) (xy -0.933024 1.219201) (xy -0.927945 1.121834) (xy -0.922867 1.024467)
(xy 1.947333 1.015809) (xy 1.947333 1.218158) (xy 2.154767 1.222913) (xy 2.3622 1.227667)
(xy 2.367241 1.333501) (xy 2.372283 1.439334) (xy 2.556933 1.439334) (xy 2.556933 1.828801)
(xy 2.370666 1.828801) (xy 2.370666 1.439334) (xy 1.947333 1.439334) (xy 1.947333 1.219201)
(xy -0.931334 1.219201) (xy -0.931334 2.472267) (xy -1.134533 2.472267) (xy -1.134533 1.219201)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.337733 2.472267) (xy -1.134533 2.472267) (xy -1.134533 2.861734) (xy -1.337733 2.861734)
(xy -1.337733 2.472267)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.761067 3.064934) (xy -1.761067 2.861734) (xy -1.337733 2.861734) (xy -1.337733 3.064934)
(xy -1.759451 3.064934) (xy -1.769533 3.2766) (xy -2.058425 3.28122) (xy -2.154113 3.282087)
(xy -2.237804 3.281587) (xy -2.303713 3.279857) (xy -2.346055 3.277033) (xy -2.358992 3.274164)
(xy -2.365298 3.252101) (xy -2.369537 3.207102) (xy -2.370667 3.163712) (xy -2.370667 3.064934)
(xy -1.761067 3.064934)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -2.370667 3.064934) (xy -2.573867 3.064934) (xy -2.573867 2.861734) (xy -2.370667 2.861734)
(xy -2.370667 3.064934)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -2.370667 -1.032933) (xy -2.370667 -0.831349) (xy -2.264834 -0.826308) (xy -2.159 -0.821266)
(xy -2.154376 -0.520699) (xy -2.149752 -0.220133) (xy -1.762683 -0.220133) (xy -1.757642 -0.114299)
(xy -1.7526 -0.008466) (xy -1.545167 -0.003712) (xy -1.337733 0.001043) (xy -1.337733 0.8128)
(xy -1.761067 0.8128) (xy -1.761067 1.016001) (xy -1.963903 1.016001) (xy -1.972733 2.463801)
(xy -2.061633 2.468919) (xy -2.150534 2.474037) (xy -2.150534 2.861734) (xy -2.370667 2.861734)
(xy -2.370667 2.472267) (xy -2.150534 2.472267) (xy -2.150534 -0.218517) (xy -2.3622 -0.228599)
(xy -2.371448 -0.829733) (xy -4.030133 -0.829733) (xy -4.030133 -1.032933) (xy -2.370667 -1.032933)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -4.030133 -1.032933) (xy -4.233333 -1.032933) (xy -4.233333 -1.659466) (xy -4.030133 -1.659466)
(xy -4.030133 -1.032933)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -3.6068 -1.659466) (xy -4.030133 -1.659466) (xy -4.030133 -1.845733) (xy -3.6068 -1.845733)
(xy -3.6068 -1.659466)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.337733 -3.098799) (xy -1.761067 -3.098799) (xy -1.761067 -3.301999) (xy -1.134533 -3.301999)
(xy -1.134533 -2.692399) (xy -1.337733 -2.692399) (xy -1.337733 -3.098799)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.337733 -1.659466) (xy -1.337733 -1.84469) (xy -1.545167 -1.849445) (xy -1.7526 -1.854199)
(xy -1.757129 -2.2733) (xy -1.761658 -2.692399) (xy -1.337733 -2.692399) (xy -1.337733 -1.845733)
(xy -1.134533 -1.845733) (xy -1.134533 -1.659466) (xy -1.337733 -1.659466)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -2.370667 -2.065866) (xy -2.573867 -2.065866) (xy -2.573867 -2.269066) (xy -2.370667 -2.269066)
(xy -2.370667 -2.065866)) (layer F.SilkS) (width 0.01))
)

View File

@ -0,0 +1,49 @@
(module mac_happy_small (layer F.Cu) (tedit 0)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy 0.461818 -0.969818) (xy 0.323273 -0.969818) (xy 0.323273 -1.27) (xy 0.461818 -1.27)
(xy 0.461818 -0.969818)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -0.531091 -0.969818) (xy -0.692727 -0.969818) (xy -0.692727 -1.27) (xy -0.531091 -1.27)
(xy -0.531091 -0.969818)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 0.046182 -0.554182) (xy -0.254 -0.554182) (xy -0.254 -0.623454) (xy -0.248278 -0.67252)
(xy -0.221289 -0.690605) (xy -0.184727 -0.692727) (xy -0.115454 -0.692727) (xy -0.115454 -1.27)
(xy 0.046182 -1.27) (xy 0.046182 -0.554182)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 0.303066 -0.386824) (xy 0.321151 -0.359835) (xy 0.323273 -0.323273) (xy 0.317551 -0.274207)
(xy 0.290562 -0.256122) (xy 0.254 -0.254) (xy 0.204934 -0.248278) (xy 0.18685 -0.221289)
(xy 0.184727 -0.184727) (xy 0.184727 -0.115454) (xy -0.392545 -0.115454) (xy -0.392545 -0.184727)
(xy -0.398267 -0.233793) (xy -0.425256 -0.251878) (xy -0.461818 -0.254) (xy -0.510884 -0.259722)
(xy -0.528969 -0.286711) (xy -0.531091 -0.323273) (xy -0.525369 -0.372339) (xy -0.49838 -0.390423)
(xy -0.461818 -0.392545) (xy -0.412752 -0.386824) (xy -0.394668 -0.359835) (xy -0.392545 -0.323273)
(xy -0.392545 -0.254) (xy 0.184727 -0.254) (xy 0.184727 -0.323273) (xy 0.190449 -0.372339)
(xy 0.217438 -0.390423) (xy 0.254 -0.392545) (xy 0.303066 -0.386824)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 1.200727 -1.778) (xy 1.206449 -1.728934) (xy 1.233438 -1.710849) (xy 1.27 -1.708727)
(xy 1.339273 -1.708727) (xy 1.339273 0.184727) (xy 1.27 0.184727) (xy 1.220934 0.190449)
(xy 1.20285 0.217438) (xy 1.200727 0.254) (xy 1.200727 0.323273) (xy -1.27 0.323273)
(xy -1.27 0.254) (xy -1.275722 0.204934) (xy -1.302711 0.18685) (xy -1.339273 0.184727)
(xy -1.408545 0.184727) (xy -1.408545 -1.708727) (xy -1.339273 -1.708727) (xy -1.27 -1.708727)
(xy -1.27 0.184727) (xy 1.200727 0.184727) (xy 1.200727 -1.708727) (xy -1.27 -1.708727)
(xy -1.339273 -1.708727) (xy -1.290207 -1.714449) (xy -1.272122 -1.741438) (xy -1.27 -1.778)
(xy -1.27 -1.847273) (xy 1.200727 -1.847273) (xy 1.200727 -1.778)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 1.200727 1.039091) (xy 0.323273 1.039091) (xy 0.323273 0.900546) (xy 1.200727 0.900546)
(xy 1.200727 1.039091)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy -1.108364 1.177636) (xy -1.408545 1.177636) (xy -1.408545 1.039091) (xy -1.108364 1.039091)
(xy -1.108364 1.177636)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 1.477818 -2.205182) (xy 1.48168 -2.150883) (xy 1.501267 -2.128612) (xy 1.547091 -2.124364)
(xy 1.596157 -2.118642) (xy 1.614241 -2.091653) (xy 1.616364 -2.055091) (xy 1.620869 -2.008549)
(xy 1.643721 -1.98946) (xy 1.697182 -1.985818) (xy 1.778 -1.985818) (xy 1.778 1.616364)
(xy 1.616364 1.616364) (xy 1.616364 2.332182) (xy -1.685636 2.332182) (xy -1.685636 1.754909)
(xy -1.547091 1.754909) (xy -1.547091 2.193636) (xy 1.477818 2.193636) (xy 1.477818 1.754909)
(xy -1.547091 1.754909) (xy -1.685636 1.754909) (xy -1.685636 1.616364) (xy -1.847273 1.616364)
(xy -1.847273 -1.985818) (xy -1.766454 -1.985818) (xy -1.685636 -1.985818) (xy -1.685636 1.616364)
(xy 1.616364 1.616364) (xy 1.616364 -1.985818) (xy 1.547091 -1.985818) (xy 1.498025 -1.99154)
(xy 1.47994 -2.018529) (xy 1.477818 -2.055091) (xy 1.477818 -2.124364) (xy -1.547091 -2.124364)
(xy -1.547091 -2.055091) (xy -1.552812 -2.006025) (xy -1.579802 -1.98794) (xy -1.616364 -1.985818)
(xy -1.685636 -1.985818) (xy -1.766454 -1.985818) (xy -1.712156 -1.98968) (xy -1.689885 -2.009267)
(xy -1.685636 -2.055091) (xy -1.679915 -2.104157) (xy -1.652925 -2.122241) (xy -1.616364 -2.124364)
(xy -1.569822 -2.128869) (xy -1.550732 -2.151721) (xy -1.547091 -2.205182) (xy -1.547091 -2.286)
(xy 1.477818 -2.286) (xy 1.477818 -2.205182)) (layer F.SilkS) (width 0.01))
)

View File

@ -0,0 +1,622 @@
(module pi_logo (layer F.Cu) (tedit 0)
(fp_text reference G*** (at 0 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
(fp_poly (pts (xy -1.074143 -3.030774) (xy -1.055953 -3.029833) (xy -1.044191 -3.028153) (xy -1.037848 -3.025639)
(xy -1.037501 -3.025362) (xy -1.029214 -3.020241) (xy -1.015368 -3.013573) (xy -0.998267 -3.006268)
(xy -0.980218 -2.999236) (xy -0.963526 -2.993386) (xy -0.950498 -2.989629) (xy -0.944669 -2.988731)
(xy -0.935363 -2.989543) (xy -0.920544 -2.991705) (xy -0.902977 -2.994799) (xy -0.897767 -2.99581)
(xy -0.871586 -2.99975) (xy -0.848052 -3.000099) (xy -0.825036 -2.996458) (xy -0.800409 -2.988428)
(xy -0.772042 -2.975607) (xy -0.761315 -2.970162) (xy -0.716179 -2.946781) (xy -0.665778 -2.949315)
(xy -0.635143 -2.950064) (xy -0.609229 -2.948493) (xy -0.586421 -2.943937) (xy -0.565103 -2.935727)
(xy -0.543658 -2.923198) (xy -0.520471 -2.905682) (xy -0.493926 -2.882512) (xy -0.485807 -2.875046)
(xy -0.470268 -2.861257) (xy -0.4559 -2.849608) (xy -0.444378 -2.841389) (xy -0.437716 -2.837963)
(xy -0.428012 -2.836893) (xy -0.413061 -2.836727) (xy -0.396035 -2.837497) (xy -0.395426 -2.837543)
(xy -0.364217 -2.839903) (xy -0.327357 -2.813777) (xy -0.272788 -2.770378) (xy -0.220638 -2.719449)
(xy -0.17155 -2.661735) (xy -0.126167 -2.597984) (xy -0.089943 -2.537734) (xy -0.078067 -2.515199)
(xy -0.064922 -2.488448) (xy -0.051451 -2.459574) (xy -0.038593 -2.43067) (xy -0.027289 -2.403829)
(xy -0.01848 -2.381144) (xy -0.014869 -2.370667) (xy -0.010356 -2.357475) (xy -0.006467 -2.347703)
(xy -0.0046 -2.344249) (xy -0.002174 -2.346844) (xy 0.002624 -2.35608) (xy 0.009197 -2.37067)
(xy 0.016948 -2.389325) (xy 0.020888 -2.399282) (xy 0.058655 -2.486113) (xy 0.10188 -2.566809)
(xy 0.150421 -2.641115) (xy 0.171465 -2.669212) (xy 0.191884 -2.693484) (xy 0.2169 -2.720201)
(xy 0.244616 -2.747565) (xy 0.273137 -2.773775) (xy 0.300566 -2.797033) (xy 0.325007 -2.81554)
(xy 0.327534 -2.817279) (xy 0.363721 -2.841859) (xy 0.440683 -2.836397) (xy 0.484946 -2.878493)
(xy 0.509675 -2.901026) (xy 0.530643 -2.917853) (xy 0.549204 -2.930014) (xy 0.557763 -2.934553)
(xy 0.568973 -2.939915) (xy 0.578289 -2.9437) (xy 0.587545 -2.946195) (xy 0.598576 -2.947684)
(xy 0.613215 -2.948453) (xy 0.633298 -2.948787) (xy 0.65009 -2.948905) (xy 0.713863 -2.949292)
(xy 0.757099 -2.970854) (xy 0.784646 -2.983943) (xy 0.807422 -2.992846) (xy 0.827769 -2.99797)
(xy 0.848029 -2.999722) (xy 0.870544 -2.998508) (xy 0.896115 -2.994984) (xy 0.915803 -2.991932)
(xy 0.931158 -2.990271) (xy 0.944142 -2.990362) (xy 0.956722 -2.992569) (xy 0.97086 -2.997254)
(xy 0.988522 -3.004781) (xy 1.011671 -3.015512) (xy 1.014535 -3.016855) (xy 1.027289 -3.022679)
(xy 1.0377 -3.026645) (xy 1.047907 -3.029111) (xy 1.060053 -3.030433) (xy 1.076279 -3.030966)
(xy 1.098726 -3.031067) (xy 1.10001 -3.031067) (xy 1.120786 -3.030778) (xy 1.138379 -3.029989)
(xy 1.151124 -3.028813) (xy 1.157358 -3.027366) (xy 1.157656 -3.027093) (xy 1.162431 -3.022994)
(xy 1.17229 -3.016441) (xy 1.182247 -3.010504) (xy 1.204383 -2.997888) (xy 1.248833 -3.008033)
(xy 1.283391 -3.015299) (xy 1.311667 -3.019738) (xy 1.335304 -3.021496) (xy 1.355948 -3.020723)
(xy 1.369035 -3.018842) (xy 1.397459 -3.011087) (xy 1.427191 -2.99884) (xy 1.453897 -2.983921)
(xy 1.456732 -2.98201) (xy 1.463402 -2.977778) (xy 1.47016 -2.974822) (xy 1.478695 -2.972883)
(xy 1.490693 -2.971701) (xy 1.507844 -2.971014) (xy 1.530815 -2.970577) (xy 1.554994 -2.970079)
(xy 1.572637 -2.969253) (xy 1.585677 -2.967818) (xy 1.596045 -2.965495) (xy 1.605671 -2.962004)
(xy 1.61314 -2.958651) (xy 1.630171 -2.948997) (xy 1.647481 -2.936629) (xy 1.655383 -2.92981)
(xy 1.674103 -2.912002) (xy 1.699593 -2.916437) (xy 1.715374 -2.918327) (xy 1.732988 -2.918512)
(xy 1.754821 -2.916939) (xy 1.773766 -2.914778) (xy 1.814517 -2.90825) (xy 1.84876 -2.899319)
(xy 1.878294 -2.887217) (xy 1.904919 -2.871173) (xy 1.930433 -2.850419) (xy 1.935628 -2.845571)
(xy 1.948013 -2.834044) (xy 1.956608 -2.827358) (xy 1.963673 -2.824431) (xy 1.971471 -2.824183)
(xy 1.978602 -2.825028) (xy 1.995622 -2.825548) (xy 2.017843 -2.823721) (xy 2.042483 -2.820018)
(xy 2.066759 -2.814911) (xy 2.087886 -2.808869) (xy 2.098358 -2.804781) (xy 2.128028 -2.786779)
(xy 2.152086 -2.762948) (xy 2.169791 -2.734321) (xy 2.180397 -2.701932) (xy 2.182527 -2.68775)
(xy 2.182883 -2.657704) (xy 2.178711 -2.626306) (xy 2.170628 -2.597425) (xy 2.166552 -2.587684)
(xy 2.157805 -2.569076) (xy 2.178288 -2.526369) (xy 2.190812 -2.497767) (xy 2.198315 -2.473581)
(xy 2.201074 -2.451625) (xy 2.199365 -2.429709) (xy 2.193526 -2.405849) (xy 2.186525 -2.387459)
(xy 2.176547 -2.366745) (xy 2.165728 -2.348133) (xy 2.165519 -2.347814) (xy 2.156608 -2.333678)
(xy 2.149892 -2.321886) (xy 2.1465 -2.314461) (xy 2.146299 -2.313406) (xy 2.147844 -2.306441)
(xy 2.151695 -2.295443) (xy 2.153166 -2.291809) (xy 2.156981 -2.279541) (xy 2.158353 -2.265214)
(xy 2.157544 -2.245862) (xy 2.157377 -2.243826) (xy 2.154116 -2.221352) (xy 2.14777 -2.199637)
(xy 2.137593 -2.177037) (xy 2.122842 -2.151909) (xy 2.10277 -2.122607) (xy 2.101905 -2.121407)
(xy 2.073245 -2.081698) (xy 2.078465 -2.059941) (xy 2.081034 -2.046506) (xy 2.081289 -2.034476)
(xy 2.079083 -2.020263) (xy 2.07638 -2.008634) (xy 2.06796 -1.979842) (xy 2.057489 -1.955473)
(xy 2.04365 -1.933471) (xy 2.025126 -1.911779) (xy 2.000599 -1.88834) (xy 1.997691 -1.885755)
(xy 1.987759 -1.876625) (xy 1.981799 -1.869188) (xy 1.978557 -1.860653) (xy 1.976783 -1.848231)
(xy 1.975849 -1.837072) (xy 1.973617 -1.81877) (xy 1.970158 -1.801352) (xy 1.966306 -1.788821)
(xy 1.950443 -1.759467) (xy 1.927851 -1.729257) (xy 1.899919 -1.699741) (xy 1.868038 -1.672468)
(xy 1.850758 -1.659959) (xy 1.833846 -1.64789) (xy 1.822489 -1.637802) (xy 1.814917 -1.627435)
(xy 1.809358 -1.614528) (xy 1.805599 -1.602371) (xy 1.7997 -1.585016) (xy 1.792395 -1.567845)
(xy 1.787877 -1.559162) (xy 1.77047 -1.535937) (xy 1.746484 -1.513205) (xy 1.717766 -1.49227)
(xy 1.686165 -1.474432) (xy 1.653529 -1.460994) (xy 1.644649 -1.458252) (xy 1.627588 -1.452983)
(xy 1.616944 -1.448155) (xy 1.610869 -1.442382) (xy 1.607515 -1.434273) (xy 1.606407 -1.429516)
(xy 1.596866 -1.403678) (xy 1.579698 -1.378982) (xy 1.55573 -1.356117) (xy 1.525789 -1.33577)
(xy 1.490702 -1.318631) (xy 1.46035 -1.307949) (xy 1.444917 -1.303113) (xy 1.432708 -1.298844)
(xy 1.425961 -1.295936) (xy 1.425466 -1.295589) (xy 1.427743 -1.292416) (xy 1.436055 -1.285366)
(xy 1.44937 -1.275224) (xy 1.466654 -1.262778) (xy 1.486849 -1.248832) (xy 1.52764 -1.220897)
(xy 1.562277 -1.196468) (xy 1.591868 -1.174694) (xy 1.617521 -1.154728) (xy 1.640343 -1.13572)
(xy 1.661442 -1.11682) (xy 1.671743 -1.107096) (xy 1.730178 -1.046164) (xy 1.781156 -0.982441)
(xy 1.825035 -0.915266) (xy 1.862178 -0.843979) (xy 1.892945 -0.767921) (xy 1.917696 -0.68643)
(xy 1.929829 -0.634562) (xy 1.936201 -0.601985) (xy 1.941448 -0.569733) (xy 1.945771 -0.536021)
(xy 1.949372 -0.499066) (xy 1.952454 -0.457082) (xy 1.955021 -0.412178) (xy 1.958316 -0.348105)
(xy 1.977591 -0.314811) (xy 1.987252 -0.298899) (xy 1.996106 -0.286887) (xy 2.006258 -0.276575)
(xy 2.019812 -0.265762) (xy 2.034541 -0.255257) (xy 2.060341 -0.235779) (xy 2.089278 -0.211447)
(xy 2.11935 -0.184137) (xy 2.148558 -0.155725) (xy 2.1749 -0.128088) (xy 2.195213 -0.104553)
(xy 2.233653 -0.053156) (xy 2.269714 0.002371) (xy 2.302734 0.060618) (xy 2.332047 0.120179)
(xy 2.356992 0.179646) (xy 2.376905 0.237611) (xy 2.391122 0.292667) (xy 2.396452 0.322409)
(xy 2.399231 0.339083) (xy 2.402102 0.35258) (xy 2.404565 0.360654) (xy 2.405196 0.361738)
(xy 2.406151 0.366893) (xy 2.406953 0.379224) (xy 2.407602 0.397519) (xy 2.4081 0.420563)
(xy 2.408447 0.447144) (xy 2.408644 0.476048) (xy 2.408692 0.506062) (xy 2.408591 0.535971)
(xy 2.408342 0.564564) (xy 2.407946 0.590626) (xy 2.407404 0.612944) (xy 2.406717 0.630305)
(xy 2.405884 0.641494) (xy 2.405021 0.645281) (xy 2.402278 0.650517) (xy 2.399555 0.661189)
(xy 2.398456 0.667756) (xy 2.395405 0.683811) (xy 2.390142 0.705684) (xy 2.38329 0.731213)
(xy 2.375469 0.758236) (xy 2.367301 0.784591) (xy 2.359407 0.808118) (xy 2.353696 0.823475)
(xy 2.32235 0.893107) (xy 2.283926 0.962684) (xy 2.239601 1.030272) (xy 2.190553 1.093934)
(xy 2.185906 1.099454) (xy 2.17463 1.113924) (xy 2.166683 1.126483) (xy 2.163278 1.135177)
(xy 2.163233 1.135893) (xy 2.162125 1.143197) (xy 2.159055 1.157194) (xy 2.154405 1.176444)
(xy 2.148557 1.199504) (xy 2.141893 1.224934) (xy 2.134794 1.251291) (xy 2.127642 1.277133)
(xy 2.120819 1.301019) (xy 2.114707 1.321507) (xy 2.11091 1.3335) (xy 2.106265 1.347193)
(xy 2.099326 1.367086) (xy 2.090714 1.391428) (xy 2.081047 1.418469) (xy 2.070946 1.44646)
(xy 2.068593 1.452941) (xy 2.054445 1.493081) (xy 2.043481 1.527093) (xy 2.035252 1.556497)
(xy 2.029308 1.582814) (xy 2.027868 1.590525) (xy 2.008033 1.678269) (xy 1.980092 1.763796)
(xy 1.944192 1.846794) (xy 1.900478 1.926948) (xy 1.849094 2.003943) (xy 1.817759 2.044655)
(xy 1.798047 2.067709) (xy 1.773567 2.094266) (xy 1.74614 2.122511) (xy 1.717586 2.15063)
(xy 1.689728 2.176809) (xy 1.664386 2.199234) (xy 1.653752 2.20805) (xy 1.614397 2.237844)
(xy 1.571359 2.267056) (xy 1.526629 2.294509) (xy 1.482201 2.319026) (xy 1.440068 2.33943)
(xy 1.411009 2.351387) (xy 1.393462 2.3588) (xy 1.376729 2.367311) (xy 1.364443 2.375071)
(xy 1.334333 2.397335) (xy 1.298556 2.422564) (xy 1.258849 2.449622) (xy 1.216951 2.47737)
(xy 1.174599 2.50467) (xy 1.133532 2.530383) (xy 1.095486 2.553373) (xy 1.067325 2.569639)
(xy 1.038755 2.585119) (xy 1.005678 2.602096) (xy 0.969915 2.619715) (xy 0.933284 2.63712)
(xy 0.897603 2.653455) (xy 0.864694 2.667864) (xy 0.836373 2.679491) (xy 0.823469 2.68437)
(xy 0.803409 2.691747) (xy 0.788271 2.697928) (xy 0.776009 2.704183) (xy 0.764574 2.711781)
(xy 0.751919 2.721991) (xy 0.735996 2.736083) (xy 0.727194 2.744051) (xy 0.672074 2.792149)
(xy 0.619444 2.834019) (xy 0.567714 2.870849) (xy 0.515291 2.90383) (xy 0.506392 2.909026)
(xy 0.463685 2.9337) (xy -0.002049 2.93369) (xy -0.467784 2.93368) (xy -0.504927 2.912846)
(xy -0.551153 2.885523) (xy -0.59491 2.856581) (xy -0.638057 2.824649) (xy -0.682459 2.788355)
(xy -0.725313 2.750572) (xy -0.743208 2.734888) (xy -0.760497 2.720727) (xy -0.775506 2.709393)
(xy -0.786563 2.702191) (xy -0.788893 2.701015) (xy -0.800158 2.696162) (xy -0.816771 2.689179)
(xy -0.836235 2.681111) (xy -0.850901 2.675097) (xy -0.943191 2.634912) (xy -1.032978 2.590526)
(xy -1.121746 2.541105) (xy -1.210983 2.485814) (xy -1.235335 2.469259) (xy -0.663798 2.469259)
(xy -0.658552 2.489747) (xy -0.651702 2.504456) (xy -0.630798 2.537549) (xy -0.602618 2.571902)
(xy -0.568118 2.606788) (xy -0.528253 2.641478) (xy -0.483978 2.675245) (xy -0.436248 2.707361)
(xy -0.386017 2.737099) (xy -0.33424 2.763731) (xy -0.281873 2.786528) (xy -0.281261 2.786769)
(xy -0.228312 2.805989) (xy -0.178469 2.820436) (xy -0.129216 2.830581) (xy -0.078035 2.836898)
(xy -0.022408 2.839861) (xy 0.003147 2.84022) (xy 0.033958 2.840207) (xy 0.058467 2.839763)
(xy 0.078837 2.838726) (xy 0.097227 2.836929) (xy 0.1158 2.83421) (xy 0.136716 2.830404)
(xy 0.139495 2.829865) (xy 0.217329 2.810647) (xy 0.294044 2.783821) (xy 0.368541 2.749988)
(xy 0.439717 2.709748) (xy 0.506473 2.663702) (xy 0.567707 2.61245) (xy 0.604343 2.576296)
(xy 0.633005 2.543908) (xy 0.654996 2.514092) (xy 0.671171 2.485547) (xy 0.681772 2.458927)
(xy 0.686688 2.442388) (xy 0.688775 2.430796) (xy 0.688319 2.421225) (xy 0.686285 2.41295)
(xy 0.674492 2.387877) (xy 0.654503 2.363567) (xy 0.626564 2.340168) (xy 0.590925 2.317824)
(xy 0.547831 2.296682) (xy 0.497532 2.276888) (xy 0.440273 2.258586) (xy 0.410146 2.250311)
(xy 0.363598 2.238818) (xy 0.319623 2.229514) (xy 0.276668 2.222242) (xy 0.233178 2.216846)
(xy 0.187598 2.213168) (xy 0.138374 2.211054) (xy 0.08395 2.210346) (xy 0.022772 2.210887)
(xy 0.01905 2.210953) (xy -0.019922 2.21175) (xy -0.052106 2.212679) (xy -0.079181 2.213854)
(xy -0.102824 2.215393) (xy -0.124715 2.21741) (xy -0.146533 2.220021) (xy -0.169955 2.223342)
(xy -0.177696 2.224518) (xy -0.20173 2.228264) (xy -0.222756 2.231645) (xy -0.239388 2.234432)
(xy -0.25024 2.23639) (xy -0.253896 2.23724) (xy -0.258567 2.238607) (xy -0.270066 2.241517)
(xy -0.286971 2.245623) (xy -0.307856 2.250578) (xy -0.32385 2.254311) (xy -0.384449 2.269983)
(xy -0.440529 2.287733) (xy -0.491546 2.307276) (xy -0.536953 2.328326) (xy -0.576207 2.350597)
(xy -0.608762 2.373803) (xy -0.634074 2.397659) (xy -0.651597 2.421878) (xy -0.654632 2.427816)
(xy -0.662457 2.449504) (xy -0.663798 2.469259) (xy -1.235335 2.469259) (xy -1.302173 2.423822)
(xy -1.314409 2.415113) (xy -1.339312 2.397318) (xy -1.358587 2.383679) (xy -1.373492 2.373428)
(xy -1.385287 2.365799) (xy -1.395231 2.360024) (xy -1.404584 2.355336) (xy -1.414606 2.350967)
(xy -1.426555 2.346151) (xy -1.4296 2.344943) (xy -1.496102 2.314521) (xy -1.562516 2.27626)
(xy -1.628058 2.230758) (xy -1.691945 2.178615) (xy -1.753391 2.120429) (xy -1.811614 2.056799)
(xy -1.820026 2.046816) (xy -1.867087 1.985202) (xy -1.90984 1.918855) (xy -1.947652 1.849139)
(xy -1.979893 1.777417) (xy -2.005929 1.705054) (xy -2.025129 1.633413) (xy -2.034076 1.585004)
(xy -2.039374 1.556727) (xy -2.04729 1.527134) (xy -2.058537 1.493683) (xy -2.063438 1.480474)
(xy -2.079734 1.436323) (xy -2.093234 1.398037) (xy -1.824066 1.398037) (xy -1.823996 1.4229)
(xy -1.823058 1.444591) (xy -1.82111 1.46575) (xy -1.81801 1.489014) (xy -1.816226 1.500716)
(xy -1.805987 1.55431) (xy -1.792006 1.609542) (xy -1.774848 1.66499) (xy -1.755077 1.719229)
(xy -1.733254 1.770837) (xy -1.709944 1.818391) (xy -1.68571 1.860467) (xy -1.661116 1.895643)
(xy -1.658719 1.89865) (xy -1.639603 1.921194) (xy -1.6167 1.946391) (xy -1.591459 1.9728)
(xy -1.56533 1.998978) (xy -1.539763 2.023485) (xy -1.516207 2.044878) (xy -1.496113 2.061716)
(xy -1.490134 2.06629) (xy -1.421281 2.112771) (xy -1.35096 2.151531) (xy -1.27964 2.18235)
(xy -1.207794 2.205007) (xy -1.180755 2.211366) (xy -1.162559 2.215163) (xy -1.147768 2.217884)
(xy -1.134393 2.219673) (xy -1.120447 2.220676) (xy -1.103942 2.221037) (xy -1.08289 2.2209)
(xy -1.056217 2.220427) (xy -1.027083 2.219614) (xy -1.004421 2.218325) (xy -0.986241 2.216352)
(xy -0.970555 2.213484) (xy -0.956764 2.209917) (xy -0.922363 2.197762) (xy -0.89277 2.182861)
(xy -0.869438 2.16601) (xy -0.86064 2.15718) (xy -0.843064 2.132174) (xy -0.827613 2.100493)
(xy -0.815012 2.063811) (xy -0.808311 2.036233) (xy -0.804396 2.015485) (xy -0.802001 1.997717)
(xy -0.800947 1.980027) (xy -0.801053 1.959515) (xy -0.802038 1.935304) (xy -0.803383 1.91336)
(xy -0.80506 1.893685) (xy -0.80687 1.87824) (xy -0.808613 1.868988) (xy -0.808866 1.868231)
(xy -0.81135 1.859327) (xy -0.814522 1.844662) (xy -0.817831 1.826856) (xy -0.819048 1.819583)
(xy -0.825858 1.785015) (xy -0.835602 1.748305) (xy -0.848764 1.707841) (xy -0.86583 1.662011)
(xy -0.868496 1.655233) (xy -0.899607 1.584343) (xy -0.934918 1.519095) (xy -0.975508 1.457848)
(xy -1.022454 1.398961) (xy -1.053793 1.36525) (xy -0.68153 1.36525) (xy -0.681193 1.39444)
(xy -0.679965 1.419233) (xy -0.677497 1.442165) (xy -0.67344 1.465775) (xy -0.667447 1.492599)
(xy -0.660032 1.521883) (xy -0.638686 1.58706) (xy -0.609815 1.649067) (xy -0.573905 1.707474)
(xy -0.531443 1.761847) (xy -0.482916 1.811756) (xy -0.42881 1.856768) (xy -0.369612 1.896451)
(xy -0.305808 1.930375) (xy -0.237885 1.958107) (xy -0.16633 1.979215) (xy -0.137455 1.985576)
(xy -0.110004 1.990248) (xy -0.078687 1.994267) (xy -0.046022 1.997419) (xy -0.014527 1.999495)
(xy 0.013278 2.000281) (xy 0.03175 1.999803) (xy 0.046384 1.998825) (xy 0.065668 1.997507)
(xy 0.068102 1.997338) (xy 0.766486 1.997338) (xy 0.76691 2.01488) (xy 0.767996 2.029212)
(xy 0.769854 2.042083) (xy 0.772599 2.055244) (xy 0.774754 2.064156) (xy 0.787211 2.105658)
(xy 0.801891 2.139071) (xy 0.818836 2.164485) (xy 0.824907 2.171107) (xy 0.846623 2.188568)
(xy 0.874671 2.204656) (xy 0.90693 2.218456) (xy 0.941277 2.229052) (xy 0.973666 2.235285)
(xy 0.996505 2.237335) (xy 1.024705 2.238481) (xy 1.055362 2.238732) (xy 1.085574 2.238095)
(xy 1.11244 2.236578) (xy 1.128622 2.23487) (xy 1.146578 2.231705) (xy 1.169318 2.226736)
(xy 1.193557 2.220721) (xy 1.210547 2.216033) (xy 1.272878 2.195811) (xy 1.329898 2.172612)
(xy 1.383029 2.145566) (xy 1.433696 2.113804) (xy 1.48332 2.076457) (xy 1.533326 2.032654)
(xy 1.571476 1.995509) (xy 1.617944 1.946781) (xy 1.657756 1.901003) (xy 1.691646 1.856985)
(xy 1.720343 1.813536) (xy 1.744577 1.769466) (xy 1.765081 1.723585) (xy 1.782585 1.674702)
(xy 1.795432 1.630717) (xy 1.807512 1.574584) (xy 1.81547 1.513395) (xy 1.819184 1.449554)
(xy 1.818533 1.385468) (xy 1.813393 1.323542) (xy 1.811645 1.310422) (xy 1.801114 1.247662)
(xy 1.788462 1.192365) (xy 1.773436 1.143732) (xy 1.755778 1.100968) (xy 1.735233 1.063275)
(xy 1.729659 1.054615) (xy 1.708564 1.028067) (xy 1.683858 1.007156) (xy 1.654413 0.991233)
(xy 1.619103 0.979651) (xy 1.588294 0.973456) (xy 1.562636 0.972128) (xy 1.53167 0.975013)
(xy 1.497158 0.981666) (xy 1.460864 0.991643) (xy 1.424551 1.0045) (xy 1.389982 1.019793)
(xy 1.376389 1.026845) (xy 1.315358 1.06375) (xy 1.252575 1.108868) (xy 1.188105 1.162141)
(xy 1.122014 1.223514) (xy 1.054371 1.292929) (xy 1.025005 1.325033) (xy 0.976213 1.384787)
(xy 0.930753 1.451386) (xy 0.889206 1.523669) (xy 0.852152 1.600474) (xy 0.82017 1.68064)
(xy 0.79384 1.763005) (xy 0.780654 1.814468) (xy 0.776271 1.834483) (xy 0.773028 1.852562)
(xy 0.770709 1.870811) (xy 0.769097 1.891332) (xy 0.767977 1.916231) (xy 0.767176 1.945623)
(xy 0.766612 1.974836) (xy 0.766486 1.997338) (xy 0.068102 1.997338) (xy 0.085808 1.996109)
(xy 0.0889 1.995892) (xy 0.148999 1.988187) (xy 0.211378 1.973699) (xy 0.27424 1.953066)
(xy 0.33579 1.926928) (xy 0.394232 1.895922) (xy 0.42583 1.876072) (xy 0.485767 1.831175)
(xy 0.538957 1.781215) (xy 0.585316 1.726302) (xy 0.624763 1.666543) (xy 0.657213 1.602048)
(xy 0.679055 1.544132) (xy 0.691798 1.500628) (xy 0.7003 1.460523) (xy 0.705018 1.420561)
(xy 0.706412 1.377484) (xy 0.705983 1.353011) (xy 0.699973 1.281237) (xy 0.686427 1.213093)
(xy 0.665227 1.148312) (xy 0.636255 1.086624) (xy 0.599392 1.02776) (xy 0.554521 0.971451)
(xy 0.52492 0.939996) (xy 0.469057 0.889809) (xy 0.408315 0.846242) (xy 0.34332 0.80948)
(xy 0.274694 0.779708) (xy 0.203064 0.757111) (xy 0.129052 0.741875) (xy 0.053284 0.734184)
(xy -0.023617 0.734224) (xy -0.101026 0.74218) (xy -0.127 0.746655) (xy -0.200983 0.764499)
(xy -0.271123 0.788953) (xy -0.337043 0.819596) (xy -0.398369 0.856009) (xy -0.454724 0.897773)
(xy -0.505731 0.944468) (xy -0.551015 0.995675) (xy -0.590199 1.050973) (xy -0.622908 1.109943)
(xy -0.648764 1.172166) (xy -0.667393 1.237222) (xy -0.678417 1.304691) (xy -0.68153 1.36525)
(xy -1.053793 1.36525) (xy -1.072265 1.345381) (xy -1.130542 1.289888) (xy -1.189429 1.240179)
(xy -1.24849 1.196452) (xy -1.307286 1.158909) (xy -1.36538 1.12775) (xy -1.422336 1.103174)
(xy -1.477714 1.085382) (xy -1.531079 1.074574) (xy -1.581992 1.07095) (xy -1.630017 1.07471)
(xy -1.653335 1.079555) (xy -1.687839 1.090044) (xy -1.715547 1.102711) (xy -1.738085 1.118858)
(xy -1.757079 1.139782) (xy -1.774154 1.166783) (xy -1.782336 1.182673) (xy -1.795531 1.211227)
(xy -1.805534 1.237011) (xy -1.812814 1.262133) (xy -1.817839 1.288702) (xy -1.821079 1.318829)
(xy -1.823003 1.354621) (xy -1.823411 1.367366) (xy -1.824066 1.398037) (xy -2.093234 1.398037)
(xy -2.095836 1.390658) (xy -2.111268 1.34496) (xy -2.125556 1.300712) (xy -2.138225 1.259395)
(xy -2.148801 1.222492) (xy -2.156807 1.191485) (xy -2.158712 1.183216) (xy -2.165213 1.156063)
(xy -2.171367 1.134521) (xy -2.176861 1.119598) (xy -2.180353 1.113366) (xy -2.197919 1.090976)
(xy -2.217215 1.065542) (xy -2.236854 1.038965) (xy -2.255455 1.013142) (xy -2.271631 0.989972)
(xy -2.283999 0.971354) (xy -2.284775 0.97013) (xy -2.311798 0.923751) (xy -2.336912 0.873745)
(xy -2.359385 0.821977) (xy -2.378486 0.770308) (xy -2.39348 0.720603) (xy -2.403636 0.674725)
(xy -2.405464 0.663166) (xy -2.408661 0.645408) (xy -2.412256 0.633123) (xy -2.415814 0.627796)
(xy -2.415834 0.627789) (xy -2.417455 0.623113) (xy -2.418834 0.611286) (xy -2.419964 0.593605)
(xy -2.420844 0.571367) (xy -2.421467 0.545868) (xy -2.421831 0.518406) (xy -2.42193 0.490277)
(xy -2.421772 0.464853) (xy -2.179734 0.464853) (xy -2.179295 0.493061) (xy -2.179006 0.505883)
(xy -2.178142 0.536906) (xy -2.177063 0.561548) (xy -2.175548 0.581892) (xy -2.173377 0.600023)
(xy -2.17033 0.618025) (xy -2.166185 0.637983) (xy -2.164007 0.647699) (xy -2.144914 0.720227)
(xy -2.122072 0.78571) (xy -2.095132 0.844854) (xy -2.063747 0.89837) (xy -2.027568 0.946965)
(xy -2.003466 0.974) (xy -1.980101 0.997127) (xy -1.960765 1.013102) (xy -1.945232 1.022094)
(xy -1.93545 1.02437) (xy -1.928181 1.023446) (xy -1.916397 1.021007) (xy -1.911144 1.019739)
(xy -1.890099 1.010435) (xy -1.868506 0.993312) (xy -1.846767 0.968966) (xy -1.825279 0.93799)
(xy -1.804445 0.90098) (xy -1.784663 0.858532) (xy -1.766333 0.811238) (xy -1.761557 0.797331)
(xy -1.738227 0.722137) (xy -1.717693 0.644171) (xy -1.699627 0.561975) (xy -1.683704 0.474092)
(xy -1.672181 0.397933) (xy -1.66609 0.344004) (xy -1.66208 0.285928) (xy -1.661274 0.260349)
(xy -1.445305 0.260349) (xy -1.445245 0.290228) (xy -1.444808 0.313738) (xy -1.443818 0.332974)
(xy -1.442098 0.350031) (xy -1.439471 0.367004) (xy -1.435761 0.385988) (xy -1.434039 0.394129)
(xy -1.416865 0.460753) (xy -1.395075 0.520955) (xy -1.36815 0.575719) (xy -1.335575 0.626033)
(xy -1.296834 0.672879) (xy -1.277459 0.692887) (xy -1.236431 0.730096) (xy -1.194244 0.761437)
(xy -1.149423 0.787692) (xy -1.100497 0.809639) (xy -1.045993 0.82806) (xy -0.999067 0.840387)
(xy -0.981306 0.844383) (xy -0.966142 0.847146) (xy -0.951413 0.84884) (xy -0.934956 0.849629)
(xy -0.914609 0.849677) (xy -0.88821 0.849148) (xy -0.884767 0.849059) (xy -0.850053 0.847726)
(xy -0.820913 0.845525) (xy -0.794472 0.84216) (xy -0.767856 0.837332) (xy -0.763823 0.836495)
(xy -0.685807 0.815839) (xy -0.611171 0.787457) (xy -0.540095 0.751459) (xy -0.472756 0.707956)
(xy -0.409333 0.657058) (xy -0.350005 0.598877) (xy -0.313294 0.556683) (xy -0.270176 0.498512)
(xy -0.232077 0.436002) (xy -0.199357 0.370234) (xy -0.172375 0.302289) (xy -0.151491 0.233246)
(xy -0.137065 0.164188) (xy -0.129457 0.096193) (xy -0.129166 0.051606) (xy 0.153189 0.051606)
(xy 0.160712 0.126517) (xy 0.176381 0.201893) (xy 0.20016 0.277424) (xy 0.232012 0.352799)
(xy 0.243869 0.376766) (xy 0.286186 0.450444) (xy 0.334623 0.518329) (xy 0.389033 0.580274)
(xy 0.449267 0.636131) (xy 0.515178 0.685753) (xy 0.586615 0.728993) (xy 0.611716 0.742005)
(xy 0.677452 0.770742) (xy 0.745109 0.792695) (xy 0.813571 0.807667) (xy 0.881722 0.815462)
(xy 0.948443 0.815881) (xy 1.000631 0.810685) (xy 1.032331 0.804441) (xy 1.067858 0.795022)
(xy 1.104368 0.783361) (xy 1.139021 0.770387) (xy 1.168972 0.757032) (xy 1.176866 0.752956)
(xy 1.230605 0.719513) (xy 1.281055 0.679218) (xy 1.327043 0.633277) (xy 1.367399 0.582896)
(xy 1.40095 0.52928) (xy 1.408718 0.514349) (xy 1.416877 0.495699) (xy 1.426106 0.470936)
(xy 1.435743 0.442233) (xy 1.445127 0.411765) (xy 1.453598 0.381707) (xy 1.460493 0.354232)
(xy 1.465151 0.331516) (xy 1.466012 0.325966) (xy 1.468271 0.302973) (xy 1.469718 0.274031)
(xy 1.470359 0.241617) (xy 1.470199 0.20821) (xy 1.469242 0.176288) (xy 1.467492 0.148327)
(xy 1.46645 0.138741) (xy 1.65825 0.138741) (xy 1.658331 0.176519) (xy 1.662936 0.296651)
(xy 1.674078 0.41251) (xy 1.69198 0.525461) (xy 1.716862 0.636869) (xy 1.748946 0.7481)
(xy 1.753023 0.760685) (xy 1.76606 0.797573) (xy 1.780887 0.834586) (xy 1.796705 0.870012)
(xy 1.812711 0.902134) (xy 1.828104 0.929238) (xy 1.840204 0.94718) (xy 1.860248 0.970099)
(xy 1.881423 0.987851) (xy 1.90254 0.999716) (xy 1.92241 1.004974) (xy 1.934633 1.004401)
(xy 1.945971 1.00108) (xy 1.958738 0.996043) (xy 1.959124 0.995867) (xy 1.972121 0.987232)
(xy 1.988198 0.972355) (xy 2.006424 0.952454) (xy 2.02587 0.928746) (xy 2.045608 0.902448)
(xy 2.064708 0.874777) (xy 2.082241 0.846949) (xy 2.097278 0.820183) (xy 2.104677 0.805222)
(xy 2.135411 0.729373) (xy 2.157816 0.652039) (xy 2.171884 0.573249) (xy 2.176016 0.53004)
(xy 2.177406 0.450072) (xy 2.170821 0.372188) (xy 2.156403 0.296773) (xy 2.134292 0.224212)
(xy 2.10463 0.154888) (xy 2.067556 0.089187) (xy 2.023212 0.027492) (xy 1.971739 -0.029812)
(xy 1.970303 -0.031244) (xy 1.943052 -0.056835) (xy 1.913889 -0.081577) (xy 1.884219 -0.104461)
(xy 1.855448 -0.124474) (xy 1.828982 -0.140606) (xy 1.806227 -0.151846) (xy 1.798708 -0.154669)
(xy 1.786685 -0.158385) (xy 1.778167 -0.159393) (xy 1.769685 -0.157362) (xy 1.757772 -0.151962)
(xy 1.75472 -0.150471) (xy 1.736936 -0.14017) (xy 1.722309 -0.127628) (xy 1.709998 -0.111553)
(xy 1.699158 -0.090654) (xy 1.688946 -0.063639) (xy 1.680823 -0.037316) (xy 1.672517 -0.005408)
(xy 1.666294 0.026373) (xy 1.661966 0.059806) (xy 1.659347 0.096669) (xy 1.65825 0.138741)
(xy 1.46645 0.138741) (xy 1.465633 0.131233) (xy 1.452329 0.062225) (xy 1.431868 -0.008176)
(xy 1.404929 -0.078147) (xy 1.372186 -0.145864) (xy 1.346003 -0.191226) (xy 1.303704 -0.252362)
(xy 1.254462 -0.311087) (xy 1.199762 -0.365787) (xy 1.141092 -0.414853) (xy 1.136858 -0.418047)
(xy 1.103958 -0.440909) (xy 1.066927 -0.463606) (xy 1.027659 -0.485182) (xy 0.988046 -0.504683)
(xy 0.949982 -0.521156) (xy 0.91536 -0.533646) (xy 0.897466 -0.538722) (xy 0.865474 -0.546635)
(xy 0.840142 -0.552787) (xy 0.819897 -0.557397) (xy 0.803166 -0.560681) (xy 0.788377 -0.562857)
(xy 0.773957 -0.564144) (xy 0.758333 -0.56476) (xy 0.739933 -0.564921) (xy 0.717184 -0.564845)
(xy 0.709083 -0.564808) (xy 0.68069 -0.564629) (xy 0.658761 -0.564216) (xy 0.641297 -0.563342)
(xy 0.626294 -0.561781) (xy 0.611751 -0.559308) (xy 0.595667 -0.555694) (xy 0.576041 -0.550715)
(xy 0.569612 -0.549038) (xy 0.507211 -0.5294) (xy 0.450613 -0.504368) (xy 0.398577 -0.473247)
(xy 0.349865 -0.435343) (xy 0.324351 -0.411658) (xy 0.283631 -0.367659) (xy 0.249391 -0.3216)
(xy 0.220726 -0.271951) (xy 0.196733 -0.217182) (xy 0.179867 -0.167232) (xy 0.16273 -0.095579)
(xy 0.15385 -0.02253) (xy 0.153189 0.051606) (xy -0.129166 0.051606) (xy -0.129027 0.030343)
(xy -0.130626 0.008139) (xy -0.137182 -0.047612) (xy -0.146046 -0.097136) (xy -0.157741 -0.142486)
(xy -0.172792 -0.185713) (xy -0.190583 -0.226484) (xy -0.222008 -0.28285) (xy -0.260378 -0.33522)
(xy -0.304856 -0.382851) (xy -0.354604 -0.425) (xy -0.408787 -0.460926) (xy -0.466566 -0.489885)
(xy -0.503303 -0.50384) (xy -0.517518 -0.50793) (xy -0.536704 -0.512504) (xy -0.559365 -0.517306)
(xy -0.584005 -0.522077) (xy -0.609131 -0.52656) (xy -0.633246 -0.530498) (xy -0.654856 -0.533633)
(xy -0.672465 -0.535709) (xy -0.684578 -0.536468) (xy -0.689456 -0.535874) (xy -0.6946 -0.534796)
(xy -0.70642 -0.53321) (xy -0.72316 -0.531329) (xy -0.743061 -0.529367) (xy -0.74308 -0.529365)
(xy -0.818928 -0.518149) (xy -0.892768 -0.498786) (xy -0.964304 -0.471421) (xy -1.033241 -0.4362)
(xy -1.099283 -0.393266) (xy -1.162132 -0.342765) (xy -1.202806 -0.304267) (xy -1.26021 -0.240698)
(xy -1.310033 -0.173404) (xy -1.352376 -0.102198) (xy -1.38734 -0.026894) (xy -1.415027 0.052695)
(xy -1.431512 0.117295) (xy -1.436405 0.14038) (xy -1.439973 0.159379) (xy -1.442425 0.176486)
(xy -1.443975 0.193892) (xy -1.444833 0.213791) (xy -1.445211 0.238375) (xy -1.445305 0.260349)
(xy -1.661274 0.260349) (xy -1.660201 0.226342) (xy -1.660504 0.167881) (xy -1.663042 0.113181)
(xy -1.66598 0.080292) (xy -1.673248 0.027897) (xy -1.682722 -0.016808) (xy -1.694575 -0.054352)
(xy -1.708978 -0.085264) (xy -1.726104 -0.110073) (xy -1.729123 -0.113528) (xy -1.747617 -0.129582)
(xy -1.767568 -0.137999) (xy -1.789554 -0.138797) (xy -1.81415 -0.131992) (xy -1.841933 -0.117599)
(xy -1.843343 -0.116725) (xy -1.900495 -0.07722) (xy -1.95379 -0.032642) (xy -2.002217 0.015942)
(xy -2.044768 0.067465) (xy -2.08043 0.12086) (xy -2.097003 0.151157) (xy -2.131056 0.227611)
(xy -2.156712 0.304931) (xy -2.17186 0.37106) (xy -2.175122 0.390026) (xy -2.177437 0.406936)
(xy -2.178908 0.423743) (xy -2.179639 0.442397) (xy -2.179734 0.464853) (xy -2.421772 0.464853)
(xy -2.421759 0.462779) (xy -2.421316 0.437207) (xy -2.420596 0.41486) (xy -2.419593 0.397034)
(xy -2.418305 0.385025) (xy -2.416726 0.380131) (xy -2.416659 0.380102) (xy -2.414158 0.375592)
(xy -2.410537 0.364274) (xy -2.40621 0.347669) (xy -2.401595 0.327293) (xy -2.399746 0.318354)
(xy -2.384225 0.252591) (xy -2.364537 0.190308) (xy -2.339614 0.128398) (xy -2.32005 0.086783)
(xy -2.278518 0.01049) (xy -2.23229 -0.060081) (xy -2.181781 -0.124426) (xy -2.127404 -0.182038)
(xy -2.069572 -0.232412) (xy -2.042584 -0.252546) (xy -2.023801 -0.266175) (xy -2.010399 -0.276965)
(xy -2.000645 -0.286665) (xy -1.992804 -0.297025) (xy -1.985141 -0.309794) (xy -1.984267 -0.311363)
(xy -1.976337 -0.326367) (xy -1.970101 -0.339486) (xy -1.966749 -0.348189) (xy -1.966571 -0.348945)
(xy -1.963919 -0.365471) (xy -1.961015 -0.388577) (xy -1.958049 -0.416387) (xy -1.955393 -0.445099)
(xy -1.7018 -0.445099) (xy -1.701705 -0.420385) (xy -1.701297 -0.402626) (xy -1.7004 -0.390311)
(xy -1.698834 -0.381931) (xy -1.696421 -0.375974) (xy -1.693027 -0.370988) (xy -1.682374 -0.362666)
(xy -1.667402 -0.359893) (xy -1.647397 -0.36266) (xy -1.623512 -0.370253) (xy -1.598524 -0.380445)
(xy -1.572392 -0.392758) (xy -1.54434 -0.407664) (xy -1.513593 -0.425632) (xy -1.479375 -0.447133)
(xy -1.440911 -0.472636) (xy -1.397425 -0.502613) (xy -1.350953 -0.535521) (xy -1.302552 -0.571254)
(xy -1.250695 -0.611556) (xy -1.196523 -0.655421) (xy -1.141174 -0.701844) (xy -1.085787 -0.749819)
(xy -1.031503 -0.79834) (xy -0.979459 -0.846401) (xy -0.930795 -0.892997) (xy -0.886651 -0.937121)
(xy -0.871244 -0.953394) (xy -0.61674 -0.953394) (xy -0.615326 -0.920165) (xy -0.606274 -0.885765)
(xy -0.589812 -0.850837) (xy -0.566174 -0.816027) (xy -0.538475 -0.784847) (xy -0.495261 -0.747039)
(xy -0.444878 -0.713089) (xy -0.387786 -0.68317) (xy -0.324439 -0.657458) (xy -0.255296 -0.636129)
(xy -0.180812 -0.619357) (xy -0.101445 -0.607317) (xy -0.065617 -0.603611) (xy -0.042109 -0.601631)
(xy -0.021499 -0.600264) (xy -0.002127 -0.599522) (xy 0.017669 -0.59942) (xy 0.03955 -0.59997)
(xy 0.065178 -0.601186) (xy 0.096215 -0.603081) (xy 0.127 -0.60516) (xy 0.194666 -0.612048)
(xy 0.261785 -0.623113) (xy 0.326519 -0.637927) (xy 0.387031 -0.656062) (xy 0.441484 -0.677091)
(xy 0.447488 -0.679772) (xy 0.488458 -0.701046) (xy 0.52644 -0.725997) (xy 0.560383 -0.753659)
(xy 0.589236 -0.783064) (xy 0.611949 -0.813244) (xy 0.627471 -0.843232) (xy 0.628828 -0.846848)
(xy 0.638903 -0.88601) (xy 0.640852 -0.924914) (xy 0.634621 -0.963712) (xy 0.620156 -1.002554)
(xy 0.597402 -1.04159) (xy 0.566306 -1.080971) (xy 0.53238 -1.115673) (xy 0.496937 -1.145918)
(xy 0.742675 -1.145918) (xy 0.745039 -1.134637) (xy 0.745174 -1.134134) (xy 0.753701 -1.112462)
(xy 0.768665 -1.086205) (xy 0.789675 -1.055791) (xy 0.816343 -1.021645) (xy 0.84828 -0.984195)
(xy 0.885097 -0.943868) (xy 0.926405 -0.901089) (xy 0.971816 -0.856285) (xy 1.02094 -0.809884)
(xy 1.073388 -0.762311) (xy 1.126066 -0.71631) (xy 1.196009 -0.657322) (xy 1.26311 -0.602704)
(xy 1.327043 -0.552685) (xy 1.387479 -0.507494) (xy 1.44409 -0.46736) (xy 1.496549 -0.432512)
(xy 1.544528 -0.403179) (xy 1.587699 -0.379589) (xy 1.625733 -0.361971) (xy 1.643352 -0.355253)
(xy 1.667723 -0.347577) (xy 1.686122 -0.343874) (xy 1.700011 -0.344056) (xy 1.710848 -0.34804)
(xy 1.715089 -0.351025) (xy 1.718892 -0.354423) (xy 1.721564 -0.358356) (xy 1.723283 -0.364233)
(xy 1.724227 -0.373465) (xy 1.724576 -0.38746) (xy 1.724507 -0.407628) (xy 1.724331 -0.42405)
(xy 1.723027 -0.468803) (xy 1.71996 -0.50954) (xy 1.714748 -0.548509) (xy 1.707008 -0.587958)
(xy 1.696357 -0.630132) (xy 1.682413 -0.677279) (xy 1.679734 -0.6858) (xy 1.65312 -0.756423)
(xy 1.619268 -0.822834) (xy 1.578034 -0.885264) (xy 1.529273 -0.943941) (xy 1.494376 -0.979319)
(xy 1.445677 -1.020705) (xy 1.390446 -1.058859) (xy 1.330261 -1.09298) (xy 1.266698 -1.122265)
(xy 1.201338 -1.145914) (xy 1.135756 -1.163124) (xy 1.130507 -1.164206) (xy 1.069688 -1.174023)
(xy 1.004153 -1.180183) (xy 0.93688 -1.182565) (xy 0.870845 -1.181051) (xy 0.819149 -1.176752)
(xy 0.79085 -1.173257) (xy 0.770041 -1.169836) (xy 0.755772 -1.16594) (xy 0.747089 -1.161021)
(xy 0.743041 -1.15453) (xy 0.742675 -1.145918) (xy 0.496937 -1.145918) (xy 0.485771 -1.155446)
(xy 0.434662 -1.191087) (xy 0.378244 -1.223027) (xy 0.31571 -1.251698) (xy 0.246249 -1.277531)
(xy 0.204139 -1.290885) (xy 0.17196 -1.300076) (xy 0.143475 -1.306999) (xy 0.116447 -1.31196)
(xy 0.088637 -1.315267) (xy 0.057809 -1.317225) (xy 0.021726 -1.318143) (xy 0.004233 -1.318292)
(xy -0.025217 -1.318314) (xy -0.048463 -1.317919) (xy -0.067768 -1.316911) (xy -0.085393 -1.315099)
(xy -0.103597 -1.312288) (xy -0.124643 -1.308285) (xy -0.13335 -1.306515) (xy -0.196404 -1.291658)
(xy -0.253566 -1.273868) (xy -0.307179 -1.25218) (xy -0.359587 -1.22563) (xy -0.413132 -1.193255)
(xy -0.420769 -1.188258) (xy -0.469836 -1.153329) (xy -0.512725 -1.117504) (xy -0.548977 -1.081276)
(xy -0.578132 -1.045139) (xy -0.59973 -1.009587) (xy -0.610282 -0.984809) (xy -0.61674 -0.953394)
(xy -0.871244 -0.953394) (xy -0.848166 -0.977769) (xy -0.840734 -0.985964) (xy -0.813016 -1.01728)
(xy -0.790475 -1.04394) (xy -0.772196 -1.067168) (xy -0.757264 -1.088187) (xy -0.744764 -1.108223)
(xy -0.733782 -1.128499) (xy -0.732867 -1.130318) (xy -0.724881 -1.147081) (xy -0.720243 -1.160124)
(xy -0.719662 -1.170051) (xy -0.72385 -1.177466) (xy -0.733517 -1.182975) (xy -0.749374 -1.187182)
(xy -0.772131 -1.190692) (xy -0.802499 -1.194109) (xy -0.808567 -1.194735) (xy -0.891084 -1.199713)
(xy -0.972866 -1.197863) (xy -1.053051 -1.189371) (xy -1.13078 -1.174419) (xy -1.205192 -1.153194)
(xy -1.275427 -1.12588) (xy -1.340623 -1.092661) (xy -1.370806 -1.074064) (xy -1.433872 -1.028295)
(xy -1.489973 -0.978141) (xy -1.539341 -0.923271) (xy -1.582213 -0.863351) (xy -1.618823 -0.798052)
(xy -1.649404 -0.727041) (xy -1.674193 -0.649987) (xy -1.676204 -0.642534) (xy -1.689438 -0.584966)
(xy -1.697679 -0.529362) (xy -1.701437 -0.4718) (xy -1.7018 -0.445099) (xy -1.955393 -0.445099)
(xy -1.955214 -0.447026) (xy -1.952701 -0.47862) (xy -1.951416 -0.497417) (xy -1.949113 -0.530923)
(xy -1.946729 -0.55836) (xy -1.943927 -0.582122) (xy -1.94037 -0.604603) (xy -1.93572 -0.628198)
(xy -1.929641 -0.655299) (xy -1.928447 -0.6604) (xy -1.905499 -0.743475) (xy -1.87683 -0.821101)
(xy -1.842099 -0.89376) (xy -1.800967 -0.961934) (xy -1.753092 -1.026105) (xy -1.698134 -1.086756)
(xy -1.635753 -1.144368) (xy -1.565608 -1.199424) (xy -1.530351 -1.224222) (xy -1.509902 -1.237935)
(xy -1.489002 -1.251609) (xy -1.470149 -1.263628) (xy -1.456267 -1.272128) (xy -1.442796 -1.280126)
(xy -1.432395 -1.286409) (xy -1.427022 -1.289792) (xy -1.426756 -1.289988) (xy -1.427453 -1.292997)
(xy -1.435378 -1.297528) (xy -1.450877 -1.303742) (xy -1.471084 -1.310741) (xy -1.511028 -1.32584)
(xy -1.543541 -1.342104) (xy -1.561802 -1.354209) (xy -1.573112 -1.365094) (xy -1.585479 -1.38049)
(xy -1.597098 -1.397736) (xy -1.606167 -1.414172) (xy -1.610733 -1.426401) (xy -1.613875 -1.43563)
(xy -1.619676 -1.443018) (xy -1.629609 -1.449611) (xy -1.645152 -1.456456) (xy -1.661584 -1.462456)
(xy -1.705192 -1.480842) (xy -1.741457 -1.503107) (xy -1.77072 -1.52957) (xy -1.793319 -1.560548)
(xy -1.809593 -1.59636) (xy -1.811916 -1.603471) (xy -1.816941 -1.618409) (xy -1.82175 -1.630494)
(xy -1.825195 -1.63694) (xy -1.830604 -1.641777) (xy -1.841149 -1.649981) (xy -1.854978 -1.660137)
(xy -1.862149 -1.665225) (xy -1.890203 -1.687133) (xy -1.916513 -1.71185) (xy -1.93939 -1.737562)
(xy -1.957148 -1.762456) (xy -1.963764 -1.774403) (xy -1.97513 -1.804582) (xy -1.981489 -1.834764)
(xy -1.986071 -1.868245) (xy -2.018861 -1.900381) (xy -2.040174 -1.92296) (xy -2.055828 -1.943751)
(xy -2.065912 -1.961543) (xy -2.072191 -1.974943) (xy -2.076413 -1.986341) (xy -2.079084 -1.998185)
(xy -2.080707 -2.012925) (xy -2.081788 -2.033009) (xy -2.082058 -2.039803) (xy -2.083943 -2.089036)
(xy -2.110579 -2.126132) (xy -2.123154 -2.144435) (xy -2.135095 -2.16319) (xy -2.144659 -2.179596)
(xy -2.148381 -2.186829) (xy -2.158865 -2.218037) (xy -2.162544 -2.251402) (xy -2.159184 -2.284096)
(xy -2.157146 -2.292076) (xy -2.14997 -2.316759) (xy -2.165295 -2.340538) (xy -2.186273 -2.377371)
(xy -2.199643 -2.411511) (xy -2.204023 -2.435889) (xy -2.019306 -2.435889) (xy -2.016524 -2.42827)
(xy -2.008998 -2.416152) (xy -1.997939 -2.401057) (xy -1.984559 -2.384505) (xy -1.97007 -2.368015)
(xy -1.955683 -2.353107) (xy -1.946595 -2.344666) (xy -1.91506 -2.316629) (xy -1.890272 -2.29353)
(xy -1.872155 -2.275293) (xy -1.860635 -2.261841) (xy -1.855637 -2.253095) (xy -1.855584 -2.250401)
(xy -1.86357 -2.240989) (xy -1.879096 -2.234879) (xy -1.902255 -2.232048) (xy -1.933142 -2.232476)
(xy -1.933759 -2.232513) (xy -1.977334 -2.235142) (xy -1.96361 -2.215063) (xy -1.954058 -2.202586)
(xy -1.940093 -2.186783) (xy -1.921284 -2.167214) (xy -1.897196 -2.143439) (xy -1.867398 -2.115018)
(xy -1.839223 -2.088703) (xy -1.82338 -2.073777) (xy -1.810044 -2.060766) (xy -1.80033 -2.050792)
(xy -1.795352 -2.044978) (xy -1.794934 -2.044107) (xy -1.798894 -2.03878) (xy -1.809685 -2.033892)
(xy -1.825671 -2.029871) (xy -1.845214 -2.027145) (xy -1.863519 -2.026165) (xy -1.900355 -2.02565)
(xy -1.886803 -2.011484) (xy -1.870397 -1.995668) (xy -1.848339 -1.976382) (xy -1.822064 -1.954788)
(xy -1.793008 -1.932049) (xy -1.762605 -1.909324) (xy -1.7443 -1.89617) (xy -1.723622 -1.881499)
(xy -1.709018 -1.870853) (xy -1.69964 -1.863382) (xy -1.694639 -1.858235) (xy -1.69317 -1.85456)
(xy -1.694383 -1.851506) (xy -1.696987 -1.848666) (xy -1.703424 -1.844304) (xy -1.713813 -1.840956)
(xy -1.729785 -1.838216) (xy -1.746901 -1.836268) (xy -1.764957 -1.8342) (xy -1.779798 -1.832011)
(xy -1.78943 -1.83002) (xy -1.791913 -1.829026) (xy -1.790592 -1.825032) (xy -1.78265 -1.817619)
(xy -1.768943 -1.807333) (xy -1.75033 -1.794723) (xy -1.727669 -1.780335) (xy -1.701817 -1.764717)
(xy -1.673633 -1.748416) (xy -1.643974 -1.731978) (xy -1.618646 -1.718516) (xy -1.526905 -1.670757)
(xy -1.538222 -1.661022) (xy -1.549843 -1.653785) (xy -1.566326 -1.64671) (xy -1.584136 -1.641071)
(xy -1.599742 -1.638143) (xy -1.602027 -1.638003) (xy -1.613588 -1.636145) (xy -1.619251 -1.634377)
(xy -1.621013 -1.630965) (xy -1.615419 -1.625417) (xy -1.603218 -1.618049) (xy -1.585156 -1.609181)
(xy -1.56198 -1.59913) (xy -1.534439 -1.588215) (xy -1.503279 -1.576753) (xy -1.469248 -1.565064)
(xy -1.433094 -1.553464) (xy -1.419225 -1.549227) (xy -1.398131 -1.542716) (xy -1.380257 -1.5369)
(xy -1.367013 -1.53226) (xy -1.359812 -1.529282) (xy -1.358916 -1.528586) (xy -1.360931 -1.523683)
(xy -1.365879 -1.514752) (xy -1.367089 -1.51274) (xy -1.378396 -1.500733) (xy -1.39725 -1.488886)
(xy -1.401933 -1.486554) (xy -1.428619 -1.473746) (xy -1.415985 -1.468817) (xy -1.377791 -1.456508)
(xy -1.333553 -1.446741) (xy -1.285419 -1.439933) (xy -1.252879 -1.43728) (xy -1.229573 -1.435509)
(xy -1.205036 -1.432931) (xy -1.181021 -1.429808) (xy -1.159282 -1.426403) (xy -1.141574 -1.422977)
(xy -1.129651 -1.419795) (xy -1.126344 -1.418338) (xy -1.122828 -1.414449) (xy -1.123567 -1.407833)
(xy -1.125965 -1.40148) (xy -1.131323 -1.392856) (xy -1.140401 -1.382007) (xy -1.151228 -1.370883)
(xy -1.161835 -1.361435) (xy -1.170252 -1.355615) (xy -1.17323 -1.354667) (xy -1.176795 -1.351461)
(xy -1.176867 -1.350666) (xy -1.173026 -1.347988) (xy -1.16306 -1.345817) (xy -1.149311 -1.344407)
(xy -1.134117 -1.344013) (xy -1.121834 -1.344665) (xy -1.112358 -1.345448) (xy -1.096378 -1.34658)
(xy -1.075846 -1.347932) (xy -1.052712 -1.349371) (xy -1.043517 -1.349922) (xy -1.014469 -1.351827)
(xy -0.989399 -1.353995) (xy -0.966035 -1.356751) (xy -0.942103 -1.360416) (xy -0.915329 -1.365315)
(xy -0.883439 -1.371771) (xy -0.869951 -1.374606) (xy -0.797746 -1.392929) (xy -0.731275 -1.416048)
(xy -0.670831 -1.443771) (xy -0.616707 -1.475908) (xy -0.569193 -1.512269) (xy -0.528583 -1.552663)
(xy -0.49517 -1.5969) (xy -0.475271 -1.63195) (xy -0.462708 -1.658717) (xy -0.452784 -1.682646)
(xy -0.445885 -1.702618) (xy -0.442395 -1.717512) (xy -0.442701 -1.726208) (xy -0.442784 -1.726384)
(xy -0.447898 -1.733163) (xy -0.458472 -1.744601) (xy -0.473563 -1.75982) (xy -0.492227 -1.777941)
(xy -0.513519 -1.798085) (xy -0.536496 -1.819373) (xy -0.560213 -1.840928) (xy -0.583727 -1.861869)
(xy -0.606094 -1.881318) (xy -0.626369 -1.898398) (xy -0.635993 -1.906227) (xy -0.69507 -1.952042)
(xy -0.760513 -2.000072) (xy -0.83086 -2.049312) (xy -0.904648 -2.098753) (xy -0.980415 -2.147389)
(xy -1.037167 -2.182434) (xy -1.067276 -2.200432) (xy -1.102966 -2.221311) (xy -1.142956 -2.244356)
(xy -1.185969 -2.268853) (xy -1.230725 -2.294087) (xy -1.275945 -2.319345) (xy -1.32035 -2.343913)
(xy -1.362662 -2.367076) (xy -1.401601 -2.38812) (xy -1.435888 -2.40633) (xy -1.464244 -2.420994)
(xy -1.465792 -2.421777) (xy -1.496129 -2.437297) (xy -1.519201 -2.449574) (xy -1.535443 -2.45889)
(xy -1.545287 -2.465527) (xy -1.549168 -2.469766) (xy -1.54752 -2.471889) (xy -1.543574 -2.472267)
(xy -1.538266 -2.47087) (xy -1.52615 -2.466947) (xy -1.50836 -2.460907) (xy -1.486032 -2.453154)
(xy -1.460299 -2.444096) (xy -1.432298 -2.434137) (xy -1.403162 -2.423685) (xy -1.374027 -2.413146)
(xy -1.346027 -2.402925) (xy -1.320298 -2.393428) (xy -1.297974 -2.385063) (xy -1.28019 -2.378235)
(xy -1.27408 -2.375816) (xy -1.254098 -2.36758) (xy -1.22792 -2.356469) (xy -1.197043 -2.343146)
(xy -1.162964 -2.328274) (xy -1.127179 -2.312512) (xy -1.091186 -2.296525) (xy -1.05648 -2.280973)
(xy -1.02456 -2.266518) (xy -0.996921 -2.253823) (xy -0.975784 -2.243896) (xy -0.857062 -2.183761)
(xy -0.737381 -2.116512) (xy -0.618297 -2.043118) (xy -0.501368 -1.96455) (xy -0.388149 -1.881778)
(xy -0.360677 -1.860596) (xy -0.348258 -1.851165) (xy -0.338491 -1.845336) (xy -0.32962 -1.843297)
(xy -0.319888 -1.845236) (xy -0.307539 -1.851343) (xy -0.290818 -1.861807) (xy -0.279351 -1.869335)
(xy -0.236438 -1.901887) (xy -0.201084 -1.938139) (xy -0.173109 -1.978355) (xy -0.152335 -2.022798)
(xy -0.138583 -2.071733) (xy -0.137278 -2.078567) (xy -0.13413 -2.100555) (xy 0.148225 -2.100555)
(xy 0.148464 -2.085162) (xy 0.149414 -2.073116) (xy 0.151234 -2.062712) (xy 0.154085 -2.052244)
(xy 0.158128 -2.040006) (xy 0.15988 -2.034914) (xy 0.17381 -1.999624) (xy 0.189985 -1.969719)
(xy 0.210318 -1.942123) (xy 0.232833 -1.917646) (xy 0.250246 -1.90141) (xy 0.26969 -1.88544)
(xy 0.289636 -1.870776) (xy 0.308555 -1.858457) (xy 0.324916 -1.849524) (xy 0.337189 -1.845016)
(xy 0.340201 -1.844675) (xy 0.346732 -1.847251) (xy 0.359242 -1.854695) (xy 0.377103 -1.866584)
(xy 0.399686 -1.882494) (xy 0.42636 -1.902001) (xy 0.436363 -1.909462) (xy 0.525712 -1.974396)
(xy 0.613027 -2.033558) (xy 0.700668 -2.088413) (xy 0.790995 -2.140426) (xy 0.886368 -2.191062)
(xy 0.905933 -2.20099) (xy 0.987793 -2.241673) (xy 1.064238 -2.278409) (xy 1.136929 -2.311905)
(xy 1.207526 -2.342865) (xy 1.277688 -2.371996) (xy 1.349076 -2.400003) (xy 1.423349 -2.427591)
(xy 1.502167 -2.455466) (xy 1.515269 -2.459985) (xy 1.533086 -2.466124) (xy 1.54435 -2.469848)
(xy 1.550549 -2.471389) (xy 1.553172 -2.470979) (xy 1.553708 -2.46885) (xy 1.553633 -2.465964)
(xy 1.549985 -2.461185) (xy 1.539992 -2.454019) (xy 1.525082 -2.445438) (xy 1.518708 -2.442151)
(xy 1.487183 -2.426044) (xy 1.449607 -2.406341) (xy 1.407228 -2.383731) (xy 1.361298 -2.358905)
(xy 1.313067 -2.332552) (xy 1.263783 -2.305363) (xy 1.214698 -2.278026) (xy 1.167061 -2.251233)
(xy 1.122122 -2.225672) (xy 1.081132 -2.202033) (xy 1.04534 -2.181007) (xy 1.043516 -2.179922)
(xy 0.981124 -2.141636) (xy 0.916544 -2.099909) (xy 0.851217 -2.055774) (xy 0.786587 -2.010264)
(xy 0.724094 -1.96441) (xy 0.665181 -1.919246) (xy 0.611291 -1.875806) (xy 0.571507 -1.841884)
(xy 0.553991 -1.826205) (xy 0.535027 -1.808755) (xy 0.515721 -1.790605) (xy 0.49718 -1.772825)
(xy 0.480509 -1.756488) (xy 0.466813 -1.742664) (xy 0.4572 -1.732424) (xy 0.452817 -1.726922)
(xy 0.452249 -1.718479) (xy 0.455676 -1.703508) (xy 0.459832 -1.69105) (xy 0.484279 -1.635272)
(xy 0.515322 -1.584562) (xy 0.553048 -1.538843) (xy 0.59754 -1.498038) (xy 0.648881 -1.462071)
(xy 0.707157 -1.430864) (xy 0.77245 -1.404342) (xy 0.774864 -1.403497) (xy 0.817186 -1.389606)
(xy 0.857436 -1.378325) (xy 0.897497 -1.369315) (xy 0.93925 -1.362236) (xy 0.984577 -1.356747)
(xy 1.03536 -1.352508) (xy 1.068283 -1.350477) (xy 1.091291 -1.349045) (xy 1.111251 -1.347503)
(xy 1.126665 -1.345992) (xy 1.136032 -1.34465) (xy 1.138133 -1.343977) (xy 1.143455 -1.343009)
(xy 1.153928 -1.343311) (xy 1.166391 -1.344548) (xy 1.177682 -1.346388) (xy 1.18464 -1.348498)
(xy 1.185249 -1.348939) (xy 1.183984 -1.352684) (xy 1.178976 -1.357213) (xy 1.162079 -1.371046)
(xy 1.148746 -1.38522) (xy 1.139765 -1.398506) (xy 1.135923 -1.409675) (xy 1.138004 -1.417497)
(xy 1.139579 -1.418809) (xy 1.147538 -1.422712) (xy 1.159015 -1.426121) (xy 1.17504 -1.429206)
(xy 1.19664 -1.432135) (xy 1.224844 -1.43508) (xy 1.253066 -1.43758) (xy 1.302906 -1.442523)
(xy 1.345827 -1.448387) (xy 1.381397 -1.455097) (xy 1.409187 -1.462577) (xy 1.420685 -1.466858)
(xy 1.437216 -1.473878) (xy 1.413296 -1.486094) (xy 1.395617 -1.496821) (xy 1.381839 -1.508497)
(xy 1.373457 -1.519677) (xy 1.371599 -1.526432) (xy 1.375504 -1.530252) (xy 1.386327 -1.535357)
(xy 1.402731 -1.54115) (xy 1.408641 -1.542963) (xy 1.443498 -1.553707) (xy 1.478186 -1.565109)
(xy 1.511766 -1.576796) (xy 1.543301 -1.588399) (xy 1.571853 -1.599545) (xy 1.596485 -1.609863)
(xy 1.61626 -1.618982) (xy 1.630239 -1.62653) (xy 1.637485 -1.632136) (xy 1.638299 -1.633935)
(xy 1.634464 -1.636032) (xy 1.624531 -1.63802) (xy 1.613958 -1.639178) (xy 1.59659 -1.641808)
(xy 1.579113 -1.646568) (xy 1.563488 -1.652653) (xy 1.551676 -1.659258) (xy 1.545637 -1.665576)
(xy 1.545245 -1.667397) (xy 1.548985 -1.672046) (xy 1.560199 -1.679795) (xy 1.57898 -1.6907)
(xy 1.605423 -1.704819) (xy 1.620137 -1.712384) (xy 1.658243 -1.732469) (xy 1.694851 -1.753057)
(xy 1.728622 -1.773323) (xy 1.758218 -1.792446) (xy 1.782298 -1.809602) (xy 1.794933 -1.819806)
(xy 1.80975 -1.83269) (xy 1.7835 -1.832862) (xy 1.758045 -1.834317) (xy 1.734978 -1.838044)
(xy 1.716391 -1.843593) (xy 1.705416 -1.849615) (xy 1.700967 -1.853587) (xy 1.700014 -1.85693)
(xy 1.703552 -1.861035) (xy 1.712575 -1.867295) (xy 1.722546 -1.873616) (xy 1.739647 -1.88499)
(xy 1.759926 -1.899448) (xy 1.782305 -1.916121) (xy 1.805707 -1.93414) (xy 1.829055 -1.952636)
(xy 1.851272 -1.970741) (xy 1.871281 -1.987586) (xy 1.888005 -2.002302) (xy 1.900368 -2.01402)
(xy 1.907292 -2.021872) (xy 1.908144 -2.023336) (xy 1.904734 -2.024436) (xy 1.894754 -2.025352)
(xy 1.880102 -2.025934) (xy 1.874277 -2.02603) (xy 1.852831 -2.027304) (xy 1.834108 -2.030351)
(xy 1.819551 -2.034739) (xy 1.810603 -2.040035) (xy 1.808667 -2.045699) (xy 1.812188 -2.050051)
(xy 1.821025 -2.059187) (xy 1.834235 -2.072184) (xy 1.850876 -2.08812) (xy 1.870008 -2.106075)
(xy 1.876867 -2.112434) (xy 1.898601 -2.133037) (xy 1.919884 -2.154153) (xy 1.939837 -2.174818)
(xy 1.957585 -2.194067) (xy 1.97225 -2.210936) (xy 1.982953 -2.224459) (xy 1.988819 -2.233672)
(xy 1.989666 -2.236479) (xy 1.986034 -2.236945) (xy 1.976996 -2.235557) (xy 1.973791 -2.234856)
(xy 1.946011 -2.230949) (xy 1.917985 -2.231502) (xy 1.892177 -2.236227) (xy 1.871048 -2.244839)
(xy 1.867197 -2.247277) (xy 1.864989 -2.252624) (xy 1.869039 -2.261539) (xy 1.879551 -2.274253)
(xy 1.896724 -2.290999) (xy 1.920759 -2.312008) (xy 1.92994 -2.319676) (xy 1.948133 -2.335168)
(xy 1.965316 -2.35058) (xy 1.979705 -2.364259) (xy 1.989513 -2.374553) (xy 1.990443 -2.375659)
(xy 1.999612 -2.387516) (xy 2.009561 -2.401414) (xy 2.019007 -2.415418) (xy 2.026665 -2.42759)
(xy 2.031251 -2.435995) (xy 2.032 -2.438327) (xy 2.028032 -2.439134) (xy 2.017175 -2.43957)
(xy 2.001 -2.439611) (xy 1.981078 -2.439235) (xy 1.977025 -2.439116) (xy 1.954307 -2.438519)
(xy 1.938648 -2.43848) (xy 1.928651 -2.439133) (xy 1.922919 -2.440611) (xy 1.920056 -2.443047)
(xy 1.919282 -2.444616) (xy 1.918576 -2.455542) (xy 1.921917 -2.472318) (xy 1.928768 -2.493675)
(xy 1.938592 -2.518345) (xy 1.950851 -2.54506) (xy 1.965009 -2.57255) (xy 1.98053 -2.599547)
(xy 1.99336 -2.619632) (xy 2.019518 -2.658534) (xy 1.906167 -2.658952) (xy 1.863452 -2.659233)
(xy 1.828529 -2.659759) (xy 1.800728 -2.660578) (xy 1.779375 -2.661737) (xy 1.763801 -2.663284)
(xy 1.753332 -2.665265) (xy 1.747298 -2.667728) (xy 1.745143 -2.670268) (xy 1.74721 -2.67531)
(xy 1.754178 -2.684823) (xy 1.764899 -2.697353) (xy 1.775725 -2.708904) (xy 1.808085 -2.742156)
(xy 1.779648 -2.747522) (xy 1.74462 -2.751451) (xy 1.705026 -2.750752) (xy 1.660187 -2.745359)
(xy 1.609425 -2.735207) (xy 1.582259 -2.728495) (xy 1.55811 -2.722426) (xy 1.536518 -2.717419)
(xy 1.518922 -2.713775) (xy 1.50676 -2.711793) (xy 1.501644 -2.71168) (xy 1.494152 -2.718514)
(xy 1.48897 -2.730525) (xy 1.487194 -2.74451) (xy 1.487999 -2.751588) (xy 1.492682 -2.761798)
(xy 1.502186 -2.775151) (xy 1.513669 -2.788095) (xy 1.523382 -2.798647) (xy 1.529123 -2.80613)
(xy 1.529983 -2.809304) (xy 1.529163 -2.809234) (xy 1.521894 -2.807624) (xy 1.508587 -2.805165)
(xy 1.491579 -2.802279) (xy 1.483184 -2.800928) (xy 1.465787 -2.797567) (xy 1.442668 -2.792221)
(xy 1.41617 -2.785479) (xy 1.388638 -2.777929) (xy 1.37149 -2.772923) (xy 1.34391 -2.764961)
(xy 1.320698 -2.758879) (xy 1.302898 -2.754924) (xy 1.291554 -2.753338) (xy 1.288667 -2.753502)
(xy 1.280522 -2.758663) (xy 1.276188 -2.770091) (xy 1.27616 -2.770237) (xy 1.276259 -2.785646)
(xy 1.282734 -2.801614) (xy 1.296137 -2.819214) (xy 1.307002 -2.830306) (xy 1.316652 -2.840253)
(xy 1.322751 -2.847925) (xy 1.323992 -2.851486) (xy 1.318049 -2.852238) (xy 1.305691 -2.850293)
(xy 1.288356 -2.846115) (xy 1.267482 -2.840169) (xy 1.24451 -2.832918) (xy 1.220876 -2.824828)
(xy 1.198021 -2.81636) (xy 1.177383 -2.807981) (xy 1.1604 -2.800154) (xy 1.153583 -2.796509)
(xy 1.141004 -2.789287) (xy 1.124749 -2.77995) (xy 1.109472 -2.77117) (xy 1.095536 -2.763843)
(xy 1.083988 -2.759007) (xy 1.077094 -2.757594) (xy 1.076688 -2.757698) (xy 1.06942 -2.763843)
(xy 1.062072 -2.775023) (xy 1.056388 -2.788004) (xy 1.054115 -2.799502) (xy 1.056846 -2.814051)
(xy 1.063935 -2.830572) (xy 1.073677 -2.845132) (xy 1.074094 -2.845614) (xy 1.079345 -2.853121)
(xy 1.078408 -2.856271) (xy 1.072074 -2.8555) (xy 1.061136 -2.851243) (xy 1.046386 -2.843934)
(xy 1.028616 -2.834008) (xy 1.008618 -2.8219) (xy 0.987185 -2.808045) (xy 0.965108 -2.792878)
(xy 0.943179 -2.776834) (xy 0.929482 -2.766222) (xy 0.911692 -2.752394) (xy 0.896071 -2.740817)
(xy 0.883868 -2.732372) (xy 0.876331 -2.727941) (xy 0.874675 -2.727514) (xy 0.87011 -2.731549)
(xy 0.862746 -2.740501) (xy 0.856418 -2.749232) (xy 0.848393 -2.762047) (xy 0.844174 -2.773182)
(xy 0.842597 -2.786582) (xy 0.842433 -2.796579) (xy 0.841906 -2.81046) (xy 0.840528 -2.820219)
(xy 0.838736 -2.823634) (xy 0.832692 -2.820895) (xy 0.821694 -2.813348) (xy 0.806944 -2.801997)
(xy 0.789643 -2.787848) (xy 0.770994 -2.771905) (xy 0.752197 -2.755172) (xy 0.734455 -2.738656)
(xy 0.719681 -2.72409) (xy 0.70351 -2.707643) (xy 0.692045 -2.696473) (xy 0.684115 -2.689766)
(xy 0.678546 -2.686707) (xy 0.674166 -2.68648) (xy 0.669802 -2.688271) (xy 0.668881 -2.688771)
(xy 0.660644 -2.69598) (xy 0.65194 -2.707555) (xy 0.648451 -2.713607) (xy 0.642526 -2.727152)
(xy 0.640258 -2.739783) (xy 0.640881 -2.755944) (xy 0.640977 -2.756959) (xy 0.641827 -2.769999)
(xy 0.641612 -2.778833) (xy 0.640696 -2.7813) (xy 0.635125 -2.778324) (xy 0.624927 -2.770065)
(xy 0.611144 -2.757533) (xy 0.594817 -2.741733) (xy 0.576989 -2.723674) (xy 0.5587 -2.704362)
(xy 0.54144 -2.685311) (xy 0.516947 -2.657692) (xy 0.497356 -2.635856) (xy 0.482045 -2.619171)
(xy 0.470391 -2.607004) (xy 0.461773 -2.598721) (xy 0.45557 -2.593689) (xy 0.451159 -2.591274)
(xy 0.44864 -2.5908) (xy 0.442913 -2.594551) (xy 0.436112 -2.604421) (xy 0.429294 -2.618335)
(xy 0.423521 -2.634219) (xy 0.42061 -2.645687) (xy 0.417231 -2.657501) (xy 0.412494 -2.662781)
(xy 0.405473 -2.661429) (xy 0.395245 -2.653345) (xy 0.38391 -2.641724) (xy 0.353511 -2.606451)
(xy 0.321357 -2.564645) (xy 0.28847 -2.517771) (xy 0.255875 -2.467295) (xy 0.224594 -2.414682)
(xy 0.221244 -2.408767) (xy 0.211311 -2.389231) (xy 0.199976 -2.363827) (xy 0.188149 -2.33483)
(xy 0.176738 -2.304518) (xy 0.166655 -2.275167) (xy 0.162278 -2.261176) (xy 0.158149 -2.246462)
(xy 0.15509 -2.232642) (xy 0.152887 -2.217854) (xy 0.151325 -2.200234) (xy 0.150188 -2.177921)
(xy 0.149261 -2.149051) (xy 0.149238 -2.148203) (xy 0.148537 -2.121) (xy 0.148225 -2.100555)
(xy -0.13413 -2.100555) (xy -0.133085 -2.107851) (xy -0.131768 -2.135621) (xy -0.133385 -2.164726)
(xy -0.137995 -2.198017) (xy -0.139974 -2.209269) (xy -0.155957 -2.27535) (xy -0.179877 -2.342781)
(xy -0.211426 -2.410984) (xy -0.250299 -2.479383) (xy -0.296186 -2.547398) (xy -0.348783 -2.614453)
(xy -0.366372 -2.634921) (xy -0.399519 -2.672692) (xy -0.410701 -2.637914) (xy -0.418539 -2.615773)
(xy -0.425586 -2.601228) (xy -0.432405 -2.593517) (xy -0.439561 -2.591878) (xy -0.443761 -2.593248)
(xy -0.449012 -2.597535) (xy -0.458812 -2.607145) (xy -0.472144 -2.621019) (xy -0.487993 -2.638099)
(xy -0.505342 -2.657325) (xy -0.506383 -2.658495) (xy -0.52995 -2.684612) (xy -0.552819 -2.70922)
(xy -0.574128 -2.731448) (xy -0.593017 -2.750423) (xy -0.608624 -2.765273) (xy -0.620088 -2.775127)
(xy -0.62472 -2.778336) (xy -0.627894 -2.778751) (xy -0.629748 -2.77465) (xy -0.630599 -2.764629)
(xy -0.630767 -2.751319) (xy -0.632887 -2.725703) (xy -0.639616 -2.706214) (xy -0.651514 -2.691544)
(xy -0.658482 -2.68631) (xy -0.665492 -2.682328) (xy -0.670505 -2.682768) (xy -0.67643 -2.688562)
(xy -0.680262 -2.69328) (xy -0.695459 -2.710625) (xy -0.715363 -2.731003) (xy -0.737853 -2.752443)
(xy -0.760807 -2.772974) (xy -0.782104 -2.790623) (xy -0.796619 -2.801409) (xy -0.810907 -2.811098)
(xy -0.822365 -2.818648) (xy -0.82936 -2.822994) (xy -0.830696 -2.823634) (xy -0.831322 -2.819805)
(xy -0.831219 -2.809874) (xy -0.830603 -2.798913) (xy -0.831038 -2.776863) (xy -0.836766 -2.759191)
(xy -0.848946 -2.742886) (xy -0.854131 -2.737715) (xy -0.866584 -2.72588) (xy -0.888866 -2.744065)
(xy -0.923342 -2.771603) (xy -0.953095 -2.793995) (xy -0.97936 -2.812113) (xy -1.003368 -2.826828)
(xy -1.015564 -2.833525) (xy -1.03647 -2.844258) (xy -1.050758 -2.850691) (xy -1.059099 -2.852827)
(xy -1.062166 -2.850672) (xy -1.06063 -2.844232) (xy -1.055342 -2.833832) (xy -1.046862 -2.812673)
(xy -1.044838 -2.792253) (xy -1.049387 -2.774537) (xy -1.051504 -2.770855) (xy -1.059027 -2.763138)
(xy -1.066548 -2.760134) (xy -1.073209 -2.762033) (xy -1.085593 -2.767216) (xy -1.101988 -2.774913)
(xy -1.120683 -2.784356) (xy -1.122542 -2.785328) (xy -1.144179 -2.796322) (xy -1.166428 -2.807005)
(xy -1.1865 -2.816071) (xy -1.20015 -2.821675) (xy -1.216027 -2.827246) (xy -1.235271 -2.833397)
(xy -1.255897 -2.839566) (xy -1.275918 -2.845191) (xy -1.293348 -2.849708) (xy -1.3062 -2.852556)
(xy -1.311612 -2.853256) (xy -1.311477 -2.850415) (xy -1.306468 -2.84278) (xy -1.297539 -2.831698)
(xy -1.291368 -2.824692) (xy -1.27949 -2.810819) (xy -1.269858 -2.798164) (xy -1.263968 -2.788754)
(xy -1.262977 -2.78628) (xy -1.262934 -2.774061) (xy -1.26744 -2.762019) (xy -1.274956 -2.753895)
(xy -1.276631 -2.753091) (xy -1.28327 -2.753219) (xy -1.295948 -2.755584) (xy -1.312669 -2.75976)
(xy -1.326558 -2.76379) (xy -1.347928 -2.770029) (xy -1.372724 -2.77675) (xy -1.399379 -2.783583)
(xy -1.426324 -2.79016) (xy -1.451994 -2.79611) (xy -1.474821 -2.801065) (xy -1.493238 -2.804655)
(xy -1.505677 -2.80651) (xy -1.508594 -2.806684) (xy -1.516869 -2.806387) (xy -1.517479 -2.804294)
(xy -1.511982 -2.799292) (xy -1.504459 -2.79122) (xy -1.495406 -2.779184) (xy -1.486316 -2.765504)
(xy -1.478682 -2.752501) (xy -1.473999 -2.742495) (xy -1.473222 -2.739064) (xy -1.475737 -2.731603)
(xy -1.481862 -2.721878) (xy -1.48281 -2.720662) (xy -1.492377 -2.708706) (xy -1.543114 -2.721469)
(xy -1.587396 -2.732213) (xy -1.624829 -2.740381) (xy -1.656561 -2.746173) (xy -1.683744 -2.749788)
(xy -1.707525 -2.751423) (xy -1.717282 -2.751566) (xy -1.741333 -2.750822) (xy -1.762314 -2.748881)
(xy -1.778572 -2.745977) (xy -1.788451 -2.742344) (xy -1.789749 -2.74133) (xy -1.788059 -2.737451)
(xy -1.781433 -2.729045) (xy -1.771012 -2.717477) (xy -1.761897 -2.708052) (xy -1.745018 -2.689966)
(xy -1.734997 -2.676539) (xy -1.731744 -2.667591) (xy -1.735169 -2.662942) (xy -1.738842 -2.662206)
(xy -1.744801 -2.661984) (xy -1.758124 -2.661623) (xy -1.777709 -2.661148) (xy -1.802456 -2.660586)
(xy -1.831262 -2.659962) (xy -1.863027 -2.6593) (xy -1.876314 -2.659031) (xy -2.006378 -2.656417)
(xy -1.980477 -2.616201) (xy -1.963909 -2.589035) (xy -1.948455 -2.560991) (xy -1.934634 -2.533278)
(xy -1.92296 -2.507102) (xy -1.913952 -2.483674) (xy -1.908127 -2.4642) (xy -1.906 -2.44989)
(xy -1.906815 -2.444012) (xy -1.90914 -2.44141) (xy -1.914613 -2.439728) (xy -1.924544 -2.438856)
(xy -1.940245 -2.438681) (xy -1.963028 -2.439091) (xy -1.964269 -2.439122) (xy -1.989724 -2.439468)
(xy -2.00712 -2.438988) (xy -2.016826 -2.437662) (xy -2.019306 -2.435889) (xy -2.204023 -2.435889)
(xy -2.205457 -2.443866) (xy -2.203771 -2.475344) (xy -2.194638 -2.506852) (xy -2.178113 -2.539299)
(xy -2.177222 -2.540753) (xy -2.162408 -2.564789) (xy -2.17412 -2.59367) (xy -2.179709 -2.608643)
(xy -2.183241 -2.622074) (xy -2.185189 -2.636846) (xy -2.186029 -2.655841) (xy -2.186175 -2.667001)
(xy -2.186127 -2.687812) (xy -2.185328 -2.702661) (xy -2.183361 -2.714045) (xy -2.179809 -2.724463)
(xy -2.174789 -2.735333) (xy -2.157464 -2.761867) (xy -2.133759 -2.78532) (xy -2.105689 -2.803709)
(xy -2.104954 -2.804084) (xy -2.0868 -2.811241) (xy -2.063508 -2.817556) (xy -2.037998 -2.822509)
(xy -2.013189 -2.825576) (xy -1.992 -2.826238) (xy -1.984422 -2.825611) (xy -1.973353 -2.824388)
(xy -1.965565 -2.825226) (xy -1.958395 -2.829281) (xy -1.949182 -2.837707) (xy -1.943568 -2.843324)
(xy -1.911946 -2.870005) (xy -1.876174 -2.890266) (xy -1.835453 -2.904463) (xy -1.788987 -2.912952)
(xy -1.787246 -2.913151) (xy -1.764059 -2.915658) (xy -1.747128 -2.917174) (xy -1.734308 -2.917743)
(xy -1.723452 -2.917412) (xy -1.712414 -2.916226) (xy -1.703628 -2.914942) (xy -1.691762 -2.913437)
(xy -1.683432 -2.914106) (xy -1.675661 -2.91799) (xy -1.665473 -2.926128) (xy -1.661295 -2.929732)
(xy -1.645606 -2.941725) (xy -1.627729 -2.953108) (xy -1.617134 -2.958712) (xy -1.606827 -2.96317)
(xy -1.596919 -2.966303) (xy -1.585487 -2.968402) (xy -1.570606 -2.969752) (xy -1.550353 -2.970644)
(xy -1.534584 -2.971085) (xy -1.510788 -2.971773) (xy -1.493817 -2.972632) (xy -1.48203 -2.973929)
(xy -1.473784 -2.975933) (xy -1.46744 -2.978913) (xy -1.461497 -2.983028) (xy -1.43503 -2.999004)
(xy -1.403358 -3.011489) (xy -1.37259 -3.018803) (xy -1.339899 -3.021175) (xy -1.303498 -3.018678)
(xy -1.266374 -3.011622) (xy -1.245963 -3.005655) (xy -1.231801 -3.001238) (xy -1.220293 -2.99822)
(xy -1.214967 -2.997345) (xy -1.208467 -2.999473) (xy -1.197233 -3.005143) (xy -1.183565 -3.013181)
(xy -1.181989 -3.014174) (xy -1.15536 -3.031067) (xy -1.099772 -3.031067) (xy -1.074143 -3.030774)) (layer F.SilkS) (width 0.01))
)

View File

@ -0,0 +1,5 @@
OLED 3D Model copyright Vivekandand Dhakane:
https://grabcad.com/library/i2c-0-96-oled-ssd1306-display-1
EC11 Rotary Encoder copyright xindela:
https://grabcad.com/library/ec11-rotary-encoder-dode-switch-15mm-1

View File

@ -0,0 +1,166 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#
# +3V3
#
DEF +3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# +5V
#
DEF +5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# CONN_02X20
#
DEF CONN_02X20 P 0 1 Y N 1 F N
F0 "P" 0 1050 50 H V C CNN
F1 "CONN_02X20" 0 0 50 V V C CNN
F2 "" 0 -950 50 H V C CNN
F3 "" 0 -950 50 H V C CNN
$FPLIST
Pin_Header_Straight_2X20
Pin_Header_Angled_2X20
Socket_Strip_Straight_2X20
Socket_Strip_Angled_2X20
$ENDFPLIST
DRAW
S -100 -945 -50 -955 0 1 0 N
S -100 -845 -50 -855 0 1 0 N
S -100 -745 -50 -755 0 1 0 N
S -100 -645 -50 -655 0 1 0 N
S -100 -545 -50 -555 0 1 0 N
S -100 -445 -50 -455 0 1 0 N
S -100 -345 -50 -355 0 1 0 N
S -100 -245 -50 -255 0 1 0 N
S -100 -145 -50 -155 0 1 0 N
S -100 -45 -50 -55 0 1 0 N
S -100 55 -50 45 0 1 0 N
S -100 155 -50 145 0 1 0 N
S -100 255 -50 245 0 1 0 N
S -100 355 -50 345 0 1 0 N
S -100 455 -50 445 0 1 0 N
S -100 555 -50 545 0 1 0 N
S -100 655 -50 645 0 1 0 N
S -100 755 -50 745 0 1 0 N
S -100 855 -50 845 0 1 0 N
S -100 955 -50 945 0 1 0 N
S -100 1000 100 -1000 0 1 0 N
S 50 -945 100 -955 0 1 0 N
S 50 -845 100 -855 0 1 0 N
S 50 -745 100 -755 0 1 0 N
S 50 -645 100 -655 0 1 0 N
S 50 -545 100 -555 0 1 0 N
S 50 -445 100 -455 0 1 0 N
S 50 -345 100 -355 0 1 0 N
S 50 -245 100 -255 0 1 0 N
S 50 -145 100 -155 0 1 0 N
S 50 -45 100 -55 0 1 0 N
S 50 55 100 45 0 1 0 N
S 50 155 100 145 0 1 0 N
S 50 255 100 245 0 1 0 N
S 50 355 100 345 0 1 0 N
S 50 455 100 445 0 1 0 N
S 50 555 100 545 0 1 0 N
S 50 655 100 645 0 1 0 N
S 50 755 100 745 0 1 0 N
S 50 855 100 845 0 1 0 N
S 50 955 100 945 0 1 0 N
X P1 1 -250 950 150 R 50 50 1 1 P
X P2 2 250 950 150 L 50 50 1 1 P
X P3 3 -250 850 150 R 50 50 1 1 P
X P4 4 250 850 150 L 50 50 1 1 P
X P5 5 -250 750 150 R 50 50 1 1 P
X P6 6 250 750 150 L 50 50 1 1 P
X P7 7 -250 650 150 R 50 50 1 1 P
X P8 8 250 650 150 L 50 50 1 1 P
X P9 9 -250 550 150 R 50 50 1 1 P
X P10 10 250 550 150 L 50 50 1 1 P
X P20 20 250 50 150 L 50 50 1 1 P
X P30 30 250 -450 150 L 50 50 1 1 P
X P40 40 250 -950 150 L 50 50 1 1 P
X P11 11 -250 450 150 R 50 50 1 1 P
X P21 21 -250 -50 150 R 50 50 1 1 P
X P31 31 -250 -550 150 R 50 50 1 1 P
X P12 12 250 450 150 L 50 50 1 1 P
X P22 22 250 -50 150 L 50 50 1 1 P
X P32 32 250 -550 150 L 50 50 1 1 P
X P13 13 -250 350 150 R 50 50 1 1 P
X P23 23 -250 -150 150 R 50 50 1 1 P
X P33 33 -250 -650 150 R 50 50 1 1 P
X P14 14 250 350 150 L 50 50 1 1 P
X P24 24 250 -150 150 L 50 50 1 1 P
X P34 34 250 -650 150 L 50 50 1 1 P
X P15 15 -250 250 150 R 50 50 1 1 P
X P25 25 -250 -250 150 R 50 50 1 1 P
X P35 35 -250 -750 150 R 50 50 1 1 P
X P16 16 250 250 150 L 50 50 1 1 P
X P26 26 250 -250 150 L 50 50 1 1 P
X P36 36 250 -750 150 L 50 50 1 1 P
X P17 17 -250 150 150 R 50 50 1 1 P
X P27 27 -250 -350 150 R 50 50 1 1 P
X P37 37 -250 -850 150 R 50 50 1 1 P
X P18 18 250 150 150 L 50 50 1 1 P
X P28 28 250 -350 150 L 50 50 1 1 P
X P38 38 250 -850 150 L 50 50 1 1 P
X P19 19 -250 50 150 R 50 50 1 1 P
X P29 29 -250 -450 150 R 50 50 1 1 P
X P39 39 -250 -950 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# GND
#
DEF GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# MOUNTING_HOLE
#
DEF MOUNTING_HOLE MH 0 40 Y Y 1 F N
F0 "MH" 0 150 50 H V C CNN
F1 "MOUNTING_HOLE" 375 -50 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
S -100 100 100 0 0 1 0 N
S -50 0 50 -150 0 1 0 N
P 2 0 1 0 -50 -150 50 -125 N
P 2 0 1 0 50 -100 -50 -125 N
P 2 0 1 0 50 -75 -50 -100 N
P 2 0 1 0 50 -50 -50 -75 N
P 2 0 1 0 50 -25 -50 -50 N
P 2 0 1 0 50 0 -50 -25 N
X ~ 1 -100 -150 50 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
#End Library

View File

@ -0,0 +1,5 @@
(sym_lib_table
(lib (name "PCA9554APW_118")(type "Legacy")(uri "${KIPRJMOD}/PCA9554APW_118/PCA9554APW_118.lib")(options "")(descr ""))
(lib (name "ctrl_board_symbols")(type "Legacy")(uri "${KIPRJMOD}/ctrl_board_symbols.lib")(options "")(descr ""))
(lib (name "graphics")(type "KiCad")(uri "${KIPRJMOD}/graphics.kicad_sym")(options "")(descr ""))
)